From d7809fd07c3f26e90d5e473b2132a636f93ee4c4 Mon Sep 17 00:00:00 2001
From: Erik Kooistra <kooistra@astron.nl>
Date: Wed, 8 Oct 2014 07:53:49 +0000
Subject: [PATCH] Use SVN copy to have triple_speed_ethernet-library/ also
 available in $RADIOHDL. Therefore now no need anymore for quartus_copy_files
 of triple_speed_ethernet-library from $UNB to $RADIOHDL in hdllib.cfg.

---
 .../ip_stratixiv/tse_sgmii_lvds/hdllib.cfg    |    5 -
 .../altera_tse_a_fifo_13.v                    |  Bin 0 -> 7656 bytes
 .../altera_tse_a_fifo_24.v                    |  Bin 0 -> 6216 bytes
 .../altera_tse_a_fifo_34.v                    |  Bin 0 -> 6080 bytes
 .../altera_tse_a_fifo_opt_1246.v              |  Bin 0 -> 11672 bytes
 .../altera_tse_a_fifo_opt_14_44.v             |  Bin 0 -> 11520 bytes
 .../altera_tse_a_fifo_opt_36_10.v             |  Bin 0 -> 14536 bytes
 .../altera_tse_align_sync.v                   |  Bin 0 -> 5944 bytes
 .../altera_tse_alt2gxb_arriagx.v              |  Bin 0 -> 20936 bytes
 .../altera_tse_alt2gxb_basic.v                |  453 ++
 .../altera_tse_alt2gxb_gige.v                 |  522 ++
 .../altera_tse_alt4gxb_gige.v                 | 1675 +++++
 .../altera_tse_altgx_civgx_gige.v             | 1112 +++
 .../altera_tse_altshifttaps.v                 |  Bin 0 -> 1696 bytes
 .../altera_tse_altsyncram_dpm_fifo.v          |  Bin 0 -> 2888 bytes
 .../altera_tse_bin_cnt.v                      |  Bin 0 -> 2448 bytes
 .../altera_tse_carrier_sense.v                |  Bin 0 -> 3392 bytes
 .../altera_tse_clk_cntl.v                     |  Bin 0 -> 4104 bytes
 .../altera_tse_clk_gen.v                      |  Bin 0 -> 1168 bytes
 .../altera_tse_colision_detect.v              |  Bin 0 -> 3448 bytes
 .../altera_tse_crc328checker.v                |  Bin 0 -> 3808 bytes
 .../altera_tse_crc328generator.v              |  Bin 0 -> 2696 bytes
 .../altera_tse_crc32ctl8.v                    |  Bin 0 -> 1848 bytes
 .../altera_tse_crc32galois8.v                 |  Bin 0 -> 6976 bytes
 .../altera_tse_dc_fifo.v                      |  Bin 0 -> 7920 bytes
 .../altera_tse_dec10b8b.v                     |  Bin 0 -> 6304 bytes
 .../altera_tse_dec_func.v                     |  Bin 0 -> 45008 bytes
 .../altera_tse_dpram_16x32.v                  |  Bin 0 -> 9720 bytes
 .../altera_tse_dpram_8x32.v                   |  Bin 0 -> 9712 bytes
 .../altera_tse_enc8b10b.v                     |  Bin 0 -> 12248 bytes
 .../altera_tse_fifoless_mac_rx.v              |  Bin 0 -> 114552 bytes
 .../altera_tse_fifoless_mac_tx.v              |  Bin 0 -> 93944 bytes
 .../altera_tse_fifoless_retransmit_cntl.v     |  Bin 0 -> 25488 bytes
 .../altera_tse_geth_pcs_wo_ratematch.v        |  Bin 0 -> 20424 bytes
 .../altera_tse_gige_reset_ctrl.v              |  Bin 0 -> 21928 bytes
 .../altera_tse_gmii_io.v                      |  Bin 0 -> 5704 bytes
 .../altera_tse_gray_cnt.v                     |  Bin 0 -> 4136 bytes
 .../altera_tse_gxb_aligned_rxsync.v           |  285 +
 .../altera_tse_gxb_gige_inst.v                |  288 +
 .../altera_tse_hashing.v                      |  Bin 0 -> 3816 bytes
 .../altera_tse_host_control.v                 |  Bin 0 -> 11712 bytes
 .../altera_tse_host_control_small.v           |  Bin 0 -> 10984 bytes
 .../altera_tse_lb_read_cntl.v                 |  Bin 0 -> 2816 bytes
 .../altera_tse_lb_wrt_cntl.v                  |  Bin 0 -> 3312 bytes
 .../altera_tse_lfsr_10.v                      |  Bin 0 -> 3224 bytes
 .../altera_tse_loopback_ff.v                  |  Bin 0 -> 5696 bytes
 .../altera_tse_mac.v                          |  393 ++
 .../altera_tse_mac_control.v                  |  Bin 0 -> 43512 bytes
 .../altera_tse_mac_pcs.v                      |  467 ++
 .../altera_tse_mac_pcs_gige_woff.v            |  Bin 0 -> 24032 bytes
 .../altera_tse_mac_pcs_pma.v                  |  530 ++
 .../altera_tse_mac_pcs_pma_ena.v              |  Bin 0 -> 20016 bytes
 .../altera_tse_mac_pcs_pma_gige.v             |  506 ++
 .../altera_tse_mac_pcs_pma_strx_gx_ena.v      |  Bin 0 -> 20536 bytes
 .../altera_tse_mac_pcs_woff.v                 |  Bin 0 -> 23624 bytes
 .../altera_tse_mac_rx.v                       |  Bin 0 -> 107416 bytes
 .../altera_tse_mac_tx.v                       |  Bin 0 -> 83560 bytes
 .../altera_tse_mac_woff.ocp                   |  Bin 0 -> 1744 bytes
 .../altera_tse_mac_woff.v                     |  Bin 0 -> 54920 bytes
 .../altera_tse_magic_detection.v              |  Bin 0 -> 16912 bytes
 .../altera_tse_mdio.v                         |  Bin 0 -> 16952 bytes
 .../altera_tse_mdio_clk_gen.v                 |  Bin 0 -> 2576 bytes
 .../altera_tse_mdio_cntl.v                    |  Bin 0 -> 8424 bytes
 .../altera_tse_mdio_reg.v                     |  Bin 0 -> 22568 bytes
 .../altera_tse_mii_rx_if.v                    |  Bin 0 -> 7328 bytes
 .../altera_tse_mii_rx_if_pcs.v                |  Bin 0 -> 6776 bytes
 .../altera_tse_mii_tx_if.v                    |  Bin 0 -> 5312 bytes
 .../altera_tse_mii_tx_if_pcs.v                |  Bin 0 -> 3528 bytes
 .../altera_tse_multi_channel_arbiter.v        |  Bin 0 -> 8960 bytes
 .../altera_tse_multi_mac.v                    | 2665 +++++++
 .../altera_tse_multi_mac_pcs.v                | 2094 ++++++
 .../altera_tse_multi_mac_pcs_pma.v            | 6122 +++++++++++++++++
 .../altera_tse_multi_mac_pcs_pma_gige.v       | 5136 ++++++++++++++
 .../altera_tse_pcs.v                          |  211 +
 .../altera_tse_pcs_control.v                  |  Bin 0 -> 5832 bytes
 .../altera_tse_pcs_host_control.v             |  Bin 0 -> 10240 bytes
 .../altera_tse_pcs_pma.v                      |  434 ++
 .../altera_tse_pcs_pma_gige.v                 |  414 ++
 .../altera_tse_pma_lvds_rx.v                  |  173 +
 .../altera_tse_pma_lvds_tx.v                  |  143 +
 .../altera_tse_quad_16x32.v                   |  Bin 0 -> 8032 bytes
 .../altera_tse_quad_8x32.v                    |  Bin 0 -> 8024 bytes
 .../altera_tse_register_map.v                 |  Bin 0 -> 81496 bytes
 .../altera_tse_register_map_small.v           |  Bin 0 -> 74624 bytes
 .../altera_tse_retransmit_cntl.v              |  Bin 0 -> 24384 bytes
 .../altera_tse_rgmii_in1.v                    |  106 +
 .../altera_tse_rgmii_in4.v                    |  102 +
 .../altera_tse_rgmii_module.v                 |  270 +
 .../altera_tse_rgmii_out1.v                   |  110 +
 .../altera_tse_rgmii_out4.v                   |  105 +
 .../altera_tse_rx_converter.v                 |  Bin 0 -> 6472 bytes
 .../altera_tse_rx_counter_cntl.v              |  Bin 0 -> 20368 bytes
 .../altera_tse_rx_encapsulation.v             |  Bin 0 -> 20944 bytes
 .../altera_tse_rx_encapsulation_strx_gx.v     |  Bin 0 -> 26144 bytes
 .../altera_tse_rx_ff.v                        |  Bin 0 -> 21968 bytes
 .../altera_tse_rx_ff_cntrl.v                  |  Bin 0 -> 19976 bytes
 .../altera_tse_rx_ff_cntrl_32.v               |  Bin 0 -> 21560 bytes
 .../altera_tse_rx_ff_cntrl_32_shift16.v       |  Bin 0 -> 29992 bytes
 .../altera_tse_rx_ff_length.v                 |  Bin 0 -> 3112 bytes
 .../altera_tse_rx_fifo_rd.v                   |  Bin 0 -> 3400 bytes
 .../altera_tse_rx_min_ff.v                    |  Bin 0 -> 27408 bytes
 .../altera_tse_rx_stat_extract.v              |  Bin 0 -> 14376 bytes
 .../altera_tse_rx_sync.v                      |  Bin 0 -> 11224 bytes
 .../altera_tse_sdpm_altsyncram.v              |  Bin 0 -> 2976 bytes
 .../altera_tse_sdpm_gen.v                     |  Bin 0 -> 1712 bytes
 .../altera_tse_sgmii_clk_cntl.v               |  Bin 0 -> 3864 bytes
 .../altera_tse_sgmii_clk_div.v                |  Bin 0 -> 2152 bytes
 .../altera_tse_sgmii_clk_enable.v             |  Bin 0 -> 2904 bytes
 .../altera_tse_sgmii_clk_scheduler.v          |  Bin 0 -> 3688 bytes
 .../altera_tse_shared_mac_control.v           |  Bin 0 -> 29392 bytes
 .../altera_tse_shared_register_map.v          |  Bin 0 -> 76664 bytes
 .../altera_tse_timing_adapter32.v             |  Bin 0 -> 4704 bytes
 .../altera_tse_timing_adapter8.v              |  Bin 0 -> 4512 bytes
 .../altera_tse_timing_adapter_fifo32.v        |  Bin 0 -> 11360 bytes
 .../altera_tse_timing_adapter_fifo8.v         |  Bin 0 -> 11352 bytes
 .../altera_tse_top_1000_base_x.ocp            |  Bin 0 -> 1688 bytes
 .../altera_tse_top_1000_base_x.v              |  Bin 0 -> 13192 bytes
 .../altera_tse_top_1000_base_x_strx_gx.ocp    |  Bin 0 -> 1664 bytes
 .../altera_tse_top_1000_base_x_strx_gx.v      |  Bin 0 -> 12832 bytes
 .../altera_tse_top_1geth.v                    |  Bin 0 -> 28888 bytes
 .../altera_tse_top_autoneg.v                  |  Bin 0 -> 23424 bytes
 .../altera_tse_top_fifoless_1geth.v           |  Bin 0 -> 29432 bytes
 .../altera_tse_top_gen_host.ocp               |  Bin 0 -> 1672 bytes
 .../altera_tse_top_gen_host.v                 |  Bin 0 -> 63128 bytes
 .../altera_tse_top_mdio.v                     |  Bin 0 -> 6280 bytes
 .../altera_tse_top_multi_mac.v                |  Bin 0 -> 271376 bytes
 .../altera_tse_top_multi_mac_pcs.v            |  Bin 0 -> 234760 bytes
 .../altera_tse_top_multi_mac_pcs_gige.v       |  Bin 0 -> 243832 bytes
 .../altera_tse_top_pcs.v                      |  Bin 0 -> 6312 bytes
 .../altera_tse_top_pcs_strx_gx.v              |  Bin 0 -> 6504 bytes
 .../altera_tse_top_rx.v                       |  Bin 0 -> 5752 bytes
 .../altera_tse_top_rx_converter.v             |  Bin 0 -> 6120 bytes
 .../altera_tse_top_sgmii.v                    |  Bin 0 -> 8008 bytes
 .../altera_tse_top_sgmii_strx_gx.v            |  Bin 0 -> 8312 bytes
 .../altera_tse_top_tx.v                       |  Bin 0 -> 4704 bytes
 .../altera_tse_top_tx_converter.v             |  Bin 0 -> 8224 bytes
 .../altera_tse_top_w_fifo.v                   |  Bin 0 -> 48312 bytes
 .../altera_tse_top_w_fifo_10_100_1000.v       |  Bin 0 -> 45560 bytes
 .../altera_tse_top_wo_fifo.v                  |  Bin 0 -> 29792 bytes
 .../altera_tse_top_wo_fifo_10_100_1000.v      |  Bin 0 -> 39344 bytes
 .../altera_tse_tx_converter.v                 |  Bin 0 -> 6496 bytes
 .../altera_tse_tx_counter_cntl.v              |  Bin 0 -> 15568 bytes
 .../altera_tse_tx_encapsulation.v             |  Bin 0 -> 14768 bytes
 .../altera_tse_tx_ff.v                        |  Bin 0 -> 43256 bytes
 .../altera_tse_tx_ff_cntrl.v                  |  Bin 0 -> 9664 bytes
 .../altera_tse_tx_ff_cntrl_32.v               |  Bin 0 -> 11448 bytes
 .../altera_tse_tx_ff_cntrl_32_shift16.v       |  Bin 0 -> 15872 bytes
 .../altera_tse_tx_ff_length.v                 |  Bin 0 -> 2320 bytes
 .../altera_tse_tx_ff_read_cntl.v              |  Bin 0 -> 9144 bytes
 .../altera_tse_tx_min_ff.v                    |  Bin 0 -> 25376 bytes
 .../altera_tse_tx_stat_extract.v              |  Bin 0 -> 12760 bytes
 151 files changed, 24316 insertions(+), 5 deletions(-)
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_13.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_24.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_34.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_1246.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_14_44.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_36_10.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_align_sync.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_arriagx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_basic.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt4gxb_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altgx_civgx_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altshifttaps.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altsyncram_dpm_fifo.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_bin_cnt.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_carrier_sense.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_gen.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_colision_detect.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328checker.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328generator.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32ctl8.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32galois8.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dc_fifo.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec10b8b.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec_func.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_16x32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_8x32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_enc8b10b.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_rx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_tx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_retransmit_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_geth_pcs_wo_ratematch.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gige_reset_ctrl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gmii_io.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gray_cnt.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_gige_inst.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_hashing.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control_small.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_read_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_wrt_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lfsr_10.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_loopback_ff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_control.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_gige_woff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_ena.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_strx_gx_ena.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_woff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_rx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_tx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.ocp
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_magic_detection.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_clk_gen.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_reg.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_channel_arbiter.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_control.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_host_control.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_16x32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_8x32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map_small.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_retransmit_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in1.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in4.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_module.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out1.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out4.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_converter.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_counter_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation_strx_gx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32_shift16.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_length.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_fifo_rd.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_min_ff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_stat_extract.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_sync.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_altsyncram.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_gen.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_div.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_enable.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_scheduler.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_mac_control.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_register_map.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter8.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo8.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.ocp
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.ocp
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1geth.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_autoneg.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_fifoless_1geth.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.ocp
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_mdio.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs_gige.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs_strx_gx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx_converter.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii_strx_gx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx_converter.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo_10_100_1000.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo_10_100_1000.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_converter.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_counter_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_encapsulation.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32_shift16.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_length.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_read_cntl.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_min_ff.v
 create mode 100644 libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_stat_extract.v

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/hdllib.cfg b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/hdllib.cfg
index c63f06e75e..9dfc393f95 100644
--- a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/hdllib.cfg
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/hdllib.cfg
@@ -12,13 +12,8 @@ test_bench_files =
     ip_stratixiv_tse_sgmii_lvds.vho
     tb_ip_stratixiv_tse_sgmii_lvds.vhd
 
-quartus_copy_files =
-    $UNB/Firmware/modules/MegaWizard/tse_sgmii_lvds/triple_speed_ethernet-library $RADIOHDL/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library
- 
 quartus_vhdl_files = 
     ip_stratixiv_tse_sgmii_lvds.vhd
 
-quartus_sdc_files = 
-
 quartus_qip_files =
     ip_stratixiv_tse_sgmii_lvds.qip
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_13.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_13.v
new file mode 100644
index 0000000000000000000000000000000000000000..ac911c02b7bda120468f058c74dc7025ecd3c692
GIT binary patch
literal 7656
zcmV<E9T(z@6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3?0>6f<gG%cT;l)@iK`S@|ep4@clynoi
zyHPPq5M}ss&X*c)CgQYs#lD$>`UC9u4|PD#ST8k91m8uvWHl1K3EA_RLFutQG8LF)
zbr9gkx{y6qEoRmhic<nQP76;Xmf$81k?x6I7?YuZaryWwKFNAfJf?B;58#UFd%S(4
z*D#6{eU4B}O7K|XvV3OT#Q>k-^CZ^&K|+?NA%JG3o{<aZB~WH@*zCU~W4m-@^j9kK
zWySfK#lktDGB~jMetC90DkhmCfW(jI*VtBLxg;5OVm3^;K~`4vsWWaLv1;QLShVB5
z$-{ZIhSKm42%#{-#$7z?pPS7)sm=+u(^M7N+NVpx`0nvj-GLNvS|z#2Bkt<gv2gv*
zIOA~6maK7-VGqIZSBa}K43c?&756&#t5b*ey-ptSM9KQQ@14yyYL(`uSs#>aOl)<l
z9Z^ww`c3W`JV@xwk=Nf+b-=e~$96QR>XKK}vNaD1xIsds<FakywYoRS|C{hr8I;v*
z#-BIE<9i>UbyQpDvQTy&!_E}%+E<4$UBtV=eCpTzs5X>Y0knz9{><?1-1kE9#TG)?
zz45kLvkb9w7>eh+!ha==vhBFr`Wzb8vWgzxAXffv7D2SvxC6!dHte*3C_li5n|J$x
z?>s&)c)S+q&lK&0<X0wc2DgK4K&a@>se%RmJ4tZl=iC8_btJtgYa~u_EBp(@iKgT_
zZh5MGgOT`SoRAb*Ok*r14PlbKg2sEg^MedKAlJ^bI6($M2A3B7T5dy|oxB#vjtW*y
zXh~~UN;-9CwSO<~={{(zIwCjXrC-Roenv3A9?H7rE#bg93m96-xw9#-s%Nl8u|O8!
zT&3A<`55fktQ=3~@7$MpV7fNoxqvIV4YV0%m3m$z5L0Jcke-4v8_f(oSsAk0(5Oo@
zIL~4;JI}e62mn6hJb--BRaxsyT~DwN!|r)0e%vXIeAL9lOC_mNu@xuzjXZRZ_0C>@
zf;NN2Qm35OKZ4b)6yIBIUxV6pdl(5gK)Uj67x!THE96GYVNIA+;l@oJ`!zN?6d>QG
z+ByZQ>eO(RPI}L{y8dI^WLt#=$}JHv&Bw7vB-<7*pmL+gY9Y|+?YcZvQ50MnZv(Oe
z`v$e5b(`TP_@(_BGNW(n2xqrvX+R#Q?RTV|Jgv>_gfU}jEp--t4g#v1_~VVwqGz@3
z*J?8A2<T1N)odKsBANgvG0Ey#XWH9J0BbcR+7$rmzlv*b<sH9dqGST=eaOI*Cpq-V
zKfDceakf5PBJ=u1k|e1Lo=K%r9s~X->K+vKGaP{@ND&MoMnRro0l0$;*HzFlAJtsN
z9*?IN`jl)uJal^$A~g3l!(4-T(Juy2P@gdu4Zv#G=jP4<MucK2BMZz<_`^1AQx-N2
z;PEspd)xnJZ3s9AGZEP)Uqs=f>H4H`-C0Z9?G<X2ff0vZ47`a3MgJ{PL~$YOHr^p_
zjd2o1ES!x10Ti^y7-bXAO)*NBcsO*|ZB<VGQjBO@yPbP=m9!cHdo&??2pFusl6<i$
zz?m+!0|?J+TX6JLWc*G})r0=0#+}@OX+pUg)`5ohIbchyA+NI@^e^YgZ0$P5nmvn$
zmj9^ld`@W}_zdGmMpzo{^_le?nf07aP1fimk_RC3Jb>`B?4X9Uyk1Vdtc9$r2JkPJ
z(4rh{nKz7x+d}G`c4~r)Z4qYg0@4{lJjbgCI}_Qa>SgQ?5zw6~ZLPtilg=yjk8i=J
z_m*8Koy{`~nfJXB8&tzone;qXEXx(w4s=q`H{bJ0NPPN=vb}I7hnP8jxPqjlRgF*(
zV)&tf$~2%OgxLtQ&ot*2b}x!il5^Bp)bh$0dq`LAI5_Ce{km!rH#cGKkf=s)u>*si
zv5mdgv--T!^us75L`Q)lgQ0s>AK^ki;z&vhcAxczrwu{BeVey4=YtgL=$YaZ@h(Qt
zm;UunSIm?+Ed4F<_|3arI4x8Xo3kPV+Dh4sRo!mpi(M$a_pW?X{YGdVSs3uXKmfE=
zqC(br$R`$?jV)b%r7e4R&22yr;{(y9B*Skp$~}ODj{F{dOBGvUwT*_<u@8DvD<?P8
z;es>FP0-^!6yx@#&3@woS1Xv&dmRUOSsYEjInuYakGGUEw*6&0js8q7&SsFDtV6hY
zd>D@{M%-~no0k#nZrzhCq2EtPMnrTxzHHL+?=?Vp;?0v1rc}L87$zVEn7G?nYB3v%
ze8EE#mCuq+Gks94mcO2i9JSJ^Tb={yV$Z2NkfvpZm{f5>FALHg6|iQn(QO!C<Zn65
zpv;%+QPAqM^8p-!ChL3Xf-1p%C2#z?b<Wht*pq?{=PX{w%2F~Q+tYo|93vt(?QaH0
zz<Xdqh{!Cz^(kg80j8!d{lGnz$-L#!ckfqXfUZ{sSaLLasmrpmVPk$kEmIK|YAHxQ
zKI=fo_>&r?xXMXbzN^$pzjBxt0Kg0fwcdfD_OTP%$v_u^Xa!_NI8crMIrNWogi|uO
z6u&~YQKVO-i?>L{w~Cgbm+?ZdZeJ_DFLV#o*x-{~{+R*shwB&Q<C&ZSOi4m=J>aTY
zJS$mOuy?y6L4RV%WXG8SYzlv->^y;a3uO(as`+_IKP$zE-KyqiV>jtCW&5BugkSm4
zs@p`I-9?0rV}(7m%x$nTWQjp>H6;9Dtr8+RbEU^4_-KK?b!{>iprOnGP>oQN1_XzR
z1Vxghds~<ld(L&;OlmYx#R;`#9zVXufueOG>v1lT3H>UN5i%AAG1~jS?P#DD!4+4G
zic@C*E>C%Z)VVWP?mduMxF&M{#R$;m%SfAGg+QO^o+%}v7~0u;S*KhLH01=96Qk~<
z8|QV25nLF(YudDmeHZ!<N&)O=?(9Tl5J5Zn09+La>CTGlE`t+TK_mi)L+!nVbt1fB
ziqEA^*edrj+1%qmX%s&zny@$qvA!u2656rTa0gL(XmC<m?9cH501kfT|0z)PY|1%z
zML`IO`*bM0C*wJbhSTp2;rFePn{SWb?#gX;o<qDiRh(zIt>g_UTm}_msVL&bsjqg~
zK=!#nk7Ucw0mtsjR0$Kkr#!RQk&bD>66ryY+vn!cwYRH0gApuAxg*Iljy>gHaAfMG
zxaIuPoS8)t1_pn9Iax`Gcz;27Mr6UB3^FnHp72olx@3bQK<wD<N3E4a(t?{g7#c-t
z<q~hqgxK_4(K}ELkCLqEc-IdJ<}zJ`O?Fiwlrut!ZDEz?U0der(v15v@+BX{A6HH3
zpj1%sMl}y#_&9q=ssfH4nvIgy4w3DY`Knd$?fP9wa-Y>#c^VWGhTy;b?q{7Bc1XNQ
z)#*|mbuHQEvscMR$lnDsgPVqhw-1V?3rexCi+r{+vs@&Z-17<mj`$g&v8}<Ttdj<b
zqjE@c;QuU6_e8$A(25u)1;zK1Fyx4s0<N94)mnBysdKLcY0k@Xe#HCQy5t;ecm7a@
z>V<c^hMNLHhg6I-_`;N3CCSn0bIwYEfkIi6(}<M_xmEYN10iO$RhhuDv2jO@)`DDz
zK}3*nF>kmzc+ULV1hHdKI#A*<yyAMrYk3LH_m;=rOPM!d)q%uo(3#)jO6n#zpxX>t
z^16kLTrIgn-(nuJK+wSyodlDW0Qa(~mDS~5k9Zaba2|%84ObegwZ8PEt)=E}M>Fk?
zgJhEKatg$rPyr?@um?61BZf?R93%!F6&Ggv{+)&|zE>ef^U5^YA@z#85o0m(`_zT6
z*D_$)!?=T&BiW)75FvzU5DkGD_pI%4nH5~6=%s^ze-8R7GzKV1(G(V1>>-9Y3OOZ-
zXB*t4-$1Uzuq#2npKqtk#T^xeB$WTikXE_1_%_tn*%e5sT3Y(MC4l|;5h+?|AuTj(
zMc#xvn<cmj!pMZa;y$ZRB>P1nfR9a)`c}pp+YKPk?L{9xxssVqEyHQkMxTEMsZ`;6
z*N^>v$sCI&LJ|OfWJ*#t73~w@1CA{fB6Mndul04Mt+ni*k)oI#zVu>vQC&yLOARJ8
zZEhDJ*_bd}EFLxv()xzO3QOAL@y;Lh2Vk){d+{rAh*15FvFaQ5xOZfW#o>CSXnW3J
z0T$vIdO}V}Pb}L|ed*7cS<sC?NJxH!xL3tZdr5C4%l!u3a1^JNfge(?g(Sp`m+689
zz(JEuq?pdxf$zyJiLOpmvPI;4wUXKF*AVYbxZp2I5vyw~C9LZ2UJIX82{F+bVDTzw
z$(}&VM5vMCNUC)eS+R;hFO>|El!rOXY0db0a$lyvD(~Y`cjeYKD?Z3VPJebZprX-M
znfTDmE(xbYc6l50Zv2e8n`UAx`^{I)F`@#mIt8(%$t_4Z)7PSJSrlA*kR5gZ7%i~y
z9T0RpjE7<dIHNhP8HcY(RKmt!UFdSNqZ7<SACt`M?%k%8q#<&q*~$c$RG!mRZ3R!!
zH64Ax+ga=KAg7``A6}2MVI98R#a5pZjd(F%>M<g&tlnPG5Dt6sLY-(B$yBRVK)ED?
zI4#kBv?%1DXH6&OS5#fML+yGFi6IBtTsLRN)Ht(Q^96a?gRT3u6b|&K4YH1<de)Z+
zvz^|t7Msei5*G2O#3vC?=xW6@^5*hszrwZdmZRsPdJz<?1mYj4ee;=sHOzvaTpyno
z2aG<?0A5$C5Bf>4=lTK!4L7X`uy=(J?9&YO^cjS=x~(TNK<|cSSBfT$O92}+2dWRM
zu>ZV0<vwBb*~5kH)rAHgM}NHmUUz<Wp5oGYh}7Yy;*7NBW5A8>+Jor5GrdHUk@vU<
zF2K=}HM+SPvTv2%W&SN=Fs+6cE&+nf<;SxPx1m7268WF#X3Tg1%8*u#BUheE`kId+
zbgUK@X|Wf1fAV+><8%x&SX@~oRl*%wn4KRe?4b_Da>P}5JPx1(j=?LubsD2;7HR<q
z6FCGvb-X``f{($zo!vD5&3sE6FC_s94KGOz!qMdqoGfB&8&|pVy?%xCRSlNbZF#vp
zFLhS)S+95#66+THV;SLraku}|jyfpr2ZxCV%36lTDc0<29r<BV>6urf_3-MXmEPJ4
zyuYDnbvLElDEazDsue#J$dYg5vGvkKZJm9AImHq}e}iwJVud7O7}3{YHXp!}wOONc
zBI`+x@8|W@`QQZ4#8wJ6%Q9(ywh3R~nM+aFqljb55Y+He-}#Ci^NiI2TH1~sv9#8O
zIF#_y%rV}sk;TF0P;uP1{PO;oNh%;YLYFMM3E~vn1etC+9XVm04?|5GUFewmp_O4(
zg?9=sC?&*ms5+HxdD*Q>1$}n7ONmr&msA<O?}4Fc91Bf2Sv}#5^S&DP1n!)*h~W?S
zt}xxU?G#26+c)~n_etX3zJ<XCc!>MjGrD`Eg@F-UBnk?lvWniyP#BZ<8vVrQFE5-z
z_3Ke#rc6o+huuD|nEqG0)g}Z?{4g*UT{+fI8y!f$#K=)}5)<iFbhvdN)b>It{lJq#
z16*q*IUXbm{UNdoH-Rs~vTER~p<`bAap`XJzVEy&8JUX0ju|ibnBf9AXSYyVru5(m
z%yAIXg8wphmLPj%wztJ~Z3FkXy+?xXS>bnzin!YJz}2-uL98_D$Y$bO?4AO&3ZNf>
zB)!ZCZ5eAi;9--n;0y;}YVW<s;U@5!+&;gFez0O09#(LzEMm*5v<1a3_qL6lqFGGV
z0KCLJoI=ZFdS1F4**tQfti$i01UOX?Ib`0+_O_W1IbIp2p!oP$_q#3AR81(P_z;wB
zNW<tX1*QDoUhr}foSrX&1P(-lzcGMz|Al?SllE$Q#k^e-&b55CVV=8L$bnIFJahYf
z8|D>?UimmWX21%++48q>7C3^cj0&{y{@^`>_{;4})^*puu{;PZZX61jrt&uW3gnDp
z!azDLnrzq{DOAX3ktA2%0{@KqPfB?4qyI;N>mH(wbh4=UiSKTchM-e@AG%jcZS>v{
z;_`~fc;f3kEq}7Uuyk4r3S<&Kk}Z&n@QuKF?a*UBD(3I8UBjMK8}X0<50lhyX;2kg
zq@z1FPrHKN;eAhbcx^0L(mK3aNM4@;tAze$2B`a+lQS1fzf7qKL4QNOEZDU3A0}RA
zcrS2+7$u@LyUmosS?7=0DBN@jo15B>Xf5&MS{&hKI3XWtS=+)T|B5qmxY!*<FY~e{
z$P5|&887s>1K%tAxtRGlc12c_8-v@YpERqajm(EZsF3>%h?S%DC>JGUpN2oHh2bmw
zRynC4UUy+phdY-yul8I(=Vyq!2yucu0(Pj-G#@L0j}sW6O=&vvU9P$n10f}I!d@dp
z`vp!Stw5ajMsN900=5BKZ@VQ#{SP?&>?{Nb?YlvQAAeG+%+Kd<d%`}Ew0dpA(DzWM
z!cmy*)@}~;%nXk|#L5Gst$?SD-pdYb5x*eJS+2-{<;$cRuy=xHtjmAOZXQ7fXNrJ=
z8ZnF%R<sj7j%2TWP)p*eVB>_AC+wVp)tBTzA9MHzy06A|4GLlHIn8EX4I7}Ub=O!m
zJ;7Wo$xH_*(}<%79hk)p{B9YzBtxBDaK5UBWjFZ>*gj*-Nc!WfQ9yf}xGOmnj{F8b
zix*>)?^$}rY(QBvGvo50_KE`Up#iEn@=I_3c#{8BsrxssGaBn@;wqi5evdpD>{(hq
z)d=;|M2lk=sdWclT#NrrZsT_w*eHc=XgRyYQ3N&jxIiMBPepW94Aa9sw_hkF<A2h}
zXB|}wi&3nD`N|IIh2SI{5xa`yuPTUQVbAasDNQ!He!Hz8m%?35rFs2{R<mAKG$Q@{
zZ5Y-lX&mYlXPB`;AsKp~u0M%kq$Qc!1a<s&7ZjftxUu-?-Sj5?CT6DqXr#YE_xa78
zN_C38lTZ0nJ2q~GEr?DI0F6fjAUfoQ2r6|1$HyEbow4n^wgMn$7VAyc56KzcXy9y=
zW&|IV%JUk>*c#k*-o<scUO$SM!XtIH978oH^lu_KsP_c=z6CHIc3i9ZS0PWOTtN?n
zS+4Hax07QGwLY}@n);Z3F+92mis2^jZia`Ug!pu64}>-bwQ9G;ai__CJU!9Os~g#+
zQ++f>vQbBOk^zp7*S`EIno{XteIc#zedFtL78~BZ)|H9#+_h9km|4!z&;|)vi^jGN
z7<L-}(IgqO?w;l-+D2(H?ygb?4w@LBgQz&r00Q3?m`V3AxGPbTL5Gg?M&VPlh|Np%
z#(ZZ@hx@Y}yfC|VZdX<Y;-@ns*zf1h&!!?ka5WbN0uizkM^teL=HAGb7VuEwa>t>6
za*0#$gXGTzs>ZnlGj;7{a$+Ac2D$LVhwzhj(Tz$>=dmp^oc7Q4d3CIncirt)H5Pxh
z4;WG64&OsG?!;t@6fa?s-I~*HqUO$Leq`9XOgiKSvsz|I#RD!A7942n(!w<(z`5Pd
zGp&y3d=_;21JRGPxYC!mwpyaIoFHNjI-Q=(z9*)pk(rN%F>V+}g6>!b|CMtRgzh;4
z^GqQe(6het<PBs1%qgjg$+{*1zLtQB&ai|_^n;^6qsfntH<!4`Tzy#*?eGwPhhIyr
zmq_-&Ic}m-X;&E$)|@#!LPNJ20E-7LygPE4&B4)GeE7D<qfIxZzsh<b07U;^SQ#L$
zBR~xtm3aDG*_e>QOFOZH=qgXmJAq?Bx)rib^()hv>q0(j;9Q2vz51ZKadOHNHK+&i
z_%%qyI~&IeACGsuqk=}&UAj*sdqgM#anj@y@rHQaK`nHqkb~`T1LPzn?be{<DwVJz
ztki>;1rp1N;|1%X&uOQ|)6*Lhxt#}kf`RrQ1HkW{AMH`W?$b<ZY2KnAu(``FwE=_S
zu1KwpM5rfP<mb6PUMppPSSJCml!r{*SoP$YLBg7k0=X<fr5FK+Db-s;xe|2tuXVF#
zMY04atNA5QcvY5}G7xYz+1)jhq1@!k?eE7~)0lh>Xt>Ue%n~WqT-_hUnK2KS63?>H
z`_JZCI6&Om6YxBw$;A92F@10t3$8&2T;){Lq^q)&^t8WQg8rS$@+<VnOvB~vr?%vt
zija|eL%z;)$j1bfzaZmDER%mtk#yu&ES13MQoJ71LHGJ+Ytaq;U;t|p*=(+0$rmS4
z*wRJnKFu~Y<;_FeD5&?ND{pgC5Wv1CT&hv8-5_Zja-XUxaBnWho$!wHr5XQkC$n?#
zsv={KiUPzRA`^5E<3ne%(isfGajDkiR?yBloGA`5;WWmZ;nv<pe?#hZ5rd<CeB`l+
zi;-ZOw~9!mIxn%qN5n3OKx>30^_)hOLm|E%#WkCX9b6Y-9CF&s#nmRmxg<tyG)VL?
z|1bW52AiC)atiozBvmF7x*mqWPVf&%wDs^cg$GE4z$0h4JIo0IA;_+{?<Hq!yIi?Q
z{fBksL*0FAJRKC(+p@D6oU}M6`t2qLjw0;HV1}Tt@>~c17QiN0J5=@_OX=bT;MN`C
zXgx@j-Tsal%m1fGdSov;x`{5PG16-@hX+BtyE=k|N9$}3BIAooh2f)ch<b`HQy0=Y
z)w7P_ZcVij^K;?EOxVelMil}t8>UgAwx@Bp!<VFF2C~H4q49s{QA=TrYiw{sHR4`Z
zOzRnSeh7w@zh|XqwPSo~ou{C#*9Mch!p)e>K^AB|nYuI`{5;>la7i9oPZk9USxf7m
z$+lK2OQAA4lb8-S;(O<XPKT38P1lt=HGYQRa%yfErwUA}_PR;{4+rL{Mj2<$QGLy=
zFf^oajh=^#{JxiWULG}=NJ|nu`VN-tQ8zaG@E-n0yRm;F)KR}-E;+Ks4du^AKq9$|
zLkw-kazf}nTqa;Bp~J5H`2U=@7j}EtHuNv&>a>9)VFaVsS!YEjqWy+Y%;4~c1KyKL
z2Ih)Q&DGTJ37SY#2XOg`;Y~yr9yeLVo@aM3?KiZe@OC=U``4|(Y`spdBMrK}{}~Eo
zT=KLy_wx5re9ylaIx>9fh9%#b3$`eE`TE%#+EdX{VHqSRAMiin@n?zK@=q3+sNj=L
zinoRsM9u@5pQ&G8B{;4EJu1Wn2d$q(Wqk>OsHhRnICXq;L|DPTVXjfBDw7pQ6>YDZ
zmi2un@SNvM4`G-cs)%CakA-syZVmzePmkrcXN}h!@C}BhOUoPNrG|IO;YGf3cbHq+
zaAP<5{1Ob1Xql&_-TG!&;x%ea1Od9dx294qs@SftD0vwyh`c{|!W+=ZqPU0mv#^81
zjy&+Qi(0Stqu&=|s@|kl*kN2pdQ}Zb+4y?is)VHOZzbk5L@S$_Db_uLNZvX;U8kl9
znaihouxxJuQmZ~IT51tZ*G|osY><GjkrqwV(&4xG;L6y!r68Y_h_@H!?6m(ksa?xs
zTPu8g7Oe5&D_lk0Fbz5z*HDWCWSFO>n)+=)oy{0STgN-M+LFkhI)cUL$am?-uAfBt
z_YBdS0aqI^iA)gSoXOgl%=q|2vY%zuDC-p5OEFaDy;GdC4=StYNY!+9VZOjQ`$|@f
zUbJxbm-R5v>aO2UqKj$UsI+O0PD_7G<59*kHsmZ0)vBH~G;aO~h4S?|bq+$>k6BV#
zhZ~|_1JXJqO#_2Kqrkg<lrnmu|1XVc$WQ0qZngj_W-vH;y9R+y&=t)*2B0NoS;XLn
W>pzCqww%*rR_d0Zw~^A!$otVH)#(lZ

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_24.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_24.v
new file mode 100644
index 0000000000000000000000000000000000000000..6ad50b5218aeec2e7ba929ca662fd2612fe70e02
GIT binary patch
literal 6216
zcmV-O7`Nw(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3?0>6f<gG%evFMAqyAvl{a=d(6AsK)rs
z+aRL(UBCi6`kwiw9ZJP~;lS;;V?M-sB7Q|*-ULj}ZjVmXIHp*+HF{yb*L3K~HsK0=
zf;O8`p7_bPK$q-B)?1p$H0eQh{ylF$dovV>Zg=^@!@2x`<oovypvw9@A>y=`pWylc
z4K^sV!+J=E<bN=PRpY-N(N<a^FBoj@H^%_0fOYLJ*fp9i;%qj-ysJR)<A9et1GCmw
zwj|DS_#KxPM)D?`*<3#FGVkZxA`OePn|rbj#VJi+^yy6WZVMiFk@xtij1#FO7WPIb
zt~|f+xR=4Gq4+Rm(H4aMZk3u<pf~2>QrkhTIr%6hGh?K_ChE6Nug61**YYIG3oOmk
z=*Z7ZT8^W=(Ua1kasU4I3-ZlpB_K=FCSYrdhcXl!PT?_z@F`e5S5cR6^DE{y-6lU$
z`^FC<e&k}>9dDUSXi>7-eNeXTCL&(@;EzyrUO9EKGdM!<#%PE{a|p$ASA5I?Gnv)g
zG*Vr)5TD;b|IW}*=xmSgZE}jU&_KwM@PByaXh>`m7)6_n$`*eW@(o*K{b|kI4Mn4b
z?N87FM@RFk3P7BU27!ui&sZVNd=)F%hd+E*QfN)^yu@nwN$2&!ppN~pHto@aa5uEm
zEexk`ID%9%>n~HPCxa~u>zCMiDWJcy8gt}+4T`ElDk1ceXHY{)%_n8>fP;@yZySxG
zQZlcrLGNP~zvOZ{zFRVVxz>Te@-!}l6O}fecRP>G$B!+pa^iGEYi-{89p7)_G8?!a
zSmv(kj!2uiMaL?@<51G29hXwlk=&~G&@yweFoj;%RI@37rhGUq5bE~)&qP%!{}idp
z))Fd+0ts>Vt64p{Z7}{m8cCa(l~bPJR^G>1R;>~3wbT&(&CU^VP~H(_S2H)8OxiH+
zFB;|uLz_{rF9IgXC*&6Ck){Tl_MgARl(e=XZJZ}n!*&%)W`lvCJF&NoE$d+h?)IQi
zEoY+bYKan0nB!0#zXj2a4eJD90+?n#HL-y5v{$odPQ^Kkf;4JsxJ%78QK)0grJ-&+
zzuC4P!gRcaRe=spnw_3`zZI*!8O@R-ow5~x1|5;OP-s@Vm6IO}7KH|?=&Q%f)xmjV
zTka6ulV7w5Prg)~MZDIHJ$X@ZR!%*m#2W5Iun`*OR8M5d-(MDw-$sL7KG$gfpuK@F
z&!c0~6Y>gM$<o$b(OA3(Ra&0#YFGpv8YN0JR9Q1zr~>VKQ6QQ?M`VbvD?DY)K7^rx
z*`m`^LyC>na<&{&P4?Jg^&gK=A4va;j5!ZM<A<vY6>ZeQRhFi!sQ>v3d9ju~ijiy#
znDJd3(GtvWc@+2VD?`)3uOV>fzmN~gkirJNhY#o+<{Da>jaEnqnoqV*1)<_0(J@&`
zhX78L*A1<7(r|Lk%uAXnOb00^aeg7^bXpDcTf^Is>*2ct0xZ5FnVo1Gq@b{t?EPmn
zxXwl6Wqy<$IwnYF$tko%%4<nXw3uRSUKsJN)<DWrOux0>K?6H5Ohy4bp1M$*9w5K9
z15!k^`;~v&zPg5%E4bGr<{m~>sOw1TVeaWLr|n;vUhfB4nr_^J;bDEky`~w7n$!3j
zlR!1o<(5i-c#+g!s_hBsQ-wW|Zo>v$hM1Q;tlz40^Hqfya@g85pJnBDp+@lT<#oYs
zzw-tmUEW1XgIWJ31CE0}b+N+tbZ-BU@(%FXE!!6Q(V(CS4VM#-$)ffx5l2&`VzfY8
zD4RJ5oL`aGA-z`tze_k^W$jrfE&`&3N?|x`JVIyEgw7dYn>G`Gv%WuZuYD8Ly#uDd
z&E7v-i-h5WcBbV+L3kCx{D;ajzr=u`+>TF`6F~m+k^6$AhvHkJ&v*z0o23}qM_vND
zAKxI;5c@ZzzF%9Y>AFUddT`XIC9T|ARD|JSuxMn9U^xG<JFy3FQx<2&wd=QcWF~4t
zpBHguox}Z2Ls+QATov7GuXJkg4W<3`e7H1#i+E&KyDL%Pq`KK2#y*DK+WS75?>_3B
zq78)&I(-62OA502OCit6ZA$5lv@#g}JZdP*lo{o^dw1M14J{neEA;+v;Ev$@YICfF
zo6NE?Vp>PkuHwbEi~#MB{bLl577}R#0Y#s4lG^mgRkJys)dTrhB#*1M^+x~aon@@5
zqGi;<;^kiWOH(+l?U{Bv?m6$9gUhL;xuy5VSoIyBD6`06Ahqztj!h{lm)A_-xk%9^
z!n5T5<=oWhdIyy5Um2-zhpkuSHEOz2=x$W8aN^;H%Zb;szc`50x$GX@;)i5`gqKUh
zOvyjfd(}_m#LwN6P(X29$9p~qrGpRgr{q|yt_1x|)*QuvCVe`YucSK61aF=wpa3j|
zlkV@@ouMo+t3&u>qb`LA(a(Ww=JGG&893MPvAedMZE9Fvh6M5BSxcT1_xhX*+>a5E
znxjD3yJ`lGmNTVMqdFx=Dys{YA3BKf`?ij|;^498@B;g$ME|3AST58b@4jw}*aO`W
zT>_6Xm#JwRW<F6lAFY)DSSi%Qd@0TQ27(!|q!;(Ot3oN=l9%ZEE@MHUWn~>h9Y-em
z1R-3?)Vt73|FuZ+>^lTwD-6csuG&z%nsbnWHWG|<cS4~n=I!~3q-~qbo+s*Lo54JB
znkrlco_0P>)u>0Ww11Pp?7FbwLa3<3>Kg0A7L2$mGrh8re{t~)us-Ck`{d#vxNM(`
zYTKwYp5sWT`id=tr76|P^l};3!ekYv>|J0Bg^^}P6>CxwXmFEyUCG?+jW@=N&Wt(T
zM0!-@AScweEXj=UbVBz>Kz3k}G8Jp~yHNWc>CxqBe!ZIphFk}vCOC#sw&R9bUZWgQ
zv|KT2>&H6J=5eW;9#b67<eEaO5jvqwM?_QYci8Fb+qP+EU+cjwSwPUXA-3590bky*
zh6l=$6VAgJpj$^~<o>^1hAd3yyS(a;H5Z@UeTt^UveGY9pV`@{z}$NbVM2_?Nv|c)
z=}{?xZ?BwrLeg#N=#bU1?Fo9)d}(!h(WS}*=DQLz#SX7eWnCLm$;?maje!YHXmaS7
z{UhO{gW2B2rf{C1Ht^@}o<y1S_6B!h7$TM?&@LQphs&NGn%^7X8-9jw+X0R60O%dM
z12`-4g}pa|qDO+Z4|qJLsNx5_rH2os1qK{KUHY<#Sf<0CB6L=fZBV1>663DByDlKX
z0f5+jU`vIY8y?}4EiGh7O&JmoYh_rnPr(vRh+r@DNtxC)p2znNt`HS)W_Ur@Pg_*M
zH4Ff_qVm1PLTyQ^ah-bH0kCO%-Ew8|L++PN)_58ttL{<)Ut(}&S_S9kwAWKKX_=IK
zYyD{8sc@${rZ~O8CnWt%jHvvOq>QHIvB9g?fu7&TKlcsaSpVJjci0M~Vo@!C7*E7A
zte!R)ZLjl7#YZn;pV`!NLr-LLE0|xWh-mzwAqH_?#AF2F-Srjuc_Dx9WdsCIvQT#l
zI0A9Rk%<z?Vh<bZAE{PuIGf)MagvE%Zakbb1WGNI@#<=!MnT}&B$DUOi%+K<UvH3V
z6ZUptKMnU4!hLcGCsz&BMu@2B>l5x1-16Htnw*3@k|-FZPE_83TSyvRa}qpWIUoH3
zF=vtN<#h0izO6V*{$J~Pck~nSKO`moU5Ek&0W?a$jaQtWixyN>(rEQ%_Y4N1ot!_G
zTDg-BK9$akdr|%Y(=mM<3ca4VHVx(BP@x_TUOz6$X<m^_NAOMn5Dr(C0Dz5BwaZpp
z50EUab!NA(aEHg`WG53^d~QDzU|MqP>XytBM?AEAB4^3(!7(mTVJaf?c#7{h6^yLl
zuV(P>!S~<U8O7#v38vs;P>odgASp;`1^25YT+wKrN5*Ri?w>n@tJ&FWFXq^v?Ccr~
zp>y9TLqLn{rQHDL;m$7>N9nzSp)~_s2AgGiGpUEl2sVJ#+j|r43_N&EFiqRhXP&{X
zVCpu^yYVvG3&k)J$5S9kNAm8_0-ADn!Q--Uv<GI~$!4}_#2P8!MrR0fI2v2y<b*=y
zC)I}@|8dXs<u7N&4wR@6H~bcGpvoR*L==BdqMYn!)-XNjxZ+0bgrb?M6-a-isu8Hb
z{<f?MZLYeh2_G5I?I!6mZRW!6u#+Sa5e!sRre{01Fc{{orWBJ9j~5xn_2ABKZiNnX
z)nx~6<^x9H5JFtZa(rHUO?HN60Df6LI}iehM;0OrY)a$N)azo0R*}<HkS@&tgmmrp
zKwsR)M=sjS?4Kdj&KM%4bY7S~14=Kvv-aEAx6|p@XYv~D#%oxl#{4|-7T+k8LyCYU
zo%}J4;x}Yv^Fl+j`dR6N1U({Ge+*fq)^U-5!UkgDH>tR(k#+nz(MA4+GstMXT$yP5
z*5-V*?WVc4=leW$*JOCpYL6es3-l-{Rpd~(lUP_xdie)<>?mp($K&bV`{)z)|MiBw
zi(imlO8VVI;qvnec@%!!`(xhRV*=P?t*|syp;{%A9N~WXU};^qdq!F}zoE4XS%m2q
znyv~yv~X2d4_jZ1fK=TCM7PABHE~7G&*0Im^*KnvQ0m%mjq`+2r>z6Mtxu>2Byh*i
zn*yJ?^%NEjJt8b00!~pxX+o3e#v{sEWa<^APx?a23Z(=XzDCeWU@Z~;%6#1!neZD5
zuQ#JEmroJX004iD>5y+~IEgW6$Z1j2x?)--=+^LOfiaN0&W$9N;}>|C<J4)!Qn&S{
zk?{bxX<4{E37uM*^y~7M_q3qiSZv|gr16h*9Em^WkU3<IU)WSSJy%ap2*bhl{pSie
zZS2s@toRZ)K{-VY!QV;jZpl_kGQm0WwAYlr@8xpVI-YnH-cXk-v3^<{evMvET2xTz
z?)>!?Fx&3CGY#4%RRK+D0I5DcBJR4|{KwI!Jf_ezb#LZYk}t}wYWgnPRt4y{|5W{&
zMl<UqmB8VER^88a|F|TzsXOnA2j?RGH}xcpC(e&y9mRiXh8*L?=H^VB4rC{6|A2k%
z38&K#odE^htE%z}PF1F~L$g{BaTBI?5h|i~p$&5VoQQ-~cVRep`fz0g_bQmwn{^^W
zIb65iD;fe28bJfZAHNW6+DHdG7AzWIb9{U0KamaWkD}`wZ#$|pkugi2!jSOBt2(bm
zgkhQy9IXp=rMn~>jPr<+M?9pTY<&4ia9ggC@&F6=SZxg7u%`=LGA#@-#H%htUvQ(r
zZe~xN_!(Iiqy1lBH4Y`NN0>aB)tjH-BRJ5u40EKvPn;PehbclygcnZCyivHj$X=*b
z5jI0b%zCIyr?@sP1HncMksx-FHbx{aO$IietV=|d&xxh<qDGQtudb<W(!Ww<-bI{P
z6tm%vgg(v#<iQ5KtNQ|9wgepS<|duaFC%8cd$79QYFbDxRA^Uqn|y}DiD^_ZbfqWF
zb|6t4kVLj%P7`hr2~=V0_P`fGf15|aWH}D>Ci^)-4_y5hvoC0<f*RAwEu?VekUq>m
z=WK|Qd)&}26!3?7z{A5@Jg`QluYG`t$NQMT`oZS#xC0oSmw{UZwJ3z?S~qKf(Jves
zW-SZhjz#h7Iawei<G!xod^N1hWigUxjhx~^SG#biVk6}n-u>W50^;9kUZ&1ORd@%}
zn7dHsZHYH4uEBCPOkzuuKx$bJXD7^#GokbO>siNCYCWmPSuEaS_FK5)@L5b5<R8j-
z6PcFDA`ZM%z;4YDZFPYI%x;|BdMAJf74+;~45KdSjz?|vYA2@l5*32vPLMA_-DOi0
z1M`9wbo&m1PWL_l-(EsRmR$r1CZS3(w+gF8XALbRAZ;@sKigm;9UR*?|5s0G_J0k7
z<wZ^ZT3pfK?(pIZ=-u@-v(JZXXn*cq&62&gR2#%*=e2RDK)?F(Hl{%g;&oC$T4MWO
zNXBJ$(vBV*7N$-;Y7#%pwneUi*3zDuu*FRE1TEjdseXayEF2M-2!hQ6rL0wa9YReW
z*(T9}Gat^XL<op1-m?)V=g*3<Ny6*bcYqh?{_Y@=e<O}`@hZuAKYAs3qjPRayKiMc
z@=IaUp`4e65OI`5xJX5Ao<w8OE+c2?g9pPTTwQ79T}cAnz+hdC67Jmwm89XS0_j;)
zq#2~rKD^Zih<QDInn6tQO!l(G4(cpQHchmLhh?luPk3iVoBKxNcSD`8IUIV%66&9Y
zv(oZ*v=QfSRC%McjpS{4Cbyg6c6O2h=3U`1fb1+?%v^~ijC#@DN;x~-b?Pd@<F#HM
zi$1Z|4ln#KQcRaxgex2N(K{AAfHnM*lrIk_yqdav82X-CO>?+9^FLs!-AxVpGF0f&
zG&9l32{MXc3tVU(QM>G`Fge42yHfa+OeDfbtfcgt0t*vG^plPHQX#S(Qw`fwig+u^
zQw7AOGGHpk%=hv?@>c;049OfMF;7mwR#|9I34xbN`6RaH{ZKSOMu2%D;X*rLt1QMK
zN21Wq%;TT_(kojYX`6#v)Xt_|^*+XKJM6Vn_t5KE@+U(WV#5uVBYt=kCUD`<3yJuT
z%w5F}FCm)O`qOOu6T)tbhn~xHXrdNzs3bKu4^tWy!sxYnVu-=Mq4QT-WYl&s9!}mR
zFt~yp*7XR+fCiljPV!g}|83{pX8lU}d5ZVZ={LuX+h^!*j5^Upf1t_I=l7-T186${
zDLv|jLyYCxFFrRTQ=pW6?9)^}hdky1-#EZziTplY)~Qg5T!q%LNdN&lJKSoHhSK0Q
zb!&)%pzn;o+CxQMjUL==7Bto)U@*)VNkmO4x+!yL#VnZTYb8fLWf5>Id`vChFUk#;
zEoW+2-R!XUs|32&h4X{gn-&!(r0Jwk@G+o^46ulNj}`kb9rFCkc_;g%-AR>85}-u?
z9oVHu(F>Q1!ZvH!1dUgd?retMX$F`wdapDAi&YZsh*4oSz8nErKSXYDz113LSWzQS
zoo?L1&+n25>{?1SL2d2U_Hkqb+34TBSKP-4n~z8z#gUzm%&4Ft3_Fx0K<N%0;ND-h
zOKfNj4bq0n*%()=UP(mhd{CK}4XL1bLdxW|bs^tC*oL~1`sAlWk&yc<nxmGDJlD?N
zJOI|&6eNcMm7#i*Lr@TX;L8;b3kkWBqh=t@F9umLA&^IJ&?B(v?BG(TqO~e`9izaS
zecFQY!a0$_2|P4*q5v6RVlQV+lcWNEOaV%E*>}ci`aW;E1~b)>rVY3yKCa{BVX7DJ
z{vdEoG4R5|PciIdKAJn|E{_yi98Fx0zK>C2_U~7Jcvu0HIB@hVpOdehPpk9;sS`9E
z7z9n{=(npKfm5ptd_HrcswtldBW!i@J{#l^JxdYo1r`}}T?s<~CymDfH3e$G$)Alo
z2)j4Wdr=Ct&qM-e8V@|i>+6l-&^i!0+L91kLu%t7<6RP?9-CDSH05nwO04BWg;6y`
zBU$*bUdSyza*W3(@xg1&&uM8uIY2l?4eOj}(iR*<G;l#uZF@a>p*bx#z{)LbeshhN
zQXPrJv^yoP?toeLRu~(6gjvL^<Nps$4f=|B$@K251bB@N{|@dbaIYKae8`!MwtgMD
zGRlx;>W^MDb3jAH@P7Hc-})84yEGmJZK&f&RrLs%wq7nP`JyC9F`VtYL9l5xc{sw2
zJ`eq^&9Qv5Qr6A$f6IL3P*+z4I_Ci_xKcX`mSRT1p|Rana=o#;h(d*NPMqHlS{v%)
mV#iE?@TI#@PsF%JOt{zit~Zi?0|R@vv0i%4Ogd!JH@`--{1f^B

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_34.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_34.v
new file mode 100644
index 0000000000000000000000000000000000000000..1a40279a99379cbd2b11e227cbb6f8797439ef00
GIT binary patch
literal 6080
zcmV;x7eDBW6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}SRM9}B`F%wBV
z=f~6frp9j!t;9$W>xxioCPU2};t%sa@ek*2+KWe}?Cw9%K1tn^m!pDh1evFdOKI2g
z)m3Z|U1;%xpCQ&@-e98qq>!O=dWue{Oh_G6+hTUkT7fVIJMQh7PgWBv^Co1MaO^(N
zxA{<Kv|;AwL-s%Z5TYGSIKm-Ft4gsr1P5COac-&HyuhIDdA~3?YL<PEG7ZDRAJ*r>
zFSiXcPWv`e_p#@bbr@9k<Y%=~grkie3@#|zePUCnAK_={7QOb3p_1I7&hQtWd*tk7
z=&Z^#jOE2_Z$%O2evNA&9(&yBZO;ISSrxK>%*u>M>M4}pjYuae>z-R}qFb8pfbU-0
z^mPM&W2u(Fp?D|0QMu0PplfMvcDMu|;#(cx-9i(#4lb)4@Hdq6x9rdr{Qt@u<hrVT
zP<9sz2w~0*Si6M}s~=KWNDhI}I^zniQ}zXvaYZS*@6b!P>Xi_<)V=OOU{}3ycn?v>
z&*b4wrp3)HFBqr2YQ5NQE{<yP0uEF8K|=-oaI~K`Tm4@tK$zINpN7$H>GlA!_gj_{
zXwE*DyIi?l`N|iL3WTq4T*~UgP&AK@$Us|L?28Go{2;}d_etsE%id$!o=G{i5-I@t
z(v_j_J`PeHY%?sO+p}?}Uao}9=$HIGe;uI}12unhznjJl1(5J&OD9m0V+eo^>m8O6
z_DNtjX&t73vP&s3%`Aw#vz2?k-FY@#l}qz%f=Od*L!#UWd`<$6ASb$G9O}-&h{N9#
z{53Z)iDG>QgVdUKo(wTC8x=acvLF<ixagll(N2O2B}!p(pifFhL}I}m$I5;OATA2a
z(HsZR-jNl>FphKkl=tD5NhsmUwA@fpZ>8?f@Jqgmfj{edS=po8%~IFS+Zb9sQSgh)
zdCJnvxRTQ=&Lkb;^TI>n{>7=>5nC8^`G-xe^qKZX%c8_V)rex{$|;c}RBVA|vBt>?
zG<#1`#lsowLlcSm%>BK}<@X3H6KbEDcU@j;n#5hMHzsU_EL;clo)JV9c(EwCVYfC}
zKC$)qHM?r$@vk<Nah21xw*RQcVv*PFZ4Rd1E+W0a&RetXo+6L=ek76?qJ6OD1Vd4G
z(a<kfj3e%)xf-ERjks{HJ_uMdG8J3;c3zzNY2_KA$o@GLSzCQu;1$>BuNSy97Ke=-
z;TP8mQ|FN8vhclhF4O?&xM`JP9bp-hAbVfs3dcAe>#QM<!d>Q~Sd3Ne5efLs%gCtp
z2?;$pcU{m);|Tdj%Vr?0T0Dp_C=o5&b7!r{oHbH<6*M3xcB1_)O_wIgW(_LzcICp4
zcthDxo!WiragR)R2kE<7>nRytNqj1BU}wApJmgLrlA%&%ci(Li(WLB_x10~8NhnX`
z8aMCj?{0cSSr&L$<Xms0070d{Jfw<CPZ=)fVP3l%nHTe^0y2XgO!&@_lA-7tuUJm-
zJDRJ1iXUg6$|{cZkw6)F&2fWdf@k=P2oE4=1d?7P8LHM&<?#6J4p1veH`tMLUpmhQ
zlP+WN9tbV1;b0+)sGKaEV_fPWRgV)(B38secn3}s^&PvgcU`L&XcYq8F2acJy#bCU
zJLJN&(YS?W{#edQYO5o{Hb@~*)m)WaDB#97S-x;^>TSgd6z#$)S#3$=8^$(?XGGBR
zpqefz-?C)d`k%!{6udni%u)~U%m!?@D=UM^{-r7Upcu%ksDjzo;>nM4_uENrKALwn
zUM=g3CRI_J;1;S*Kyx3JE(c7ktX;(=EOKmr6~FBZbHd|DegKQ|fU#(NxIN!gdyCnp
zobBSY+uc8Xp^V?v3D*rpm95})(gBO0^d`IALK<+_0_+vXD`>5L;w#nhKRok#7b;uS
z+%GUu&P1Yxm?EhDt<%t4e3I5$eogR!Tgk@1K2(rcaE&x+LuI#JjqU$q3&4E*VK`0#
zD3Sy8K>ol9!4#qP!z<Ii@}WS2w1~OfSUF;>B$iMD+5X+0Kp~)9N{s^s<>&@B%OHN|
zQ%zS+puqOdUs=Y&q+E)&Z3YbK6p`CgWLe|}M)9cAVyC9aU;ni-yk=<C>^`9RIUBZT
z9yZ&RobOJ;ZpG*+t9aM8&Rcbq(x0qWz0hz0v2z%Xa8vnkG676isl>q+hY1axf_qAS
z{d%QP-L<~>a#s6I=Rl^WyQN2H2d6{NV-mYCoGo?QtpJTCd<t#<^jHDA6kfxVpM9zt
zS}fRsHgTB;`6tQ=Pmqt`Dc+?_x9pvLWk|Tbb|VO%=mQWY&a>QnzT$GWXUr?_Xqr3(
z2CEQf{>IVHe%C^(<m4#Aq?z_7{H?fISr)SZacBy0U9@Py1Ij`?(3{XuEC2|JuNcut
z&#-v^;+CLP$K8%BKh&?y8dNAEQ`O*wC?#8K!Pc%e!?W`K`IlCJ?IluKE}wz<#SNV4
zO{X2bY6vti1v#QK%QYx;r7{d`lWZj%_69{ce*V;0F2~Waq9|Uem#&1mjl8aF=^m3p
zz>k^L<11=k1(eiKiPvfx%Bx-*QlNF@G5NKXx?C$r!O{GgeCNvkC+^HJ;)OO)zcm+7
z2m)^4_pIBXh~FT=hbYWXQSD@M4w_7MXDm>6_ovKZ==Ns%<Pw4R9g7*CD__*6%_(f~
z@<dQv^|YqtyCeQG@S<kUz7$_=KY5v&U)5J6p2S%`A92K*%I}A?A{u6;W2IkYE&n9F
z>xbnD4#o<X`aHsbQ(nYtHetnnD@veeCJN^BD3Uc!lEpQxnyCt#*s|0Fff?mEq-kB^
zhv=$T@L`QTX}e~u=@>=TT(;$&8~?;Mc3Zt&supD>*_OIDMxW%)m?+a@fl6<hV$(`r
zvY^kkO;=!p38{w<t^t^~bk6UDYJq#5ZAbY)bQ@dQ0rwE8EvyVAdhC{b%`g%z$2oUA
zO<)O+*xON^n+w;u@~_y+a%%!jbRNHRHFSIdE=^avo&@T%JZH*U>0#aZRPdBenVG~=
zEZ=mb9#aYl_EAQ%rivHVE1ws>kaIiB2n~Qp4mRL8`pTck;lfcdY=&FK_F6p0rB~S(
zez|C7nUcy0Pl=CsH*}S>VdxcImt0gd``#c+rl|$B7HgW~eT`>1zl%y5YLE&8WD+)d
zQ&R?t?xjRLzl$w#p%;v3<7)wHXj{gFnFhdzy|UzByIaALEeH72sai-5=zY~XuYY}x
z&dK7g|7&IBtyNhAYz5)Kuu3dT^nIRg@mfldkc6LQ)500p#u87dUJHL7y}@`~VdfS-
zl}58K;Q~<R1&e#z6i&bXxIhS58zic&8{X+(SR=G<7<wA{zr3KdTwmb*(GOq1RkT7Y
z*?;u2U?zPH4RW3Dg|{SKA$#!n4@<CEO;8rZRy3+JGJCg*d9XOj3)RukAAsJ_NoRDI
z%&u?o;&j(MPO*XxGS;nzn>*?}e{ZUldJ+E~zsQZmlizp_i!f_2Bz?uz_gyLyVs=Qw
z^lq&j&O!hrAQnTW6tXU!8K63YvNe=jKajUsL18CRn<MnBf!_3CkT*@uoNyC#^pdc4
z1r~GcM0(f=7F{KZUXkIxTt~D6I&H(e7}o}3H$5E|CwE<^{HB&81}n0MBZXNmg0bfR
z8$BmDWvS|C6p}!ct$lZtv?q2t40&$7Ol`vxc2VRT(E>fKudB-k%sH3U)Y1kwuhk*p
z1I@#QD#oqd)*i)xJ_vIb@opF%7vm#+k}h{^D{vj1q;7x=tOMA$i7rbPZAu>k9nhfO
z;vvrWl35799C}FbqBfcBmkt5*W$3yX*i~5Z(v{FTefO5%T06GJnWS-|o&s4_R1Uol
z@Fa{CW7^q}kSe56sVHV0*Y(?nWb&z|fv_+-X+H0&y*1+C5C%YJnp*y&G1Os!+P%}8
zwbrN~Kj7Fm057F6JIl>=$TE!kp78+J9kb0tA+G!2vX|seB;V!nj!F^>q4eU6@>WW!
zTh3-^w!4b38eC&FdV)dzyMy&$#81Q*%8Q!^HR(h!Q(c$jRok<9V%bTS=@cR!gqfU6
z=TzK*lfV>!Bp#<!3UpH0vHW>|zh+WEy`=R8$8zP*)Fqnfw7*pT#Om%MX>ScAsqK0&
zcfvGrcV&%}<rp`Ohmj6;7T@5#RWfshcOQFmW~8uTv%_tNK=z#Qy+~uyw?XcDgp?Bi
z2U7yRuMsllT4oV4mL`4K8(X?ZMFHp83d@vHSNiK4b~2f0tCD$EJRH79W&JJZh$A8h
zgBIY%NqOHkfh<P&#&&jkh^RjIT}T<WpQr*a=8NC`EVSgp!AQ3{6CVL_WhmA$1u;%}
z;4Cb{#QM=;ay}_>V3Tkggdc*|T<%jyk;=#_)v;U@smV}PL9I21L6=4`(?Aj3>7(ua
zQfnrRDmkMiOu<CdS4|&_iu{^pL|V%!2tg)YU8M&9?0$>qhv^%KdM<JKgz;H48HFjn
z9=w5)0uRTP-$Y455V|#t-!ls=oZ1V=gBrFqZkUMXcO-!&Cj^TjAyI<f5a06yIb>zE
zcD)-`6Ldpqj-j?M>>%2aH&q|zrK@U~jZ15$U>1;S0i}^>G^L9%vpKSG?N6gkz$~+I
z1&70hpFnZB*UAXaz*zN&@W-`YI(b0Vaw2qz0B<<Fqw^{I-&_CJJmhY~t#h_m>&S}4
z#wuIN6kTbVzatpxU8tS)zcGw|lH~KhJ9hGAB68oPn8jdT_2iF>dn&5uj7$^B1JkxL
z^Bj}+O?yTf$X$K}+fCZe$9w@{?J>iR?*FvTbU%ewLJsS8r`WMnI@VSUPSl%G!1NA1
z4FZopRZEhrkG)%eLf|8L$)F|3vXo^~r7}a3x&V5~BJot{of2}mX;(akZ&avRdJkY}
zU}xDu;(@)#IY)g!)lo5>sxO<f_9n6vp52`>^cd&o1J5K|!!U+ES)&fLPZ?+bUeX<e
zRF)*`9yc3duUAf`9RSv~qo7Q-nE}{oC0j33!7~sZHOiCMCyzjnh8?(N!*xJACW$}I
zz`*>)@XOU?YmM&Q?Dq6ZCCLb+-8gO4IK(S70>yceTJs9-&Gm=yPvDtFnOK)A`{L!w
z#U%wBCp#0Jp>m{y5Ca>0!@aN6@ca>UP(RbV=GGK6sX{We94OI2iSIgKrq+jVw`Y{r
zc$3p_J!-1ZGc(O25pCEL5BX%#vz5jMLx-fN!8FXXQ}c)e%lGnk6X}$Z6CVu(<5|Y;
z_+-w;Cc^-1q(viFp~TK`lPApj3L2rV1uci4eKQ%54Al%rFc`Lvq#2D>AoQ-+8fsWk
z&f0d%T~_12o@m{UH2f99c_e7m(Hz6z(VIn9J3#L8AdAK@FKNW5p`u?+wmror2$|<L
zZ}LR<E9bVW6o{gOon`&%yBj%84EJ)cAYLKRXDw6<m`ere9}AT{n}<!<;E=71_>)Ql
z%hw0D?mQ&h-S`X9*%<>4l^_!t#Mi?I?$DJs<?BcG%LPO?Eonmx5*o-a!v0M`4@CZG
z`-@W!T8le1|Msp@Z^QWOrQatuRx+I8cH*hrVYs4JPHOl=fvk<trW><XyxWpwgt<PV
z_XLGno}?N%Sp78cSceSN5!VCK>;cx^IGEKg@43ITM3To-s(j&!2-&i-1D%>?XusmB
zK>bv+YrC5P`hG#$s*fAMxK<JrPaDRF^tIfN&OQ7I6EM-fD^CFvc&)k477Cq%f0b|_
zpf*Uq05&Ns-H&T6j`a3?M(_Kk_{1)8kGH`QB%w8gf!G#r!^b8bbTaNO*rt?a+8`dZ
zi$Itb_T~$lq#0}%7Tm!&^p5dJor-_4qRnnRaLlIdSf;woSN(-R_eZ+>5PRZ+(+?|j
z@AGlnf1<ltdVx9;q)k<h@eT{9?<f<NyaU;GHLJI8AyV<Ga-50&tbi4-_?aivvj{y!
zKWmLv678R^^lOVndDkR8f%F0N9T%2p2l?sj{9zXwPXMrk%+EOp*JbLM%-c1kltUO!
zuqFb81{7CNz~^+hes;s|ZvOA?d0KW>vVT-x;<4vrd~KH3(Qm=(lokfq#Jr>KT7`@n
zj1KmRhaUK;1%Vjwl~w3~4_M|$jTiV%$j>r`>va#f@C!?pPv(V@X7WOX97J=<c6%Mc
z-;G*zdxHE9a7&ljtSiRT{><pI<Lcej8`bEnXOtH@UeEiRqwZfbVH+Dher;Smfi>5b
zIf$jA=#}`OCG|NCqt)Q$D&wEgrd;wI*`I-i(EBO9{uHNDc*42Z?AFYUgT6C)GF<`B
z;#%r8qp&Q|bp?p^8-d3zD%TDO{EfR$4pfw4GZkJ|6;PuZLF@bx-X%(7%$Rry=6?`$
zpnCa@ahx^|YBZV&1^Z5dZHYOO%70;;)tw358}Fy<Q2=x1Gq!7xX?%a)qk+5sG+T;V
z_2mow3Z>piskk^LTE5g9A&FszA#B_CEOofvRCMu(D*vQx1D9=lJ-Wq<8#U~G$o_N(
zw#zOqoE)fvCSMsP$QZ&Q8_ZVcUzO=OclgA&-)b*s)ih`I1<x6M{y`U5D@yktq0KC6
zTLu22z?^E-4)xZWeflk`U1U2G5CfytMD)XN(bLu0vLAwkxY=O87#Ez6pilecDhZW$
z+7Ci)r#%TZIA$?G>#<Qi7b+{BBlk>dNK9}u*VoiYXOU;WUup*bpjF-hh+w_i2DR5q
ztp2&jRYIbXNmG0!rdRo5kXNe%?>AMe<)UAy09{J#QdMZTDh=R)DFiJvMOda`==nsT
zT5k+8ZfoWXcY*W8%qs=WMx|C1z8=?T)>^-*gF5HogvZH$7VNe|`B(gN1XaWLGKI$|
zbu(}m28`9}_vW4hNsunFKGOORsI@I9-0id~%#=#eK;FNkY<dD`EL9(yha`KgOiD6_
z-Tuog47_%xTPto_A~T!paysD<4G+kDwGb+FtSo}6e>F9V{goqROCLc#Lf?d48*z;f
z{LDo4h9=i7_Wfd8ZAKGOO%%!#<~R53s^ouvc6UtPO2DH;O>8uIyo~;)Bzo?|rtgIz
zbBV2q)<o6HVK;4jz<{5_B9z6Sd%&3BIz-A9fiyE|KYuaLSTew7IhS%T29b{w*71^{
z8t?!S1aNg95u(~x^pMEiHET}`$9qI2CAc<{xMW8N)YsaXYgBppeIWO}dE3R>FRge-
zSX_d|>Rel*k=6IdCKq?X+M;$Nhx~32)Lhu6y&{d!mbI6_+X8Ty2A_+rtrd;x&q;nV
z26|=I#A$A0jl1p^ewfNfQdK~_Vw3A9p(%ytSwf%GGd^5KT^a8qU|ZxlY;YP1M)8E#
zsqW4VYmlLdad12m&M0r>K9BdDMXBMX3P=XTxTxI+xTO3x=?5}3G#;J}{^oMj6$=|S
z*i&(z4)WJ-0VPbj(5a8?iCu$R<>dv-*^qD;r8vRe8Vh?ux=QMwJ)5Hir|kPl*T94%
znP3XRI0=ON^-+uy<Pbj=!4yp%x4i3A2}SoOeT*<FImAJ`^dqxCdqUcy>XuarWh05r
zGabLiT{lpsV(ra--qFqPWKE5O-QmnVnNm}Q-PYiL*u%VB!<TJR>xLJ@5YY2cb6vm$
G=p8eSY}-lz

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_1246.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_1246.v
new file mode 100644
index 0000000000000000000000000000000000000000..2f70116b18f3caff171adf298cc7547d980d8efc
GIT binary patch
literal 11672
zcmV;JEoah;6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3?0>6f<gG%d#=%}v!wzk<Z;%1B~U}E1!
zy@Ao5LCR?94(D1oUQHnE=IUPWHqme!F!1HQHbwB?nx2>ecU0FkQ`<bIAE&@<_b56G
zsprS4lN(&ro1#kUch7;x`P<?mrs0}yqU%VZz^dFN#=3emJ0qa`?QnqTb9%?ZFiUU#
z8&U)D(3}sJ0N>EqhnZ<-@^aBtPlSWElUszY9{1`RzRzf+_Y~?A&M=4I)}&S!j?;+3
zRWs$tbO*(wEtFE~L~Q%C1kP>qz+4N$EP#lHB&ay(hAP<%wd#bS4grmeG}X8pvQim4
zz_x%ZrUV8kgKZb&qZ&g0TZbi@gZODrY7!yWp8erO*_^W)_$sSb0;mLh^1zJt^bWx#
zDUDNF)zZ2gqJaa+6atcK_)~9a*&%`LCA=e(Ow~{}Pezvg{%jH19oGLXUd(liv6D~|
zNXVxRc2o_iT{@utjCjMvrN613P~9S~1vk3hOZr`0b)IpVsC_7*)iP=g4MBBZzzE@?
ztSY=4%*KS$NSF$~7@HmkWQLoV4QnvX(;ZdyDPI$mCV=_p#~4T>AM?(H3@Zbn_o-@{
zIee&5BWqs<hh4zAiwi{6>L;-wBBl|T_X1jDTR&>l|Jrbqir#eQJ<mSni{+jF&e`;E
zxnA{gNRgUX%V2TCMG(3u)rm5I$2M2&*cJ;#f1=tB-Sj>kt2{;}3>`7_L^!Im3^xK_
z$JOL$y*TQSz}>S6m8VJ#J{}nNfWd|IMA^;@n-~Musf}sEQ{yHTg|uSB8V%B1j}E;6
zhc}^_{5mdIWQR%UBze3@D`6PZLw_jvH@}Q|Iqm&_!#(!9aYr{=*uM+;eu@w*B+JEj
zOe7h-Q^(<%s>p5+n{3T{4@(E%7Sfxf#}O;X%6T|<HVd=~y3|nrGD=2EnPu*YWIn4X
zlDl`U({^$a4S1(m>6a!-!>9X85|Aq{xdQo|)=@HmyDnWXsnU_tPQAH5)YiGf+{`6T
zx6QSccWOGCaU7Tl9Hyk~+>v|EYA+UH0d@@N4dvT6JfXHQ;LqztAz3GYLivZSAZDh+
zfHULA661H}L6$pLFAs@O(xua)x4_V2oc*F-g9~|Uk39N2`<<iLL!+noNAqej_XY~_
zBuYNc$|9B2r%|)Orl|)sY~Mls`}Bu1n-VN~hZ?>GFt&Rlr+<+yR7r}te_c++Juh_!
zWBP8E|J7zcE!dWdG&`N&e|4@TW>Tnl;n+vnj~bob`TcrOV9BW16g@Kih|;V6e`-$F
z8<LbmpnEw+h+f@tP=4&}lXrp2BF$w>jzO(nzPqOU)h22;8Qj0k=MDGX-PbLP_Y0(=
zz*bY0|LG?69`k{_`<x$)9WjrJ3nDJ!^PqwoZ~i@?lV}`32J<Ye_*BMw^7RF`WH@P)
z^V2r@K-B3eWmm(|3PF3Sw2Z@!sJAD7t($F=H!lu`<MAn#W<i#ax`hXAldgj#Kw)Y$
zpkD`o(5pzDlHJ>Ze!$-mf?K_HfsyFsmi++1!WBsqp`C!w$9W=q1r4yjtdlz#n-k)c
z%eqUp+27x{Is#+f6MENZZbWCvu@CngL7@si?vzIVXb_|QS(cFEV#~Ajwn^D<K28ZQ
z0+L#;CRYc?XUK1aI1|klrIJ?^&AI~feLWD}=$A540;Pg{xZw<P?jtYRaGYXnv<z;q
zLs&3icvvL$zQF{&kyPN8{jocm(flvgp|`9eA4&}o;$=y*uigXWf$`ljSqw&^welzP
z)4foG!?8asMRYKh2kdH6@0Csl(TWDc`1o@V*R207M{|PzP?|l*ybbQ$dAXwv84%BK
z$bhfAyfEQx7g~g`=7~tv%v*xx(oTDo<D)`W1!G^?dvhfks`Rwdoa{jc{;-vau$~ri
z)LG4ED;yiDyaZPo<Uf%`(+hG<#4x8)m@T%_k-eT`yK8l?<D2(I<70wNDRfaX=I>oN
ze9@<~c-Bt9UO?5Dpr{9vZRHR*MzmQkytOWzu*NON#<_g}S=3(5%K35^{wL4idWgy?
z$ESjqNNHhma|~_O#1peIB1bTdnL4WrXT)OeWsEEJYr-94B`w*>TANviauRh5{-OQl
zZ4QY7qhUDBDo;JM93YYl_V{5r9{iH^;vK86){sXm%h=TJh7|Hr?`3Cla*3q-qsH}y
zpN3;I0~(<5aUs9<Q+HV@n1fdjJsR8rgJuC?Py+sRqXyaWjp=^7z+I5t`QI|G+iQec
zWE+17OtM*xBO-N*!6*QLQ28>~{<)(*c3SkPQrI~{w%?k<(0g<t+^oXQA*`QOTR73+
zDcWFLtP%ei&sx~2#PY(mUdd(;?j>Sg7)3B)yKMv>NNn4agk)=BrK3>~(88j=W2v=V
z#1s_d4Pn<G!Y|r(K*r+DU@O^vml|gh@SmrIgZFD<*TJI(DN(70Ut#jNU)V@IKqyno
z=E@sE{eKYKs%j=^TElfK96AxoTAu9QLQec`n9z=)U(|vsbExV6xAJ|9N}E@;<-l7c
zPjkXyCmcATioJ)WBSot#NFVRI8-TT)seG?rXjgT#Ho-!F$T(=`UO2l#GV>W1v0%%A
zy;B?`x<UESeYrW!U80pL^q%BZ`@yF604*@Vcb9C1y_XdPN~P5r2Fh`x!0;!4_o8>*
z=#SY|oY<2i(}{i)QJ!Fbr%4%BKAbWsSbPRx{$0p;uijPK?2QL2)56Za6~=B>+s9f8
zlH@$xDmV9G-hpQ9Vz6m~zH9`{%_S|&&XvX?UoazjzUD9a3`U&Imm%$1hhZf+VB12*
zp-p~4>OTS)cbXwc`ONYgv5G#R@6<9;%EhSdl2H&pMg!$D^W3kSiTQGM2Wfh3cx8;P
z#0b(FX>HJTtg1wYOGIm=lC^llTkxd=%Wd{kw(&HI(Ct(V+xd+K3|&%+kyu31d(476
zX#tMgd%iLl_a~fD*$m8EyKW!xKKdCUbm^j1;<MyTMorve;_9z$vmQTNH}T?V<#mu-
z>VaDlDjyLmY$!gP_k>?wE6)JSI(xIP6i<nTr~E#Vk}AUq5Sqc1D?(EdkfA(#<UDS!
zn(JqM3Lj`P3BxEWQr4}20v-{{G0|g$3NT>-Y>>>V)k<DCXM_`mWg;#{v9ZD<kCB<X
zh=fE#$U9q-8P7@q*viEVul<)&X?o(g?t*J-njUCRRLim%2!)fK(=4@erh(MBnmr_L
zgA6<Ued}N4qmo#D0o9QfR)q38%c-P%jgIj`Ip;fCOLa&vi`W0b`-lq81fg>EWr=8g
zj=*fWxK8a`3rb8X@eEzRRsbS-coU|6inwj%M@!xG+CglAQyM6bue2-*Lgt58E0jM0
zUAxRlcl+wQOq<KJlZx&<C3qA+x2{(th<iW2@AcasT7XrjV6F#?ROQ$)41<|TKh_nr
zE=GuhUt381-OJuAAJ$FE#)ns%OOs8*Tl@CuH9~<^><OmEf1x-)$Ib1HMV}W}ty&3!
zLJ9;&nf?wzT3|i_%hN!3b5|6X6c%t#M23t6oFf8|De?Rj==xM{$GK)6ygr9Bf3s`a
zZVh~PvoJ7|6n6$g(V+u`AzrVlJ?dTVi7AGobH!@zSJW05lStv2sfuwdWeS|uB~x7}
zbLg(jWh-GD?fJ{HA_S!1t~FT|s#(1RSPd=<z24khtJi|@fCbID^H19&kek|IVi5Ce
zoRWzvyXwwae48Hzk&)gCk8An5FblZC`8X0+PaTD*akk0c?UQ6?TDN5n$)$Rb)P?I;
zjgOT0J*Y>lpT_&l#~MIh{C#wkSi{Kg78W(#vZ;)J!W5f`%`#|G1VnHljG8I;M!EA>
zo8YyDHBQqxqnmekp?2Csti}M2mCFo7_aGLU<t<Ba2|AYDT>cddHGE2hGqD+^=N=UX
z_FiLvlRk7XMuVUg<ka&@o$m7MA*mGDd*FPa4cBy_9)Mxw)gEH3Tq7>}U%w0RJ@Cuc
z+3Q@~E*Mo4mJMljj#Cz`E%yF~ld*O4&Wy9tA&zDer5Y|dHXg2oK5hrY%|oYwR8;s|
zr)D)_D{(tjP_!!&4~%TkK)f&XH^Q&3;H{%Dhh@xn{(dZ%-1#Xbx%La@ir1XqI<4ia
zMmQDxHowA=M@!j{SvWU9)XqBv8bs4m7RXo4o@nPL2JnxP`e8s)xzpyvS~bZjRDK}K
z9%YsK6e#)^qxpY%y$gW-&wS~b4L=@gEQe%#3gL&eCS+?g^QT317m}a{;Zl~(TMXIx
zc<yq;TqYA=U0*CmPt`FZ$NRzym)uk;=_ZBVq<t$gq%j}F(w>j!%prV-3j-cN{7WQ6
zN<D9&I)Y!aL^D%KSD{L2A;!ulyrJAQBKNYN1f)ogmDp^GDem`nQqk+#e4C}2fLJ$H
zk9;#p)HCiVSh|belu}JKA6F5!vd}ADD0!i+ZiYwr28W#f@y*LWS2^vwys7vs_JJ`Y
z){2A?gGnepkXCE-*b5PIxxVuSQxY-@08d+G?y|>ATw+N5^nB?O!#4IwbYTLU`_lWK
zy6hW2HQqLDm30r*`as^XwqrTZ`uMcm0j6&?YU#^MmeTN>dSG*IpSj#7ukniQ#opqC
zUR})F>mwiCz7<nsL#%xOXcY$-k06k>wM4D0sEV@r0mO_{ErrU^95Ya)`r0t0UKlLY
z4V<P^VP+&a&HNX7bSGX&Wwi;&$hDssK`^c`6{vhZ4-?tb&!~Ich3J7M2Gb=?Ol0`^
zw!5#FY92Blr(plOgm;)Wm&y2UPah;Nb1cMAGFU&6t}+eThmO;rPN&_-9s*3mWx>+s
zi-|r6E(?n;JZPOvC3<HUPcU?lQj4-yzB5aPk!!=#*t4*pE+KS4TJM#Y=!Y{W_mtS!
zIp7=`uMC*VzbNP%XWpYTTgR!P9daHfZiofR`vScGx?#(W8zws7=EhT}(*(1;X&LpH
zZzo8SdjM02MWdQX_7Di0r=L9#;@^6|&VW*~vLsjWQ|?3@AGjvjv^5ro-<IBBY5KOc
zB^$<I`la>OyALrhOGh0UfJED}gk0V4@LB9rn}q|F+K$Ga)R{t7<Q)>cbS`c9Vcsl>
z41L^L(J*lzP81>TJm(vI12BuQ7JZ>8QvY|uGOisjzpJXMs?OG8+SPnhuze_8;l=}W
z0hB(X>qn^_FY_`BRm*zoXP|HA_pxW)v9BtDHAfJ)A4U4ZBd#=GBp+6RpCHWu!)FHe
zA-j+d&f|@0qsBsIKRye649#aT1RiW$V|>1Qv%qmi+2+2kfu4pPUI{LJi_L6I7Br%Y
zS5jg{`MoECOo|`B=2x&;D}3?N>h6DDrRwKdLuS%J&-wfHt`9b$0Wf7yO3kBHLid61
za6oclWo1AsRPV4Zz|SsCqIGrAN^U#f(+&Im%o;7h{#P|Cj0st*rGQdN|Ca4DupIeV
z%6l*6@clM9@=gPR<N#`ZaIx|51BRiZx_Umy&wDNX#??Mg$azcHbBQ)8#e9|>AU`Ad
zKS%||Qd)-<H$<!q$3qyQU9`8&5H=DhQoeHcTmkd=!gg~bd&QU8y$6Sy9FlX4s9;+)
z-Xb>_9F>31B|UqqCiZ7nA7vhfQqu&R)c8hSU88a)1PdFNTSR5>%&D88{bNW7-G9cb
z-JYn5bP~)#(lUGH9#1QRFVjm$fUtPJ?f^&wDfcGjm{~_i6$~R~#9+L0N^2S&wfceB
zcYdRhmb*;KjUWPI0KM1rDN<!Odi}!{vBsKZy_Dx_L&PE(*H2!#W!{mt23@H%pv@o4
zvQ*s7kqOTb$N!?1&sFUtLYF<5x)J9R90`M|@v|VbCxcC)eYnldYh-?VV91By0~p&A
zN=Y%ns-$D~#cP;ApT<jYmi0=I!U7E*w}^kfpV}@Z9Ea#h)xObh-l<sP3X)$ObP7vS
z)OTc8hn5j<<jWPh0UQU}T5yN@UtksOa_E-(LjZYuAsHZ(IK<Z07;|`Y&c=cb%QUZv
zKwV%>NLWw8nr%j=f&jMm;{Ud;nwd~=xm?1n+Ud%S2fv18sYItEie;PWrC#6pNE!f|
zrPX@yJmvt@%~J3|o?cfx!ekS|T!4fN^pemk1MVcXki;n?7&!t7So=94S{cS)<Zh2O
zwCXn{>MtA4zML<xNN}tC?82sz21t}VDXjUAns538vpZ{7m6n81r<^miuW9xXiGVzI
z_|0kz$Vf%C-Cl83$ei?8Pua4}@iYBKar4~1pqDv0tVKbJ9<@P<QPV(CpibcSesH`}
z;~ntNI;J1z((qvs<}xx^zJWeot=O|BOX_}rN&Vn*cQX-7WW+{6#$^Ft21V1VqN_?8
zGaa&95o2ZHiz-&&Bjs53g2mpsY3ENR-2sdqJdcfBt67k>8s<di0rj}$7d;W^8b!Dt
zP?TpBAv~ThTy01EUCaa4<aWovZvyAgk2D(`B!1qdQmi`Rylr388RJ;RBGl5dt4VpI
z>>yq8Z7Jd94uHOx0Uk8`)aAXpb5c;tX-1C+z#rnlGl^i3YB%Yn%s0ZE$FK|%0_r<1
z^sf|M25_acghi@VUiuKGgF=1z>wN5w1lNV=@CnI-B-)_G7eksn50B%jzQ;Rzh&K9n
zd77#vwBUB9V=!h8_A-Ao1aD#S@f%GdX8nguM~R64Um8Um!0<NUX@*gQ76cO^g$Amj
zHuVajH4h|&9qWgJ^A!Gy7O*BfY~sGWC<GiV7ffVI+$)Y2;mEFEEPI%+a-QZIG>z2u
zQ704Y4WYmE$_5YSsE+ce5JcGz8m{JK#vS?1M(tD_kdTSNMuxI!kca@hzUsdBJW#57
zm^4s6tD@;%gL<0lUVn4`K3ggB%E)wY%ZRvVL+!Vz{#C_U<C;fQ%5-jr`&hBI1Ve=B
zIzCCrPh5rQ@c9<pdb0i?U$eoiLU&h9K3<@MrcW&}QYh~p@LHVBOdOckiOy?0C(f)z
zc5=&4f!Fy}H5UeCpm+JA6o7VDEtp%sJ72oLZbCzg@$7<7+yOW7Yv&k1k^+fsEzjAr
zrEenvhwd;N2v9F=Ea15}oLfVKU7$&Hj}bFuz8T5`$^itS9-!@i0fBsN(?mW9v@O>G
z5)#H&O<oU7Hm3XQcZRBOM0VUp&*;p0Q$u9;(Dr(EhtKYD8y~-7E(AA5eH0RTN#7N;
z%fH*2bu->6k()em67~>(YWmr@z)y6Gt~-K;`3}Gy7CedpjBfdm&)%c-nDTIULx(Y9
z7ZBj-(H#DuSHjr%adXmD5RjSNv@LR<yI)97YoNNmez5;YNb4;p6J2!>IZF#&aFta7
z1-2|-vk>YUPpnFaFn{{3(Bx)NKpPYmz-8n1xZBQq6G)^6UT%iR>`k~12YQcM5;?NU
zoML`o{@NyiV<*q?wx66pXS_%2SqkY`e|0LtQcwSEXBkYX!W!TY%)8vgjy=U{1pjP-
zu`Bw-1p_KBA(sQfd`V>2UkoU4airS$g?-GL)MI0b!9;vJOYP$<lL<`M-|wU<HpLo?
z=lb^Z=aeUYUv!!aI@d*TGx^4C<<JGYqoL5SL0f2#*c^0PF?(U1bQ{{Z7EyMNu)f5N
z@S|hzLJdSHQ2w+HcPji{))6rINvz=x8$*|BCVgg8OG;gN6LpF5CZak1=>j?{y+IR5
z82MI&#vI@Pj|-c)K#7&9j*$SkSyHC?z6|@par)UCj}1Z7lXSEyJ@nr))h>@%qk^DO
z1A7pB&L;hE=artBn#R`uJB{Aw6?i?b$d728oFtEiYDZg1l1-OwwN4ARc|HXay4MFS
zvKRe%PGpkgH&K$OgR5DM>dKt?H5+Q8I54tU$E%B6&)Gfjruk7SJRVeEUWp0WEZb=c
zEb1@kei>fhq(L0MLfo_E0k)BLAQHkLHoEO(No_eqzQY?(n%T-V1S~%6h@88A*(4PS
zHn#N_MYA}Flr;gN(U;2<1kB>y&3>OXO*Mrm0@XGlOR453`*!t+5|a=-^jxxOWXmMC
z;BEFLwt*}v9Qr>&esb7X)Dyqt+}LU7d;`*(?**ql2sYJ<SO=b1SfMt{TFo!He`>Q1
zG{Nvn<RQ`#db`RmS6Ieq8OX4syH@qCz*V|DpzHbUs4xC~4@D`8YK%rRW3*3sCh%(W
z2eLmO=jydYmw|0v|7dQuG8B6w1-o|W`(<N@e%(4|BVYFtbIh%0TN7gaPApI>?%Coo
zMz;H^(=-~_`n_l~-O>Q6v7(8<U6<+)-8Tpeehk~#bh?J)Z?<7kl$W<=mB3wIQAE;U
zflaa4Qqy;c;Hb2%=CO`yuIi(cElvyJ!a(zsVGe3hL+cBSooh#4a<k5r1MrUJ>{qWe
z5?8Y5!L-Ic!q;O+52_FnnQeK877F!z1`8~t@p`i_a(SK*y17R%WnpvD0I72{81NO<
zPU(zi_5e)LuI>naU~Z~RiV-%fwtY~O3>78r<y$B#46-z{&7AM|LQrfQmp!JaKKf|U
z!t?xF>49>m5j<9lIe&J?gJq~LsIFHZ=~t0`bk;(F&-?$~AA_i8C6%ZWM`wPimS4?d
z+QF#4^zQj<5;|?emx8|$da$NQy=TIomel4t#GCuYQ-J&y@)|&mAO*=%8&%OY$%nbY
zGc0MG!%OTTa(Vbg+cVEKI>xeKOeAs_>U$PCNU)zZ{vylIv{TjHVXPN_zcdv0zX~_4
z9&&I`nPb?j^jG?nyphInCTq#Q9D`K+q)iIP;M!V2REfCI_IwnU?SCQ+7%r7zF%&~N
z@#p+SSH9#@iOE8Akj&j%K8eCIK4%1~u{^w?5t)qX;k=F_Ir^b%^6cq<a>JnhZyJb;
zW^W%J^W#J`XJAazj3@y?P`T_{JRTGMJxOOA_zPTMJM><NEc9bw-=#k^438590|ezb
zeno&IS#TJDjn`&9o`Sv;Kya;3p~F*w6|t^P&{OU8WA7rSl;l5)OcF_soPz=}dNrfX
z;Tx7t87c1!CtJ9#K60L0q3XHLm2-}v9h)p~{E6O`Q}=^Sa(NsMtM~II<xpf9m?Ao2
zCd%#kWp1dTax>hT0Z`QN@o6{}m{+dhO+0~p%N6>f+LPyEO*D9L3>Qc_AG*QHCH~i%
z-*!a>s-;84mkS_iw*H-3Y<07#T$;Q(1v-IY7iM(pI9-<qj25gRJO;8>5BvXCRp}eG
zT97Gsb2`YhmYTW(?m$O&!NBM1xP_9JAJcjP0!=y7xYq_g4x;|}4uL(S?t*lGugq!Y
zy&dZ=aWAT!J-mSOjd+LVi>yDS5}aBsdn$q(aWjAjf2I2Y!Z(nTHs(dZLU#DJbK$TN
zKHC5ouKEe2Za#b%Z*mf@UVTMlmb!wu3;VV(JET6hroa+ELRQb^B-3KdpW7vebjT#m
zB(=q?ptaFkJwlxEHeID*RR}_>-mG(15_RRmS1~!4QwEe(@p`t10`m_ICDk5bIV(Dk
z!3O^um!efc1Qf)8!MpJbI;fkYJ!}NSW`h{oYFY;-8WuzC0HrFoP<kOHIxq1Uzw`|N
z-AeXM3r>qI07C*kEwGaBF>Wd*v}~OupXo`L?t!(J<6|BA*p^ApH37%4YC#Z`CR1Vf
z!U<-<8e^dB7)Wi(;bKQjI*Xfp8B9t|?nVKhM>=F>fX4IIOp}iYC}uo97~Dm-9-(%R
zN_WRGHcInKs)Hl?z#VQmT6w#z87dMt4O6)Zkz@MOOHaH%cmQ^`hN2VJvtDA0%8jIG
z#xoKG{!pH3Lv6tTNOq!7c8{!#>@SElJ`~9hEuqq>nCB&kPNrV7=9(p^o_}I&LC)S>
ztBi`eLaXr#R>TgNqRLWg9zhA~8}Za?WS&zCjNiC>ME8TV=|tTlp_eRO1E_aW;V(R(
z9G_yd^Dl=3cSP6g;_K&Ug(!m;y+g{9p$!qt()`F|;o-F@@u~rh29ul76;ua8)@%gl
zw~*XTDTpUzYv-Gh`Ky{JOJHgWNtOl47(+O!>@%y=5m}ns64~(%_}-H6HuNs~Udi3x
z@D<bLVqo>j>bA4n^W+{4HIISUJ$AG?c~zrHIE{2tG<%t1QQyrg;>I+cdJ@Z6xSmb7
z+5=>cnz1sTwSd(SJ4{XSSVPZzh-zq$kJSHx{fkNqju<Ag#P+kFb=CCW*DKIR)Mfcr
zZ<m}*InVtLPqqN`9K4J_K2hGbkfB}#R8|zB(B@DkWu+9h>Osx44B3Fhf@sHf_}1%k
z@NQEV+cQ8Dvm^o}Se{IYsglBu$XMT=IMAz4+^R$whePWMSdrn=mWK9!f(nSHu~azy
zrLG7YEx*XP(8|yWSj`+axZjySY1cB%FgKSV$di(_ox5e^$F=vly}YFP`x~o!(8pJx
zGK!Gni%x5z&G~O$DKV6_0oF1Hr&Q*OW#_t%JnvVh+k5Q{RnY=2exawc(z7BWHIIFF
zF#R5%W0^0?6~Y2|I@>^omr1;&>L|YtacM7pUqIwrZs^z;1k0%o@I8Tj<Qr0@k)mLn
zM9w&VJ~zL~YajkqK{B9xzh`7Buc-;jJ6I}FPGPc1o5&LjqmYtZaWuqa3$+0FA^l~a
zB7|dOh-CpO8QB}S$)^^lYc!ksYNCgpy>2;R=ws?9RY<ry8a%WQ1OrJF>_OUj7U%9L
zOkS$u#O%pc{Ca#IvrnbTswulTcV&*oz+6kSx;d0FYL)%I5C)Ka^muFi<4HZfaOTI7
zTM56>fx)n#QfF5pfs@7Sm{f}FgAYe2*Yb&1V25}e?lOf*4Ty|<tO)iK1l4KSoFl3x
zRU^J~-V?gp$=fC*5Cd;C`zHY*_vWzW!$Ksvo-;!6bKiNhdlA=^2+jamIhxS>G{g}0
z8w;lN5%M##l<`F%2ndS$@TvbURXEq|vQ)3reEF-r7lBM}T0er%J`r`y7;EvN(I?~h
zx*MUqe&;wOh4Q)@6uVFrp63HQ8U}gL`9UuGfHUKMXtsGsJLW#U>FPeCk}KI4*6!Im
z&T%JH@#M=$Kz7B|fi7Clv1S5ED*6mOVyb-O*(u2B$GJA{zaN(n3M`?L{HG7I=zIJg
zNcDTO*n^~B)^d~~>!Iz>9K^H}Dz|Q?udeFs;!GCKF^YnHA=3)DI?w8hqK4bn7^{Yi
zxjxb(H`a2GT_Wr5ckp&97DYC9_PkwWm{Cq9y?PpTeM^WZ?_P_9oEPY&lLY$IR5y1F
zA%l%U+L~p>KFRBTP%C$~g<c}1uZ!JHQ@DBy%<ntGEOTQ@AP$h+o^2KwX^rFjxDY!U
zsxAX10fQi4Pu;KVl#DlumT?~R7&iAQs+k=nY#DHXO}rfDvu&-Y`aC@q^QNhGX;>p5
zTAOeQgE_;C9^3;8NfWkXaZ$%NswAz>1V_wQPA9Vgd-B(psOl5NqwmGVwKve$TPe1F
zB%hK#a^>`Q>%qfd6T7oaGx%|m;TN0z;CJw5j{Tb$OQxbM^BesE9Q`}R$r;MJEi?4t
zs*Cg9C6A*wb^TM(dW17z+|J9n3tbx5Zn+o*#RC;$H36uq$<xOCWG6*6A0GO!BtutO
z&Na(Z25pb4epFl)g34;;I<wh|`BY!BxXaS36gfa&Pr;fMj-t;Ut^sB6{(#2gf+a!}
z41h`7x&`KHbaU)!FT91Z(7L`J)7!9&Rh$BDDSuU?;$ZI+U1Y;^=bbTgY5lJ4)XtuX
zu1|!uD`_whWSv_p{g8#XeN1;Xk0ZCW4_cpTPc|P^jCjhxg?JO`wEH^8VQyQfsc`a=
zmhlTHE@~0*O_XZ%CrVr`HkvpNNxy~-wk*6iY517BMe>Sl(L(eoW**_swI+W$1lzJA
zMxT=jJbd7B8u1aw>G<o!qbi5h+P;+HCHU%lj)*{YOB8DMm)(MJ#@1$2^eOp^2Xeuj
z<gwvWvunm9+;_?xy93y?K}>pJ9RFKgv$$F3bN}<e?wT%~5UY8PBY$xVD=pv$ql#Oe
zxml`7qGnm(!h6q%&;o9_79ur?bidFOj`F+pExk-UXB=<k)-5(~qTz)BzW=X{-k>`7
zygcUBtcOH!_=dI*jHqulHp%ahTCn~XnqAx^b_Mn(zUe?-=_l=yyC=F_{U%%fi6vqv
z09V?AZWL~7UQ9+yr!hRfs<-wDq{njZyeZy*Me=1Td0OdKgZG@;2Azc6h_sp~F3IJ<
zQu35z>-W<1HIJkD<87dtt9jo2j034bW-6WTR_<=-mLb4-Q;o#vCU8s=wg<`k-?EIK
zOq0Ot=?M?Sm&3tOA57Lan+Sr7{{pQK<Xjq-QIL*K2k3aqWZXkq0R}}p!tW;j5UA@s
z(tLi$1t(8b%Ayp%!=o&PsDn3a<A+|^Q$94Cpf_<IU^z58QY{!T7uMDK6vlAi^UAIZ
zKicLgkl6(Q#H5*7d(y&r;TSMxhLQ<P61-1CcRN{BmZ~5xiw*afkVu(dUcvq+b&M8D
z)ts<rrv_?PSYxc>Y5Ky%n~Sus#H2!Mr0?Q@VGD-xB<yLdq+mr`ds>DTI?4p6jk|`?
zR(he!cUJ{G0)w=`-}Jf616KcLxA}J|^03(S{t~$zP1Yl#ZG;6Q*f~wn%qsYI6(4m*
zBd^fnn*T1^ojrAD9VTk}i?Gu(fZP1$BC!?cDt%;x?^o5Zuv!cpM-3|k7Z;Kp^cvyU
zkR`7hZW_BEg4j<9ZoEr7a{U?+B<~)xpLt_hmlsCL^}e0SuUD5N$>z(uNqBuHYs@sG
z7OvE$><#QbJ&~a0K#};_YiF&028qyd!0Qcq+jy%vtAxyZD@EYzBH)FTrPzstW1Aqr
z!ahKPbf#eOS|bbOLpKabiXu_3zosU3W2oFJ2>eW$1d^qWY}Q6Gy({cDN2ia74tRQg
zOLGDx?&!KfPeBuE;MHVWD7gIpgVc^J7!aM5LLP2z$`+6NaK+PkOH25_1(?{pO3@#)
z0%<8W|7QM#fhNGPDBntYYsFN`yU_wUdlU{70-;sJTnnB>jXYva3EF)XtQ0SY5qX!{
zzIS06oC<h`9MAkfH-}nCb{iq)(&GJjI^ebDj91ITqnQ7Qq~?L>r0fVZM0{F9KMb(j
zptKI>$p8KPnx2&fm1yryh2gS>jFO^Z|M#F>IoCeRm!|JRD~72JVUF`dZRYtLxd=4&
zvbFYFV~%-XhYlI}B<{eI{OYsS_aho&gE5~E$`TTQ5SCaNIPpG?HYI!)B*qehEuLJw
zjk{vt-3wm?QV1~a2@4{|jrLhm<r+~w(Bk5m*^qE5rLqR@d9%PIITMjlx8<7^P^778
z!756jc>}$etuAh(ukN8g$RfK}Svu=embSP?OF+{J>+0qzHZbr1ul8?8ZvlWb#RMJ8
zV*QMxVNe6gx2~qH#7F{nmV}Qa!Pw9$QAgZ*j4?0d9o~HPk2nunOVX3sVC{NKG^WLT
zjf{;|8g0eWD1d<^9cRwHqiw!^Fr?(!{@|g*zl^q3eT<J=s8GAdFDeL)i&d!4OkF@D
z=3X%vCCdV=eu2Wr{)G^H3ZUo&1+%R4h&X^}n+X{yDXVLwk!o(!@!P5xmeDLbNGGA%
z$0{B?V?dSc>IV8j5w?yL36{v%sEwsfz5PUorF?+$V|iKF7L|>nN`~FJQKZ`JcyU6y
zdq#L1!bxwiDUm8?o8ty>Dt`702-zTggD*a=hWi7(&2Kt`{x1QBhb40-)OD@<j~akT
z7`e2w!r8k2kakXN?oF^yM}3{JUD5#x1y|F%z$qIUAc}M|9u?y2B$6g9Bd=dU;V*X{
z<V?+a5A?gA%n01Zh<)DQ9qn1}RbmY$T}Qw4ZupmNN4ky8%Y+L!APuC<?UwHG(VaLZ
ztExn79qIX-`X|kC1tty&!#FCtiFVLfjV!`<wy+G|g?z6z#e@DVd*U10x+q>JcJt3I
z9khD(Q{RmA-#0k2=va^J{<d(w-B1Z3JZ=gW^TjYDKc3MCOOYX|{{4e-iv<Z%;2jtz
z|4y@+<qPPn0Xa(97bgb}?oov>Mk#Xhh<QQ9{v1lk#kq7KQe28l`6?Qn>2IljMZ6*t
zxuSF4@T)OLMy6IvJlP@j=kMaCR-|rA_9T~-Np7kaLV1MKCG4wfs!<8KaWs$<t9V-`
ziJ4l|fflh}_>}?XwGWOwzv0?RU%&P+^x|e>8I`tkPg1028Jj3iIo~sNo0X}O)c>m}
z0b<rsA6yVB?1kTvOtqXUhj31<i!(w{E6I@3ho=kRjDUWaV;O0dE0z5!gfyC{Nyu;m
zrwevTb>WJxhKt_+Mqbg7An^vQsIW{9reKhB09O(o79oPC?6E456^duYF2p4Kt)@=R
zUW{OdD=IK$!^-phr|boRl_%$-4n0Xy(*0<PW$y1+1P$2}^$~>CsXY7JI>p&;r)9qN
zt3T+J_TIsBq1;V9#^bjYl&<Zl7v9RdEP9Z2TTxch7<=_!fXKADn_@uiYJ32Q!f$3(
zyb9JM-$FKDqJu6`Kp?GZL?z8AN8z`qB~+eRd$2sW${N<fXT9}opM)ourXhaqo6t^y
zSkG@&VRzeTE4s5N@dXq_Ur)8>;B*H>6BU0jYS}&PD7H<Y;>Y+}?31WPV>tFJj`HA>
z<1UoEpKUVLkpMk|W#Y^KAj{IFqRQ;t0|dRwSq`b_!;4b5OBzR&@0@_M_Ha)=6A8B*
zvN$1CW1V2^s0(cki)s~qPk;ATjFmFH&zkdJZU32-$x2Fgpd}5CfbZ8$xElKc_5$67
z<`P0BD=`UZkefh<EsGKeB4~gEzi2AmgJ$+WTP`M#S^2<3@HcP#l2dE>V~6@WKNI%k
z?J*(7>Mxbo%BA7Y&Y@=C`tu|^!8<nLfZwlc<g8sWaFc&9Jqa;k^ae%BWyUz0sh8e>
zw;PcNMAR_{d(qsoo>=e9jRcpB6J=ONbnP$nAk<#(sSJabPKx2W?yzejd!g$=ZrrLt
zzKIDC=3+C#7H`{;0)}iDBNJlIfQ7)dZRPAIEXf@ynP6J5yv_uRES-C@=dT4`J$_Ra
iDJjuBA0w;W3{X1gah;#Ua^Pl7^5y$A1LjVWi>W~%L#5vU

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_14_44.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_14_44.v
new file mode 100644
index 0000000000000000000000000000000000000000..5359abf7f42c3ddd8db95844bfe8c0862a3badee
GIT binary patch
literal 11520
zcmV+bE&tMs6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3?0>6f<gG%d#=%}v!wzk=kG=2Ag;mJ<S
zgnbZ$=ne!(isOj{=6l(&+4hY81PCBi3Y<*6KC%Bpo*kotVd%{}A#*tpZlc)CPS5oO
z_1^~^APF?MbcJI3Avto0RS8q<tKa2MUi2L)D**5Vx}NDM1+}du2i*cQRU4s-vz?(B
z`(?jEK^7oY9DDNu@xG73O#;KgG367#On(%Nw577l@F$}U-bFjnrnX^BwA$agl(#g$
z__)?03xemX`UZM+$jPNjz`?IIQuXhTfi4SX6HROCoUtXaTOY@NkOJ?m`y@j`PbXzL
zlYn^1rLJEJMKhyR6Cjo=_Fb#By+i?3l$a4u_j)(;bz_R~H>Pn%u;%ROe$5mPZTRQ_
zN2D@PsvOCS%~)*78vwO+fAg!8mbLOCE?|Jt?Epb~i^L^Sc>V(z76uFX8hOsf@fn`-
zmHjlf7{C)dj!hm9Tt|4JPZ_G^aWXUlx7?00L!~_%fg3D@Y&Sp_@^Cod!lq#8Y2!a>
zbcrs-%iNf(rV?fs$)%&RSt$u+p*End82o*oOO_OWHD$-e(}_3;L-6=7$})yAnzPAS
z&DT=n>NXqbHk9*rXAVo160P}IQ$|HNmQNEc1v@c)WYYki_6B2YkkiThDFTUuF`f@w
z0eVp3mrI0!HVbTW!iy}fR~%KGzBVeLK=(Jcev;`ED6YLlD(pB7thbJoyb$l;HD~5h
zITHl@U@Z~h_g^jh{lP$Yq-u=*tAeJHL%%O+=KHqAlHET@yt(}9n`?VZ|7=ThS3x9F
zVO4K$7L!RyH>$|h{~(;)2p^vWjD@TkP&lG%hJ#y5E3^2_n|Qh?u(@~qQV4HREQ+9q
z2T$hD8n5W6ncSt@yfMxe>lEOfR|WOAdsgEQ2p5<R<`arosr`GMel&ApXTh%!)mqZL
zL&1y|&l{JmJtCyS1UxMVmx5*5lSb_t>RlId)a>Q>$>z!y?JKz3AgwT|C22pcZLVP(
z)!pfXIFD9!(>!8@pqa$ZWDa!Dq<?aXe`Bvy!!L@HSRo4*OtmsjKS_lpQkt~(yiKfR
zV3$2P5_Rc{tmx5QM2_H~hRvNgfxN^wj8&*Z6QCD)4EV&~bT4I--Hj3WZc4ByhLZ^?
zUbn?2&pa0Vv4+?W0fBe+W*P$eOG}tE3Sl$QSt*CxYkYjEYVv8?mhKYZZ5h@UfJeax
zEzGP}HT_s$W-=kay91BqR7t-D!O!9M{!Y#ho^l*^VwJAP0h>VE5!u9?fN?1JybZ>R
zg#Ow)gGLTqhtIW<eV&^Fx`q$Y2)}}yTl%v7D`XP28;H3M{j^DmsHUd^79cNcU?RJC
zUYwy$d_diXUty_Jw#XTq-J78Xh$AfOzIWjUs3CPxN|Jtxk<Pz5F`YkC5z93-3K$bJ
z>UT+bYe^W#=JvV?H(VN))Dm!nm_QK69`fMNdVjf<L@s;<=?=zBtJ+m_D|@T<-X#-%
zD1>Ul?h)+cxweUqqe@YOw5+uAJ^+Qr_4_aw;+Hj?Kl{P#Yf08jbT1`MYwt5!qzl?P
zENzkG);jQea0Tl@*5U|WQFi;Q1^_u_v0&WF*_UN~8dvE_{=OAMBPhoRat)EMh73w%
zdGi<Bf;YlfCA*fv)x#xWHs+GoohqW%34@Xiip#p!KVx=ykQC-e)LjCtvNTJ+`crVo
z{oQ0sWE&?a-!R~k%|e&7g6sf-GhvT$+`E1WS*)$kXz@ts=DE8bPCnXkF)PMBNkRkn
zNpwRGCRYf2<|pWXbvbAwBgm<J=BA%1>8i&r(i(kVWR8)P>IY2gva2@V6X)PFLdhIS
zL2~Ua>SP{{doDH2!uOxJ35CqKP}+(M&Os$SR1^Fzx@*g)^NCf|1O?Rz0?31D<O>I}
zk|vNU(c4ZUO(AA$e`aateBZv{g>nE_3>4$s=KYTc$yOcgqv0xM4X~B+1IycdNdWNR
zC1NDf%yl{}%=<SY0!^%TEnRO3W~cvkm*SidA?@!ohqCR18nnmWm)AVqi_NrUf%x@H
ztLPT(GBp<t*Pf7~<3SO0%=$;Je9`G_EWfs&Zo;Kd(*(AUYQkobdyAMOo94VW$7zXw
z%Ev6Iw{*5qg@P?lf|o6W7(wPaoy*jB<qw=n0$cuS_bD4EqX>~6Eisx@ks}h~_YM$T
z=D!3zPV+c=4QO}af?Qda#Z(g7czV<Ti1){tbAt!ABPH>4p8|xpMGQj0SjFrox1E(J
zEI#9B&)(N-0Oo<UBvy6M{=4(0g66Geu@y%19>?vdvmq*QI^gW9JNUyT{~RCD2n5+6
zd}kJg70(RnX3S3l4V&|b1j38zdp`}QCn?ncWh;{Sv))~h8cy@DHBs?L!|%T8QUiOG
zkW9a<mCc|p<o7y^_n0ikffIEvi{~vK^y5T~hHJ505J?hZ=rGoAJ*&a(!#qLgzPN5`
zyyVwRO2f6eCW%A30hqsGk~jAm7MH9d{pG=^?BcA!OcXU^{D`$bDeNhs8)=yEUl9Vi
z>W=aM<>ZF)rrI5i377ONK!c>(WP|7Z=}!-*E*0L=EfA&jmJOX~hV!UK{Et>y2EF#n
zYxC6;K}vF=2nb^U<*M`#h^biEM|9Od^xMaX0Ku+9pNDI%nRf-{hA)(@YEsWofcHMc
zt2`$<b{O-1EA13Sd|d9`K|bWI%1(l_<TFkQ)hd}TJ~Ku~=dnTfB@fX)^Snf7#2eND
z8mRGz9THva_}=8j%lh&JdzRQ&t$MF=G6!5C#f!7b*lT0vNl3=U$Fe=#?F5EyYA2jg
zfkDN;XqQbs=c=H@9*+U(3tE0L8me|_Tyn(yinScjpM(;WJQqh2pLAKGc!Bb9NQ!Xa
zor9a2A{U)(7)ee`=lTPt=emf43;b}|n>&i4Xa;i-BQv=DUkDsG=o0Y~d<sdfg~`H=
zn&9MUcEbpUvcL4t`NWO7hWn}8b|?*EH;?oi)gu3I;(4;y+?r4Fc!`6)M90RTm#GLp
zSKMUW7X%*I?m0%Erxgl3v$trcR+oJKppCcP2i4NrVscI$w3n2yNy$?b9p>09*Wym5
z1QsJ_wFwN}GGhyi!2*6yUTWR>^Sb5f8X@^6JqfNKzB<bPn;vH^oqopXq3>XAT(~po
zDA{rY<Dkels^lPtj*F(|;s`-2X`*uH;PC(d?c^%37%vhex<J;HeU_Q&*Zdsx4$lac
zXtJ9&kI8H1z7a{NzG6{EBKvRi8F7ch%%me}-Ae5|uknt6TgtxL+JbyD8Dy5oHXU!X
zQ=GbL*>(p|m5fxS%<2#w`<H(H=o+R0{;XSDe7r{!Gex_sOJyo{U9F`|paZZJnlF|9
z+m?ZB2g_pIF6u?pn+|*Mo{wa7{`kKuca;M8hARKw?0pea0h+UU<aP5|vbEv<*UA}$
zKfz@(Mw>m8(?cO}z?J**&A$+Z37htFAZz{mek#55`K@{x`1s$9u+v4KVU*+mxb#fN
z;LgVZft^Pue)62G{7+Ns0gTtsl|DeOyGJ=$>8WHvmT<$PVXz3cokXSI{16@~0eVW%
zC;5XXB_ns~WB>tpQQ3FgQ-1wT4zUDENo$e@a$oh4YZhwt(){OA@L7jzZXuNN$q)se
z`7?Pxequ-)7^^cc)rAsqtKQr+$Zui+)1D%YJH2d(J3sFgt9GTp;O5$I<F<-kY(wa0
z6%5d~PBuWMAb!CB$3ZM)uwjGxH<f}vkOWczY)QE2(P5&Tp2W)pB)DF)?$#0ob{+Mp
zlBNHfVjJRn&c=ga3B5JK;!X-ZSV{DAKI2iRQxcJma6X=ulV}<9shbQ}f9>Lgx~+9U
zBV*G&zy|#}36bx8H%k?okT!jyFjeq(VAW(W8=K~8uffS`z<k#1K9bdeXhF)0L@q6^
z3BtB8($1O*FRh#ukpCm96aMk4zyfBFSztc!M_&rf!laW@tT{J|bQfITfF2nlF@jkw
z&4u5aGLZ~pn$3rUc`3AE$K#3Qc~JEyRETBSe*S!souH=}4uPNEshft*c5-tWDOtF;
zAVq@%d{PeDmGS#y9WQ<mqA6Wi4~(f$ISSwOBGCX@q4s=i_sY=@QY8V&xZTvT+_tzJ
z<FJ&Y8R7_?$Oq?24bc})#biw$r^!^$+PRxyM$NOt@;OHe&$Io^UkXedZ>u`axl^Q|
zVQgCKU8vMGWBH)DrgEbcv#cK#I{<`R=@ipOa+FlX2)F>?dBkfTMNnoY$<n?38Vqn#
z8CJc--yViaV~vhPuWh6-5CVB!g2tJYz!eq(B+~1*m2nF$p8L>yIn4#L5tUH4#dv+w
z%^|5?d2a>YU(~ub_X57ix13CR98AYpbv8?z`|AK7<bG}RCF9ak@t`S~rg+Mo&9bR!
zG|JNeCkK_k^8r<8H9!>**K)e-%g@nO-YfA|dk0Y=Zp3obvU?6dZ^lL$vu)3NvgC|$
z>i}zB)M`O^h)s6iKcXE98?et7MJOkKyfmCnkkXvswj;*jbxD8Su$kG#r-2jDtF5k-
zx!3sxKA24y(a=dv>l*I^Z;>sRC8=^SGF)&{D;uBxIW7rwU8HHivfAbL(iV+P<^pM<
zni7;&2x5Mz9esUXeeb6U@>bsj)Q0A<IO@-KNv(399xKB41Jfc(w}`OsDAd{Ej}wVZ
z{S#2%%Ox@DgBF1;cDw6`E+qT75v;D{Z2aN20V-vjGMB3;>AEErCd&jzv8$aZ=POMN
zy9jY}-c0^Ck{mE?Lr!v}=N!gG&TCl6j*@p4WG^jsVPC-P=vkgmb|FkU=QO>9T2zr(
z4UZ#0L<UD6B(+@gQ<Q<-2jebTT+J%=07GovLvK`KO;mOrm4xnG=M@CpFS}`ZVpCwV
z2oUKN$h29u3}~|*<jmd1cA^6O_3oh2jajY~Pzv|mm|D{50Xq@WOrN;6^ie#MX{%k;
zDaWbQs=9;SKToD=bCBr_1O)UJbVBuMpYv0xVv1?+%k=2KIv&&o*(D_4=jpiW4`$p*
ziFG#C1b(lROS0GHPeQ$R4uopufm$-Qu8)HkMX8S_$jam|Vp}A`>-CIQ<!WZs+L0$*
zMeLdP=S#hYGZZeJT0TGON5D1X+zFf<`+~~D@H?dzf`Rd_UYZFq7wJ78zF3wfp*><-
z7j}ucIiu-}9lAphD)Z{P#{m@nRn5uJosO#2Hvga$r7Vv?E9n0_5sip5l@PP<X6%{t
z;`*QnV*Yrn;n{++xK$oA;WJ3^())z21`W{Mwtv0Nd=gOiZOgRM>sB$+h%A;6v`b35
z9N-i*k3xOfDV&iNf~9BZSarR)URYP+h$3#nur1F8+#H{+-~PCJ$XmkHh-gh{*JI3<
z+=YRxnX!jVTD&XlhGpICG*vtBWbqj4>#Rp1!)ayAUTt>3JIx?n3}t)^$nkwrO2??&
zTYG;;G0yj$1-B?=HY)GFmzWf3?i+P4ciRg*Ca2T9U3r(i8kd?h_=Vdy>c^L5xD*+5
zV>`^Arx;m}SGJSM5J`2-s%am+$~<8z(e?tOSHpy3J(H8bxxEvQvI4Y0YO!Y^G>}&m
z%a)<Wp|Z_m5A)`G02B)Z$$87|YNtBODc<xGHs|0VZ&q|2ax<RKJm%xZo#_%ea9w{%
zE6A=n;uT-fBO(rVAi7<Pu1w0IQP5$LV3FlSO#!J(biO+<A5ddNd`?P%!HlaykhWW*
zqdEh?xagS}t|}*(D{{OgNB`Lxf~~@D3p|ef62Vo+rt9WJbSXuLoDi@u0n&UC$c*<H
zZ<{RH1%zbg1sjdd{*6;WTwJrL$i-D7zJ<A}5A-_#-U`2uZbt`~RoIeYpB6r5;Q>9u
zC_i%!&2jK!FN*Nup3Ct_dtS&uIen}o9R;4MIX_&sJvL2msffckQK#j*NvG~R5p=+M
z7^U5iHTQvLT|;i>s=wO|d*M0fZKm$t?FJ)co@hReM;Wn<xdIDiHPMw^%uVOS%?$8;
zLK4OfT#<^eW*pdHZeaw{-GJ!U`?yZPzg5a9@7ddCJb7$U%P<Z=vmQ;6!^RV-_%yZd
zTqqu<<D!H5WTxDuKO!xWE;bQ**jo2XhA+2GvKo+yR;#x9+01C&i{s?ve55wDQNbR=
zL(iHDoxpW-m~W{tftd0cjiL$9Cfoj2lN;tv&d}3&gN*RPo>#9tMI@9@)CG&XO^!@M
zE7rp51xL<OeN`4PaGZ(0o<kacv<A9;O9f27tggl6OAAP9X5(NkXJgcpCm;``S*u+=
zl6*kpvKp5?Ks1mTwX+%di7T>|2i8J5Im7S%MoXD+bv*H2mWL<*fbrTN8@vo}*XQ05
zF@e_!U47wAnhW4S1SS*7K;JRhK*iX8$=A__!Tya&1q^od&AVDggR+l%sT(um9ARMz
z4r6cn>>&%Ji{aYeS2*6<rz9GTY*mKjW=5z>*Iw2MEFK$Pja`5578QegDh$O|X4JBn
z)|TW7a;}yMNb(hBu*B@uq-0N|1sZlf2Re%mTP2DM<s4q<5B?b$X#l?J@+rwyx^e<I
z5{f-91omgCo^lz$V!kgKnS}|4=>QsG&6$^|(`*+uA#6Q4{b-Qs>=#P+dVB;IBO5gT
zeb`2ewrJDU=h3U3zRE)C8=IPE)u?(lY;a#%G=n>1BM1`{H-W2a?n!J6@)EpcK>J+{
z0fq$mK%RE6Q6c@yA6&=B>>kU$#HBAzR_$(=cx+mgQC$^{=}X(+EQn`3FV7`oNHEd}
z;FNc|4<{WKA{{@z4Zu15GAzkFlRJdD6h!-7Bl9n=lV*0&Tg{DJuCMTcF_z{al5)Ww
zn4VXQ(z$B1ZKOKPN4Dwi?Ck)f9>}e5Q6_ljANv3X9KE+PTb8@)1cZ<_uxef`w`mff
zU}?$Mu2&rq%Vc^2hS>9P9d-^iBr&tEzj<CHO~5UWd8e?{?J$WQtIp+54Ji~KtE5#A
zpi!{c#k?R2Zxia><M|H1ayaj`b^aF78~j9tNrb;GT3&P|BJJ%Wt`nli`}yuTyrUVt
z1oW}FtRNL|rp4nAeM1Vo<puH|bzJgn@Ye<t28ImmF(@pb%Fcr+!5X($NUK!aScKk~
zlK02svuNx#LldV>sw5?l20b;E*HRe++Yq1kr6AGQ5}VN+;MUH2>-ykg{wf!-jL1ut
zFbQ14@SB{eV_qy@HUQHrn16kv{uWOIJFkWl+P23^OB&=00@8NaGB8nXWWJWJNz6sf
zqug^M*Z&n%7tGGGMc6=%-MC-2tn1|jqv^i(Xj56~!{r$wGcF~+)F#d==2v9vk>Z+E
zgr2`Z`MA(kb=I|L>Rs0+ty3J#4%YmAZH~)+*!MWs_2gXVBhpq6St1=2u|m*76nl_w
zQ-`<(*tW8>kum$r9IkEe?gkRqx4pA01)&@Np8&4k4nM@ZG_W0_BfFD&s;M*15yr25
zU7%cgVV&<LV=zPFfBEPWG<#<Z7yZB4qSK+B_Cxhx?#c%=F;LQg8t`?XwXkd*fnFLN
z`nB-<6R-c3zI#z{ZixS8r!HN|m0;dWAJXqfm~OCf&bcPF9KX0eGJJsqK*yk|z~5vn
z|0(ZPmH2s7F-noWOu1PtciDtIvxh$*NSc*TOVO6PPPEWLwnY89$2E?Xu55!1F0LF;
z$rLFT>Uf?MS|Q|2(g+^r()!5ycl-T+jjrGe)qzfg19qzy9lMe>_k5!6p`ma?O|^)>
zBbs@+Mo@e(2tOCjK#E{_KBaQYjDN0(sLNTNKu?k0OCDm-eBiCfgl<OVw!P%+eLfXn
zrX|DBsIKL&VZdjO4JL#i&ZHKJrvCO4mOrD53-OP&tUb98Iiao$L8@b@^n5fYHQ`X?
z;5{Mu?TOqy_IXu8a4<PkypYB-uCGulxwh(Qs%>wpF25&@DFiKI&&w}f3d@BHYIrj)
zNLImf1YI@P`bRI>d2ynnLXd+bm5>}S0x~aKqZj+in?m%K>f(W^EF=dM=>=e3dy+PC
z%MpuD>YGJ^4A8&^)rklH>dCKDy4Y^NnaB6P`o>#Vm%(H?25a%3Ex%@pX!jy_nNPAw
zX;=SNy8jnPcZ0M!#-_6zbzxvZM{r?UWm^1Y(4C<wiRHrztm2#t8i!EQ!_355=_17+
zUT{rQYtd0k8hP@aIKFhl(TxGWz>C;BiYn*Y*84q*B3E{8tOp*Z*M&MPTsKE<%(5OV
zuYULvvKaJZ^dF2D4k)n9?j27fQ+j`xG<3E<<BRl~tBn+Xz<|#&J{mTt=f1q$&&V!k
zm5@;-d>5CuXPDY70!Gm22|UZjbZGUoTxUC-9r#CnqjwW&$n~~+7DDJCu#L<t+}&mc
zOZeDKeH~hl+sW_Rsiml`%Yxu)AC$k-9|RuVu4yHLxrGAsnchk$aokHCg!9{(NaBgH
zvkbgO6~?L$qG<U;zcdZUHNg6Dur{oqt?S=P;6OMXczSHAhyT^^-qVs7k8q@@WEs)C
zedmj?f9FlL0>d<hWc5&PvZO;2^Mr`WeBL)n<pLjTGc{%(qypkx?anFwH?l~eUi@Gy
ztR`KlfI=1ud5+**uBkl4>Yh@}WT2Kvejl*3)bwi};MH$2h-oyj0&jJ}3W8bxWB-Vs
z;$d#DPO{WMNkq7fGNhU1wfi=dtnkc!40Y`YH#pAWEX!_jUcX)Q*p5m`w0V=zH{G~(
z9F>WoN6EjTi%?dKHRP82idJnC^iqRx*$`jPLrx!xBpLramH1SLXsW2sLLq>xkAqRe
zf#~EjRUA)`8n9hsoMBd4`jTT78IN>EwJm{2NRk?v$3uMQ4`PkUX6V&c3Ds{e;}2x(
zen0*}1%yYO>G7aW2g+w`UC>DFQ^4TY91d;05OZ#mvOT)xP`11igc$)h)M0{?8v5KR
z`R5n<vAlqo%4Tb{sKy~d%SSLpf$@adWt412-LL|@-w3PhI#>}_o)c!hc&~SQ*G3s=
zd-nJ`oCV4WL2${6B8+v{N!qe-l?roS*FgwDEwmty2-Q_VE1@Xu_PBnO5ef~5HDNHB
zy5BzGel7_Sg7Vb9L>*?QL;O}-@c)5NnRrP){FZ2~9SHBr6>^Po>=JrhR3Z`hKCnrB
z$)MUM^XO`zcpp0koI{X1#EQXb9LMo{HZf<;w#VZ^t#XkuZk(S@*}I>HNLdFfjiyzj
z>U~ytiCpd!Xe@p~xkvgbvE8C)>A!X7xX!ry-QBDdZHf?eSS$jCN^IZr1$CZ^CI+#T
z921D6&tE7xRCn(1T2gfDT}kCscEFx;ozn;~<`U2dqt9k46A_2$s)6nZ)9oMtml;4Y
z5td;TL{a7B4K|zA?+G^VuC=8YPYdW~0-L<nK=4eUTWYu0N;ebk)hd8+?M?OOc=f|U
zI8G4cSlHA_4yIt?{ro;2o)_)wI8Ddt>&ReP=`aa1kq`;`YWWk7*g&;Ee+Hc>S|uPj
z<P(b+?y2XS_ibDudzivg;Fy=z_BKIgPMZWDRE!2}Wt0{l48D(xuG2XGRQ4(ex$Tl^
zazx?ssl~GFgBg>3KF!1||KB~Rr6S85*ipo*b~DTpsDM%$ZKET;W^w*{*rDACm?^Wl
z(dncP`{YNt!D!C4+@!V_-U$g)j>VrjmEj^it8SGGS)bV0tC-xXD6xNEG}i7}J;pj7
zM8{E_2G8lWr0&g-cmWFGj%BFsr6y(79Gi=fwo^W|w|kkOnuiWDz(xz2w0Nr4KD1EJ
z4V4tb@yV=M5~|4C5~Ho!?#u#F@ZHcvdQHv}6Y>1}Y|1Q|u7jta4gI_t#t<J?4a~7;
z0(d1*jcou{zwI-U53-oOl<_cu^vWBZ`t&i+=sFk&-zRqMo}uVt?fxPw<sS(A>cA{{
zvaI?Ou%-J#V)?=!qsuS=Y4P>wEiy3zRa2_>biE`-UF>BRTDo*rfS>#_Ivoe<>WYWH
zNV#YJHM>0RP5cPUaoB_`C|e8AQ)GFZ2W;RD2a-_3Y?Np}kMXi>8N*1H%dQ)zAwe==
zx$z&;C)r84w^-A_19asqc011VDKjyS)jvmEojM7(6s*t2AYSOr5?1jW%YAnTx`whW
zoAQDzNI)V`O1fYL2N;oZeE<jLD`%5hj<2Il4G1Ku#%{PWMSbH9E|0d>XOVbJwdp+J
zpwRUGtTmPtLwG)l3MS2{1iwwjOJHepsa6Hw@{lZo45(W}3fE@u4}bb9FVLub%_E-^
zstslgTO1D8zpTy)#<6(QQ0b6f>i&F<<|RGnDHj{RV?_}}rlZ|{m@OW>8gt97E_8>I
z4oif-G+C5h(ypGW6CnA@CHr32bdXDXv6B6P&x8BME`5kgbVT_NIi5l%ml5yM*v*gP
z_pF7^8a4L$wyxXJo+Y{vTi6!>45Ip>fBuurkKC?MX4kLPqmFW{aOo%Kp;;!h^Oe7(
z3-tf_!lrb4QE_~nqG{3PD*UzB16(0W#+SDNIX29n7(ZU-{P4Kga253jyu3)Ym%U54
z;rDlLuPF7fUilVdc`POXB+&f4^+^Ine2XeWa4hTBU%<;)qJ*b4e%KjS&e^Yz#&6|6
z`ay!fNT%b1hphXRvYO&8mB|~Ih>#x}KSh3VJ~CB8Ddw3}vkvpD!$9jqpnX9QLTaA&
z;&2PyHat_yUqK(k8t$qPX2dPvZztUiWVLNa4=#V+fJ~Nomn4}cot9SNCJ}$5c1%`M
zlNk)yL9azCb7}l&TBiL0ySNOwr8LM+<FomY>0*zU)n3H`1{v$TG77$BCTKeFK}BF!
zvSXIVY>;@>^WLJ^@@J0U;)~x-a7&SB;*pV&6>}VnJAF$J)<D~9?6lfmWlQzDUz?HB
z9=T{tsTWcZeApNs>cM~6^3=@_6lUp-4T-?|FLn7IZTXJWe|D|nx6g+9b8|e4MaMpV
zd3m7#!<?jww|6Kaj(dS4lzK}2N1upaff6M--E!T6!j0w9LTT*MoNZG3<d-hL3Gf+`
zxk9muF~TKqDsOM1W_JF$dA^3>jT$}4AZ^1CuV4*?c+g61|J+f-Xb0Rpj`ftrS&cx-
z&-=@~Xu6O1Jm)OavF;}11^spC+v1)wj;#RK5Xxv*Loo4Y<3Gs%Nn2X9L~J2}4xTfB
zlIF>&${!a5+P*TwjL}D~m;yPVMNJ@}tB8>|zl$0EkS4tUw7kPme17H)A`;8>VT?Q^
zzoG=K0!@xvLhpBO8Dq{%BntUIls#s>H(~yKMQh&m!q&|c0@NVOrjz^@cD%KbuomOe
zb1iT&nxPn;eXpNe6&wLxC3fJJTM{c4R0(usmL7LD-Ds+?#+guO8Q=T43VB3d;PGS=
z!)o#~#&w0^*zh5b(pVTTS<8ZtnVDsGgN#jT6Bd9kH-XwB_lATC`%f?80GIT%vK-@j
zioA(F2g_YH!Aim-e42vuh}>F!Q~n+{k3NAm?q0bIr?n{+NIO?AsZ?QrCs=O(UDyf2
zcyyyn>c}|1cr1kf4P$M<*-p9I4}Uh?LOi@65b3ffKyhL+VF-ZOpFVwRQ|jV2muj(K
zN6!8sl|>_~t>S-g^2TNJ{I3h7k`Xk212#Z`-ZgPIGaQzOUREfRp2fWYdbt)Q`C`h}
zL=Ppddhi&d*qlwqgz(qMW~VtTWdoOoi6>pd^Ly#GaASI*<RM$^Sg|*v%gjr689FUx
zx_;H6;F1<}=oaV??fBK6Z^H^EEtwyQZhTO~-S8p3lorYush%3mH()V#W;+mDI69=1
z%{!+<<jt>3nseKZ@M6nz7texhNY*42K%h9@1#Y^HvGs<3@gL0cBH1bWmIYAEbZY%@
zA3A0ml#9jEewi&c)ij~uLfL9=>kGN{$uPOJnAA)Qqb6n#B-!$VgrzE0g2b+mwlmSw
z>K3wiZR^zcJOb34n~<P*y(nH;g+*1}?5y36wAOT-7vX7tmM$q6UTKQLjZ;hU)(t)=
zH$dWnbWs5+4#X@04`65)@1pxFOePUoDZ04ra!IP3Hjpw!(ei6;a7U<#gazG5MfJpI
znc(~uOBuF*uXC%r<~#!Y{EEAR&JFb&AccmZiN>oPU3GjGVqH*=>921R4kw27aY+K;
zH*qwtQQwCmK~ZvKjzU$1l7v0ez#8a>CR^`^2#`w@d)X}-Ilu%LdZGW723diqG=Nu{
z-;6#ro)eiNx!W*I|G=sAX-vx~^%mf|-89E~(JM1%b`HyaX2cDsI2eQY3*XBktkD$}
z`kI}VxJ$=q?)3@*h$rj<<Q^b>mdjp@Bro7z)NEwq9)k=VD>`ev#%5v-;JN+p1t|eS
z5;ul?J;FBAA<!jS8TPT8agXU1f1uF63@>k+y<l3sw7dvR=B;7XPX<p`{O*GwJ4C~U
z!dW<q-sxA;u>P(Rlc@Br4Ia5;-AhP+P0Ou{=mMZ%QL90tg`g~Qik*EE*TqXgQc0Lr
z-4@zT0(E*cr~Z9c>_|WMCDS?PL6Mriq5qXFLn4fWwR5KlXTs&A3UFO$B>f!bhblGJ
zt<&K=Wmuuyr0O;<cH_H1XXC;^M%2p$9cPRYXi|xQrTwc?9ELaP;~gdxh99)BriTii
zklVc=K>#BWFO)bG$Am*J{S@T;%%VK!c1OFHR+XoDm8oo-n2E?RGRz_2i`g(oWpk9K
zS(JfZ1lDwsfGH9yywUTu(+S150&3QT^Mh(6I2Ecrs{FyBqik)b9M($=rfndgNV9GS
zcM(3lMWY7YI`TUZtIoLVG`%o5`@0ZHZ?y5(wh2DRe9|&ZL?)T6_EMCFY@6nCS?c@7
zX3n>ROUry-{oA&Tb2v_?WNd8gGDHabju!us=jxAbg22g~IQ<<;H-{vV(Z`vFm~Di(
zV@FR}qN7kd@LwvHVa9Un)a$TTN&b*Mc~A`|$53{#-;STCH265k(+lVus1|8Eb!wvh
z`^Wpi?uqnGODOWB(hFy3SKutk^MwT(v7g-@=>;lvsQFZV@`}tr?Ajtp)?!eVYw|Nh
zUr1LaiRT#``Eax!ufiOR&s*+M6SiGE?&SV0;j$4;2~hBRt#`cOtfAW8+XvcmoQKWO
zg7_uG4?6hS^<WUHof4^JJ~TIt2s4#JYX|(SzhAz{ks*Sr?L88A)Ft08|7WU&V6q$r
z^!nz2*6o24&7KCheV2!cev%kr)T!j&#mIpxE<0tURubZu6zYv%TH713vj(aV0>_9d
z<ZrPe?2|x$30nsBd^m!{hMWT0q}H%wt|c1I*u)OU!p|pB7Nt^fPHBluvZr19M*eKe
z7@a@e*U4@AcJXZ;IMaTVHKSGMS1m%PS_B9F+v{?lLwWTi59){WkHBPK!<E2^doTPw
z9YOG8c6T|aXmDc%R9PLdoI^6OSGaM6@kOvKS>-KP)u<2w^A3omCcwc~ydNL&c?UP7
z8euLyPsV*y<1il2YpDW=&0M!zPomrI<X`)Ja(remSQyo-{Fm_FJhigSo=|81|JrIE
zK0#aU;wVJmIkAGqpuu5Tr`qYL#6-{X4DNMS$V)H5(mI_>ilq6gLd2Ng^0ZE?vYXsn
zP^fsTS6Wp5L1x30SRX%$?KH=K{s07Yt>Bdb(lJ_EkFewBz|UjM?*JOsNG(E<$i|Fq
zwlA;NAX4FCyj)HFl^wuCsCLgm@`SCaB(Fz~({u#)Kj841qnSlfJMI&&v?vD9In=pF
zx<zYKx0vQvtOGA)TvASQW~Q8({aSB{7GU#`Q2O>V`!No`YSDygMlv~6Wl4igs)t(a
zuiIRe-v}zL8gEUp-1UFrvM)Ix<Egy=EX?rS8_Iys&gKMTxRI*k)B64z8kEVoJUh3N
zBw)fZ{ppOU7#1tfi#OYmV`G*f2ghkkN78!DZer!@2A}8yaxGQCglXlRVVIyf6|RiO
z_dwzIe$WLGNvGW!i!?H`Lq4_IuxIUm?W;mjr3u&GPOLlHz;8;B#OLEUqb$F<FW>_M
zLkg4*9~~GtAyXb2Q#d1<e<?Re(WGxD_yB@;N^4B%wZ3Wj(eq*Y{<+E;elhFbK-RhP
zS#cFe{#wwMG51t=B>j8|@fb4ox1s>X<w~o|s8rj_TL)0e{Ww{T)0<)w3O`q@FYf#H
z_%r$H_6P}W7#Aku_e<k;p9HR*mb8~+J@R-*fO2kjDoEs-t*JU*(gjBsj84T2VmXky
zAS(1)c4W333~5=;ve)%Z2>q0}q84-9T=ISk^A1lEC3_x?)Oq(hZ%t@!sQnwvYLp2^
z5{gBOVrd^W=qPI}`9aSAvfj5>Cqcg`kxG0>0xugFk{cfr1R@J00izszY0rhaTqCdU
ze*6I}TW16Eu#ttJ{WTb6d5YU?wSK69%ONqdC8=A2iX2%w*Q%#K8S^oJ`58N3*-=8#
zK>S=dc47>ylWI-WO8(B7DNXG9?Md7u5VPW}u-7nz4<TD&&G=sMmU(5Mj7);%i*)9<
ztZ69Kti{d3ml%yjZI26(A5tYG%6Lc#7QatR(nu81R5Omz`-g9)b3zLJtR(HEjW78J
z?-?;_jWWZ-LBYi=V-7R4zQdi{OTWO*QmvwB=Z=$qdH0A)-obUJ;ms`Ob4P1VQFWs!
zZ&(uf0ypIdHCHXAlAALJA|KuPj_`LHY)y$D<3<wWv(E0w|EGpu;Y{*fF(PTIDGA?F
zt0VoXU2x(IK~%jqTdVE|E#DTb@{Q8cZ?H(5{`WGi_HH(bFMJTqop_y?35j*Tu)Y6{
zOdc~2D5HXy1q(N98!$OF%5&D!roGQ7wFBL1%mwH>?39pK?#C5{|H|d*AfV%Enx>_|
mBH&54I0r|LoMR~0=XxR)27J62&;0`EAnL9ihdq^&b)Drbvwrsg

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_36_10.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_a_fifo_opt_36_10.v
new file mode 100644
index 0000000000000000000000000000000000000000..12c9b6f2aff10c1a65bb2353062d477d3d6aa196
GIT binary patch
literal 14536
zcmV;(I5)?O6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3?0>6f<gG%d#=%}v!wzk={<{HPW`vX*E
z<v}$86@#~eOg*VQO(e`5$OkWd7dFz618{k-Q8r!11ubRxpZ^lI5>eZt&E_rM!noS~
zEy)7gS0@d>+#P)h^jhh_rJFqq9i9%bIo~it!GTv#^&^26#G{t(AGYq|T{@NTrn72U
z37<`+*Sd9YCU)<o;jM~Je%4Hm&T-^15Bs8rQ<785;zcPj9hVz>2++sJ!!+9jdPJBx
z6V3|`ynpiwtr<K#bmhg^1$aBCvXo`7Cd;hDFDQn87x>8KRbr|1-mcoDCL(5EHgF$b
z0;?3?2Tbr8xtEvs^)JcrzT)RrO9^WqFwVm0luy9>s!#mS><mIS*U_qm{~!*HwMNJ<
z5<C6~eff0mg_<cl$Z)&c-TJOoa4V?fL<^*o`Etqu@%hD9us=}L)CbIHAR&4cpmA)@
z88f_bYkQ>+&mRl=!fSE3aLxm@8i?viv;_E8-T5_1!H<}xZ9@5^Wy6RFZ`~%VB?pKI
z?;)h}C|0Ox9(MLTM!&YmMs%s5nz|}xYDb3#dcv*`#7%=B88W6yT?cg>Tzc||P9lr&
zTebjPy2`q5N->K^Ji!#XLf(8hcZI7M9r1=Ddv31v357w<wSGDp;w_%KCDaL0i)hDL
z7zA(YhIt*^GQ!Ll0>nN$oLC7GeCphc>48OXd%SIeL(?1ag9Mi5Xj%MRet(%dVi`0Y
zb&h-h+b)*#^c5?rxwxC{HFwQA1Bon;1D^VoTNxrHqR|0Dox2OxFdTwe<QqM=mX7$(
z-iR>T&)d%^?+;WlnO^ahCkwtAs4u=imH#Uk*{D~=mM2=w#A_?T)(_3=e5GJdUxAU-
z_{As{BI)<GD7i8CndD~N;R<gkCPNJDKH%Z|;A)7w$k`5FKdQVcexIt!wGE(hWKZ_Z
z)ieY6wh3nf02HlsZlWkev@_FxSbzX&;{SI0ynLZ>Dvp7zOhmK6de66jbbDf~1xR{b
zCjJWeVCr!gf$*Np?=1aGusEQ!-m3lYP)sCKemlz6>dbN}H^YmHM?-L=uVvo<Z9b%L
zhskeBSRyK%fI~OY>*d_tZV;aXnkqsK{ULIpt|@sE2)_`wf?SC%Cm`?cFAV!HkKCPW
z5E;DDOlmq|j04LerHA68>N#4|=->2+k3tF{D&z8!*>>YuTUg}2w9I0-4*<0AQ-9tv
z#jNY48)%Q8cSqD|X9Lfuy};5z5q;78pJN@h8@F4klkbK1sQ(E~*+LnH)gejsy2z%f
z+2kXW@?(4~+9e)N7RwCOKF#V4CT$McBCChgX&Q+ZC$+2v!a<JV2}YR_b)u(~PXto{
zm*K8AV(p|8+HiycWRhw4Fam=9v<QI&TzmhEE}snMK-uW6^to}F0>U6t{KvJh54xRS
zibo?UXn%3Y@)TpT8=>~clj{J+jw6|_f%f#5poTTagxDXzJGJzK%mHsT3;U*u@#B56
zMG?tN&Xbrz5?Iw$U3D`@DwZcd$(kMN$=yS}h!Jm~y0Xta`n)q5Q2*8Tk5mvV@vh0b
zQ{D1x4<>w2HBG@Ftc9zs<tyq2CYZ39%`|;lYqP4Afh4TI&QnT(Z_usX)Mxn3Q0X;o
z?;F?PTpE>Iy_}s?B0R$g<3Ds702?lCp`r$yQ@*X!iG~IqyabHB&0;5;eKa%jmP9-0
zmuEo0fEYZME(~WCj?=8lX1cYyj0=OVUt8$bN5W}?v7-{OF4y4ERq%NhuWjKLg(~@X
zv%2tkd?h@IxU6PTXq;9u*exKQsovX>A_j)`%9Mk>KTANDf1-+15p<AXF==XPRKCt<
zQ`{OSn5C_B*U=L3n;aKkwjN}Oe8#tp&Wl-oZTn1f>5V!27TO-_<n?~~6+=H8oW~aJ
zu^BGpb%FIVEI?*TD2lm%Ia6|l7hHz}T$XaC=^AU{Ldthy_f6_fqGa7;4PVkwS5-LN
z*9!Q+UUyN1vmtOJM1SD#AcS2}D)D5gK%kzmn&_MPzkb#b6vM^$w{XtJWi8{a$pSQE
z)cdjiN7B3)mY-bpSn%J2!q~9@G!-e<7t(1|7drN8yCH1-zjSu#M|TLlIRffylq4L6
zwVJP@A{80~%dxBK_)~-rGu@m6BqWK~Wu8=JLDgvIub7m#$<9W&A`veVSKfY9uzh{p
z7)6V+PBoP__dB(MjB-v)Uzjp@*FSH*jR&S)n)hG9(x3!R4XKIn=>(bn@_?izwgeW>
z6G>95pF#fpsy}Gk8m;p=;U#(CCv0;RqaEn#rmDYdT>flPD|xaGsjf~~Qn{tYk3|-E
zj?u?%n;;>2XIbsZJr2ImJqZN4n2}~gt2zv6n&GVHa~!=49=*)to*%En4EwS*#jG<<
z@q)q0GE7SRO(L`ydm@)XKE0KI^@!_l;?LPue9U)r{IG@r4#h~4fr@4&z7%~xBZB})
z6SW5ZMm8?SS%qAF@D!mRtBj`40M6*w|6tF&V-HCmt6PqKM&A&u*jH3c7fr!6l4r<q
z2nkg@&Wd;B3q?eB1b*qK$4(?kmSPpD^b1fhZT-ineqDSY%FQSqHF*-Q561QmLkq}1
z@MRc2($S|OP%UulK$B??pMgue8|ZVih|}8TNM~G%n(>Ane$?|a=-8n9)R#ft*={vm
zrwchEHxSgNo4(~9sy{Mwtqaieh?|*>>~CBI-$cLbP{8FLr|rn0KADE7!5eBHP~KPn
z0W!dx#Tmk%08yywHP{847J0KMv9u%mc}s7F%imLFE;mFwCJ5*~Xin>JS(a`FV2sqn
zOU?e|J5SkW<Bs(ScP^lnk{!Vtp+3p0OHTG`$g%nDfJJh^9bqHy?$~QY(P~7we&3k%
z0w|mZy#Y!lWLc0LI$9Q}IRN7TD`|IrRyUGfi$a)6+&wsj(n8#El-{u?PbAqaVoE_s
z&Ep`Cl5Cj)5E;LpFQx{rKmIVr5mXeCx9A?8eGGIj1R)S(AA{p24p7JU<`Qf0ysMw-
ztbQNVUe)9J1f)|#&#un{0t8fvA}AKI@m|~dJ6~7jRKqBAEJQ=;xNaiQueKnvvJj1t
zO%ou35w((sXqa6`EpFJP)#)<=F|<HW<;350fec9X2wft0a$R?sc9P)v3LE{9^bk@_
z`OP23E=(dWsswIQr$iWMLePV!Ht^+o_8Nueoa5f?87Z+FVj;A13ZyD#?zV-Kp~m=l
z6M{}L_PmOuseGvDlG;gS<r?Fb<XA0CM(RZJ2<KPQ-VGQr^9NY7+u&JA!8YI2QGUch
zB`2YJSt=P*>xeIxhaymFAaSLZJ-0A6Dg0-|jyf(d&4>TNK3dlK(WD{7VP^btx{&k}
zaL{l4PUAE2h7BLmrqvV;Az$-v2&@rA^np*qC_E~ATP8|g!<8LyJrGa_=CJ}RtmXu9
z)GD)TZkzB~pH|%*gS{kSkr58kx`?E7SjsWUK|fb-{6{4Eo>2-504OF_TX^KO(gG0|
zBdhZtCoMJsnsb!xpZc0wm6Sw2`g09QyF2e7gF!sequ=bCS(z*p1;uY5XZ*vQHs?`5
zBUvMxt9$Ge1y$Fwpf_wp4ssrztv+CWQ%vRi9M<N3kn=<#rc>e-;7cGYs$yY0a(9gf
zLeZ!v=1a`q!%)t)Nm~0JG<H~E!>FQ@lM{{@X>+ZwMbCs;7rt(=Gx?B#HLPii+G8SV
zKGL}-c3cZ?YWeE!TtLH+q0>c=Depg$tfe%UFf%?;G8|Ma-&CQE7ztCz!Rb02F*R%F
zb&`F$0ub3xw<{TvoaqJ>vJ0yLXYN%8keyx+1P){q9wUen5%83_hz;0w&%=`QH{`2F
z(_Twu+&&N_dqP<Jx&ROQ`Y5o}yX(yjDIu&<HIl@z9<}}}gw^(goa`1Bm&Z#Hn!bEx
zd01j2SEKw&!s(ucr=g*i6&3j3X&qrc3By>iBI#RMjTW<AKS^^5YYAk%ld3pT<Dda6
z4QV8f{Ux)-V5?niIfB_sCP~FXRjByl%YmH#>7EUcszd-sXm`8S2zX#Gq<l7>FrMwL
zR6&?MT^kb4fEv7y`#dT?WIC~B;2ygDpg@I=P*6aw-QpoAhUDEHZRn1r-!6GcLpOsl
z$AEdID+kYUIBp-S>-r{{9k5kcva;DjaBJxOE&<EKMH17mFG7_uP$?B2nfo47GGc$A
zbf>=CQvM?#Z-H(QO!#tpg7{eN#E#Ad%3Be@;tEDzxC??YD_wIMp?Eq?e0twevA02C
z!LjU2B0aZ0<pu>_zp%MjUR{sGFnp0dFZ+lImj!W7)=&Z*iL6&dl<&xle*qSJ%++XU
z!fT>kksk}STpq_FEUGz-j?D$Gk-W_CI4Myguoim<IEijenmb~}%@rE5?`U~$KoR?^
zI8qbuG8w0-W-gaBY~hXPO&*dDmF#{h<6>{fX)Y~jR%<`!UxQf{m8D|ST@O7*0`x%6
zPkLDAyoEmDVtP3p1hC5Tj&hZ&<IOLdy0tXBnYT9XkS1x#XII#VIO>bRxF{WH`Ks$a
z`D|&~1$@JJd{Ko02%wW*qlDab2xTN8n)+-IW|ev(ej?rSm$IUEse_$cu83Y~i0!13
z>&!8<=9#oJEnL-oy^o(HpO3a{28C4zE?n=XqWoKsmrkQX5x>6Ks=nvRuR)tsiW^i<
zyDVNOh>zA!Z7MwijN?)9$O)Hg8^x!tgBx+ubhE#~(yI$HBk9={X2Ut2hxyj!?{Xo5
zvb5Qghn@6Kh~Gzv{s>s*>JfuHUlA>ezS5084?|X>q*IZ&NiP+S#F?y6ty5^ElFHpu
zcn$+{bWo+*0+6>5iaf4><xK++T}30g`dZF*jJ*ST&1C5gBei)o-hz&Rh$3;sap?I<
zqX@yKDPZz?#$`%WQ5uc^AXe#iEB5iv(M!2ApY`T&Zr1sS=x98+^h<H`%F=5o6CGCq
zeirHqZ7AeWTjN^jQbi!}usSljgi<$_yA6)l09>+Z<Itz6BZ)qaCExZQy@!zTJu$L~
zEL%j!zV*(Vde(Kc*T%W|ojcvou8tCgOKFZM*KG#kIJz7pHIgTNedZGGYghKQsU=LG
zyza~KxcL+^uvt&qmGBjiCWy-3#~t<y(kYGaVG>HNT?8;UDT<kRSn(uVQ;|E`*D}|N
z0|e}Cj7BQMS9q_-6a!GZZH_@NnX4&Am85as`L07RN)`ns7>)K@bu*%?VwGXC+RoDF
zB&6}#abqrs`+S+vpU7n0s;IQ4uq5N{oGaC#nCuNhnbdjuZre?RJ!VeG%iyAHGC#bd
zP5bf47rt%XuUINaaVRo@7j7|&i`%=JgYC|%{9o|=8I^)5RWjNmX9cf07}cA_82e)X
zv(HEDN8*1XLFN+YhIzovh8YlkLe`<KmQo26iBeW_By!FRi&+P;bm8x$j~8UC)HKwp
zV61Kfl62q>Y0b1IWfTiWopfpxb0UbqjCi}d#%s;FtwyUQXYT{ontPSL4F3LFzHhIT
zFDL?u3zh0XPEIQY^2ghDYMiV6k*u`819uW*rQV2B`Uq#5hoD)!R34R%WFM#5OJ<7l
zLL?o%4BT6zhma^U@;H+>2TtPJipGzjF8t8;u}(GHIm#txq1ptF*`7S+?2<Iqsb^<u
zzl_G$n9L}Y?eTJ#9Y-anqH@JA2|WlnUxAC+$smg2467FVoK$ZYMTGYG3v1%cDJL=0
z9aB`+fnPTlyOiX8h$pJIu(v%=8+4DAE%#=96a*@jb00jdCggJQMOrZ~!@c8ImQqvk
z6cIpZ-CT1{2*B8DL>2h!;EaRbuTLf=e1rXqhnYrQ<4*p@btNJ342b%5m&C;Xo3yyl
zH6@p{;?<EN=eUZ$&R4O45z2QQV1KKN@y*p>b<II@%6?^|nabK(*SQDLk`ywSLpByw
znP9awogPMumK^+tBg8&moK+l;W4}kukEA1h9JLftgdU2XhwOOES8+h6NaIA>B5UTl
zl<zYBl)YMckeE$&7K<GZI&8sUkq%!*o)74>^5fE_(zcus(g6Wb2Vn}*j}!Jm9@-I%
zNVi%AAORU#ZDswX2#9o{Plmhr?5Y)h#C1(w-I=dlk}uYLcu$Ty`}2idg`1n1cG%?S
zPaRsF*_;p^0{mNMT3gPwU`D6kLe?bTi|ry-I+(SQ9INB5(U0>*N_%H8v=66495Vf2
zf*BdHkc5)<B;p`tN4(%g!i07~*(g`R=FiukJs2-_+H2KZQAra@S6ps^UdC1KD&YYn
z6iKY+B`x$O`xo~x;**7tpUY7?@%2vKU`?ZQC)zzH=TLm?XysYQ%ytn9DZZ&70dVd-
zGqix^_2x{tX<o)aaJ{VKY2nRY6wQ3mIbo8cq))@zLZj~K-Ah|X1)ePP2NIfLkL+-1
zCJ!<_2=Z*m>Xc$t#nZ^5{uVf)+_O95dK^OOE2k?uT{W^ZE?u6~4zAgp#QBCSTf{QO
zrwyWpziNcmjHBHh*^?Y-fz%Dk{^r@!^7sf98Y;xAy*youtF*X)Vz_>K^d$8;{h2UY
zS0X&7`^(~GmWW(6B>WH@<4=&!Uj~Tf4td=drJnh6d0$<_!6ux*(UI{570AB00Yex}
zKN9cpD%rA*%A-5(bDb(3m0a-s;F(l>uXmuVize3$Lsc~Ydn#+Gi3B9IiJiT#Sj_Zs
zBLz^g?ECQzddRFW*jUCW;_Z*E#%3xRRYTNWwN(>|oXgn27qDM$=FdMwy0C22_Z^r}
z*mOc0Z!0;<rW3?k+3nyvlA~|z{KfeCVl)WVa9qav4%G?5Ic;3bEs;Z_4m(D(bVIci
zq6{f~EUAbzWYWLC<J<S$Cw=VthjW>n@pX2q{Rf}Ryo@lpRnJcqgjwMU4)VOE;WHEF
zbI|lr4I}yY6~{{&#jZmy5m~fVD9hN6pBiu%qqxAo9y{>uBpq9X#9CBSDHQ+-q75c(
zP4s}`g*@Xb`=t`rk1B!}jFAPoj$miq*jDMvW_K>Ua70OWS_V=kgGzb~lDt=?Q_@v>
zt$WFK-Tuihb&qDxo%b&37jf~rjAl-`zJkvr^FzfYM?2!wXoFL6%;!fsdMvuFh-}~+
za5>6c5I~h9Zbz2J5JuVUI>D#w-(Tr&5vdiA!2jV~>3AGwuvfZjRbjJ8?x*`<?R|US
z<fn+rb7-4{0ed6nK`G9XaI~uFtrO7He1}rt0gF$cve<ek@%dC26pAYob5eY^6%4^@
zPw$?Gc8nsO_vRP@h9mKdkAY2w3m=+=cW1R3VX76!0T0+*(gzY-f1Q(H4e;vj_=OHT
z{s@s&%HfihD%Z8LaK09w`Vp*o1~3hir(StFodpC3#<1J6GqKoAnXK|3&#%u8_Vgr)
zaLmW$*(rR)aZ1mPXl4VeaP3(+h<0Oxok0r%t1mHBE?x$@bJ=A{lv!&6DT%2653>4T
zb4|`lnJ<|!vbg>UU_Xi6#+SPD3;hojRtnKxYI_#^y2<P+EKC4t(YJLX>X#$7;4Ezo
zcg6u&L7;8_|47f_28h1Epts@2?nV+j`pz?SNE`b#8!MoO8#9FXI6qo<Tlja8+<&i`
z9IzEBk%1PQ)C+qeR~x3n_%;}Aw6Wi|^2Hw%esc~{PMwHGZOhWs_vrbwlfQLl_k{_N
zi4c?<W$u;?tmoZe)sEP4qo+LGi=rLM%rZdNR?4bRziTb-HZ8IAl~{6_eL;TiZjRwe
z(b5)-)~*mtO7yXFcrl%}fMq_pk++ePBV%1=xd^moEbgibRa1RJouMd{jGM6FFBl;s
zlR^Z*Ml+N0YJAggNSFpu9}aXB!WM?OtD8pZr>LsSCKs{vc^FB*%#Ayltk<4?6g|}S
z1xelG_=k|haDt@=SXSJZa?>hVRvLlq1b58A`9@}DO<Gt%ic6{Hb)`5D$t}Sf7I8u)
z59n@V4;<kH)<=EKRcF|-FZZ#7SxNWy;l>R`jEMY2R@NOsN1jro9IK`6nTvQ25qck_
z^m2!qf?Cu!2VWZt5-Q(I>qSl4mC3GP+fMO(%rAP)e1coEIUf+TBBrCIL4(Ny6;xP5
zWiV-G1WbFBHsCgk1h-Q%@w=^_YWs4Um=Ir|&um<{#9P7%JKw1@v(Fv_pKvH*0;WV}
zyJL(q)cfZz89w~3lU68FXivLf2;%uO&&XmJwEjA$!H6z4t1b7rTrduaKK8{EQ`3$I
z5tXoI;@NY;Fv$YR*z~?WZ(i&<C_4!#G@*Wgyu^L9nLoBs5E|lDoF8l9VNnSSng$w|
z41HDv((m1`(EK?U308^HF|OD7<=)KCo!JxTt+TM%55uFtPfkmM`Y{Rlyf6E!<=7Nf
zodZX1Jk!_P+Io&;Xf9T=wOqh<JJSu+o0kh6yyb(O>y2Y1@8dZVuMfyq5jMRU#{f>!
zJ`mwU8~_cuC$sV#UU!Itn1lluo4@SS60g?vmgzF{n2aA#bg>|?i@HXKM`AGfa$Asd
zwLGi(;50AL$e$L?a{pPd2QFNDVQ^7+#L(92bRC>?$Rvp{+@52tQ6fK-KgRPnjbIfj
zRb}_VCp7b1j|uur#dP?HNqqT~;cYzFS+-Pxl^5$@OzgiqGYM5e6nyLEHcz7?>oYcW
zt(i_i&xH>f0SQ*Ah-S-J_ZBgyeQ9PKyI32wh2h-^KvHH4t;O6VOj;^T4W9nqf(+Y7
zxgu%mJ=gNkkdIX%qJahsV;s>zQnmJRIpEB_M^br#Kkoi|1tiFY9Cw_$V0r4O1&aU|
zQ*6b`Dkoh4cve^g3Ho*cK=EGRil)PCOr%Ac1!geQW*+5smda6nHzn5lW;{L&thyly
z&z9xemMf){%QJ99K@-H+Im8WFP##;0z!l^=g~n7Utlop&%p(S<ATWomN~v&gHs|*k
zMI<p~FJ~^<+6ZO9w7avds5fVh`N~HnuMSrk(o?xGb0I)9p0)nSRL<y?o;1C-fGLR7
z-6}LDDljdNOv13Tu;P6tcDkI&pHWu^mZ|1__)r13vx>)mq7zkn$KIc4-lH{D5{Kxl
zUEZVAYib}4WtiPhhs_iiJ`o!I7u3dYNUo8Uh&g`4Nm;-Y_EaxlN#4fpvm3KEPqxTD
z8>H9``}8yEFc=r`JAS1z`Dar>^7a4Q<lZ;)Kw#q}{-|frA_NTmG%;4OXpdgC@ob&*
z<8&2U+Jo!xDIodYFoHt|em|iP5AU_E4X>!re?4t3W_5->;e!dm$kccuy*7I<XTIAN
z##u;~!oV#c3vX#SW)j8ummx3yMri=uyj)B{3GJQzQXQ@&3;7Q1K{PxDtQxvBV2}+w
zL4%SqP7<Awk8V>-{}PKtOR+ub==@z&oK(`&t|fQg$+A++f$RAQMEW!lrojfq`A3(5
zGC7!64~U8O=BU`S#5{Ssjmg3|O}Qf9vBD0bj7+v7O|d~!Cw{saRXaJ$kiFAY0ASh}
z(pZ~5zfY#%V-5E?<X&>bV|V|+MTm}(Sk}Voz^QNAUa2dg=NEJB0F37Y!o>?}aqCbC
z6lg;v{ElLLV@h^LoaPFebNufAU;^wYwGjXNm2yx0r~`UWZ`!S_>w_|q>uO=ed2e&#
zG?tUFlLDjNXMn(ExwtpV;lgKGgr8J&iTXFalIzvp`~^Roiu;l4o;tHdKOlfC@XvbY
zX?;hq?!r-7)E2cu&~>1DO>eyU)CCryW{Sp5rEug!efLRjjYOfwn}}1x|6Hy1j4dy8
zZ-zMw-&EYtmiKHeA;ztQb<vB`!o@pf=u5FGNyaYJA76bFCW^Q2MM^OO+at3hlh@kL
zK-_pvK3Sp=@hb#C<%mGc=|b%_N>>wz6@e?3qE|bJQc>z%yj4gGR%=*cyP#RQD?J8K
zDaMeYT|bFA{7@H<jCA6xG{l)z=Ny@BalE2VxXt|wru>3BeLM-NGT+i;SM^6FvV~6e
zeKoY*R~B0SjQ_)qQ}@B?>l7RpwqWO)hmzLv8AIFDHW(zPm(`kWZ95iC!coU$+F4`w
zwCQp(l!;q@H!kg0xK`+9KRlk(UON<c!%q;|W_zbyv&Mjql&RO814ngUpH9j4%xFy$
zyYxxWTocC_ICk)!v-mmD$<Ho-#CK-9yA_v~@=T2-g7I0>2C}fr=y*1(!hZ^bl^?F;
zw?+MWZCg^>Ts$`qM~^@f+uw*|FcSJ#0=18Q*4@J@V>&w)P$RZ(oVGISO9b*tQiMny
z@yLKR7jHStxAMJ*L@yxdAa;!stWdU(LGKE~z`E1xpi!-7#ulka@x*isv!sM&pq14C
z$4Zn7IItLkUl<&%O32Mx>y7vyK@(|>Q6)WQHDuI^ewCo0cPYRv0oSw$KP-ep#9bYz
z>MMQL4GQz|m+rSy6Q-{;Et_C-VCs-~GkS0#iT%~Q=rnisa0xhlL<0OrYP`haV~v?k
zKgm;!;|{h~=dVsRAOEHJ;hvq>@n2zayM(gw9LnMl;-on9tWo^@Y}N)zu^q^mYu1T?
zuxxj*nh>yR5N~S`ZK7J~k*j&+y7xh#bP?5r_jy6oys1c0apd}|5Gg$)7j}Fwd{r|%
zMaCm<6d!ai&aA5MwZu}_TKPWhH5`E*Rxi<z8lr_qJFZQcr!p1hmyCF83s$B~e)Us)
zD6KYqivXDp{5E(K9G}J%=_DT3x{pyk5G2c=5vo!>64<daZO(NggG6x4ZK?^`beux~
zXm6CL<>Eh47N(~Wbc~(AB(x?YK<)uDwuOUzKq|iM=tb%0(EG1#1L@fNH>eB49dJd(
z#52Ktt@4J#7_#gqND~XjGxeM%CPRO%JW$XcI$`Fl6|p4Ot3=a%I>`b)qbPZq%r`lV
zmwDgAJu>|Iu*VPI!Y)=7ajjB4Kc6T$LJK75ZUF-kZ2?4_iEv&#NS0n-!Yr{3TVD^l
zcs#`Jma7{Vy(Xph=eW=hT^CR)q6t<KPQ*|Xd(2E#ZLe&nx9@_78&k1ax0yATVw?aa
z2xFFK+5h0JxZ8WB!uPmPydI$i^^6S^PH$GrW|8x8*M9{vi@rk3RREcj%=$!@FCOO!
zZ+RDUS2WydNph9%!^-=*cn~X?c5jRSgudp~s4=wD8;v=MB!k+F<E#Gf=}jQ)tfHCP
zvzVsqOOa+Kkaq$F<@I>gXch<*12IjPvwAakk&(MT$HPC~!Yx~fbqLLGa(@9Hf^=(`
z-Dhy=;s*+qDMuYHaa$tBW{?G$&WiJY<err_at!}vuQPcq-VRo<o<Sl~Y;3bcMUZhg
ze{?w6A#mB6;T4RcC7G)TeG#_8uS)1(EEHYiwo6Ze5B^*dLXI}jyHDua+iNul{~V(G
zMcwV4R_zNGs?P;$yP2Y1`*8~_Hp+hQV?VlBtIXF?^W+(p^Q-^LJgG7=r8ql%n79)3
z#_YqPjjQUzZM$~J9>CVDxbjR$v}OBz5EN<ZJ6y&bL<YZUkA3|AeJ8@Wz8nXt#t)e1
z7!42li*XJD1pKGUcX?sv1T~8!8ky;S_{9?g*r}rHm39u4rq?+;wQ=+SA}J_b;1dZ{
zwFLjoy(Q74V1d5<eBg$eES4F7&@}h+E2rY)LTeqg(`}4nJW|>dIlgh91S)cabuRL3
zyZ`D8f@uI^Y&+94>Pv5BpTKg@dLU5htKn`Q7Ym*U+Y;w+k<NMf^=z?V;UbL7A;|fH
zhZXM_B!8mG*o9p9i*<Z-$(~lpjB0)Cendx$XRuqc4<&YrO_!hb4Q{O!7TdMH%(*>1
zhPnEL5d2rzg~jmHV*a$vS9L83NI%rUow_7Jf;R!1Tg3LQd`!zw^G;LRItcS=1?m#Z
z+YV*k$|6u=DTS?b`BBIDLcl^WL7%`|HS+x)Ak9nu0~V6<<~V|05Z-r=Z?3q$L3J(>
z-X;A!dNft>-drhIxu+3v{qi<hYx!7c>V#C)Bv-0ThBmk|rolXcX^XiHKp*6<01liW
zVsBP(_k6H#N2VawN5!G@qrmYZFrU+mQM0GsUHQ{~D_Qm`s`7YXoPvo?)tvR&7KsHs
z;Q{Jq&9KU<CD*WHto6qo|4B<mX=Q?|fDk{YqY?;ENr{_|AjLK59Iu_#*c{S55?Egt
zJEIG)d^|9R<^S&wxW|_8aI;fjFj+eH4Y+9Ab8S4V3oI8qC9qcg>b9{9WdiZTq)N~b
zQ}GKan5Yi&?;=f;_mXdf6RRJpPAbzS{6NnkS!~3z99%m|y7>d*CBqF7QWu_w9hg8#
z_Htl8pUAC+?2b3)*Kh?}6X16BH+dkGK`SSdI3Gk|u+PqsJZc(Y2@qwU9VVo_Gdtcy
z-V+=ir0Sogw!zGA_TOZta%qEg0P*Q^r8cMq6&^yY4V6ln`6lZXn2I1}{W}@GT$0gV
z+~E8FR{~Kj_+8;Sq?KkxtM%Sah!fm9?H<6<-^FN9$h|@}`AK@;G1=(29WpR@Jzp7{
zCORp_Y^8LLeEp(DuXW=t&GN0Qh29IjL)80Mc{;kgjDs<A{wnLe{2W?LO@wt)nX0Ee
z9?*%4aj!(gK3c#{Q1_mR&dmY-%MCi1cC0YqWAFh3PW@<yU|V<JR{8)RzKKw9RSrT4
zmm6#%z3SSO@Ok8*Kh@N72(d<us)&9UzQiP_7chWp1A4;{HoIxKez#h_Z-oBnUK`e0
zK=<J=;nQu74hV@qD>B7x(;rh_T0u|<2@bUsY}k$o-dNlnZ24tc_z~a`9bex`(c<Ti
zT;~iH2Np=`PL4MCIqokNEq~~Y6PZO3k^vhkP1CV5aPMyZVmP6VM+Q~nSB?Q0#EV7=
z<yN}!Eo+K?Up^bIxht*Ux$<|N`ArygL=($<<kvVNvOJ&h!aczMW5y({Vj|%9V4R$x
z;)?H#S_r_J9H)|Ll601EJi)O#MJ?eqiV8IZW?)?UI8-~fuyh4}nrmFtAba)=kwV^M
zqghwv?`@a)j7J!Ll$}9UGk$Ca6MYx4a>T4>R=&`Z89qdH;Q0<T0Z|^pzu@%F-vpJr
zMJ6KZ*XQqbmkJa54-(E&Lo(?}YU<6wb4DziBqx+54{ocUaf|>GfdLM3xH`C9UazT#
zT2w8K_$j;*qUeW~CE+1$GHuGjlj7(f9e1b~2lFa8wI^5VdG-@}c==*e5I{#j<0Mr)
zdC!rP_U^~=6;=kZND_MpX>fx<tu$#+78$;+I^o`SA&<dmf$q=9y+GMz`f^@`>)VDp
zRGXG>%ZS)AR0}U?XsrKO_N*qRu{;_}$V5wSNQ?eplxO2^U@*!v9`&*rk&)&w!-yTS
zc?IES-9m{l=701XV*mP(jeZP|YJ}v&t76s~X3>Km6s!TH781`y^BONueIczM&8y~I
zC}tB0-M*Awg)=G`T*?n33e!&@wR49%x<~cZh62^zi0R&N@J1_5uk4aMIadC;V;<5n
zEC1Z4Wr5Jg(AotbnLZivlWr4I@r7}+aORZT9q!SK&%%vQ!>*yd#Mc7xcdU?I#hExT
z{QQN-2kfSXMfJuBaQ!7qZs5{ZML=YGD4lNAaX%gzMR@L_&pT)S;dzne8QPQJ%V-#7
zY2G8{qCQ@}2jszf!uv(MmOT8~zZDGse1bAiQWAz#NG<Sk$t3WA!+&G3!Sj>r!w^8)
zmq8M;H3~DW6e*a@-k$6{)W*8rRw1y$F;0cSofBpbliG{>x%cCBXVSQ|w0)<iNG@Y#
zAWt*8cERmw?l5tVSDxl=%mt9Dr1GgHh9wk&`}hD}q-+AQDtE6MpJu~h9n>HEhw?|E
z2i~nX_oU*eUk&=7H&6JCfS@>5Ih7(l`E5ef5xwrvS>XS(A=hE^{WugOO5P>AswN$5
zf%Jg7nH)T+aH7E=a3k8_WD{5%i9pe-@zLIP-?VYESS?t`dXev}UB{=rd>zJ=Qz9(H
z!%bj-D=w&1UUC4-#tSpY758LZ#&4Wc4k*+xcvrDArT{GEk^M141UANcSlJ(z<LtQi
zoB(_7N3qg3qYQiNs;hrL=YSs<BP`ZGWgVYV?$Ok%IM|6d0pB{8aW(ZM7-5&=xw<qZ
z@Ms3k-#tSvY!Yu+1Xp&ZBLq3FDrjlT19oygC<u0Vl@fVsOurQH;mIzX0kR6PgL(PM
z6Ev_Fqp+8DpPvl}tg+J6CvxyQzv9LuCj#f0Kqw3CZ0Zp7Z?<~Is6sO0tN+y7kaC^G
zfm{}1j2`jsF3_IZIKMa%3>fPphl1ar1Q`EQQ56SE#i~+Et}tv-(U4~=B^0v)AN<(0
zcxc}G?Va`iB#Opm>R?pMdk>6`<uBJkOxt>@nd{Sdif}($@^sPib|+?uqO9bMn@G#l
zoqC61fQkB86>$R{m=^X%lRv%_2>qebgD|bArrspJ<d&Su`ntu*29PxdkFUa06s%DF
z<fKw*D@>Rue4l`8cI7jKjS0+Rb#Dj6gwXf2GE!1}-Sp#JU*7`Qat?P0%Hid`7X&Ve
zYF_K_s-^O#`*CxmQ&eSez!h0<{#_pwvzShAW<2I*Cs{BC=X*gqDf*o&oG>_}@Yy_Z
zo><{vdbO>akM+7bZ8PTN)YD8?+1rG|f)-Fv)aG^WCs5xAI~iO!b`b=gRH^W{WppZr
z|B+R%LqiW*Pk|JZ{ulPVk`{t8QRGCLK0t~XEvs!>Mz@j{9SRI1IBN2#tcIu_aGp2k
zM`WMh?2VZYc%v~UUBqtahQ9}x1g;$#mo1PQ@_Q*1({dz(1l=tc#_H_FdY9<S|4C3-
zYXqb_+;)ZNUVb>E=}Ag}N?!zr(xyhK`@s(y#Tk4b+Os~C#sv+aMQj61MYf*gJZWv?
zYD0euWmB`xom!zs4G%=*SOavq&CDwXa`FgT35uMbfpp?5Kno??p#8FrHrQc+)8hl<
ztyU?#?35nqw&h5i0|=3}o&~RN_U_YQ2w7HbCHB>IVlLl0&~iAJ2M(!<o5Ed}=@!O(
z_^sK?JgOx+yd@_fe}22D7T=b<=l_QdmUL3ZWhmD?Ly%dfwIDL|{l3EN?i!)kd)@kq
zojOsRl0Q=TNPuuDJ07FuhOOBZD78)+>%DOil-Az0OeuBmM?i5RYrSFZ5>pf1ki*Kc
z#PskmoINWKC?uYZE%)^8H=FqwT$XZgPAGSVA$xj}39(l8J&T+PMRTt8E@c0meB$Z-
z57JgB6p1g1<CP#+1Jd!Q3GY9hr!ap<p;}ZdC8q-w#^L<mokAJLa!Z`9bc%ju)<{xR
zbHY|dXhFb!F}VuL66<%8dDqT@(DV4X^?2Uwg?Q+N7|x!{Y6sP!NZmmNbl~)%*h9kr
zAO5Vt!h!FO0*$p*%KV(wdb{*X;zzi<{#v~VSChg7tP2vuJ$e!fZ8#ymN@7Exy$5A(
z%`8PxDXG93)4QG}er9=dqM-#q-RS86gbfk>x{jxLytn|TXm<BdszpmYfCN%on~Zx`
zc32-;`CU3^v@_b^HoeL?i__(-e~tXPWIR<A-H!3?{@SH52s7TZBjdSp%uW>7b@yj!
zV@n~lvmIc)FYPjsB^w_RW&!wdS`t!|s#7TlU?JSveT7*im8UxY3yWjcfC;q`?56}I
zJ=WACAEtN5^~lSkcM}Mjb%XVGlY|t=WCb}!1-j@73R57@e)IaUZFaOD-lbHIDICk)
zsFeB*UfWv(euSrXzy@Je)%t-DZ9x)V+BZJ?+--gI&r{L9X7j&6h9FfiaxrnABV*ye
z|C>4j{3Ts$5;xb_shkRhza-ISzejMxWthVZQ-qxy?QM@+)Zp<UEVD@J1Jy+MowIo)
zP~*rNIghlgnJ%DsJa1(2Qb23C+N!3L>1^W#^eUK`A3BO!NGW$E6tp|Dzm|~&jj#1Q
zW}RJF&Wocfe9i1S?qW4sFF<aFE%97p?vOo~#z<Uec+B^2F6T8&U#s2k|IR!{;x}g{
zS>^>3s<++wJ_xhG81e*ewDNY#KzI&IQoM8=KXZBhtqARH#+-^##?~zBj@n;WI1oaH
z#M=#2=sBfM3`yEAX`#!t%2g}BYe9(f;u2@r5;nFJj@T-GR94jQ9^FpGVMRF5aV0Qz
z{ie<P<tc!LrydKv_HLL3L7)-h3`}4+6y9|ZR8FnDy-6zNgidG8fw{&}ju~7~K&DWg
zu0@+iA>{Tsdy4jx+&V9<(Ujhezc_sLcl?q6;oL3U$!mr+9@v5ewlj@5ji2_w(vUr^
znmZnQl3(%@0!*R$QG27kq>DXzRqgd`1(OMa#mme*Q$K)_R!z(|UL+XgDPF=&Za5ws
z?UW>Oj{S($B~Aat3!N(7&4c8n=>=w{5g?C<BpD|X{H7fUgMPxfMQLZ1v(xC%)=~0q
zjZG3ejvXe0srV96aCme>&4ron7@T#t6MgFdD)BWMsl@rp6niMi0U=2m5?@0J>hG#^
zdERq3LdTwK)aLSl*v{h|^+qR`jr=yhV_L|IOY*9;kG;Zur|w&@yy!=YtApAjW=*s(
zx2{?<D7>S{{3P#9r4w3V<=F9;z9eR{JXf#peop*!FW$+bHa~W7!b4r^_JG*c0!7OS
zl7Rj1pld&#vtwnzVSscpc_otiEI!f^SD?Btepnkd?zf%4fmk$K83@SjIT@)cOr0TZ
z?r56!W)(oW4nQWG1@3cfUi=JQ<p)K|5-Qj?u{7_CmpIJfPf@e!migawhu^GIC-V^7
zf8THsO;l8qm5E98nv+X(Ss3;=a-LBxKS%+nKBihUTK51z7<}{XqtIB)bIN-2OyWGz
zq)?%1Ht9E;XDHaHn9a(a61qH{{C*$Y63-59w8K5so1k{+pnZ&v59>$%3~dsqKhg8+
zjz32+n;$(3?V|Z<KW!2Shb(X@(NMm)OWC}P9{m{2f8zV_An&q0(-zN`B0A-T`cBWS
zK)NFq1AT6cNQ=Nl30+Q#N~|C8#cHIqP0DnrUfSqv!+WQur=JWz(+vjGtIv(rvWLOS
zk~gxtcPGbk7FK9iXK;Eoq_+FnN$f|D9q+|>hc6K{J-p8#LjPDthuInNr4aGNCD9RK
zY**rnd4-{&0x4}wnrcSlc57FGO6sq6u;EKSy+sY(?(>iZBWu^}ZqlpLAU8-p>LU&7
zSbtDcdzp|9CF>OGq0_ql;23(H+(B-hM;sckU2*9j8fqvJh1ulewU<cn-$!@y&y;f>
zr^wsBv4W-D3iOTC3E}ZI1pnhn4GoaDNuUU;AF&!@O-jCJGiI!J+Fu23jS0DCZeKNN
zf}w|o6I3-$cQGY*!pWmH+O$W07sOj5>FfX~++0_k(_EKVf=EPHfaat~Lt5U33o08%
zEzJ?FXNdm3=oe;}qYFHRG7P-blAJ)=;JlXOw(lG(-f@k^@Als4{z&}Vg4n^t$rLA$
z%M=Plz0Etd@?IOy2-Y}kxE5l2F(F~{UkEu*BPqehnTD6kPBF$_%jlU9Da_Ao19^=R
zg$|*o-sTOGwtkB(fKQ%?*T~)dRBQnjDHbo-a(N`_mj0n8)$kUFiX&xGO{YY5zYX^X
zoRh`lw42jp^dJMGW?1j9uPXMOU(t~tV1o=NKAtET4?;KU(%{jA^&ezRP_B}~i{I;u
zW79_`B=#ylJNfPQ#-{5*3vZ7AfewAEz|LFZTkw*>FO@JC$M+@-H_r^+f@&9IkCEhh
zV9C7>#bP2cLxsG~7Wa-<6F9($3Uz^Q!a_x32*Vs4>Z!1}x2pCB<QM0;)Kc_p`(4dN
zN&iLdiU2H@Fkt`!8I=R{!#Qi`n_v51MPeKYFdm0MHK2~IMU!zW?l~eS*m;YwnpGT`
z%X<Q#ApTPgZbOqydQcPB!$NBLEClJvJEhfq0=`!h@)tmv7T!VDBK-xtVjTL+JsY@}
zT~i?LB95*-UH=gOX0j%Dpx2%uYIK7wNYo-bFvJ55Gz!JS!j0T9jhT(0#1CIH-G3aF
z7pzqC*1)3Bc{sOb*nTJJtO%0R7<bM<J;X>Gk<WF%`<8&LD6`7G=gzj3hPw~nN8+{m
zJQICIu)mOdw&Bs*9)n)1d41+tl64ypTuhQ(tm_ssOUNTSD)GCDFuDdCeeUp{px0%e
zIe*<u55dn}dTL*BbPoyk^(>lWa#(_W*0(swv`#F(o0vsEav9C3uX-Xs1M4kRAnQ*x
z4d%hyqm`k*J(sR;VohT*$xHhPg*2TU$Lw#6<aCm*`9IeoQ$^7Zu=@{2TTr7I9$}3V
z0+6%e=+sw-!aKcwzmTn8=fFp<mz7Qd0osOoNGi7p$X6R<e1=T`H%CYESN7`DFeQ01
zY1$PLBycGwqV-_t@%(}loJpi%3it1jibF_j^erat+%v{6WqJxFJ>v}~3#3XF{~4(3
z*CFmfyTmxt0FIp1U)0Bx_WyR#eR8b7*7HX^9zr6z3}k9I&t=@q1h=l`^a-iAPBo81
z7~=I&GrPm}RV6)Rzvo$Qk@s_C5u7Ifqwxo=Ro((qF+R-LAOOKiy&0&ZvpOo*Vy0Lx
zbm;~a%pa;08UD&ty@%K>#!v5VU5)q@6bz3L-1$g^mg*v)Cz0XOX@m=W<CSV4#e-5f
zI?MDbo8My45r#H!zep-`sYwJ&(s?GAsi71p;KT2xQib~0f%1Cbj`iL}`<~JOBFz2&
zNKQzvv1?IeBRCUZF~6BqwIKBcpm~>iQXQ18m%Mle_mwBOqZ52gizq7q$aFBK{F%cA
z%-+wy(@}nRenSFMKEHEs9~cAI&5YkqePPS9F7eQYsXqK{Xhe=>)J@Usdas|>ROOk7
z-`i&o7N(!{g|0f|3($E;OzgcD7>C4kv#z?h3ag!$FZ317s`Ie^2+l^omk#NdfSWl=
m87<Ob3Kpiy(Tb$&SlOVMwLmzVP{~P`b5jG}K+=9f7I@!oR~khC

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_align_sync.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_align_sync.v
new file mode 100644
index 0000000000000000000000000000000000000000..dbd4f11fdcb94a97720d316b57b351fb14779b01
GIT binary patch
literal 5944
zcmV-87su#}6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1w94H9w7oTu)<?YOLhpW#5<d|r?0!gNA
zO-Q}t%GnIHSk}=r-cxnvUyVo|mzK=`LAmm@KTAtxj<f5B%%56Jc&`_d=zOEQw7{y&
zrZK`RON3S&$qWJ)-NZT-;c&PldW!|3f%uqCPZ+|_)+(HyH!>Y-?DTyf4i|qVM!sMi
z_5HVGzKRrt6nHMA_G3&QVn1t2bQA86tROozzQu5wjGlJxjS2I!f#Q^ity9E`Ps$l5
z^v2||+`2m_A-a{!Bz^l!xHbqZzE?sOiB5IPNNn_a(f_jR*JiaBq|Bk}m`5E*=jg<r
zWw-uU3Eh#)pER}6OPHmic@il4=*p@ZO~VfCPW@hZtDmliXZjU!b)T$M4>9fNLosc-
zFK~j2ugGenw-@HtIeOM&rsB{@60XR#a8;B@&DIWT4E!~j(mmq#s^l=a)gj!NI!Pc%
z!r1*k&058@Or5ZiIMW4cq*!u;I%()NuEM_jm!W*g-F9rH*M9Mf<}8w4q~9$h&rUV1
z43ku-p=#Jw8E(26d7TcuJwK4)*1|vi>ba{dxu1?-hqon5^%;<EwatS>PAnjc;exqf
zLf2APcu#>yMZlNBf|DjbKX#E)|A$ny%5eYa^kiE{9+|G}E&N5i@$m+J2O5<RqlJBw
z2_&6?GY5Zoi}l;)pg~opy0cN)?SQo^EAy)G@9nwmW3L4r;WtJ?dQ0QfovTCu&0BeX
z2IjoIc2TqMN0X>xpkeCJy4%gEs*txFXbeYR<isH)SBuZ%*f}}GC8uLedYmg0ykdx_
zBC2|4u=t3B0awKPns>cVn@_`@-U+;AxsBtXW_40ka8J+bf<2_P!Y_L_L-1UjQr7YX
zfEd}klsC#NC54p8LX<}Ms)+Qw+G~4KOw=Fxr{;;_0sbsDQJu}I%1BJ<R4%Gu5nsrB
z6R);_&`BOp6#tV{^Cx{`{&0GdZpStYcibnY;H8+qb6i;vD!@E<jY@RQWP;MaV7Z3;
zSOJHR;F;j5c=A|r%*w%p1`TXZG;@DL^Sm*S)6b>Rs8neVs+CMcpcr6@^=#;f+AwVQ
zIYILqb|WGt(bO(5701B@Iy&xdOSENN=#Jf`wGHk3WSDMh6Pg0$8+6o+XXcI<J%Ois
zyNyaM-j(H5Xc4r{l|Ur#%8u7#Y$emiaNIU}@5MyXsWY2%=tx9l399Kw&K=RWiGr;f
z{OyV-x^<(*`t3SIa=-c3;8^Yu_VI1QOL{I%_}y^%^^M;DLqP{26rhUYxqf(71bN{A
zqQANp-Wn$`nV@tksQXpjg81v%dW|H5b1af`xiNmUqP^d)Q{nK#<U}1H;lj2pZnuH)
z3LJmsOwp|u(8fM6Rx!hm@#KyYfHfZp9)xbX<U{iUM58+p5>vS0c_P4Yi~Dz8(a!=S
zN=cM#Q_J8?M}b)5ywQU31HcEnSIQo^t+l$vVW{mJU4pwjb{4ki+`Y4cdX><KwofvF
z?Mz{|Yg{qZ<GAHZ42-ewT-qA4_*290IBWg~KEQWc)?wzb=P9uE6f{tD`lJtr2nci1
z6{Pj_c_$g*U_$+>`f5)v1Bm*QI2wGzAlC;$!~dGhgyrk`XRrfo(7N<ZWxm<@!f_Th
z@Ls|lW=6x#GNlM7i6zG`A)*3pjodYSF&dOSuliiNusCHpwJi|*Qe|O;TdBJcgiHy?
zzmHqoh8QzE(2bE)BlPz*+W@ZtH|GN>0ZqPJ`=?pjf{8%B0TCB}bhnln{`xGlSBH3<
zhve(Wg)Q2B9YfA=Pox+P{$v?7>I~DH+zwPThP7Xpsz&yIedOzM#zfGg(@sSvZSGXC
zpn`e49PA?WklBg~a=nqO23$o$#((?s9BSe5BIQn?#;MXe@_JLyc?<+P0V8Ywk<%l*
z&tV_fuN5gUomCdC3XI%ld~3mnx8w17)`lL)%TuKBvDry}uMr7I+KduI<myM@6X-@c
z>xm^joTjWM{O@-x3A-58-VeHe#IRzT{svpbVPX$b^Fr~vazR2?9DPOkPolkt-t3>}
z?fQ)D5I%JeybL~CCd26xHrEIk{wu=MH~8mYwD`O5Y&ay9N%S?;03KvfltxuZ7LsY$
z8Z^T_-P~X>kSDdW82lFEKaqkbbcS`)8xY-iX)IUr^2+p=+OBd>bEJ2*(*DFo)KgX?
z)!bxTO3QOx_DX!nx)jpz(tC+ydNq}`n>rBxny1FKBPmhSrbYoLWoYYD-{aU8`1AcX
zor&dEq%wel&i>bEc9p7vs){%Gz0T-UCPw^|2J<-b*ugsTF`XI2APXwARji(}Mj63{
zi{A0CJVwPyUO>0A51;*(1da8yhj03SRoDyjLolB2k`Abl`kY$g`ve`2&MI*3B4$iS
zk4&b{N>Wm6Y{<cqyCyrw9O<tdTdeBk^y1~7r`!S)2eu%T<A3#xUhj+5YHmzn1{Sax
ziI0L{N8`<U@BJ0hY-uBp`kHqZ&{&i7-a&B`s7i%eP4)RWpj$kMW_IioO`8wfOto~C
zg51K(jPR9yH&sI+O<<diC$TsA#oeo#SaTg6w-J3spLUq%!$^D#Xt#URt3x;TcLjlt
z$4SvM3de~+%%>QbFZnP(-qbLgM3W)R2jDo8;Jt*rop@xCXWA0BTmwoJ$XeIvpL5#)
zTcWhti&*5AIsHdM-^I3E8|qEbjcR{nD5a+&tym6Hi7z!}JH9=0pd{5Ll0El8`q~MJ
zw%{7l`EO*KXA(GnA3>5+M9rX_@A5*uDL<Fx#a-14tZ*&OW0Vyu!IqdF4&wp<Z3*60
z(9PF+0GBnQt)T6X(A<ENm1O8t&oBz@85NTP|LmfTPr-mk=CWoaBFp7uvv2%e7B19c
zZoi))r(jicElzS5Sdrr`;zUF<_St}+Ql%U?swxZ-aRkrW5|wup^RmfX7cs8LUCVQ}
z!Oa5pXQ<!L%Uo&8TDfd{)ka0C%2$;zCgR7`leW!F%%H^9%YL!e?WP!s4DM`HoJzF|
zgXVX4k@xX)N&V}$He}iUf1w5#HX=O1SMCMw`^uM}N;K(HUU52;=HeuAOuayl0d~^W
zs!m`TCwKUD*s5ubp+>ZvGp!7{L`ZC$@l?yp2C4eD9f3%Q^DEwBI@O&ENpzDE;V9fu
zX+Z>N&x;A>*6sa&c*^@ga6qUGBouCC``s4&Kx^Vz5#HPY_n2wsxs%C<<J#sM7{KSQ
zm!sO63M$#*nJtc`WpbdL7>YxEaS^?G&K9=L`=9BGvQxmT782<?;y8I};j%+aWeVCi
z59utFl6{H&>PSh6COq8=_%X&3HP--m=FwwW-%gxoJNLLJEM@yo$Xe#1A=i4u4rZFr
zwoRmlch=RI=AxWoJ8YzGYONt-CX7GB{%3qiEJHonB}K&}ujQpc3()UC%JT#8Caa;K
z7`SXrGOgvWPMxHP_xHe)T&sIq^@7&Iy~)x+@>hfo<c+TayXV8S>Spk3*z!N?+q<E@
zQsY6SA|gxH{N7R=czmkf{Px*{2E2Rn$07=p?!kcXWQjevR$|^nBG~dg3;ISRPBDJe
zq1T-LJpZca`15<NY#R<Gg}`vX8xWY4;sSXRqvWS8m#ibM;lU1WI6fnMRnaFuL}HM8
z$hDrT$hKLnqFLPYM3RP|Xove%fg?mPFxk>Q6J3@W6BPhl`^g7iV=7Ubw&}#K=+GDZ
zqns#KNya)8)!qM~p!122cyn8tvQQP+3ILc-EgJAb)qLuy|EX$4-aF&hu{Tdb)0%{N
zx*_PX32m~y)3w|=huTLfqHa-#algZ{=qz}!uZ=;*G<Kf&>TIx^zq~c0_3PB$K^!UD
zkH7O|#`c;IquHs3cok`=IoH_jcfpD6T$Q5Tr|MO?5-5#B1YXlsy27O+m`0IzXNVfL
zj);ke91(J_*{!)gZC=ygL=EgZ^Wyvw!r#7{ZGvc%m4j6PGI9A1^E_cu*H+oqyLSJg
zl_1GZ&(kgpHTTC_z{`<JnaK^yd!R@Gkr4vbjaI$SQyHB3mGiNgmsYO+BJOP6#dQV6
zDJ75UgA(!xJ5S?KJOm2xcfHBE<y?Vbb`%p~Hn5v&zLY^}BCObdA0GihBnwCBQF<9`
zkSKKOdt%p;uQk`0;;&^*KhtD)R?+6X<g$y%#QdecaLdkEdjR)c@~vV)#vlb4X&5zx
z2~ZwRYxj~CNI#Iuh}wsW^gr$&B+YQd58m%_)4So;Msv#!bXJd;`|OXbsv?8A{{m#U
zs8HCwi=KWyNu5YV_V4sBY{`a><&>9BAq(m#igEcizS?Fltv&A`mQZqF{71~>5NUt=
z1~rhwYDL_{<n3#<11evY*$bN30g8+rlrYr*`PTMHjPvikjhP9R@h{DJFU9o1bG6EZ
z^0J>y&C%rdUvLBHgN`E9bqP8fP6bLViY(5kXXMwwu{MSqp(bCTmKkXpyafKOoSU9q
ze8Kd1H7{gkS|nc!#e17d%NsTA3coERpy#;%zI33i`HQ7VzUr@S8D<r$%9x!0%HHCm
z?oUUpI{{q>M0l}{=#BNbI?Fe*>!yPoV!-;!@NOhHtn+K%ta-2a;BZ}%d-Fc!u46YI
zSvnUB{|9dofj=klC<i5d9Ny$c>cr~oLU}uO%*&-xXgK2^m<6D>YoWp_2_D?^b2h_)
zOk8Oib1QK;j;c)e90;*%P?n%{RuRxciv&uzE8bUl4lB;i4@VC)aWw|d&S51pnninF
zod9HEq)(oR+1%ynJu?2id*jahYB$S_qmogWe`bl)J{2S!KxS?;miuJ?QjT%cPf>-W
z8JWZ2ymh~ZL}VI-KB5fV;rLs^!7uP%e_>oIWNE;lKBjun7rRf^BlXwvXMpBB_^Hd%
z*19QsXS!KYh#o*)IFsIHo_!dXyDkK{0pHnspyW)UA5KoQ3w`XmxpzM%<J4_|$+Lev
z@)SI@<F}ojE;C_rm>UU`(i!Emxc7E(PwJ~-4=om$LpJe}EUU#Tgld=@VY+Jc49gS1
zV^%j|xIaL{W0m{{(nBKm4&WFJ_Qhhcg2)zlNB*y@u}CN^{ym)Eb779ekTOTB{b^0q
zPxmW@1`}ZpspEKpJma%gtH7sp+ETj`GLDp349WVbWVsXxH#{7SHg~)YC^8G1!02x_
z{cA@I2VYSlO0x2fCV>+Rie$k!Z@UUJ5%d>{u6YbW#<TqVlamuo8OF_kNeh%Ys>E0q
zpX{)&v(++ncl--vDDMJ>zHmPO^gUS<fMr>9OrFJ9PC{{kMzuH6u44f_uFtqR(Iv5C
zoWzmRg0V%L96iCOr`e?&abCuCcV!}0eZ7ZiKkPQf;m@CAaO{N4%tZ$A{7d<t&=ep{
zJ#?VO3GVp;WkLAQ#xR=c&)9v4P8E0ufSKWQ>A&yK2c=a(F1qC3sqY&sjJ=NE4<4#3
zx(H%y5^ETEqXeJ?G_Ji0^YcFm8Aq#*bAJywv{?o^Cf<U+;m0BAutbd4=$sn%`Y%X>
zixl<u?e;vyl><bIg!ehuTx9x3P$g?S#-~Qh9Q27b-x$cK#<Wz4^|U~K?^hWjBM*my
zI(XtEgx0N5!9XpB47J&xlUG=$61sRkpCfC;s@XJzmfvi|G(_;+jcreFXhGQOnW9b%
zba+TgZfoTUfNO+&i&QR^`V#M>$VjyUEqeYSj^1F5eOP6082MFIlJl*sdvTNa<$<W^
zxNN#}3r>2aqc@T|7BOnIoP;73f^7@p>@iN=_<&-d-A%bjZ&6=qB~BE8yG!{ZQx@1E
zzC8!sSm^$FWioPU_x0Fyr7R3YK1;*Vr9y<}PrTk<pV&o~?{r%(894I9g%i`##r0}P
z%~eIVb%mqd9-OVd+H<=nQTN8xoY0nNn-l7v&a*|iJxwM0qYeFzjx3CM+FRbnZI2J6
z@AUc`$j|#N*!Dl3;SYhNK1<lqfzdEwaiQ(!?3Z7VR+IbYb6WlI4}c3qg{IM^6mXdZ
zCppicWB|U(2l5qbXMJSWB<#%waTKlI`^R7z$Mb<B6fiKJ>c7a1q??HL-+DDjHm93*
z$fx9UTlmh6mXamA&T_+eI~VQ9$_%5m;K@Ai={GQfX%hwsO{Cv<c)vPwMTRLJ(+36-
z9Pa2cWc)Wv1oVG0$GL7{aIr7*)UJE5WyaxflAyi@UhL?7_6-1z)#Mm5yxh;EO5%~+
zg$#l6b0KENDVuZ;2h`c7Any5Wq;EZUg-Fp3x+qPR1Hc;hNr%YLc9UU&k7!O6iSU(8
ziyfU)ueI|3+_6~X_j5?aT6;lKe{5U_FSXj35WJPNCb(nxALE$lHLz9Ujc6pMNvc4A
z4BNPIwnGPmN(`R{Y65)m^GV)->3kUEdY~giAPmTBL&AUcmfJg!muMUVFuD+r`tk#s
zv{f7|ck=LQZ=<1)u;IYVm?5KuqRR-Z@hu%WUU~7js!gvI=~0ADc{{JvV#8z<!xwhW
zR_(rWY$&)+7RS9n6T0ZHhTBWcDnG{br?Bq3%b5H|4%8?$*ZS%J>o}<A?Tb8@r@U=4
zFG9BC*T)VJ0FY96@M;bqdccYs41*jF0(g;5(0NzFQPpy+XEhphvY@1k^1KiRwV%d+
zoo;t42mPzA26N_PkVka#nT8A4H+b?u6@1;$*P$&4J1jN`f=QXY^?J@?5Dsk&M;%nI
z?xT7dy1H*WMi=pmt97`Uy?3xyK(=|?Qb?a1=F%*6tzWUCD(p4LB3_1<)#xJbr0oRG
zGm8KW2@H3Fr<yw;p6igXsfS-!n+bez&Fun;oa)KciBj8rz=-R><O9^QJ)29NS*X0(
zb6{MdjvbLhkeM{EaLS$vA5H@vneb*}o~5o4?p>%Y+btgt7l&5<m)vhpCLPcf;dzZJ
zjV?UG1>w`YeAHVbY?Orq(N|5R0NS0BMXpr?8)W2sE0<ikwd@3(<JdC_58JkW!JoBq
zhNc?tT2pBdbPTM0rTITKlG=~|eMV2=CO=dTUbuyAQ?VbnJfB@6U&&ixK`&UF53$D;
zN^E!IDWM|DK}l?DHpoAd*m6n;3L%Td-%@pGsj^e9)h11@FhJGFu{wyRLc5;;WBDrG
zH1t=An6`@p=z#(F+`Ru9M`|B_+Xq=Crr2V(+_MsVDN~HE96s;Az1yE=)3J;hSLGpM
ze?^JOr3(?rt7^|2UJSi>!r#wH@a1RCpbZ!$vv{hLD4>}Rz)p-$C`7b7uW66Hi(v%d
z+sVyo`n?#Pmvh8CKVZ*qMm7m`Bb^dIG1%A>06}w+OHMS0RpWlS^@SxbLUn8}v?rKl
zb>{^D;O;i+ySI5r3AJGtJEWJVs4G+4V`65+7QB@4Od?D~a>Klnvc)~F`*^^DJ&BRo
aDlfh+5wQPw8`9VQpGRH9)$w_de?eiPd*22C

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_arriagx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_arriagx.v
new file mode 100644
index 0000000000000000000000000000000000000000..ec134a1c01de0c55e3ca16b974ceeb70fded3d35
GIT binary patch
literal 20936
zcmV(lK=i+h6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%l4f=YY4Et8O#jUB{UHJz*zk8V4~u@m5H!4D<K-^k`}P=?GxMlo@^SKspn
zm0HMbG)l)jgybOQ?NVTN?!w2}xi&CJwnjuuY%Gz<jM|H|&_Eb;qM-J=4S%eeJMGk@
zeRanVx{`%e#u-3YtS~B`*O_t-JvD@J1TrIrWQbVSbR@(7JGrIFiRHeGkId?*Wb-l-
zaJ&_VyTJ;J_Hi8a0LLKo4cH%kut8*7Q5cX2D?)G_GLak*;ZUXc$8Yrlko?q^ti8FJ
zQgZcjt324K91LSc<{|9m*Z>`Iv~s5xep&Wuit@P%3h^XT`N-QTxl$XL8KM8e*(z{L
z=oo`(WB6DD_f2U!ygJ!vF|eleoKqLYFo_kGU9f?Z)}*3?3+N{J0Jo8x`&8EEZC$ER
zOVs?~8g+aOP!{ks+-KT)Xy&X4LHEhDkM{&Cph`h;#qz_<u>xJxSp!xCe{o<heW%a<
zsH7u9#a%@3HVKm!&UgE~W5NDQVu9ID*Xn$%$GX{ELPJ9&%4C|V?EF*|)(9iCF(|x~
z7TM9HJiD>)q2>K~Q(l<7Pi`Z9svoxDWcmC<U)iu43lEFAWM>K8mkfQV7q42tg~hKu
zY`s@;jw{RdGV>)3S}fM7m&qZ*2s$`cgaxcn5wIXBXp#+^l|;2h$(D}~j?<B)T=S<Q
zWGH4CF_u&(g7@(Vo+k2nSLYPorWqWvJGHLfLu<GMi0OR4nCM^^1ZD&I&AiD}PFO(W
z2ADArYP4Pup`lLTjNA3w6k$Z6tU^Q-=9HtPS-%)ume{tq3!%uYR_#i6#J=}zUyMT6
zH0#3gmx!L%wHXFBrm>0LG=g_%a(kIU-flb>C-ep7g_#|x$K8tw$jTAUO5DL}h(KB3
zSi(4O*ydkhf7L}(gWb>Dc|sQClrvFkpR=fY)8e#|G6&6-xLsZc-&u^TIw%AQp9MfK
ztXWQJDNi#`q}9#26W}0OG1u2*`yHr;{V|?V-Q?Jg8s)i$3$qF42>S9y9^QqHemI85
z+E2$EhcV3X3p?Rclj}{l0vMkH2=%tKgk*RV2Mq_wT{V;O<0-u;Xz{rl5f$PRA01AY
zQnn7o#;yQ*CECixz~}b)q*|O5sGMGYpJtx8`<g1PdtL67LHvD&e3v|YfIJvjggBBn
zQ&(7cPH(RcodF80RZ6jY(jl@3bKCb(@V}Jazjgo$qOxep+@N?;dp6UZQvl_<`N+E*
zIdH)?-i7kwX8&!7uAK-7o&8u6UVq|wi|n2`Gbb4-OD(B@*FSIreLD9&f^(5aiEanr
z6x`VES^mwhnt`|aPSZDeO~1&5q1tA#PSO4HGJUaR2WjD8UNEDUA(JFH|5_Sr@zS}6
zwh7{+w#Ql11n5tBvr034(?)(e*wBdnSz;9Hm^gf9yF}6D8qzl^QrRjtkjIKpy($ZD
zFF&TERiyHPOm@^aD+<W1`SOzKsgLPR;p+7SiIkNHatKBXZtk*=!lD2x$%L+zAc5Pa
zB;izt&*N%8iE*5F6}Oa}>HgCPEY)lsV^^Xm+!pK8EPOD+e;aG3sk$X6pn1Nw)pYKK
zVL8scP3c>2A11(A7eq{+WIYA=JZ+831gu|%cs<jV<FEJ?wV|@_!c?rmce*RvCP2^P
zmkds%UC5#)!b0+F?@HVSF9Y~On@j3>{2fYz$}54A<Kbeho;@^~X(z}4s8nN949UE&
zKdm>)CEVUtfro?$M!VABU&6Epc?_A1;6UyMcL;^6t<8qUtU<MNHmRv}Shrkn;<E?f
z?Cfag#a^(-OBXB;&43>M#u<d*C7(NCB=)}xvr3UdhuO{^X$m7)YF%I%@hn1#HMS|Q
zS8{sR=$B9W2_Y?^PVDQMIE4OjuGlv8h%KJ?VJhHmKn3<$W0#l?Rw%wh4$3Cel|;rr
zv(fDm1qLh4qYrky<ijCQ@2hL_*(RXDX`AYWJ5~t-gzd?=1av+3iWGeu1Lf&^k(T~B
z)a&iSlBBqyjcV_iHWzmKkv{Ot1jCI&>;C&A)+L@4Sq<+{ppFQd+UM0|PgSXR_|z%K
zn|Bz-^;`AOIaN~@Z~Fom-TznZCj=R_%AUnX^B`U8jx&mc=`fB=E}9_wEQCy?TVkbk
zfhZiAu5bn7pWt0}*fQ3_1XUt5Nx19UiS@v`G|2M-xKUyI0wFCOdEfI&Zkp^WypR}G
zC%%Afkm5@^ImSZJET&lnt`4KW8}vCkZ&jK#abD(7s>ysxR||+`R&Y$6YjAd*fLyQ%
zh+ziY{RD-T&->P&PmF7Bl@F&V$(x(GaCU(E>J;0G4qW8BE=SaQ0p>jFAXC&<pId~s
z4Syu8<q2jIk)!^(3CFzfvwrI|(lQ1$U=nJ{qU=71%kJ18=lRQ5IDR}B=yI!hn9pn#
zr9_#!i<GE6U6-1FN1KSodjDTk(xkk%_JVC7st%VCCJw;N`BiSYm<F@mDee?!M2W8>
zkfzKDFH~)VlJahX%UBj!_NZk8d@F0*fEFy_g=x(@CNM4ys$l$X5^1aA7^z7-N8$0B
z|6plLI_<=npNMHqS~uvf7-*0Y)_~<#Cg)hZa0ZY>4f`b8FJrVtH}~W!diuSB?bTcS
zO3C?sR=n}P#e+d}8X<o*rD#Ot1whJ);HMoeD+lJ!6@09Er!AZlN~1Oh3MF?1ZJ%7w
zne06+=9&!@5^A8)_EM6j>vT)+fuD>(SJ0xb@8e}Sg&Y`+R;OH+!&<b(1bu`XcTDO9
z5g%s)Gn{hj($3`|BhoSnJerA>lFQwX2g2?~V~-|AkDPUlEcMl?NRr&4bjcmnr*Z}Q
zs16C_ng^D$kk9QiOFdj&d4;*X&vXO_R=e#;oT*y0(R6W#N}uwx4X8C{pO?{pN)UgY
zzlxTyf2~5VCf4?Pw>InxzV8lurnH8|?8wssP#vB<VFECmy4pmKYB+NTM&#d!Kmt;)
zexX-1AHYl%7S&Z075P@N==F;X0+ZKttHC0nh5Ntnh;WCs<OJI(BGRn)*7A5KTf7GC
z&sW^~s}rj4P=Sdwe^ohZLgGzXd>Fp|Vy^IY&eY!t8lx2+B1=gS6bZM_yJ+VRT+6c1
zZ+-$M=S#jLbKSqFJ!E3Q-LYQHc3<to{s}jH{3S0}UVR0N#wo2sU&GrTpo1TcD{5M9
zuDL&kN~2X1YnINuqt$GHy?|_5KT_CQ+)GfJ2r8KilM#4SOI}M3wb%Rv;~P6B=^`e~
ztYW^I>`C@c0Mv(sA-pDm^xX6ONwqHGggF9jfFl4jrf;_;f8`y8wiHo;dl?N`oZupi
zuEhShcUTQ+d;`71zf9Q=ayG>P|NN1^YLVs^k5g(EcZhqc4Lp371bm-xtq4;O$<V%q
z;=)1JhH%`of}VbmWaZ$yr_W)!3_NZ5ZkzyLgjLUpU}H?|;xLo<D;s*RLr=q!Yovcq
zg%~<Htl|B#f**TdV7l9$Z8J1H5S*$U^l;cr8WK0$fjf$>DL(GfYR)<|gEhJ0SX|D<
zN;3^!m_7=gv1~+GXIHXU&`!X<zIkt;dwdl5a*=h(TU9z#jDL<xX(is{TyeQOH9cp6
zkhmbpt@ehB6-^oX!SYPfSPg+EOsz;GrKRI0^-;W|6ghtSgVhH4EC5D81|u-mwZDqQ
z2Y@&AEAUHaeBXi<27?sUopGjSwi!|8KZn=ylV>IMV&7VD*)nIQfY*VTB*#RAb)-LC
zAXK-T-sdrRn*eh&!A9OS2PMZ*fJn?-ofk3^&|n@!BaNWh`Sx<pI2|{JM$|cfzAL3*
zH=QsM)oKY>m)xi01SfO#6yXVp9(`-Yn~xM$IYzF@(G+8*rgvGRByo*-K3>@;8L0pg
zNPy&HW*iK`TgGQmC|=7`-(_5Cp#1hI1Ld_nkrSkTmfT=^Bu&G#M-o=cyTxdID7<*d
z&||(D^S)kOicDsh_+!dBM~GQ0{);QajBTue!{!UKFhp^)tx3|vkC(|1;d>)2w|QR*
z^(V%e$W>YRC2cJ|mMMS!)rlj$2_3AZ-buw;k@@M0PDenR5t~XGCr3Y>Xt%3Kr^{In
z+01Mlk_xY>#(A&B9~>5JUlF6<9>4Rj(wOeY8==Pm!jXGr1aHUrQ+q6#NV)*#k5a;#
zpVX_1guV^~0=$j36iE;Ko!+%i4kMr9U6^vK0mXk~pW%&Oq;qN7)pEFAh_@d0Wl$*F
z1yH@xC!${vUATp1IVNz7&Rd-GHaN}|{bxMj^vd#8QDoezJ*(sWA|4vW)Uz2H%DiVH
z`T<r}j8_-LO;$ICb7Du8EJ$>BML&p-+6t=88Ak7nli|*Arj(ZcEb#8ZMm6=@D_a0F
zMLSCHy;`g|&Xg>uA9}#L+arxF3WVLGAK3`CO{-aXoytDDeg$;=1%n8hx;-!1QHM7J
z3<dMYn<jxi)qh%x4!X5gg&><smQjZ!Nz~vN!Q>8pJQ*`oiaKwP4`~OcXYqD#WmK2N
z(LdI=)<h%@?L@k>QcPf9ydAs$^AoIgF^NWsK}in%)oDFfi9nuyV*=N|Z_hFz;hH;7
zLRm@&Lu!ai^{oEzz7)2~Ko*4!|1|rP{<YalaXk2Y;TuoTqNAlzV35ZlJ05B`lF1i*
z$SVi4i*t$QEZYuT7=KP+%b8z=E^TdudCQ>M{NHRpGIg_u&g0uXs^P?<69bOdn`f!?
zG3oeOWKIYYXhB^_#p3)@d_>R*`>fOHQU4Zte$Jz3ZFlU7%l<Dn3dXeE?9f5MJ)s|D
zTU}kxhqyE~NpoDySke7*?VPIHL(0aAIlKl*);R~zV@lZ9CuDJ5WqH>S|0TnIz7sZZ
z)S&-r2VLGcC7EG$YzIFdol@jrd!piZ63hkv7<o{87t$14az}L+mWE!uY7CREo-7aQ
zZwYJ0_}Gw(B5g<iX^64{?P;aOHi7EEwc^T1lJ)bxOF6juV%in(h{>NfmrYWL<-|vm
zwu5=0h)(p4ZP_%ouuDiE`r+W8*`u+IFuWu@5onE3g_@Zl)W3VCc;=U9G5IEDm#>S)
zytEaqmnNClNm4o!9B@R~8wYirFGP8l(F3$}(f-#9dQ-_Q-%y3<v_FJR0sN<J`eCDp
zd+R(pL?=gPl!+`%x+)e1*n&z>!2$GkT$5@Sy$m{P=~f)ELOri_wDJIGSL5;XF}P8q
zs?Ru{V`^0_^LX~)>4g!j0E*lwa**wfFu8T!Q^u)<S0k9y%`RH>h0=`{DZlSsGA1+S
zte>bsj<aQqk$K_(E8ctd_L;9PT(IjNn}$tjaw)qbroS~&SsKEJxA@gxxDW`t=H>*=
ztw4P29?q~1IAz@3@TtOS3P87k78$eOfWu@Y678c;AXb({_nOIGz*9}MmY#jfl>;oT
z11&N9!9<I18jY@~P`yU<A)+bH9xJTv#(q)X@fAI$)-{8o6x!;txsiVWugM_XKtkyD
zF?pZWUsoI1#(#Z87wU#5tRp|y`6eA<PIVUb7=WV(at(0E0}poypBc2|O|XnxPK&-~
zr}!XP5So@jfak5iKF~ES3}Y9PaB;!ObY+L8by~MJDP>O>5mQRK$y<^hjZ~yA&0U$t
z^@ej)@XJS%{@WRQ>NAd(gqy%xzt%D_6PE|=c*5W~>^marTY1pi7p|>rlCXRhe7BlT
zkvF-*@U3LZ(9h_0LE?jS*b)*jmV&N*Xj9Bndk{jl#al!UWEOlJ$_iBKdU7&v-!H+u
zp%25-=*;@?P$x_j+oTc&TV$Eb6Z!h)N*b;4`N1%@#u>+eQymoSF`(twOOM-0s#k+1
zz5M}{Ej*=yAA8I7L{j`%m@O{C@((A??ws{2#-W__X(OwH*P|f76tasFU&#^7qF{v(
z9@uUF?I0+zVyBmmWm9GcIpvEEeWzmE?VNbS?c*PaDM#yk*72?Q%QCeIkSz23r14JW
zqD~}lkn4LKBO^Z)Y>+Ow)9a3$uoI{y)p*DO=h0wDrrYYC(l=}v#WU-E#Hghgr4FL?
zXZqI>Ta@9b-pDH~9LsSpV%q=`ZCO+9%Gum&)8urdG|+sP7nUo@_u3H>MdOP5#m}N<
z{WrutEK;@;7S8cY$pYw%t>$x}MOyRJ%Pfgf##Mc3o=8ekFOFx5#d}i*2P`?>R(SS)
z+Otwo!%;kGQ$e#cTmoxN&Np)Jx`GG8rPCaX%GsTsJ<;rSYMgSAuFPW0rc3*Wa8nB4
zZ!NMhO!0~6HngG|zW0fYsTri_U^+>rc`P}JFIc3gd=R+YRQaITvtsVt$Zqq(Bl{mf
z_Tp*gKehZ2>$;P?5c@y9Eh;<~t!qP3OLkPs@f{lFscorCjTpZAWvMkgJeiMv;|pBC
zt+k-4It+o9fmwlioa%+m!mKVGFiHF!!^#zkx77j1p5x{W{0p;rwD3;^Vw*;_f~y?=
z!AYffX=kV0oYW$+4S^F0W<gN?yA^JsD$WM;v8;yhJnZF#?#`2-L?y#4Bz{9UCz9i3
zrUBFBqMM}1GZ(W-z=$AJDS+He&B4AeB<dq6*<M7L%3obwggtWZA*J$_kJp-$q6Tw+
zsx4dVHf3}(Z}E4v^P07oi&~<Cp(o7RyI=pgP#$P~%SuD!8sIfYxIDC3NUO2#75$oh
zcovhU4s`{$T^5o>+6MrSV@s%<Q!u{~_o?h)&|N4>4unLjL?>S`-Dg`lumX`bLu72#
zCS($zX;!(Zlf(vB={S!eV=Ci<@jDYU)``K#0*SNvNHK!xfzS%tIV||vyuNmP(7Otr
zoTSI&A)#00dbCP6zbfkd`?!QX*mvf!$Mw6(xdy4P<l^`HN6<m+VDR@~(vF0Ys1^(u
z#)?bnf6r9z+O6MExLjO0Gv)?{A7^);oJ~t3Q+Oir3zaPtm@O&ZpgioVYj`d8K8IOH
zJAl~+m_!X<^xbpJ460>is!^Z#&(i!%ca;))n36_JwcEX`%VX$~wNz4%*{AU4Eu{4u
zmw#MaLg{nj2*VBognICM{0SR$9k_YNa&__eOBb1<-?x^&<Lx}OLV{c}w4-6ZMk$k{
zEW-?m34+U}BkIIk*o_)VA}gO^S*}`ouR8nK#a}Q+^DTqNLIV2IT2}O%?hLk3sa(b4
zNA@RkMc9|$Gi$hF#i5RW300UBLti8AGaDA`o9jaAs2#yq#Q+bT8_JJ)<v)(+SWnkb
z29d#|q6$uRWXd2`rONIqnc**0d-}(>#uP2c1dS{g%YVrN9=pHU98!y|s7#||GDjwJ
zTt;h4vQwXAVsx4{a{dz7$iO%%tuAfg$%l{TC%+c&`0i!01jzkH{P-1kQs%*Z^&EbF
z*GR2)vk6ABwUpj6D_|Qb%WqoT?T7U#r>TTd?rP3<r7GbrO^jh9ydjk_GNw#G+{dgm
z0wI+e%V1tfO;DiyP6NC%6m~q+dIDEk{uloQJ8?GhDn%a@Q3`TKPe0`YkR9GeEgm`t
zW3*NQ%W0FeHXS*kXtRWmyj(`>f#{xC;ntlN`vfvrml#`PstzH6MXbvhuCDdr<}ba&
z*4wE$uQC6Xk}?mM1=S@QZfM8WLQCGIqjgfHMCz#uz?6)2>w=EM_#rTU<4@LV8PA?I
zeM|pmn$n?v*an2^o97|U6BswjzOAAkaR?3iQA-y)mdi~kR<b=#6>F)Y{Saih|MgHR
zbT9)?ksG3OC1*Ru{5CmCxQV<aF~=$$8@{5aF_2_=zsZlhS4%~RKZZUbjo@#kEh~#2
z?r1$+qd#PQcI<CC+D#%%;RS}w>Lb6w^zyDNy&$g_2$3q?M0hLzw7Y{%aLo5bU1Fyt
z*=Ij%8<<g&ul^>jkxnJ?0&rGc<xuDIuOPkuz%tq-eUS!Yylgh=u_=DI#zU3J5nzhZ
zYAdYI`MmalGz`C*v<F3i(m&n@70XDLrC&x0-3%$69e9~JGxkW!kdPtTOZhuCmF8pl
zc_eAkil{Qen)d)cKCsuWiX@dfwlx8VP#p}SA%6J1od?R(g=jlwqH?P{^D#trIs*TD
z2n%LQKb?tXv^oJr`kcc)uvH^Zr~2e#H;e26*q&yl!AAUlAzw|7m2t@1%K2$lY~@-y
zO@PDfKQ+y*0a~c32vRhD+r3&1<<o&xF?Kulgng~pxMjVzvmB4J(yZsYhd+la%7+;V
ze!SKh@3O((-SK`XZL~wsJ~FKUDgB$K-4T*O+E7|C@jL=G3$Zk82Gy;#{n23Oqpw>6
z99*~%z9KX(gG7O(K}jC>9jbB%pW7g0f8^7jawJbo{Qe&ahL+Rb>>y406j6qSv=Z&W
zs4Xb=wM96>6hRwwWg@e%SZDg{%K&Hl+;Em$OS}`TnelhsyBQz1rM?YjXPkAk%ZIkP
zP(A3G*6&Jc@Es(-sZ=Mi_x{VyiA0TFdX`#VaY|$q5ARk$O|CGo8M)Ny3q1f<2>9_t
zT06E6z-e<`;BRtvc?wauMd~+K=Rm#DTUBTy5rIhUA)GTX+A<9#7+?+CDZt*CWrjBm
z<;pY+@9*9huPU?QnvI7WfbZN@>6j~9<aLW#V^8w}4S!o#Z=?6t(5R;(6PW)1q%JcO
zu-JZ36`Nuo@L_S~0)n7%2Csb1umW1hL4&x55^ZEVyZzjrB3`^z6-1f{NK6O7t9ez)
z5D>;8(dl)%$-Ne=K@JvwpnU5K%Koiyk;;RgelJusjrW(K=n&P$uJ2_>K$`bYTz}(Z
zjUuBweK~79%}K|OEoWc4J*pX#M8&*(Z+0iH@&}8dW0@}lE0(u?HU>|SWFbb-8OrEI
zC<NtAjh~LdfaSl99nu47>8;^LKCm3p{wI0nhgUx}ArU!@nK$&O!UL`Uem7K~>rQ7@
zhd=*i*&iEvmudzyC*i};e+w6fWWo#Tv%20ufowR8zIc)Ic^%VM($Sx&l=mn}ZqgG!
zrK?!JK{j&1!U!y<E0I23r4|RWAG+FXnZf27kwCln7)y%OG3O0fk60jR_{~!1H;=QH
zepeD^x|#BE7VN@edq|s>ei75&W;AbF?*)7pea5uZ6iNw*ks_H5N(o9#^-IQId4$H^
z$|d-RTl){VaboZj825G$@Ve*uh;u7JXXIa!E?V4@<Fw93Ofpc1L;h31Z~dj6Q_#w3
zcT^N7A_0R7DxzflN}D@%bnY`2(Z_JPG-HHAmowaZwJCl@3++c&$I+C7P9di=nfPmz
zo$0lzmgt;aRETSW0T0i0)Dz*w4duTz;5$hMiGmi3rC7#c>#t+8$b<;jA~FZqB<Kbo
zRz5nSL^uw##tS0rOM1Qd^(sX_ZxcHbe{|i+MA2p0QAQx`0FH{9LF)!INLxYGA;}N>
zQ|bHRI)}Neo;Rf+U;M%Jdcx*=US`g+QQhS+kFAItP1&@cSpO#KY9Dq&<->~;lBgRg
zMjFg@@p$3~X%3#XMObxF&~Z;_>=v6218wO^sFEtG0I=B;7*(^2_hVY-#2@c)k5Kld
z^Du|;LoYpP#M1+wp`^m^LW0E3-xW#IH_h;+=eh(gp!&>|a~Az?+oh6cXs0fNhVYR<
z!LrteRPu}{GHPSbGo^qC+mS_dyY<Mw?`F|8*4Gvfu$jjsJb;A2KuIu2b2~pI0Cn!`
zURbeig2QrHM9we~s$~LuQvL`cl8h}N&a&p@hp3ZNPq4+7PMVMW3r&6K7Jp;miIoX|
z@)SzwLiiOjY~h_)G<8W`XiQO+#_wt*Ntjn=pe`Crd}EPz0Xtk!gu!(_`B5wEQAL9W
zL5Z<DV0qc&gD`~Qus8S-RPI~;kR@@xb4K>vaZ_IYNZO*l*HnbA_h~W7pFY#~$BxiQ
z<#V>kGkS0s{cQW+B-O?HEY&Pngb@8-p?N`KSkIME3L!AnWnL2$A-ij^l6eNuK_!Hq
zb3fDq?G9Thc98?@UN*~%YrOd+!5|j#gR3y_w$7DcIBaZ00$|NS;nJJvNCsJuGZMn^
z&Xw4LlcJQ4_z0}1-|UXXI=l>?O!9M86ZO{o2WFxoT73D>Xz7kSK4v!33adCSnhDY4
zEuM}J{pCKHg|Xs`&0C?{LLWA0{{WBXXD9SH`1G^jL)GPDGgxOqd!|Sf;T~V7Xyp-2
z#y*yBs<h+l7UrSx6fU#xxK)^Vm9(GLtY8iYa~n<u=GAhEklS}n?YQV~KqX&mK<{tf
zRt^QS+To)QPiM<D$MH8Pare(YjEiT~t@}F~jKT!HYPJe2$$Tj_u<)t~T^Qk@tKv*}
zrSzM$v|Amo7X7<CO3a?SO7Ei-t4dZ0smu7MtBj2!(N6_w?5&O0F?Mi^PWm*4MJ^9i
zR7DOe8_&KfDYORX1<~G8Wom0#8U)d6m#>%Wzu-4}fQm5|N=#;YKKAPF{b{ZQD!vbS
zBTm-M%V54KeTS6-I9BriwwMTYo(cp4WHKS3=WW&$H@uwyqR9IvGMlm6#E?ZJgB%p;
zfXS+moZqB?bi~ej4ZqE@_@EE^pBk7skZnITC~<ceXOI0ZGKr*}^X@qJQS65By$OeZ
zyNzTqB7UqS`;T_0W#229W)qI+Z_zjt+L1A#UBlZ1v-6)ZpT8o4v#SCtt}eZz8#vym
z*snnQO_ZIAF$MRBrs|Lh^=7vfZ&=}WvTR^y!`f)`{mwWzOiyw9Y3KzMh^HbX{=UZm
zqb+7gab2Vrkt_i;%ToxfsBJwpCKJf5P&h=xC#uU|N-;L^;Lv_s|2B<R2(DBE<(ub*
ze-rp55v;XCP8du}>r{i(Z=%?wc2)8iFXtcimE7$EdCZL|5~)&byrPd=dOGjYjVU*m
zVloQw_{eE4mw4(p$zrj2&^^9pOi!!g9aO@D(o=*TGJgx?(H-lEquJ`6Cj-(BkIJZK
zS2_is%>>kAY1z6CO6IeNzTU0|80I|Q(De7baDt*~H!u)Goq!iw0TG|?<zK?eu$z0i
z5sdF&3+lE3EyOZgfw`7BmqU-|o7d`~DLJM43+*jW0FGDJW2M=w-BKcT5$Q*#^o`rv
z+YVEkpC6R`t6(&Sn6f`HDuq3k!AAR77J*%Dpm6iRORnfMy)I{pHVEW!yJUIvh~7wb
z^dP^J0Qd07gKqb{IJ{a*1e7^d3Rf6AD^0ZY&aEuyCqF(=Te$_d5PI&~1pBt?_zq!C
zoQ|s9$>QB4+soP%Kik0=D6PJ)A$3C?d*THd$Cu(v7v~0~wUbjETH(5RF#+WE`G(TN
zQ7c}++Q<fXp}S6uTUGPtO^VTYJBRPZG$$8J57%GTr)H;cfhTU10-q65!7%{R)!5yq
zrw~d`bO~jJL3wE)<`L~-K3*&&iF4>0>%OYj{{#IpeZMGeCR$)4QK)4e#D0EQRp#(h
z*9`t!eZEZqyCD9`8Pi|uB)iPzFtr1sVj&HvgXYN`nBhQEx+3xpbhGl>WFDrRz>+K!
zZwaVwesyBG%ZvX31}xZd(yLk{Iu=f`5Ste*gxKa`p%x|8?{4cU%kkGJ0;9yUH<7%q
zCGPh!xoO@<#|$jx5#3y`lLD|~iia(!xYbPnMX*UI?dQW&70Ep|0b&4TwzwNPL*PNT
zFx@E%P2l2`5@BXd*PiHp%U$&b^-ZTuGn#XhwBS<*Z|k@@_ieL2BA7ie(DMAq-c7K;
zKbc8xd8?PfR6yJU=w>+OBKH#TZT4I*z}(?C+9xK~G0Og5jFnnDF4j_<F0mApWtzn8
z)~ilnLoNtO`wDY=FIimi6X&9$4u-RCg2Liwkkp^{7bln=kO%ZHr$MUe<J2BbZowk9
zk<<`HYs3BWHT^{vGlh8)-tr%D;0)0mRq+KI?}Ryx%rr%1f&#tHM|KU=O%(FNOqH$T
zHI-4Xix;$dO@qJtw<U4V$%KuqYwidDX}WeN;1z(C?XF^)SA^4*%uMZ$DOi511(SzF
z9U7uI=^&X9zxGoxyaCR$jaw5mE8&Pie2*^z4*Al@5z|=%<vaNt)s;y~VO}$7^7JRv
zO+-rwOsAPLEImuKp)x^PS1Zz45{HVT%W-J2=U}J?P9Rs##$)b`>Y$m0E1CX{uTi}8
zKNZ3fsiGM?5&6pC--6xE&<~=aIX0wNrxIs%j4y?hGX0qbC=aLXUi6gLc7Kez9?oI*
zhAqb|JLf}$8TjdOJf^aBrAJnTc=$%S&H_#ySLE}YjPBFJ+6N=eGZ}qSMoxQWEcCLk
z0$)P5l7%;)P8H8|nSxbWy-`v@tZUlg%oo2RerHwp5MIlH?%OBxm$2Cxf7vEpakjk(
zm!r_5p?j(^_rr>{`rRRnXAZIw*)&i4_VXvyA`!aXh-fr?-m;hV>idtYvKjNEuF=pg
zQn(9%>(3gCr5KS4cm0e2W<RE~x99s2R&NUrV4Q4=5y|1toSyJrs4(R3T5AmIOGQ9v
zp)OSYg$8~NR|YOhpQ?8|qjeU<+l+XF>m1%ChsMOa(h$S<vKdTxebIabS>wv2!T{L_
zK4&QCz0zpOex*x|G`==nJ%I6svh395H<QJocFBjDkbI+I0C`>$Li1nVPe~)jcXWZ;
z0K{ErmCFWFc|&)pzvY<=W>Y@#6oA+zXV|y~SAG+Hirz1tGPwlu{U&<XFv|z)g!N_V
z)Dq<A4E$8*Q5=Epv&D5O{7jmiS3qQ&-^_+p99w|T(=ko+^Jj__sWfG-esZ=0r~nW!
z<-~JRP$QPU0;#MWx7}{^e5-3PXDU4OcPJEN&NCmRulj}9j+ukdyUW2~B%LB!&p1V4
z)jOW~g;wCHIc$N!Ct{1~|L!GSx2~N+#Y{y0DIX`+I853z?5&?Fg1|N+S5*>KMYd`2
zyTJ4|LrA*yOXI_eC<Koz&LH176vOWN$Ob63da#=!Mvw(8EX@r8IvReSpIEFoj@X9m
zvy0RUFguAv^ZbP_$}MG45J%J&w&!CZq5V<LivL3>*=SEsm_U!Y0^r~NwE;k$7o$u&
zk|q4%g5kre^~yBJq~E036`Khta#3@&%U~h8k#}Y95|(ij{^J%I&(hMX2^pGCHG1sI
z&r9b&P6(vvC>8!WmQM+Q>8QkH1O}X)z!IG{PBwtQWTnf@>-|L3Yd_e1hsg<*`}O=;
zA1Drg^uEx-tz8L2jgh!%+$m@pQwM;>u8!8CGg)TgwJ^Rtp>?4My6i=y3ka*QWwX85
z*nB7=K-8*Yuw>mGKV2~c@vJ`=60D^RG3L}7qzd+VMaWKEvE_R#A0Ve)qv-!#&*!pv
zYziN<QDiLW;5c>Yb$pLx08n1zGiQqR%#0Xj4<l*V1?g7UF3m9tJB&XUI04tp7t6k$
z2ey-@ZD$<T1nWI^GPYYW^AX5jrh0Qkk9sS&b(hO6w1nFy1;~OTi{)NVrVIpr9=3e@
zH}a&H;nRIs21(o|fL<nPNH^2iRAaO!Hl!Pg#I!rEHQF^e4cKdMCMd)hn(UYL8=(%4
zpWrS`MeN7QIhMQ-58N+}>eM6q@2J9M!$8r?)v9|XDaAYm@5suBN&GNc0vG8I%Xc<j
zC6%G!Ii}=RLs#v(R;Q32Czv~IHA}|0bv@8f=CAM@-dLa$RZU#oPWJwE20QbLXPJKU
z%lY|TBD10)<--GP`KBwrB+My67s}U&<@NYq@KoZCty{bnSUbzCU=0?#KPO2DaRfh>
zqSRJ7jYWpCY8@?Z==ukDB&|<4L{+aqybC<3FFWhM#dESvNoJs01cvfLth-j=@5-fg
z!PoV}_ex%42;znQ^#6XB2~!KK)=a&(?wfH#Du$9;Ub*vZy42=HA!eM54Swr)WfDTU
zazJArl`Ux?Jz<Z~xxtJ$y}o@XB%_MqF=^?P`W3BeIawl$x4O2JUT9QC81`;_j3*{h
zD2=g@?~;hLY^n2b_u3CD%vkJrG_o)q)z%>l%e^9p@~jl?HJjPVL^R#ooLoIvA^V~i
zx649R<JCT7U;nX1-sr4Cjo;o>u$XwKd#A8^rfxxg;h8128F<psurn<5Pq<T~dUkat
zLfsBYPMQtPN}cwNyGOm#Ep4NHkq-P}SPb4xy<On=Y#?8B&jhzbB_9e+UfUDv6;>>l
zpyn(!fAJwrgYxM3upSRAT7P2N;@mX{%R{RShlDC>C8!e-4~lk2n)|xMBb7!`QY#%)
zTG;*x7hkh0XTGUpSE{AU4EsrI;ODQuZ&>Tk!VaT#O_oAK8(JMmmBn2AwSL9Aoa-$5
zZOPt;#r$9@qjRuW#!{*2DqOSey{?@4Bkhx_nVKnE9v!4j4$J%cH3V(ymD)mSl)q5O
z`s3>-HE(g$x5U{_X%CIZ&OC6zxx&z;U=JvGHtWcR%|xJ>k+QAwsu$)<^hLLlK1c_k
z-GZtZxm=uA76a%nLbSzfpeI1%I|J3CVhuc*Is@#oP}jyYMy8rJlaZI=xX0IzYlDJB
z0vzl?_vq^XbbAuAO2om0i8O(>qd>AS#gW*a2qD`Z2#pb`lBtxG*_T?oLNtwIJv>a9
z3myE_%&Ky)I#+&7vF+1FUAVp>IcXW0r77XAR<_w8?AuZIhDx0#@kr)@Z>#(qH>H!!
z^CgsgU`2*afrNtxpUtzr)nf$$yW!r#1q#tWe?^c{pD>q^qg4uXaOeshKBM=tjM^Jo
z=yiFLa#=}#O7nFkqAc@;(?f?t2rb!!BuU=EDs-}g-uWPE<m%a0mgUm6;Jrh9OdUnh
zc6`}TaNk(s{hCNNqCk7%B8iSfyOp7m#g@28=~7~e2pftN9F*}9Hf+=2))s8>gVStz
zydyZGG9u>IWfwBfpWj+}Fb$9Xswzu|evpd~OFg6MoX%=4o!=j*U-V8|uv@bFrh8Ak
zU@f}{cc=#QNv)jx)Z=7|>gCXBb0S?`i9G&qVzP6|k>?4ZX(c%$JV}6}2T(utTg5)3
zFYb;#1PTmhXmQVjECy#ocN`@z=ELk<P}bKci)DE#;5Fe7KpV@PULGWk77uMN)g|_8
zM4k@(Dblt@k#kqAfR|2wN}^*Z$-f~D?KzwB*x@L?vSazS%m%+?lw!#qL1;ILzqJ~A
z=)j52pgF8i@)CACRZK!Fe%orUVsMVD2?iA}0$(J6$sXr23RYRF))~_ZmqX_i9`APB
zf+*3Y&<uuSp_MevG`pcA)x12N%olKbR%Pp$;xA%uPROBT<Dt`+kQ^q!FwDy5U@|E@
z&#dFpY{ZBz7)VXd<kja#*_^j(+$ZYb;%H?@3_wJA7DS=bxl*f+1z}mqIJw%yo$NRW
z$+=cNo%E;^-4&b5>6O-Nmw6oHC}xCX<0=w--?pc{={E=q(TBZ9>y@sR$IXN5u@G*|
zR6}sCsgje;na09C7f-;a+Ka0<MI|vh)X20W`O&~?W9HZ!S3wihgD@&i#!|?R%>j`~
z2Zw5eKs)cej^q;1?P)|Fl>QY)a}aM%Y@~;X<itC~y851H0k*Fsb}LOfXi_n>lc({8
zq&RJYZ|YeO!Ypq<3vR;VRK}M+bR8yi<lQ~H`!v@SskC@ALKgt0-WG)*6M~K`ZZ1k-
zqCE;P*b8^^V*djZ)-phepyGr3+o-Ph98>3C)tk1Y9<?+4^-ze3*{#Yc@5RaifESEL
zs`iRcXe#>MHdfNd)|b)L7^bV?PIEF87@pQu>W|4Nk78oZo-?$&ThlR|O2H57cu4$}
zh5N5;mQDGkJ#%|tT3_NRx_w~XQ$mlOOqcS_xg4;UXB!y=>Gmz9GC)LfkoTrR$z=dU
zcpH5b(<XbFaATHKWRAr{T0@MHPT7m-Ie7YU$r~-g3Ks0kMHu<6uYX>9G7i<Iya#ZP
z=4}z)v4K6i!^IpRfHDN>ZvgCrvG?%$Rh>v8qMcr0k+vu*v8h4#VYEZh6<j3Mn$C&1
zmFX*sanM<fflU-OqaWiY<79TkwYiLROzm~8s9F75f4k>$<=bAAO|g12p$5_u(wZpC
zYA&D=E$@~)hS#Ba1tZ^wNXb^(5z0eymErY!Z9(U^{$8>SVdx7^7CE2rR7OC2sXo>h
zIK8vqy1LR`i*NnUx+A*MHgEa`s>w+#0GXQc6BMR$ITc!>v>>n+mNyw-?ESz5&4b(u
z)tCwyRierpmdF*~h<ki_H;>pm6MnmnXgqU$_wa0=gBw7?a@;P_;l_0g;o+ANy|~}C
zJ0d!7!J{O_h<i7@aIhh3B$~BJgHp=lhvr7EKF*z>L?7@XCOmGm_r7OMNogpS?WyCw
zsxm(?u02Uht}G4)kf&k7HStnbmr~u08d}tOut|3Zb|&(%{*%N0eFO8uW14(=z!#28
z+640xZ2st;tt0(W%T_U@T?&)~M52^1?2Ud9i1?B4k^za9`3RsJPE4DO;Qcy!akTL0
zq+A43I@L2JwhDP!OT5!bf>IxdU)4c_Ul+#OH~6mi@KxTFT-Y-O$(XAo8A_>F{iXll
zcX}Ix@p?h+wKq^*86NfW!pA2EvZNd*lC-C=brdu7o|h#LEAX?DEHo<#1vNAPZ!2Zp
zP{WQXo1$qiYn1`9RNT^G#73;XsMf`bThc4X&Rq6OzHs(j6jjYIBvN!%H%RvT3%PS(
zRG`MGCP7H<W`~`8eITt!DO34~xd#PdK}|>TN7=tMby*#JiYOPXc#Ss#hAK}q>Y7!U
zSL&?J`HJI3ht80YPh@xp`34!ZxWGF&!=3gnHV6PnEM)h=6Y)(3jrhQX+K{cpH~xnd
zQUB);ABSjnSojUnG(uTbNnuATxFAzC_?)B^T-+R%R#Cf^u&gQYgz_TK596n?+%!ea
zi)G}``5m)(e#)pOW)NwG@?el6?gd7*=-q5YG9NR5A056;80F1Sz&h}hkQ%dnz5~r)
zyv!`|%RP~AsT3Js*TnzU$S+gtsGj_0RVpWapX=|&yb9it{t$nqXv9q(dq!zvWYu_#
zzz5)UDl0#V`fC~$KWkvvXo4{}n^u&>7dir+OxYVK?wIbbC#u4c!&j_oIlNAu^_vzW
zUWVh7s!`zv+9>6ef@Pj`EX$b9YTXFfoKX8o0Lgcvg{lix@Y2Y|<=sJJ!bcHbE+$pc
zBLRU-YO6T=5IAirzq?Kwl`n-4&mhno&FW#bznMHN1a>x#*VuAq=<P3o7gU-@c_J>0
zOYoS(sO)-Q2-dHyjI&#-6Pfr<ZkY+)3SU|&8%j9O@0D>_hjFN+LJqBJX5$eN7xYS>
z`!sI@UlMD>c{)M)&AVP69pHRI@}{a5PW}!7w8(<>Hz2;f_>2`|1^FpDcm+>UGJVu=
zs+Sl%C2QteD8Xs)nA;`4y!wXS`$2HLZn%Zpojc2xI)&Fo%8wVwGZPaUpFWE$NY>t+
zkZ;}$wW6WPxC%}k#kHS=OdB+(<xC44@U(#LmK}71k3*K~{F4F*Bz#D4P2~0yzKLEz
z3BEotSN+Uz5DcV?qq(nQog%tTv3|6NKJ%|;!+EbwTt3F;;Z<2yj>daa4N4qwTp>gN
zU%ZWEg4{?!?5l<8Y^6Q?q!h;PVn%<pOb=2rN#abvKv|Z;l2@fO*=C2(06AzS`R}H;
z*$_%d2?4RX{J|#7kNCf!<7JO*dqPL#Zz>)COb;n=H(ltLF9YH{5{(`Knf}~7qlD0P
zR!J}J<?()zX&fiX2b2I?+M;e!XVKc^2W9xIDH2O81$e+{deMZ=;*STRUrL_CO3}cy
z)Z~I3U!bF+_1$sa$+yQgyIBP_t?G2QRm921)(%##m^}17YEvr8H!1e3iJt4qq2jN?
zfD#|00$`)~KC3Ko*#k-%hgkSC+p5ov%?$)4PR}?*qPMSGSms5jQp@S!zkmzaCEK*W
z!;6HY0o$8hQ_<N+Wsi*UQA;tdlWSLdl;v7~+`O<JRHpP+nd9L_V?jA6qE}_54VL$2
z8bg@w*SkD6P2&#VdD&Ietay+ge{zJpv`p5z;LnsjQD-k|*b^68mAgwwx9^k&KAJXM
zwy^qTtxAfWx+@o<D3tvo<|C_-I4U(Ba&0?IYhUOdGZ<|Zh=(NtX$;soQz)&n>CqZ!
zf5C)p+nnKz%`N(+SIYuvCkXkZ!LWkKxyBOFwkhh=60pO8G=^Et2~f?x6ZFk8fMWte
z?=GfOCQDS?kH$!#wh6MF5b+k4>R3Xk;;i>KEI!1UU&YWsIA)^YamEx$GP1J%#^|__
z{WQ;f>2dc-s9I)C>?gcZZZi&@(9~-W`tYZ2XA1r;kql4p{4kjaTL9mjPX`xVkZTOV
zF7*}zET9&S&&+nGa^3EF1yT(|q#LR|_P1AfV+n$Vn>OwghX`$@VI-40kd2Qs6|yGn
z^Q_m1XO6`1z-7C(DR-j9Hds#qPJe!b&mUDsa^UD26Cjl?$5t(?F@Qx4`Q?ICL0aus
zpn_;C4{iAx_(z98hMys=(CVp$#`gQfa|08ImjKImlRMO;m>ZdH@Awak5N8vrNKBBG
zQ<Rta?6cC4vewb{^fr~KTa>h&4yxMxRY9l^WEjit)!?Fv;dxP|O6tc{VW4xgAvj!}
z{%oFSsC5$sZT}k(=kqvjRZgd1nE*IrEkwlvv9bUXO?tIYL#m>20<E3Azd{mc)r3T+
zL;f;;^rj*b0FrXeoG>Goz-uDE@(pGi!YYA*evS}^+qpG{QBV2p6X^&vj?I84Wzk&J
zOm4iCs-z>ZPkldM6j)gWni0QAgQ`XKT5~JTIhA2}P3fd5)bwPnXW?TcK|yokk#xu{
z-Eb(*9EoCUAk2X$PlDjkq)??#`Av70Aub(?`^dOImj-BL=TW)aJgeqjnivRV-(?3$
zi*5&<m0j8TB)<P~^ho`$I&*oAvP3R*p+rg?2A<-Vs_d{_<8`I4-P*YN->4Gr87x3j
z{@A%hV*0~KzMs%+rdMCGiCuUCqC8#J?OuVdH<Hog9WO1Xar7C;9Qv4KPcpxn@@o9b
zS@-nu$tOq{>BAp8Dwp#9`*I-LcPUpAdR3)<ub(Ux)m#fZ<PAZmQ+@=^H8@L@u5Pfd
z>1G-ApXmjwN+r@J{D2E$HAz&O*hBaZcEhxU(y0L>A+Yy6_kj)y)i49X&JYB)LpYiO
zu8<{0q@Uk{#XgwZq#x%gt?wK2ed(GnVNwYo*Rb_f%7&p<CJ>B{(bS6-i3{?(^skW@
zn-d;g4Qui3DOJ~E`K2Wfmf#>Rm?@((b~EeCPW=^X#udM67e9lTtxxSbz!XU!&#ZZ5
zFsF0jb~fo_*m}6*04z4tZ+IWCVrYZbL5IcvqV$H}C>!^viMp7*F`ppX;g-h!Cws2k
zlY2X4g#;bPUQRJ-E?V$gx{WS?n+2-QxWyHTs$Fl>yF48JB{>0v>#i*)bYe(JCaalj
zuz_op?kdu%jPeO|E*Nn^c5%%-#Ru$f|4S3M_q>$IP<B)jA-Vg`w7;uUCx3Hi)L!<l
z!j#$!oPQhKXv*9a^E2^G8zWKVZiEPFCbP)+zhRY=-`Eqdb(u0<RXaZ)ck^eI0Lkf-
z>(m$1>1gA;JIS8r0BtgP#^OPNK_$%c>*H9}vZi>=Tl~KT{#;)r2FJxE2kyCct%w$;
zRZ6jPy*W*Ej0Wz_RaRD-Wd0(Cjw#D#xO#<ETrZqILlFmfvum&?n|uOA*BNnEAtEk$
zavqWnbSd_J#AeqhI8~OMa8`_97xFkjDHqI-hp~tOkVi*W3zOfo5GI=s*}|OcoG?f*
zdQOH~dfZ~U>Np-<8AvbB+Us6le;3E3(|3#y2!iZHNqDXMfGVIlhS#KdM@vIJDFreA
zyFuw~MK|HQRr!MYRF!hI@pw}>!`YV;`wOD-O02~D8jl1yVyg<(`%O!;A8bNRN<Bl&
zybIId4u}72-`H?>A#7AdW<ZqZ_fZY`>cM@7f{l-~kv>812~g?5_xnf2T7v$@_vLLh
z=s@{(OVlDWhS;?sdDivhTX9woc_8K=a==3|4F}*Luy0h*C_p`&g<STdZfx7_dnS=`
z5g5JH?`eVP{&lq_$aI^DOm_772Uj(~(<^~8Q`Sn*PQNX-afwY^&I~F-O<S~}2CnTV
z2l+4J;N5B(GvLMOKitvFdGhDKTRM-FBN4`diSx>C&*#i3jk4w#+)n*qFC`uRdo;NA
z;Z`U$TJx#;{oe9>@4~r3ejMNogd7Vq!1!K!K0dayU%|Nf?CZ}Qw~YN8>D@|3uo=wi
zVEm6@>I$-0FB=|3<&X7&z!W}5({XEdzN}TF2n|G~1zzzeAO|cRk%9{~V^BrQ!sV_Z
z)3zFa{pjJn@+>%6MB2xw;S+v81t`xdjm|l3)F~lkOgcbU$CjxJwD1<<aTN^<<PdE=
z6-1y9^50U_p-(I%Jl%ORs=pd)h<GJ{9;zrV5r}=+Q{X$Pa9*wOuYt|*wGc$`$blC;
z4B;6MW4wPZ36<yf4h#R~n&Md@rrTi=t_WfPKQJ0uLOzs$sM&^)6tF_8>|X^QjWA@&
z+@mM1{hZIV7?klZ0HnOg9DUfR;c<9k2K6x)f`=;P+oJSjYqx+g8!5ewaC3aB`bf}@
zQ$}=yXyC00MR(PYv&63KqPKGyOTkP7Bw)8uCq%V3NB}%W=+U|Ul9c4K0JcOG2ynS#
zX~EdI4Z2hWPZB|cv7sA1QCswO!MDKvUK+;y*_fP*{{Nb|<PTT}tY>0rcg?sVKt2cP
zW%KL%^Re^RbJ@%ecc(Mm><HUu6y+Pt_lqtx){Di{$Lr^OVEFXg-63j)8pB5Dlw5~%
z7p&!uYVf2BgM|T>8XWkA|6|Uhu6<;2w|MespjQhLa%26E$gCa(U!PEOQy1~cx4gZb
zrCq?7pnn&t=1Z&@;(5R;4!7YGX!{zp<&~CIJ$~D)hy_Y3ELz`GrY{yv1giMN^8*UT
zaollhYeM4#dk)uV&Q!n$2+2Od(*e_k&?P^yJ}4!z`D}Pg`2^@?=rDs6xxfHXq@Wo<
zDAtBrf}gQd9l}zYlz?3knPkDgBI-6@b$}X~O(RqTRco`lo=J*)Gu~xI+<=OrFQ@Gr
z^NiG8En-~i`{XXf#Hu3_YoPN_6Cqf~Km2fA`(?8>{F5o`%G#2Cb8I5laCHkEyS__u
z1ghJxYv-e*RN0|wIR1=x$8Zx|Kd0q?3`Kvx4Q8dWQ#aiMlzH{{?k?(2eOV#Lyeyc7
z!S@NjUM1o<HYwp8yjY${#gs%EZiDLh^ezCMZP{klW<y-kL$UzI3?#19njSVJ@GTkq
z8rbmj8_y!{7wIP|{f4|=PA$}2cwKi3Kao|nOOY?)7vA`e6l33%S*jmL0P_Oy6G%n6
zAsYv2!npqu0t8c#zV(X+#=LHChs%Qd3=O8Sok`i*lu=ZD6KiHao`Ff4m+(NLlsITb
z7y@z!i)2%Bg=c?LhERzElQyk<cN~0yUeeyqynV8JWu5DB9)&pwVub`+RDgW&HPKm0
z-JuKYta6M{vRX^6F$jE$8#;WQ{v7oIoxX(K|B293sD*`pslc~Q6j&l9*GVJfz%n}`
zme%Ze?IMDG9AQh-v<XqP8V_-*&J3j9Y5Bb-mZ1-m5^a{nF<Rswk;FRgUQUt$xeJ>p
za!fJxGHVEB9@MFo2jPyaZ~ITbcyIx|5kl@G7^}}+uliLkfK&q^-RK_zwvFn0GbJoN
zb}*vdl*<}60jTAeAyHkIW&Hae291Wz2Wy@vhz<8K8dx1dv|x4?RI;zk*}^ijQIh%F
zPFEZ6rwx8OOMT&$<~AOD&cVpcJ%XwilmC!`x}n%n)u`~hZ?3ZYy;6tvp-ol}OPOz~
z+jK_W%mo2REy@|Xf{ZVO&*b+>{yzNbInQv*d3Fs<a?@&G_#;nG)jecc8z?1ChC92;
za1$xD)nK^V_UXGXzvkc*jpc~3#(Xcw^B5r&&w+pbpaoUJvQ}mX5vp_t7ns%gPUCkO
ztM0~k$~1nwIF_=R7y++)^CfJLi%;ClN7Ix!Byt4Xu0cAgU49EozDJp|mGCxlZ79~@
z4F=Yg?&%A%xi@FE1WZrq90i6=YgK37?YrX_xr~bbsLkGbVDqf<6FG~bSH0(uhoN9I
zMe<te03kLZ?%O?N7%`=XIFckvYU&e*vuSjzB5%U5UhW|K^m=iO9zzgA*C>;C*;8d$
zR|xdF3y2yJ+(9aZlxt_FX#5&@2fO1bkTG!64hYV91_+m^Blx_VSo}jh%hJ!&)@AJ;
zj`y>0ZO2SK151H%`A!GR78xsP(*$!ud*pD0$<Tlh_SApkKh<uN0#haxc8>V?(9<eT
zYG}6q)}AUX)jRlcvSeb^HN$VqB;C%ptiJRkYl=g1jbkhf)7*ya)4uU2D>EkB$NC+9
z-_*-r(pUq{iaFP~?{hgDe0|Qhq$AW0wbnrCAcIJ?0OQB2tZ&9D3k1Bq_}ID#pb<Um
z9GAb#!HW8pi~OUpx?$}(aJgg02D8AF*aLeEjRD^+Dn{ra2%Z&U??M5ijSQ$o+{rB5
zmUMH6f#XIw5ZLsCLs2qbu7pty2pW+jK|(Su16Do68IH|m%9(U?zV?~FEEBPv<#b5K
zaotj!lR<U2xr8a;aC0>ej&5yAzz^{Mek$U9uyBI<Y^WzzR{nOhTvud6(<B}sag3|W
zBs$+;zcwhQc>U&d#~AyC{MC`K*{w*Er==hxPYE7F&ksE}Ro0wvVBD-WR^-l^D~!r4
zp|4ix*o+{XjV6_L+uNloqG4nuyQsQLDQ^SYHe=a!D=d<Z_eyAXx|GNxvIZ-MUzOVa
z-60Rr%@$yk=ep80ixiA@6#~cAgV}L_RNXyMWIt^z4o-?PD(YGZ93Z)eR&Q&hW&i++
zZ=fKO&2beemAx!-AJ9`0764X6CGoaa-{Vp!{K&PCyBPcCaD@7$mXwA1{yo#5ry6|2
zLH_@O{*W?)jukJ9UngD^ya^Hw{nSn*$IQR<9zUAnjZ8I|=4?5j0V@D%|8A|tbg~?c
zk==ln&BnQD|22cr7q2n#1tH7GtO?|a^>J4v2I%?!`OZENn=i=p|8-1icdzHZsh5a=
zS-mjYw8T=_i}>K$^n2fSY;mB5(JLr?A^3Y^mIL4IA{(_1E?r#l>JIJ{I(~kO17UhW
z)JsPm%9@CYBI}jY(6i~V7?OB2Y|eNw?V>BHE2_-D-%JhXrw)YSan^V&jjL*)x7q-O
z_tSUn{^ETAf-q&DND`+8E+z#0ZTMB~L+GV<tMeQx<Zh^PQ}qJlqGYV;N-I@01P^Am
z8AaC3<7tta;Z@Ton%wegLmzdE@(U#Yn7QpId6~iLF7*`I{3GK|3&0@-rcA^6*2Sz&
zk!-)liI@&`3c;A+>OY@g)tu~t`s#J@u}!$(?S4;QT6xw1>O>D~1L+)jcHXOO51?#8
zS%H{xE7i7xk#m_1f*JS=sNAwgG+8gSTcmBzP9=8ehf8}#<yUeHc#*tMdxS?iJ4VTe
z?X1N5In%wvs;FmEKv+_eB#d|)nT$ap2n9!>^rq)S7MUT{ETl80U!>R7(>wJ06`ds*
z^C9URSilXRb8#s1t)3>ao+(jJ*tlMsry?&(jRnRjy2w~ZR|REMtfCYz^PWS*fjtwt
z>4xdH{I#}|xk}Xs$K)@9#2@O(9NACI1t9xU15(|B$jdzi<@iO7yt4mDTHeybwVfU<
z%_L=UUq(N^s~s4X_xb==_>QP@=6g6e7FbEIt-;L)@?X>`yLS(q4EwU37aXO+C@&n)
z18-~M8C-XH*gIV|W1Ob4fo8#o*^-7jR>rSl!LK13#5;`SWB{c-WyL&PnJ%D+5Rb|I
z&8@6lldVmZn$|3q&EG>o(86(N3W__Q3MQNb91O>oW#H{;XJR?Jyf$xAR}F^UNDbX5
z5@?WbvYnalm_VaXq6={@ECbB|;qUdu95>z$qYg&DH2WWRtJJp)p|viJoa;<~LZA00
zNNiZWG5pT=5b$R-C<p_Z12K0yZYog$$Uw(1`=wQS0iMzmS&(-7kVis6CP6kXh5%kD
z>Rb@>w=XhL=bt51lt2z*p4GQ;FJoWNgolx90YK{j)wUr+%ym+y_<Z6bV~})X*Fcy$
zT{X(OgR_T$5~VN!)3x|Qv1Qul)`;j_e{h7}TT|vT26+4avBGxDu6DG$g$uloPeu;h
zve}gs>ul@98B<=etBM%4+7eS7NWY>1ct9yIff$a3HPj$zr%hZyW-bMrDbpa>ZmaV}
zH!>pIB(tHLkE;BTtFrl?ixBh6yqf;Td}Os$w-1~GlNTK^)lz><)@Ud{y`B0i6t212
zU3xLM)`?&v5q+`7MDz5rNST>MOLqO*@r0)NcggimI&bJq@I)ATlr(R%Oa_vTKNLr+
z<HkHPx%+F>A{To4<nD!81Sb&7I(<M^<9sqokNBKN%OYEvI+e=20|@gSrO_O;9?3(?
z&wubH{sC8=<7$Qldt?}B({{SNF2~aHP#sz?T80>d?!+7c#y$|ZL~bf#CyxKof*c-w
zz86~eXZK@T@d6C#kq!mx`&7FQP92H4T|s*v_Zi4_{?=`OiXA8dZ{PZnq`-o+CY@m%
z!;ebg;0@?d;k;FJ$T)a03+;d_P>HqTWeFlmUiuX%jnrzAT`9&m@hj_n8p?(qwJb&8
zY+rkBdtg%6DX$P584vCvt;npoXd!&GWe`)E^kNXlk9eO6R%A-e!3soOQ4J+h4LD23
z>Izb?LHY_*dz~%uEapn1`;xdF+cfW^Lw)rdjxO|LfAcdYU+$5bzv&nQlt`wz(I~vW
zf;uiDqHuzK@x#;^VnYFEo#I9qrpI2JWVZUV!f;zbQyv$?4(ytLnM_^Tr&Z|EQe?N6
z&j2Eq^L0xZ5ZoA}5S;(T{!KY46xY~bN<9HqJ$pv=i!_5HKRBbw?iT~shH(<McLloM
zR)J_+Z<h_NpG_`X<NAjsUN-hTTr4bBE@C>Qn)5ZLkcT?_x*q0FiQnj|5<~X9wnTur
zx2KJ+c<1!omRd_3xL*uqXZCs5(erLmHv=v_&m<aNbLpP?nOT8A5?*fI$#eG}*HnlW
zQ!?X5tVH~2J|sJ`Hx7ul=u{v2&b2qttO4NI>5<Rq<kXL{px_*h6jYYhjaFak4%BqZ
zab-0M!nxx6q<m`tUn+4uX7G|~8L6sOCV}P@U17&<`vJms1YwTTo_{_dPu!_eRN#k-
z=+bOz`^6CqLK$B<Ga*B1-W++2$`|}4RWdt0v22Kri9UY*p{03O-GaBv*2vPRsNqB^
z5to$j+!AjyeBu+4?g_&`Y)Ipg;N0lGU`V9&|8H_v#C*2ZgAhXAE>I8z^HQ8xF4WQ{
zsKko{j)HKk#k=e@$y)xG)p~l_LCf%QFi4N{M;LPvWWJD*lO$XKXZecK`T!(Y;2xtQ
znviRZ(f?ibIW~L=q&U0bI#Uw3dx^;a?Yy;|Mg1@^%*nQ@W6I8~wl{uUiayF2Q)ckc
zwjq%R&<Z?VbWc?~p}QtDx@HPK6-c#kTP5X^lEi)m_8P#*;VVWDF*E#Rg0y~>;<^aA
zh4IUl=Qk@*vH}(`Ljx1eYQ|nrfY=@YU_;OE2N<wNs@AkHNKJ~#Ei)*cT8H)k+M{TU
z)|&W~Uz*1{J|<UX<q93G1`XNF1By&^&<mffGB83^PT7E~_BRHimboiaBg^qq1v`G<
zg^;bg@HFjQqDk9Gk-ww)3YN72JYV(3068|I9?Vv35rUTSwgD7KNd;B!(0GyjXsj-4
z?MJio5biR~F0dZw1oeh^clqE|2u#W|nu`Dkvuzr+lj}2Q>)0M(7<3fk*}Iqg=4Kz1
zwYWNJVHHdse5i2DuKxSeT#6|u@U-F{-Mc73pbmPFS(4|&kOTpV9p-X-DX={)tW+Dn
ziCj$Kc*u1(r6Uj(7Y{+#t0lfYnU|(Gtrx=S?nWL-^ONFQrufLq#3qap5S%t2iEa~K
zc5)BR;m!9c4`|SzXqo^HMCHTK89YB5)<$OvW8|4S2TXB{%o>L)i|1B(Pkzy^Zx{z6
zX`)023Cx*Uk%@Jqx;QUwg(94$n{|{JGf?ejYRyduY|)=0<^Iqr1~r!2<f^ly=6a1x
zP_{$35x4y#=Wff-bg{^51<j<~AA`PD*9E~L{IpN-hi<dUCUvk0!7EqDjQhZJgE$RI
z?n{8I$~GK`1Yw?X*G19{LqyG1mtcA6hKPG&@kt>(KX4o&Ns!xQ@^QU<OGb{IcWesG
zmcgupkX%bau=Sx;vV&<Z{bPwZWg_vdhjP+I0tpMcDbY8=)Y1t>>rP9G(-xtth>QNO
z*ZX@nRVwr{Hta1r+mMbP1-{TVZ2mKR`g)@17a$BZTkN58e#h9Tnin~DF53DNZ5?<z
z;;7jpFpMu5b9z?-#&UlFCbGj9*ABb4v3<Q~W4B9LU2!_cC%YGWXl_8eLgXa~LnEQ<
z$s!xk#_={m80szg$w%+(QV3-%opK*9KIoC>VHbo$iScq8c)A!QFsM4&Wy430qT0=)
z47fm*9Qx}dk{kcrTW?1c3&?(nMqU*JOpOSwjwC3tza^cKpV&d?;X6ejE1*fO`?PhM
zyC^TK0;5FdxSjr(TTVr@TY~tj9_qKzKA&u4ApB+~7b=C-P6nGNswIVjg*x1q2(#;1
zQQs@Cz5tgPZ)p}T!Ve$SfZB*-vJLxX!x#E2>#9+a^gZ7C0gi2KMJJa+^eN**Lr6|v
zlDYPG^LD$j2ac3IRWwa?_(2R=s~pEVMZ07wTt$Y6^&e)uA(c&fZkCXkw^-YNPAi_c
ztzP{i)-05w8*F!ul3_wXgy#eq)r)0^?mQZ;v^%F9j$YQdgOLVbC-lO@Qr>k|B8TYM
z-3YJT9RLzhD2Btr!NWrYCJ!3N4F2XaBdp;T=dnVEAyc&b4g%s78xm58djH~g^4AvO
zG~z_WLA0lqiKnk4=QPyGf>b6CLuf}wQP{q;{pwI98J6-tQQ%j(k$XT;n-{=XDzul5
zbS1D+;&d7>t+3^anc={y<qpk{xqHkQw*uTOg8p2n2<1YBMZ?k!pO@otkv257b(}HW
z6+$d?!k3Dp?)%XhCeA?Cn6yJT)Ioyi21E3gLB^|K(^Z(~D!0Ujz6Fk$NCdP)H(#C9
z5+&^L?k%PHz2%0&iKD4tSfHe3@|jgcX&Cp|%qzsziQQcl>OS@~1ixx2ag;^hc;cx&
ziaLlSC9Z8BQ~-q)bx^J`<<xmYdVlla+^s<J9S23PVT)zFtCNX+VNyvs_vAy{2<UD~
ztkQ^34xgn`=0=smN~tdk$?|;^La^Nr@>h)mlCMN?jrawYEmQvQX7XR;2E_S+unWG#
zpK}m|N^;rQKPl8c=zUkX<pemlrCE+s%(P!k=D=&TvtYNtZ=L*H+*(IK9|nu01kJ@@
z)VDG<r8f+qS(524i+vr$Bet7lt1W|qA+q_K#CkoGM}v$3lK7$Wz7ow2L$ER^7KIW3
zC8;pWgD|5j4Bc)U6N^Z5mT-J-C6DL>aK>vdd%hiJ-Qb&xQDMInKJc7nGz)hN6}j}?
z?TF0p2o@%9tPcyDzUqo_G^0xaV^Vxh3D{!GVCCDo=4^qrF0J|C<cV;K6VeJEf@0?Y
vOdOuc&dnWsGN0RS9c&<Y3<$9*I=>)YeqUq#GV*n9Ibzy3?zP!X=4yMli_w?e

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_basic.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_basic.v
new file mode 100644
index 0000000000..0fb331e277
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_basic.v
@@ -0,0 +1,453 @@
+// megafunction wizard: %ALT2GXB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: alt2gxb 
+
+// ============================================================
+// File Name: altera_tse_alt2gxb_basic.v
+// Megafunction Name(s):
+// 			alt2gxb
+//
+// Simulation Library Files(s):
+// 			
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 9.0 Internal Build 78 11/25/2008 PN Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2008 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// related_files : altera_tse_alt2gxb_basic.v
+// ipfs_files : altera_tse_alt2gxb_basic.vo
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_alt2gxb_basic (
+	cal_blk_clk,
+	gxb_powerdown,
+	pll_inclk,
+	rx_analogreset,
+	rx_cruclk,
+	rx_datain,
+	rx_digitalreset,
+	rx_seriallpbken,
+	tx_datain,
+	tx_digitalreset,
+	rx_clkout,
+	rx_dataout,
+	rx_patterndetect,
+	tx_clkout,
+	tx_dataout);
+
+	input	  cal_blk_clk;
+	input	[0:0]  gxb_powerdown;
+	input	  pll_inclk;
+	input	[0:0]  rx_analogreset;
+	input	[0:0]  rx_cruclk;
+	input	[0:0]  rx_datain;
+	input	[0:0]  rx_digitalreset;
+	input	[0:0]  rx_seriallpbken;
+	input	[9:0]  tx_datain;
+	input	[0:0]  tx_digitalreset;
+	output	[0:0]  rx_clkout;
+	output	[9:0]  rx_dataout;
+	output	[0:0]  rx_patterndetect;
+	output	[0:0]  tx_clkout;
+	output	[0:0]  tx_dataout;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0	[0:0]  rx_cruclk;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire1;
+	wire [0:0] sub_wire2;
+	wire [0:0] sub_wire3;
+	wire [9:0] sub_wire4;
+	wire [0:0] sub_wire5 = 1'h0;
+	wire [0:0] rx_patterndetect = sub_wire0[0:0];
+	wire [0:0] rx_clkout = sub_wire1[0:0];
+	wire [0:0] tx_dataout = sub_wire2[0:0];
+	wire [0:0] tx_clkout = sub_wire3[0:0];
+	wire [9:0] rx_dataout = sub_wire4[9:0];
+
+	alt2gxb	alt2gxb_component (
+				.pll_inclk (pll_inclk),
+				.gxb_powerdown (gxb_powerdown),
+				.tx_datain (tx_datain),
+				.rx_revbitorderwa (sub_wire5),
+				.rx_cruclk (rx_cruclk),
+				.cal_blk_clk (cal_blk_clk),
+				.rx_seriallpbken (rx_seriallpbken),
+				.rx_datain (rx_datain),
+				.rx_analogreset (rx_analogreset),
+				.rx_digitalreset (rx_digitalreset),
+				.tx_digitalreset (tx_digitalreset),
+				.rx_patterndetect (sub_wire0),
+				.rx_clkout (sub_wire1),
+				.tx_dataout (sub_wire2),
+				.tx_clkout (sub_wire3),
+				.rx_dataout (sub_wire4)
+				// synopsys translate_off
+				,
+				.aeq_fromgxb (),
+				.aeq_togxb (),
+				.cal_blk_calibrationstatus (),
+				.cal_blk_powerdown (),
+				.coreclkout (),
+				.debug_rx_phase_comp_fifo_error (),
+				.debug_tx_phase_comp_fifo_error (),
+				.fixedclk (),
+				.gxb_enable (),
+				.pipe8b10binvpolarity (),
+				.pipedatavalid (),
+				.pipeelecidle (),
+				.pipephydonestatus (),
+				.pipestatus (),
+				.pll_inclk_alt (),
+				.pll_inclk_rx_cruclk (),
+				.pll_locked (),
+				.pll_locked_alt (),
+				.powerdn (),
+				.reconfig_clk (),
+				.reconfig_fromgxb (),
+				.reconfig_fromgxb_oe (),
+				.reconfig_togxb (),
+				.rx_a1a2size (),
+				.rx_a1a2sizeout (),
+				.rx_a1detect (),
+				.rx_a2detect (),
+				.rx_bistdone (),
+				.rx_bisterr (),
+				.rx_bitslip (),
+				.rx_byteorderalignstatus (),
+				.rx_channelaligned (),
+				.rx_coreclk (),
+				.rx_cruclk_alt (),
+				.rx_ctrldetect (),
+				.rx_dataoutfull (),
+				.rx_disperr (),
+				.rx_enabyteord (),
+				.rx_enapatternalign (),
+				.rx_errdetect (),
+				.rx_freqlocked (),
+				.rx_invpolarity (),
+				.rx_k1detect (),
+				.rx_k2detect (),
+				.rx_locktodata (),
+				.rx_locktorefclk (),
+				.rx_phfifooverflow (),
+				.rx_phfifordenable (),
+				.rx_phfiforeset (),
+				.rx_phfifounderflow (),
+				.rx_phfifowrdisable (),
+				.rx_pll_locked (),
+				.rx_powerdown (),
+				.rx_recovclkout (),
+				.rx_revbyteorderwa (),
+				.rx_rlv (),
+				.rx_rmfifoalmostempty (),
+				.rx_rmfifoalmostfull (),
+				.rx_rmfifodatadeleted (),
+				.rx_rmfifodatainserted (),
+				.rx_rmfifoempty (),
+				.rx_rmfifofull (),
+				.rx_rmfifordena (),
+				.rx_rmfiforeset (),
+				.rx_rmfifowrena (),
+				.rx_runningdisp (),
+				.rx_signaldetect (),
+				.rx_syncstatus (),
+				.tx_coreclk (),
+				.tx_ctrlenable (),
+				.tx_datainfull (),
+				.tx_detectrxloop (),
+				.tx_dispval (),
+				.tx_forcedisp (),
+				.tx_forcedispcompliance (),
+				.tx_forceelecidle (),
+				.tx_invpolarity (),
+				.tx_phfifooverflow (),
+				.tx_phfiforeset (),
+				.tx_phfifounderflow (),
+				.tx_revparallellpbken ()
+				// synopsys translate_on
+				);
+	defparam
+		alt2gxb_component.cmu_pll_inclock_period = 8000,
+		alt2gxb_component.cmu_pll_loop_filter_resistor_control = 3,
+		alt2gxb_component.digitalreset_port_width = 1,
+		alt2gxb_component.en_local_clk_div_ctrl = "true",
+		alt2gxb_component.equalizer_ctrl_a_setting = 0,
+		alt2gxb_component.equalizer_ctrl_b_setting = 0,
+		alt2gxb_component.equalizer_ctrl_c_setting = 0,
+		alt2gxb_component.equalizer_ctrl_d_setting = 0,
+		alt2gxb_component.equalizer_ctrl_v_setting = 0,
+		alt2gxb_component.equalizer_dcgain_setting = 0,
+		alt2gxb_component.intended_device_family = "Stratix II GX",
+		alt2gxb_component.loopback_mode = "slb",
+		alt2gxb_component.lpm_type = "alt2gxb",
+		alt2gxb_component.number_of_channels = 1,
+		alt2gxb_component.operation_mode = "duplex",
+		alt2gxb_component.pll_legal_multiplier_list = "disable_4_5_mult_above_3125",
+		alt2gxb_component.preemphasis_ctrl_1stposttap_setting = 0,
+		alt2gxb_component.preemphasis_ctrl_2ndposttap_inv_setting = "false",
+		alt2gxb_component.preemphasis_ctrl_2ndposttap_setting = 0,
+		alt2gxb_component.preemphasis_ctrl_pretap_inv_setting = "false",
+		alt2gxb_component.preemphasis_ctrl_pretap_setting = 0,
+		alt2gxb_component.protocol = "3g_basic",
+		alt2gxb_component.receiver_termination = "oct_100_ohms",
+		alt2gxb_component.reconfig_dprio_mode = 0,
+		alt2gxb_component.reverse_loopback_mode = "none",
+		alt2gxb_component.rx_8b_10b_compatibility_mode = "false",
+		alt2gxb_component.rx_8b_10b_mode = "none",
+		alt2gxb_component.rx_align_loss_sync_error_num = 1,
+		alt2gxb_component.rx_align_pattern = "0101111100",
+		alt2gxb_component.rx_align_pattern_length = 10,
+		alt2gxb_component.rx_allow_align_polarity_inversion = "false",
+		alt2gxb_component.rx_allow_pipe_polarity_inversion = "false",
+		alt2gxb_component.rx_bandwidth_mode = 1,
+		alt2gxb_component.rx_bitslip_enable = "false",
+		alt2gxb_component.rx_byte_ordering_mode = "none",
+		alt2gxb_component.rx_channel_width = 10,
+		alt2gxb_component.rx_common_mode = "0.9v",
+		alt2gxb_component.rx_cru_inclock_period = 8000,
+		alt2gxb_component.rx_cru_pre_divide_by = 1,
+		alt2gxb_component.rx_datapath_protocol = "basic",
+		alt2gxb_component.rx_data_rate = 1250,
+		alt2gxb_component.rx_data_rate_remainder = 0,
+		alt2gxb_component.rx_disable_auto_idle_insertion = "true",
+		alt2gxb_component.rx_enable_bit_reversal = "false",
+		alt2gxb_component.rx_enable_deep_align_byte_swap = "false",
+		alt2gxb_component.rx_enable_lock_to_data_sig = "false",
+		alt2gxb_component.rx_enable_lock_to_refclk_sig = "false",
+		alt2gxb_component.rx_enable_self_test_mode = "false",
+		alt2gxb_component.rx_enable_true_complement_match_in_word_align = "false",
+		alt2gxb_component.rx_flip_rx_out = "false",
+		alt2gxb_component.rx_force_signal_detect = "true",
+		alt2gxb_component.rx_num_align_cons_good_data = 1,
+		alt2gxb_component.rx_num_align_cons_pat = 1,
+		alt2gxb_component.rx_ppmselect = 32,
+		alt2gxb_component.rx_rate_match_fifo_mode = "none",
+		alt2gxb_component.rx_run_length_enable = "false",
+		alt2gxb_component.rx_signal_detect_threshold = 2,
+		alt2gxb_component.rx_use_align_state_machine = "true",
+		alt2gxb_component.rx_use_clkout = "true",
+		alt2gxb_component.rx_use_coreclk = "false",
+		alt2gxb_component.rx_use_cruclk = "true",
+		alt2gxb_component.rx_use_deserializer_double_data_mode = "false",
+		alt2gxb_component.rx_use_deskew_fifo = "false",
+		alt2gxb_component.rx_use_double_data_mode = "false",
+		alt2gxb_component.transmitter_termination = "oct_100_ohms",
+		alt2gxb_component.tx_8b_10b_compatibility_mode = "false",
+		alt2gxb_component.tx_8b_10b_mode = "none",
+		alt2gxb_component.tx_allow_polarity_inversion = "false",
+		alt2gxb_component.tx_analog_power = "1.5v",
+		alt2gxb_component.tx_channel_width = 10,
+		alt2gxb_component.tx_common_mode = "0.6v",
+		alt2gxb_component.tx_data_rate = 1250,
+		alt2gxb_component.tx_data_rate_remainder = 0,
+		alt2gxb_component.tx_enable_bit_reversal = "false",
+		alt2gxb_component.tx_enable_idle_selection = "false",
+		alt2gxb_component.tx_enable_self_test_mode = "false",
+		alt2gxb_component.tx_flip_tx_in = "false",
+		alt2gxb_component.tx_force_disparity_mode = "false",
+		alt2gxb_component.tx_refclk_divide_by = 1,
+		alt2gxb_component.tx_transmit_protocol = "basic",
+		alt2gxb_component.tx_use_coreclk = "false",
+		alt2gxb_component.tx_use_double_data_mode = "false",
+		alt2gxb_component.tx_use_serializer_double_data_mode = "false",
+		alt2gxb_component.use_calibration_block = "true",
+		alt2gxb_component.vod_ctrl_setting = 3;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ALT_SIMLIB_GEN STRING "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
+// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "71"
+// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
+// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
+// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250.00"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "312.500000 250.000000 156.250000 125.000000"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2500"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "312.500000"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 78.125 125.0 156.25 250.0 312.5"
+// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250.00"
+// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "Serial Loopback"
+// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
+// Retrieval info: CONSTANT: CMU_PLL_INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: CMU_PLL_LOOP_FILTER_RESISTOR_CONTROL NUMERIC "3"
+// Retrieval info: CONSTANT: DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: EN_LOCAL_CLK_DIV_CTRL STRING "true"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
+// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "alt2gxb"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
+// Retrieval info: CONSTANT: PLL_LEGAL_MULTIPLIER_LIST STRING "disable_4_5_mult_above_3125"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PROTOCOL STRING "3g_basic"
+// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0"
+// Retrieval info: CONSTANT: REVERSE_LOOPBACK_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_8B_10B_COMPATIBILITY_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_ALIGN_LOSS_SYNC_ERROR_NUM NUMERIC "1"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
+// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_BANDWIDTH_MODE NUMERIC "1"
+// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
+// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "10"
+// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.9v"
+// Retrieval info: CONSTANT: RX_CRU_INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: RX_CRU_PRE_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: RX_DISABLE_AUTO_IDLE_INSERTION STRING "true"
+// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_DEEP_ALIGN_BYTE_SWAP STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_TRUE_COMPLEMENT_MATCH_IN_WORD_ALIGN STRING "false"
+// Retrieval info: CONSTANT: RX_FLIP_RX_OUT STRING "false"
+// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
+// Retrieval info: CONSTANT: RX_NUM_ALIGN_CONS_GOOD_DATA NUMERIC "1"
+// Retrieval info: CONSTANT: RX_NUM_ALIGN_CONS_PAT NUMERIC "1"
+// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "false"
+// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2"
+// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
+// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "true"
+// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true"
+// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: TX_8B_10B_COMPATIBILITY_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "none"
+// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "1.5v"
+// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "10"
+// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.6v"
+// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: TX_ENABLE_IDLE_SELECTION STRING "false"
+// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_FLIP_TX_IN STRING "false"
+// Retrieval info: CONSTANT: TX_FORCE_DISPARITY_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_REFCLK_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
+// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "3"
+// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
+// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
+// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk"
+// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
+// Retrieval info: USED_PORT: rx_clkout 0 0 1 0 OUTPUT NODEFVAL "rx_clkout[0..0]"
+// Retrieval info: USED_PORT: rx_cruclk 0 0 1 0 INPUT GND "rx_cruclk[0..0]"
+// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
+// Retrieval info: USED_PORT: rx_dataout 0 0 10 0 OUTPUT NODEFVAL "rx_dataout[9..0]"
+// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
+// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
+// Retrieval info: USED_PORT: rx_seriallpbken 0 0 1 0 INPUT NODEFVAL "rx_seriallpbken[0..0]"
+// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
+// Retrieval info: USED_PORT: tx_datain 0 0 10 0 INPUT NODEFVAL "tx_datain[9..0]"
+// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
+// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
+// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
+// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
+// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
+// Retrieval info: CONNECT: rx_dataout 0 0 10 0 @rx_dataout 0 0 10 0
+// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
+// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: @rx_revbitorderwa 0 0 1 0 GND 0 0 1 0
+// Retrieval info: CONNECT: @rx_seriallpbken 0 0 1 0 rx_seriallpbken 0 0 1 0
+// Retrieval info: CONNECT: rx_clkout 0 0 1 0 @rx_clkout 0 0 1 0
+// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
+// Retrieval info: CONNECT: @rx_cruclk 0 0 1 0 rx_cruclk 0 0 1 0
+// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0
+// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
+// Retrieval info: CONNECT: @tx_datain 0 0 10 0 tx_datain 0 0 10 0
+// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.ppf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.bsf FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic_inst.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic_bb.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_basic.vo TRUE FALSE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_gige.v
new file mode 100644
index 0000000000..1697a291a9
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt2gxb_gige.v
@@ -0,0 +1,522 @@
+// megafunction wizard: %ALT2GXB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: alt2gxb 
+
+// ============================================================
+// File Name: altera_tse_alt2gxb_gige.v
+// Megafunction Name(s):
+// 			alt2gxb
+//
+// Simulation Library Files(s):
+// 			
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 9.0 Internal Build 78 11/25/2008 PN Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2008 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// related_files : altera_tse_alt2gxb_gige.v
+// ipfs_files : altera_tse_alt2gxb_gige.vo
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_alt2gxb_gige (
+	cal_blk_clk,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_togxb,
+	rx_analogreset,
+	rx_cruclk,
+	rx_datain,
+	rx_digitalreset,
+	rx_seriallpbken,
+	tx_ctrlenable,
+	tx_datain,
+	tx_digitalreset,
+	reconfig_fromgxb,
+	rx_ctrldetect,
+	rx_dataout,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp,
+	rx_syncstatus,
+	tx_clkout,
+	tx_dataout);
+
+	input	  cal_blk_clk;
+	input	[0:0]  gxb_powerdown;
+	input	  pll_inclk;
+	input	  reconfig_clk;
+	input	[2:0]  reconfig_togxb;
+	input	[0:0]  rx_analogreset;
+	input	[0:0]  rx_cruclk;
+	input	[0:0]  rx_datain;
+	input	[0:0]  rx_digitalreset;
+	input	[0:0]  rx_seriallpbken;
+	input	[0:0]  tx_ctrlenable;
+	input	[7:0]  tx_datain;
+	input	[0:0]  tx_digitalreset;
+	output	[0:0]  reconfig_fromgxb;
+	output	[0:0]  rx_ctrldetect;
+	output	[7:0]  rx_dataout;
+	output	[0:0]  rx_disperr;
+	output	[0:0]  rx_errdetect;
+	output	[0:0]  rx_patterndetect;
+	output	[0:0]  rx_rlv;
+	output	[0:0]  rx_rmfifodatadeleted;
+	output	[0:0]  rx_rmfifodatainserted;
+	output	[0:0]  rx_runningdisp;
+	output	[0:0]  rx_syncstatus;
+	output	[0:0]  tx_clkout;
+	output	[0:0]  tx_dataout;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0	[0:0]  rx_cruclk;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	parameter		starting_channel_number = 0;
+
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire1;
+	wire [0:0] sub_wire2;
+	wire [0:0] sub_wire3;
+	wire [0:0] sub_wire4;
+	wire [0:0] sub_wire5;
+	wire [0:0] sub_wire6;
+	wire [0:0] sub_wire7;
+	wire [0:0] sub_wire8;
+	wire [0:0] sub_wire9;
+	wire [0:0] sub_wire10;
+	wire [0:0] sub_wire11;
+	wire [7:0] sub_wire12;
+	wire [0:0] rx_disperr = sub_wire0[0:0];
+	wire [0:0] rx_rlv = sub_wire1[0:0];
+	wire [0:0] rx_patterndetect = sub_wire2[0:0];
+	wire [0:0] rx_ctrldetect = sub_wire3[0:0];
+	wire [0:0] rx_errdetect = sub_wire4[0:0];
+	wire [0:0] rx_rmfifodatadeleted = sub_wire5[0:0];
+	wire [0:0] rx_runningdisp = sub_wire6[0:0];
+	wire [0:0] tx_dataout = sub_wire7[0:0];
+	wire [0:0] rx_rmfifodatainserted = sub_wire8[0:0];
+	wire [0:0] rx_syncstatus = sub_wire9[0:0];
+	wire [0:0] tx_clkout = sub_wire10[0:0];
+	wire [0:0] reconfig_fromgxb = sub_wire11[0:0];
+	wire [7:0] rx_dataout = sub_wire12[7:0];
+
+	alt2gxb	alt2gxb_component (
+				.pll_inclk (pll_inclk),
+				.gxb_powerdown (gxb_powerdown),
+				.tx_datain (tx_datain),
+				.rx_cruclk (rx_cruclk),
+				.cal_blk_clk (cal_blk_clk),
+				.reconfig_clk (reconfig_clk),
+				.rx_seriallpbken (rx_seriallpbken),
+				.rx_datain (rx_datain),
+				.reconfig_togxb (reconfig_togxb),
+				.tx_ctrlenable (tx_ctrlenable),
+				.rx_analogreset (rx_analogreset),
+				.rx_digitalreset (rx_digitalreset),
+				.tx_digitalreset (tx_digitalreset),
+				.rx_disperr (sub_wire0),
+				.rx_rlv (sub_wire1),
+				.rx_patterndetect (sub_wire2),
+				.rx_ctrldetect (sub_wire3),
+				.rx_errdetect (sub_wire4),
+				.rx_rmfifodatadeleted (sub_wire5),
+				.rx_runningdisp (sub_wire6),
+				.tx_dataout (sub_wire7),
+				.rx_rmfifodatainserted (sub_wire8),
+				.rx_syncstatus (sub_wire9),
+				.tx_clkout (sub_wire10),
+				.reconfig_fromgxb (sub_wire11),
+				.rx_dataout (sub_wire12)
+				// synopsys translate_off
+				,
+				.aeq_fromgxb (),
+				.aeq_togxb (),
+				.cal_blk_calibrationstatus (),
+				.cal_blk_powerdown (),
+				.coreclkout (),
+				.debug_rx_phase_comp_fifo_error (),
+				.debug_tx_phase_comp_fifo_error (),
+				.fixedclk (),
+				.gxb_enable (),
+				.pipe8b10binvpolarity (),
+				.pipedatavalid (),
+				.pipeelecidle (),
+				.pipephydonestatus (),
+				.pipestatus (),
+				.pll_inclk_alt (),
+				.pll_inclk_rx_cruclk (),
+				.pll_locked (),
+				.pll_locked_alt (),
+				.powerdn (),
+				.reconfig_fromgxb_oe (),
+				.rx_a1a2size (),
+				.rx_a1a2sizeout (),
+				.rx_a1detect (),
+				.rx_a2detect (),
+				.rx_bistdone (),
+				.rx_bisterr (),
+				.rx_bitslip (),
+				.rx_byteorderalignstatus (),
+				.rx_channelaligned (),
+				.rx_clkout (),
+				.rx_coreclk (),
+				.rx_cruclk_alt (),
+				.rx_dataoutfull (),
+				.rx_enabyteord (),
+				.rx_enapatternalign (),
+				.rx_freqlocked (),
+				.rx_invpolarity (),
+				.rx_k1detect (),
+				.rx_k2detect (),
+				.rx_locktodata (),
+				.rx_locktorefclk (),
+				.rx_phfifooverflow (),
+				.rx_phfifordenable (),
+				.rx_phfiforeset (),
+				.rx_phfifounderflow (),
+				.rx_phfifowrdisable (),
+				.rx_pll_locked (),
+				.rx_powerdown (),
+				.rx_recovclkout (),
+				.rx_revbitorderwa (),
+				.rx_revbyteorderwa (),
+				.rx_rmfifoalmostempty (),
+				.rx_rmfifoalmostfull (),
+				.rx_rmfifoempty (),
+				.rx_rmfifofull (),
+				.rx_rmfifordena (),
+				.rx_rmfiforeset (),
+				.rx_rmfifowrena (),
+				.rx_signaldetect (),
+				.tx_coreclk (),
+				.tx_datainfull (),
+				.tx_detectrxloop (),
+				.tx_dispval (),
+				.tx_forcedisp (),
+				.tx_forcedispcompliance (),
+				.tx_forceelecidle (),
+				.tx_invpolarity (),
+				.tx_phfifooverflow (),
+				.tx_phfiforeset (),
+				.tx_phfifounderflow (),
+				.tx_revparallellpbken ()
+				// synopsys translate_on
+				);
+	defparam
+		alt2gxb_component.starting_channel_number = starting_channel_number,
+		alt2gxb_component.cmu_pll_inclock_period = 8000,
+		alt2gxb_component.cmu_pll_loop_filter_resistor_control = 3,
+		alt2gxb_component.digitalreset_port_width = 1,
+		alt2gxb_component.en_local_clk_div_ctrl = "true",
+		alt2gxb_component.equalizer_ctrl_a_setting = 0,
+		alt2gxb_component.equalizer_ctrl_b_setting = 0,
+		alt2gxb_component.equalizer_ctrl_c_setting = 0,
+		alt2gxb_component.equalizer_ctrl_d_setting = 0,
+		alt2gxb_component.equalizer_ctrl_v_setting = 0,
+		alt2gxb_component.equalizer_dcgain_setting = 0,
+		alt2gxb_component.gen_reconfig_pll = "false",
+		alt2gxb_component.intended_device_family = "Stratix II GX",
+		alt2gxb_component.loopback_mode = "slb",
+		alt2gxb_component.lpm_type = "alt2gxb",
+		alt2gxb_component.number_of_channels = 1,
+		alt2gxb_component.operation_mode = "duplex",
+		alt2gxb_component.pll_legal_multiplier_list = "disable_4_5_mult_above_3125",
+		alt2gxb_component.preemphasis_ctrl_1stposttap_setting = 0,
+		alt2gxb_component.preemphasis_ctrl_2ndposttap_inv_setting = "false",
+		alt2gxb_component.preemphasis_ctrl_2ndposttap_setting = 0,
+		alt2gxb_component.preemphasis_ctrl_pretap_inv_setting = "false",
+		alt2gxb_component.preemphasis_ctrl_pretap_setting = 0,
+		alt2gxb_component.protocol = "gige",
+		alt2gxb_component.receiver_termination = "oct_100_ohms",
+		alt2gxb_component.reconfig_dprio_mode = 1,
+		alt2gxb_component.reverse_loopback_mode = "none",
+		alt2gxb_component.rx_8b_10b_compatibility_mode = "true",
+		alt2gxb_component.rx_8b_10b_mode = "normal",
+		alt2gxb_component.rx_align_pattern = "0101111100",
+		alt2gxb_component.rx_align_pattern_length = 10,
+		alt2gxb_component.rx_allow_align_polarity_inversion = "false",
+		alt2gxb_component.rx_allow_pipe_polarity_inversion = "false",
+		alt2gxb_component.rx_bandwidth_mode = 1,
+		alt2gxb_component.rx_bitslip_enable = "false",
+		alt2gxb_component.rx_byte_ordering_mode = "none",
+		alt2gxb_component.rx_channel_width = 8,
+		alt2gxb_component.rx_common_mode = "0.9v",
+		alt2gxb_component.rx_cru_inclock_period = 8000,
+		alt2gxb_component.rx_cru_pre_divide_by = 1,
+		alt2gxb_component.rx_datapath_protocol = "basic",
+		alt2gxb_component.rx_data_rate = 1250,
+		alt2gxb_component.rx_data_rate_remainder = 0,
+		alt2gxb_component.rx_disable_auto_idle_insertion = "true",
+		alt2gxb_component.rx_enable_bit_reversal = "false",
+		alt2gxb_component.rx_enable_lock_to_data_sig = "false",
+		alt2gxb_component.rx_enable_lock_to_refclk_sig = "false",
+		alt2gxb_component.rx_enable_self_test_mode = "false",
+		alt2gxb_component.rx_enable_true_complement_match_in_word_align = "false",
+		alt2gxb_component.rx_force_signal_detect = "true",
+		alt2gxb_component.rx_ppmselect = 32,
+		alt2gxb_component.rx_rate_match_back_to_back = "true",
+		alt2gxb_component.rx_rate_match_fifo_mode = "normal",
+		alt2gxb_component.rx_rate_match_ordered_set_based = "true",
+		alt2gxb_component.rx_rate_match_pattern1 = "10100010010101111100",
+		alt2gxb_component.rx_rate_match_pattern2 = "10101011011010000011",
+		alt2gxb_component.rx_rate_match_pattern_size = 20,
+		alt2gxb_component.rx_rate_match_skip_set_based = "true",
+		alt2gxb_component.rx_run_length = 5,
+		alt2gxb_component.rx_run_length_enable = "true",
+		alt2gxb_component.rx_signal_detect_threshold = 2,
+		alt2gxb_component.rx_use_align_state_machine = "true",
+		alt2gxb_component.rx_use_clkout = "false",
+		alt2gxb_component.rx_use_coreclk = "false",
+		alt2gxb_component.rx_use_cruclk = "true",
+		alt2gxb_component.rx_use_deserializer_double_data_mode = "false",
+		alt2gxb_component.rx_use_deskew_fifo = "false",
+		alt2gxb_component.rx_use_double_data_mode = "false",
+		alt2gxb_component.rx_use_rate_match_pattern1_only = "false",
+		alt2gxb_component.transmitter_termination = "oct_100_ohms",
+		alt2gxb_component.tx_8b_10b_compatibility_mode = "true",
+		alt2gxb_component.tx_8b_10b_mode = "normal",
+		alt2gxb_component.tx_allow_polarity_inversion = "false",
+		alt2gxb_component.tx_analog_power = "1.5v",
+		alt2gxb_component.tx_channel_width = 8,
+		alt2gxb_component.tx_common_mode = "0.6v",
+		alt2gxb_component.tx_data_rate = 1250,
+		alt2gxb_component.tx_data_rate_remainder = 0,
+		alt2gxb_component.tx_enable_bit_reversal = "false",
+		alt2gxb_component.tx_enable_idle_selection = "true",
+		alt2gxb_component.tx_enable_self_test_mode = "false",
+		alt2gxb_component.tx_refclk_divide_by = 1,
+		alt2gxb_component.tx_transmit_protocol = "basic",
+		alt2gxb_component.tx_use_coreclk = "false",
+		alt2gxb_component.tx_use_double_data_mode = "false",
+		alt2gxb_component.tx_use_serializer_double_data_mode = "false",
+		alt2gxb_component.use_calibration_block = "true",
+		alt2gxb_component.vod_ctrl_setting = 3;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ALT_SIMLIB_GEN STRING "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
+// Retrieval info: PRIVATE: IP_MODE STRING "TSE"
+// Retrieval info: PRIVATE: LOCKDOWN_EXCL STRING "TSE"
+// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "71"
+// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
+// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
+// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250.0000"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "50.0 62.5 78.125 100.0 125.0 156.25 250.0 312.5 500.0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2500"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "50.0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "125"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125"
+// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250.0000"
+// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125"
+// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "GIGE-Enhanced"
+// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
+// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
+// Retrieval info: CONSTANT: CMU_PLL_INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: CMU_PLL_LOOP_FILTER_RESISTOR_CONTROL NUMERIC "3"
+// Retrieval info: CONSTANT: DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: EN_LOCAL_CLK_DIV_CTRL STRING "true"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II GX"
+// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "alt2gxb"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
+// Retrieval info: CONSTANT: PLL_LEGAL_MULTIPLIER_LIST STRING "disable_4_5_mult_above_3125"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
+// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "1"
+// Retrieval info: CONSTANT: REVERSE_LOOPBACK_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_8B_10B_COMPATIBILITY_MODE STRING "true"
+// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
+// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_BANDWIDTH_MODE NUMERIC "1"
+// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
+// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "none"
+// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.9v"
+// Retrieval info: CONSTANT: RX_CRU_INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: RX_CRU_PRE_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: RX_DISABLE_AUTO_IDLE_INSERTION STRING "true"
+// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_TRUE_COMPLEMENT_MATCH_IN_WORD_ALIGN STRING "false"
+// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
+// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_BACK_TO_BACK STRING "true"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_ORDERED_SET_BASED STRING "true"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_SKIP_SET_BASED STRING "true"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "5"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
+// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2"
+// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
+// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true"
+// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
+// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: TX_8B_10B_COMPATIBILITY_MODE STRING "true"
+// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "1.5v"
+// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.6v"
+// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: TX_ENABLE_IDLE_SELECTION STRING "true"
+// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_REFCLK_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
+// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "3"
+// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
+// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
+// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk"
+// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
+// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 1 0 OUTPUT NODEFVAL "reconfig_fromgxb[0..0]"
+// Retrieval info: USED_PORT: reconfig_togxb 0 0 3 0 INPUT NODEFVAL "reconfig_togxb[2..0]"
+// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
+// Retrieval info: USED_PORT: rx_cruclk 0 0 1 0 INPUT GND "rx_cruclk[0..0]"
+// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
+// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
+// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
+// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
+// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
+// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
+// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
+// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[0..0]"
+// Retrieval info: USED_PORT: rx_runningdisp 0 0 1 0 OUTPUT NODEFVAL "rx_runningdisp[0..0]"
+// Retrieval info: USED_PORT: rx_seriallpbken 0 0 1 0 INPUT NODEFVAL "rx_seriallpbken[0..0]"
+// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
+// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
+// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
+// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
+// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
+// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
+// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
+// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
+// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
+// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
+// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
+// Retrieval info: CONNECT: rx_runningdisp 0 0 1 0 @rx_runningdisp 0 0 1 0
+// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
+// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
+// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
+// Retrieval info: CONNECT: @rx_seriallpbken 0 0 1 0 rx_seriallpbken 0 0 1 0
+// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
+// Retrieval info: CONNECT: @reconfig_togxb 0 0 3 0 reconfig_togxb 0 0 3 0
+// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
+// Retrieval info: CONNECT: @rx_cruclk 0 0 1 0 rx_cruclk 0 0 1 0
+// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
+// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0
+// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
+// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
+// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
+// Retrieval info: CONNECT: reconfig_fromgxb 0 0 1 0 @reconfig_fromgxb 0 0 1 0
+// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 1 0 @rx_rmfifodatainserted 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 1 0 @rx_rmfifodatadeleted 0 0 1 0
+// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.bsf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige_inst.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige_bb.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.ppf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt2gxb_gige.vo TRUE FALSE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt4gxb_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt4gxb_gige.v
new file mode 100644
index 0000000000..3c2cabcc31
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_alt4gxb_gige.v
@@ -0,0 +1,1675 @@
+// megafunction wizard: %ALTGX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: alt4gxb 
+
+// ============================================================
+// File Name: altera_tse_alt4gxb_gige.v
+// Megafunction Name(s):
+// 			alt4gxb
+//
+// Simulation Library Files(s):
+// 			stratixiv_hssi
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 9.1 Internal Build 95 04/09/2009 PN Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2009 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+//alt4gxb CBX_AUTO_BLACKBOX="ALL" device_family="Stratix IV" effective_data_rate="1250 Mbps" enable_lc_tx_pll="false" equalizer_ctrl_a_setting=0 equalizer_ctrl_b_setting=0 equalizer_ctrl_c_setting=0 equalizer_ctrl_d_setting=0 equalizer_ctrl_v_setting=0 equalizer_dcgain_setting=0 gen_reconfig_pll="false" gx_channel_type="auto" gxb_analog_power="AUTO" gxb_powerdown_width=1 input_clock_frequency="125.0 MHz" intended_device_speed_grade="2" intended_device_variant="GX" loopback_mode="slb" number_of_channels=1 number_of_quads=1 operation_mode="duplex" pll_control_width=1 preemphasis_ctrl_1stposttap_setting=0 preemphasis_ctrl_2ndposttap_inv_setting="false" preemphasis_ctrl_2ndposttap_setting=0 preemphasis_ctrl_pretap_inv_setting="false" preemphasis_ctrl_pretap_setting=0 protocol="gige" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=1 reconfig_fromgxb_port_width=17 reconfig_togxb_port_width=4 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="false" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_width=8 rx_common_mode="0.82v" rx_cru_bandwidth_type="medium" rx_cru_inclock0_period=8000 rx_cru_m_divider=5 rx_cru_n_divider=1 rx_cru_vco_post_scale_divider=4 rx_data_rate=1250 rx_data_rate_remainder=0 rx_datapath_protocol="basic" rx_digitalreset_port_width=1 rx_dwidth_factor=1 rx_enable_bit_reversal="false" rx_enable_lock_to_data_sig="false" rx_enable_lock_to_refclk_sig="false" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_ppmselect=32 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="10100010010101111100" rx_rate_match_pattern2="10101011011010000011" rx_rate_match_pattern_size=20 rx_run_length=5 rx_run_length_enable="true" rx_signal_detect_threshold=2 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_cruclk="true" rx_use_deserializer_double_data_mode="false" rx_use_deskew_fifo="false" rx_use_double_data_mode="false" rx_use_rate_match_pattern1_only="false" rx_word_aligner_num_byte=1 starting_channel_number=0 transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="false" tx_analog_power="auto" tx_channel_width=8 tx_clkout_width=1 tx_common_mode="0.65v" tx_data_rate=1250 tx_data_rate_remainder=0 tx_digitalreset_port_width=1 tx_dwidth_factor=1 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_pll_bandwidth_type="high" tx_pll_clock_post_divider=1 tx_pll_inclk0_period=8000 tx_pll_m_divider=5 tx_pll_n_divider=1 tx_pll_type="CMU" tx_pll_vco_post_scale_divider=4 tx_slew_rate="medium" tx_transmit_protocol="basic" tx_use_coreclk="false" tx_use_double_data_mode="false" tx_use_serializer_double_data_mode="false" use_calibration_block="true" vod_ctrl_setting=1 cal_blk_clk fixedclk fixedclk_fast gxb_powerdown pll_inclk reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_cruclk rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_patterndetect rx_rlv rx_rmfifodatadeleted rx_rmfifodatainserted rx_runningdisp rx_seriallpbken rx_syncstatus tx_clkout tx_ctrlenable tx_datain tx_dataout tx_digitalreset
+//VERSION_BEGIN 9.1 cbx_alt4gxb 2009:04:08:15:05:21:PN cbx_mgl 2009:04:08:09:26:09:PN cbx_tgx 2008:05:30:03:23:14:PN  VERSION_END
+// synthesis VERILOG_INPUT_VERSION VERILOG_2001
+// altera message_off 10463
+
+
+//synthesis_resources = reg 8 stratixiv_hssi_calibration_block 1 stratixiv_hssi_clock_divider 1 stratixiv_hssi_cmu 1 stratixiv_hssi_pll 2 stratixiv_hssi_rx_pcs 1 stratixiv_hssi_rx_pma 1 stratixiv_hssi_tx_pcs 1 stratixiv_hssi_tx_pma 1 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+(* ALTERA_ATTRIBUTE = {"suppress_da_rule_internal=c104"} *)
+module  altera_tse_alt4gxb_gige_alt4gxb_4fh9
+	( 
+	cal_blk_clk,
+	fixedclk,
+	fixedclk_fast,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_fromgxb,
+	reconfig_togxb,
+	rx_analogreset,
+	rx_cruclk,
+	rx_ctrldetect,
+	rx_datain,
+	rx_dataout,
+	rx_digitalreset,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp,
+	rx_seriallpbken,
+	rx_syncstatus,
+	tx_clkout,
+	tx_ctrlenable,
+	tx_datain,
+	tx_dataout,
+	tx_digitalreset) /* synthesis synthesis_clearbox=2 */;
+	input   cal_blk_clk;
+	input   fixedclk;
+	input   [5:0]  fixedclk_fast;
+	input   [0:0]  gxb_powerdown;
+	input   pll_inclk;
+	input   reconfig_clk;
+	output   [16:0]  reconfig_fromgxb;
+	input   [3:0]  reconfig_togxb;
+	input   [0:0]  rx_analogreset;
+	input   [0:0]  rx_cruclk;
+	output   [0:0]  rx_ctrldetect;
+	input   [0:0]  rx_datain;
+	output   [7:0]  rx_dataout;
+	input   [0:0]  rx_digitalreset;
+	output   [0:0]  rx_disperr;
+	output   [0:0]  rx_errdetect;
+	output   [0:0]  rx_patterndetect;
+	output   [0:0]  rx_rlv;
+	output   [0:0]  rx_rmfifodatadeleted;
+	output   [0:0]  rx_rmfifodatainserted;
+	output   [0:0]  rx_runningdisp;
+	input   [0:0]  rx_seriallpbken;
+	output   [0:0]  rx_syncstatus;
+	output   [0:0]  tx_clkout;
+	input   [0:0]  tx_ctrlenable;
+	input   [7:0]  tx_datain;
+	output   [0:0]  tx_dataout;
+	input   [0:0]  tx_digitalreset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   cal_blk_clk;
+	tri0   fixedclk;
+	tri1   [5:0]  fixedclk_fast;
+	tri0   [0:0]  gxb_powerdown;
+	tri0   pll_inclk;
+	tri0   reconfig_clk;
+	tri0   [0:0]  rx_analogreset;
+	tri0   [0:0]  rx_cruclk;
+	tri0   [0:0]  rx_datain;
+	tri0   [0:0]  rx_digitalreset;
+	tri0   [0:0]  rx_seriallpbken;
+	tri0   [0:0]  tx_ctrlenable;
+	tri0   [7:0]  tx_datain;
+	tri0   [0:0]  tx_digitalreset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+
+	parameter	starting_channel_number = 0;
+
+
+	reg	fixedclk_div0quad0c;
+	wire	wire_fixedclk_div0quad0c_clk;
+	reg	fixedclk_div1quad0c;
+	wire	wire_fixedclk_div1quad0c_clk;
+	reg	fixedclk_div2quad0c;
+	wire	wire_fixedclk_div2quad0c_clk;
+	reg	fixedclk_div3quad0c;
+	wire	wire_fixedclk_div3quad0c_clk;
+	reg	fixedclk_div4quad0c;
+	wire	wire_fixedclk_div4quad0c_clk;
+	reg	fixedclk_div5quad0c;
+	wire	wire_fixedclk_div5quad0c_clk;
+	reg	[1:0]	reconfig_togxb_busy_reg;
+	wire  wire_cal_blk0_nonusertocmu;
+	wire  [1:0]   wire_ch_clk_div0_analogfastrefclkout;
+	wire  [1:0]   wire_ch_clk_div0_analogrefclkout;
+	wire  wire_ch_clk_div0_analogrefclkpulse;
+	wire  [99:0]   wire_ch_clk_div0_dprioout;
+	wire  [599:0]   wire_cent_unit0_cmudividerdprioout;
+	wire  [1799:0]   wire_cent_unit0_cmuplldprioout;
+	wire  wire_cent_unit0_dpriodisableout;
+	wire  wire_cent_unit0_dprioout;
+	wire  [1:0]   wire_cent_unit0_pllpowerdn;
+	wire  [1:0]   wire_cent_unit0_pllresetout;
+	wire  wire_cent_unit0_quadresetout;
+	wire  [5:0]   wire_cent_unit0_rxanalogresetout;
+	wire  [5:0]   wire_cent_unit0_rxcrupowerdown;
+	wire  [5:0]   wire_cent_unit0_rxcruresetout;
+	wire  [3:0]   wire_cent_unit0_rxdigitalresetout;
+	wire  [5:0]   wire_cent_unit0_rxibpowerdown;
+	wire  [1599:0]   wire_cent_unit0_rxpcsdprioout;
+	wire  [1799:0]   wire_cent_unit0_rxpmadprioout;
+	wire  [5:0]   wire_cent_unit0_txanalogresetout;
+	wire  [3:0]   wire_cent_unit0_txctrlout;
+	wire  [31:0]   wire_cent_unit0_txdataout;
+	wire  [5:0]   wire_cent_unit0_txdetectrxpowerdown;
+	wire  [3:0]   wire_cent_unit0_txdigitalresetout;
+	wire  [5:0]   wire_cent_unit0_txobpowerdown;
+	wire  [599:0]   wire_cent_unit0_txpcsdprioout;
+	wire  [1799:0]   wire_cent_unit0_txpmadprioout;
+	wire  [3:0]   wire_rx_cdr_pll0_clk;
+	wire  [1:0]   wire_rx_cdr_pll0_dataout;
+	wire  [299:0]   wire_rx_cdr_pll0_dprioout;
+	wire  wire_rx_cdr_pll0_locked;
+	wire  wire_rx_cdr_pll0_pfdrefclkout;
+	wire  [3:0]   wire_tx_pll0_clk;
+	wire  [299:0]   wire_tx_pll0_dprioout;
+	wire  wire_tx_pll0_locked;
+	wire  wire_receive_pcs0_cdrctrllocktorefclkout;
+	wire  [3:0]   wire_receive_pcs0_ctrldetect;
+	wire  [39:0]   wire_receive_pcs0_dataout;
+	wire  [3:0]   wire_receive_pcs0_disperr;
+	wire  [399:0]   wire_receive_pcs0_dprioout;
+	wire  [3:0]   wire_receive_pcs0_errdetect;
+	wire  [3:0]   wire_receive_pcs0_patterndetect;
+	wire  wire_receive_pcs0_rlv;
+	wire  [3:0]   wire_receive_pcs0_rmfifodatadeleted;
+	wire  [3:0]   wire_receive_pcs0_rmfifodatainserted;
+	wire  [3:0]   wire_receive_pcs0_runningdisp;
+	wire  wire_receive_pcs0_signaldetect;
+	wire  [3:0]   wire_receive_pcs0_syncstatus;
+	wire  [7:0]   wire_receive_pma0_analogtestbus;
+	wire  wire_receive_pma0_clockout;
+	wire  wire_receive_pma0_dataout;
+	wire  [299:0]   wire_receive_pma0_dprioout;
+	wire  wire_receive_pma0_locktorefout;
+	wire  [63:0]   wire_receive_pma0_recoverdataout;
+	wire  wire_receive_pma0_signaldetect;
+	wire  wire_transmit_pcs0_clkout;
+	wire  [19:0]   wire_transmit_pcs0_dataout;
+	wire  [149:0]   wire_transmit_pcs0_dprioout;
+	wire  wire_transmit_pcs0_forceelecidleout;
+	wire  wire_transmit_pcs0_txdetectrx;
+	wire  wire_transmit_pma0_clockout;
+	wire  wire_transmit_pma0_dataout;
+	wire  [299:0]   wire_transmit_pma0_dprioout;
+	wire  wire_transmit_pma0_seriallpbkout;
+	wire  [1:0]  analogfastrefclkout;
+	wire  [1:0]  analogrefclkout;
+	wire  [0:0]  analogrefclkpulse;
+	wire cal_blk_powerdown;
+	wire  [599:0]  cent_unit_cmudividerdprioout;
+	wire  [1799:0]  cent_unit_cmuplldprioout;
+	wire  [1:0]  cent_unit_pllpowerdn;
+	wire  [1:0]  cent_unit_pllresetout;
+	wire  [0:0]  cent_unit_quadresetout;
+	wire  [5:0]  cent_unit_rxcrupowerdn;
+	wire  [5:0]  cent_unit_rxibpowerdn;
+	wire  [1599:0]  cent_unit_rxpcsdprioin;
+	wire  [1599:0]  cent_unit_rxpcsdprioout;
+	wire  [1799:0]  cent_unit_rxpmadprioin;
+	wire  [1799:0]  cent_unit_rxpmadprioout;
+	wire  [1199:0]  cent_unit_tx_dprioin;
+	wire  [31:0]  cent_unit_tx_xgmdataout;
+	wire  [3:0]  cent_unit_txctrlout;
+	wire  [5:0]  cent_unit_txdetectrxpowerdn;
+	wire  [599:0]  cent_unit_txdprioout;
+	wire  [5:0]  cent_unit_txobpowerdn;
+	wire  [1799:0]  cent_unit_txpmadprioin;
+	wire  [1799:0]  cent_unit_txpmadprioout;
+	wire  [599:0]  clk_div_cmudividerdprioin;
+	wire  [5:0]  fixedclk_div_in;
+	wire  [0:0]  fixedclk_enable;
+	wire  [5:0]  fixedclk_in;
+	wire  [0:0]  fixedclk_sel;
+	wire  [5:0]  fixedclk_to_cmu;
+	wire  [0:0]  nonusertocmu_out;
+	wire  [9:0]  pll0_clkin;
+	wire  [299:0]  pll0_dprioin;
+	wire  [299:0]  pll0_dprioout;
+	wire  [3:0]  pll0_out;
+	wire  [1:0]  pll_ch_dataout_wire;
+	wire  [299:0]  pll_ch_dprioout;
+	wire  [1799:0]  pll_cmuplldprioout;
+	wire  [0:0]  pll_inclk_wire;
+	wire [0:0]  pll_powerdown;
+	wire  [1:0]  pllpowerdn_in;
+	wire  [1:0]  pllreset_in;
+	wire  [0:0]  reconfig_togxb_busy;
+	wire  [0:0]  reconfig_togxb_disable;
+	wire  [0:0]  reconfig_togxb_in;
+	wire  [0:0]  reconfig_togxb_load;
+	wire  [1:0]  refclkdividerdprioin;
+	wire  [5:0]  rx_analogreset_in;
+	wire  [5:0]  rx_analogreset_out;
+	wire  [0:0]  rx_coreclk_in;
+	wire  [9:0]  rx_cruclk_in;
+	wire  [3:0]  rx_deserclock_in;
+	wire  [3:0]  rx_digitalreset_in;
+	wire  [3:0]  rx_digitalreset_out;
+	wire [0:0]  rx_enapatternalign;
+	wire [0:0]  rx_locktodata;
+	wire  [0:0]  rx_locktodata_wire;
+	wire [0:0]  rx_locktorefclk;
+	wire  [0:0]  rx_locktorefclk_wire;
+	wire  [7:0]  rx_out_wire;
+	wire  [1599:0]  rx_pcsdprioin_wire;
+	wire  [1599:0]  rx_pcsdprioout;
+	wire [0:0]  rx_phfifordenable;
+	wire [0:0]  rx_phfiforeset;
+	wire [0:0]  rx_phfifowrdisable;
+	wire  [0:0]  rx_pldcruclk_in;
+	wire  [3:0]  rx_pll_clkout;
+	wire  [0:0]  rx_pll_pfdrefclkout_wire;
+	wire  [0:0]  rx_plllocked_wire;
+	wire  [16:0]  rx_pma_analogtestbus;
+	wire  [0:0]  rx_pma_clockout;
+	wire  [0:0]  rx_pma_dataout;
+	wire  [0:0]  rx_pma_locktorefout;
+	wire  [19:0]  rx_pma_recoverdataout_wire;
+	wire  [1799:0]  rx_pmadprioin_wire;
+	wire  [1799:0]  rx_pmadprioout;
+	wire [0:0]  rx_powerdown;
+	wire  [5:0]  rx_powerdown_in;
+	wire [0:0]  rx_prbscidenable;
+	wire [0:0]  rx_rmfiforeset;
+	wire  [5:0]  rx_rxcruresetout;
+	wire  [0:0]  rx_signaldetect_wire;
+	wire  [1799:0]  rxpll_dprioin;
+	wire  [5:0]  tx_analogreset_out;
+	wire  [0:0]  tx_clkout_int_wire;
+	wire  [0:0]  tx_core_clkout_wire;
+	wire  [0:0]  tx_coreclk_in;
+	wire  [7:0]  tx_datain_wire;
+	wire  [19:0]  tx_dataout_pcs_to_pma;
+	wire  [3:0]  tx_digitalreset_in;
+	wire  [3:0]  tx_digitalreset_out;
+	wire [0:0]  tx_dispval;
+	wire  [1199:0]  tx_dprioin_wire;
+	wire  [0:0]  tx_forcedisp_wire;
+	wire [0:0]  tx_invpolarity;
+	wire  [0:0]  tx_localrefclk;
+	wire [0:0]  tx_phfiforeset;
+	wire  [1799:0]  tx_pmadprioin_wire;
+	wire  [1799:0]  tx_pmadprioout;
+	wire  [0:0]  tx_serialloopbackout;
+	wire  [599:0]  tx_txdprioout;
+	wire  [0:0]  txdetectrxout;
+	wire  [0:0]  w_cent_unit_dpriodisableout1w;
+
+	// synopsys translate_off
+	initial
+		fixedclk_div0quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div0quad0c_clk)
+		  fixedclk_div0quad0c <= (~ fixedclk_div_in[0]);
+	assign
+		wire_fixedclk_div0quad0c_clk = fixedclk_in[0];
+	// synopsys translate_off
+	initial
+		fixedclk_div1quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div1quad0c_clk)
+		  fixedclk_div1quad0c <= (~ fixedclk_div_in[1]);
+	assign
+		wire_fixedclk_div1quad0c_clk = fixedclk_in[1];
+	// synopsys translate_off
+	initial
+		fixedclk_div2quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div2quad0c_clk)
+		  fixedclk_div2quad0c <= (~ fixedclk_div_in[2]);
+	assign
+		wire_fixedclk_div2quad0c_clk = fixedclk_in[2];
+	// synopsys translate_off
+	initial
+		fixedclk_div3quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div3quad0c_clk)
+		  fixedclk_div3quad0c <= (~ fixedclk_div_in[3]);
+	assign
+		wire_fixedclk_div3quad0c_clk = fixedclk_in[3];
+	// synopsys translate_off
+	initial
+		fixedclk_div4quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div4quad0c_clk)
+		  fixedclk_div4quad0c <= (~ fixedclk_div_in[4]);
+	assign
+		wire_fixedclk_div4quad0c_clk = fixedclk_in[4];
+	// synopsys translate_off
+	initial
+		fixedclk_div5quad0c = 0;
+	// synopsys translate_on
+	always @ ( posedge wire_fixedclk_div5quad0c_clk)
+		  fixedclk_div5quad0c <= (~ fixedclk_div_in[5]);
+	assign
+		wire_fixedclk_div5quad0c_clk = fixedclk_in[5];
+	// synopsys translate_off
+	initial
+		reconfig_togxb_busy_reg = 0;
+	// synopsys translate_on
+	always @ ( negedge fixedclk)
+		  reconfig_togxb_busy_reg <= {reconfig_togxb_busy_reg[0], reconfig_togxb_busy};
+	stratixiv_hssi_calibration_block   cal_blk0
+	( 
+	.calibrationstatus(),
+	.clk(cal_blk_clk),
+	.enabletestbus(1'b1),
+	.nonusertocmu(wire_cal_blk0_nonusertocmu),
+	.powerdn(cal_blk_powerdown)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.testctrl(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	stratixiv_hssi_clock_divider   ch_clk_div0
+	( 
+	.analogfastrefclkout(wire_ch_clk_div0_analogfastrefclkout),
+	.analogfastrefclkoutshifted(),
+	.analogrefclkout(wire_ch_clk_div0_analogrefclkout),
+	.analogrefclkoutshifted(),
+	.analogrefclkpulse(wire_ch_clk_div0_analogrefclkpulse),
+	.analogrefclkpulseshifted(),
+	.clk0in(pll0_out[3:0]),
+	.coreclkout(),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(cent_unit_cmudividerdprioout[99:0]),
+	.dprioout(wire_ch_clk_div0_dprioout),
+	.quadreset(cent_unit_quadresetout[0]),
+	.rateswitchbaseclock(),
+	.rateswitchdone(),
+	.rateswitchout(),
+	.refclkout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.clk1in({4{1'b0}}),
+	.powerdn(1'b0),
+	.rateswitch(1'b0),
+	.rateswitchbaseclkin({2{1'b0}}),
+	.rateswitchdonein({2{1'b0}}),
+	.refclkdig(1'b0),
+	.refclkin({2{1'b0}}),
+	.vcobypassin(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		ch_clk_div0.channel_num = ((starting_channel_number + 0) % 4),
+		ch_clk_div0.divide_by = 5,
+		ch_clk_div0.divider_type = "CHANNEL_REGULAR",
+		ch_clk_div0.dprio_config_mode = 6'h01,
+		ch_clk_div0.effective_data_rate = "1250 Mbps",
+		ch_clk_div0.enable_dynamic_divider = "false",
+		ch_clk_div0.enable_refclk_out = "false",
+		ch_clk_div0.inclk_select = 0,
+		ch_clk_div0.logical_channel_address = (starting_channel_number + 0),
+		ch_clk_div0.pre_divide_by = 1,
+		ch_clk_div0.select_local_rate_switch_done = "false",
+		ch_clk_div0.sim_analogfastrefclkout_phase_shift = 0,
+		ch_clk_div0.sim_analogrefclkout_phase_shift = 0,
+		ch_clk_div0.sim_coreclkout_phase_shift = 0,
+		ch_clk_div0.sim_refclkout_phase_shift = 0,
+		ch_clk_div0.use_coreclk_out_post_divider = "false",
+		ch_clk_div0.use_refclk_post_divider = "false",
+		ch_clk_div0.use_vco_bypass = "false",
+		ch_clk_div0.lpm_type = "stratixiv_hssi_clock_divider";
+	stratixiv_hssi_cmu   cent_unit0
+	( 
+	.adet({4{1'b0}}),
+	.alignstatus(),
+	.autospdx4configsel(),
+	.autospdx4rateswitchout(),
+	.autospdx4spdchg(),
+	.clkdivpowerdn(),
+	.cmudividerdprioin(clk_div_cmudividerdprioin[599:0]),
+	.cmudividerdprioout(wire_cent_unit0_cmudividerdprioout),
+	.cmuplldprioin(pll_cmuplldprioout[1799:0]),
+	.cmuplldprioout(wire_cent_unit0_cmuplldprioout),
+	.digitaltestout(),
+	.dpclk(reconfig_clk),
+	.dpriodisable(reconfig_togxb_disable),
+	.dpriodisableout(wire_cent_unit0_dpriodisableout),
+	.dprioin(reconfig_togxb_in),
+	.dprioload(reconfig_togxb_load),
+	.dpriooe(),
+	.dprioout(wire_cent_unit0_dprioout),
+	.enabledeskew(),
+	.extra10gout(),
+	.fiforesetrd(),
+	.fixedclk({{5{1'b0}}, fixedclk_to_cmu[0]}),
+	.lccmutestbus(),
+	.nonuserfromcal(nonusertocmu_out[0]),
+	.phfifiox4ptrsreset(),
+	.pllpowerdn(wire_cent_unit0_pllpowerdn),
+	.pllresetout(wire_cent_unit0_pllresetout),
+	.quadreset(gxb_powerdown[0]),
+	.quadresetout(wire_cent_unit0_quadresetout),
+	.rdalign({4{1'b0}}),
+	.rdenablesync(1'b0),
+	.recovclk(1'b0),
+	.refclkdividerdprioin(refclkdividerdprioin[1:0]),
+	.refclkdividerdprioout(),
+	.rxadcepowerdown(),
+	.rxadceresetout(),
+	.rxanalogreset({{2{1'b0}}, rx_analogreset_in[3:0]}),
+	.rxanalogresetout(wire_cent_unit0_rxanalogresetout),
+	.rxcrupowerdown(wire_cent_unit0_rxcrupowerdown),
+	.rxcruresetout(wire_cent_unit0_rxcruresetout),
+	.rxctrl({4{1'b0}}),
+	.rxctrlout(),
+	.rxdatain({32{1'b0}}),
+	.rxdataout(),
+	.rxdatavalid({4{1'b0}}),
+	.rxdigitalreset(rx_digitalreset_in[3:0]),
+	.rxdigitalresetout(wire_cent_unit0_rxdigitalresetout),
+	.rxibpowerdown(wire_cent_unit0_rxibpowerdown),
+	.rxpcsdprioin(cent_unit_rxpcsdprioin[1599:0]),
+	.rxpcsdprioout(wire_cent_unit0_rxpcsdprioout),
+	.rxphfifox4byteselout(),
+	.rxphfifox4rdenableout(),
+	.rxphfifox4wrclkout(),
+	.rxphfifox4wrenableout(),
+	.rxpmadprioin(cent_unit_rxpmadprioin[1799:0]),
+	.rxpmadprioout(wire_cent_unit0_rxpmadprioout),
+	.rxpowerdown({{2{1'b0}}, rx_powerdown_in[3:0]}),
+	.rxrunningdisp({4{1'b0}}),
+	.scanout(),
+	.syncstatus({4{1'b0}}),
+	.testout(),
+	.txanalogresetout(wire_cent_unit0_txanalogresetout),
+	.txctrl({4{1'b0}}),
+	.txctrlout(wire_cent_unit0_txctrlout),
+	.txdatain({32{1'b0}}),
+	.txdataout(wire_cent_unit0_txdataout),
+	.txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown),
+	.txdigitalreset(tx_digitalreset_in[3:0]),
+	.txdigitalresetout(wire_cent_unit0_txdigitalresetout),
+	.txdividerpowerdown(),
+	.txobpowerdown(wire_cent_unit0_txobpowerdown),
+	.txpcsdprioin(cent_unit_tx_dprioin[599:0]),
+	.txpcsdprioout(wire_cent_unit0_txpcsdprioout),
+	.txphfifox4byteselout(),
+	.txphfifox4rdclkout(),
+	.txphfifox4rdenableout(),
+	.txphfifox4wrenableout(),
+	.txpllreset({{1{1'b0}}, pll_powerdown[0]}),
+	.txpmadprioin(cent_unit_txpmadprioin[1799:0]),
+	.txpmadprioout(wire_cent_unit0_txpmadprioout)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.extra10gin({7{1'b0}}),
+	.lccmurtestbussel({3{1'b0}}),
+	.pmacramtest(1'b0),
+	.rateswitch(1'b0),
+	.rateswitchdonein(1'b0),
+	.rxclk(1'b0),
+	.rxcoreclk(1'b0),
+	.rxphfifordenable(1'b1),
+	.rxphfiforeset(1'b0),
+	.rxphfifowrdisable(1'b0),
+	.scanclk(1'b0),
+	.scanin({23{1'b0}}),
+	.scanmode(1'b0),
+	.scanshift(1'b0),
+	.testin({10000{1'b0}}),
+	.txclk(1'b0),
+	.txcoreclk(1'b0),
+	.txphfiforddisable(1'b0),
+	.txphfiforeset(1'b0),
+	.txphfifowrenable(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cent_unit0.auto_spd_deassert_ph_fifo_rst_count = 8,
+		cent_unit0.auto_spd_phystatus_notify_count = 0,
+		cent_unit0.bonded_quad_mode = "none",
+		cent_unit0.devaddr = ((((starting_channel_number / 4) + 0) % 32) + 1),
+		cent_unit0.in_xaui_mode = "false",
+		cent_unit0.offset_all_errors_align = "false",
+		cent_unit0.pipe_auto_speed_nego_enable = "false",
+		cent_unit0.pipe_freq_scale_mode = "Frequency",
+		cent_unit0.pma_done_count = 249950,
+		cent_unit0.portaddr = (((starting_channel_number + 0) / 128) + 1),
+		cent_unit0.rx0_auto_spd_self_switch_enable = "false",
+		cent_unit0.rx0_channel_bonding = "none",
+		cent_unit0.rx0_clk1_mux_select = "recovered clock",
+		cent_unit0.rx0_clk2_mux_select = "local reference clock",
+		cent_unit0.rx0_ph_fifo_reg_mode = "false",
+		cent_unit0.rx0_rd_clk_mux_select = "core clock",
+		cent_unit0.rx0_recovered_clk_mux_select = "recovered clock",
+		cent_unit0.rx0_reset_clock_output_during_digital_reset = "false",
+		cent_unit0.rx0_use_double_data_mode = "false",
+		cent_unit0.tx0_auto_spd_self_switch_enable = "false",
+		cent_unit0.tx0_channel_bonding = "none",
+		cent_unit0.tx0_ph_fifo_reg_mode = "false",
+		cent_unit0.tx0_rd_clk_mux_select = "cmu_clock_divider",
+		cent_unit0.tx0_use_double_data_mode = "false",
+		cent_unit0.tx0_wr_clk_mux_select = "core_clk",
+		cent_unit0.use_deskew_fifo = "false",
+		cent_unit0.vcceh_voltage = "Auto",
+		cent_unit0.lpm_type = "stratixiv_hssi_cmu";
+	stratixiv_hssi_pll   rx_cdr_pll0
+	( 
+	.areset(rx_rxcruresetout[0]),
+	.clk(wire_rx_cdr_pll0_clk),
+	.datain(rx_pma_dataout[0]),
+	.dataout(wire_rx_cdr_pll0_dataout),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(rxpll_dprioin[299:0]),
+	.dprioout(wire_rx_cdr_pll0_dprioout),
+	.freqlocked(),
+	.inclk({rx_cruclk_in[9:0]}),
+	.locked(wire_rx_cdr_pll0_locked),
+	.locktorefclk(rx_pma_locktorefout[0]),
+	.pfdfbclkout(),
+	.pfdrefclkout(wire_rx_cdr_pll0_pfdrefclkout),
+	.powerdown(cent_unit_rxcrupowerdn[0]),
+	.vcobypassout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.earlyeios(1'b0),
+	.extra10gin({6{1'b0}}),
+	.pfdfbclk(1'b0),
+	.rateswitch(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		rx_cdr_pll0.bandwidth_type = "Medium",
+		rx_cdr_pll0.channel_num = ((starting_channel_number + 0) % 4),
+		rx_cdr_pll0.dprio_config_mode = 6'h00,
+		rx_cdr_pll0.effective_data_rate = "1250 Mbps",
+		rx_cdr_pll0.enable_dynamic_divider = "false",
+		rx_cdr_pll0.inclk0_input_period = 8000,
+		rx_cdr_pll0.input_clock_frequency = "125.0 MHz",
+		rx_cdr_pll0.m = 5,
+		rx_cdr_pll0.n = 1,
+		rx_cdr_pll0.pfd_clk_select = 0,
+		rx_cdr_pll0.pll_type = "RX CDR",
+		rx_cdr_pll0.use_refclk_pin = "false",
+		rx_cdr_pll0.vco_post_scale = 4,
+		rx_cdr_pll0.lpm_type = "stratixiv_hssi_pll";
+	stratixiv_hssi_pll   tx_pll0
+	( 
+	.areset(pllreset_in[0]),
+	.clk(wire_tx_pll0_clk),
+	.dataout(),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(pll0_dprioin[299:0]),
+	.dprioout(wire_tx_pll0_dprioout),
+	.freqlocked(),
+	.inclk({pll0_clkin[9:0]}),
+	.locked(wire_tx_pll0_locked),
+	.pfdfbclkout(),
+	.pfdrefclkout(),
+	.powerdown(pllpowerdn_in[0]),
+	.vcobypassout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.datain(1'b0),
+	.earlyeios(1'b0),
+	.extra10gin({6{1'b0}}),
+	.locktorefclk(1'b1),
+	.pfdfbclk(1'b0),
+	.rateswitch(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		tx_pll0.bandwidth_type = "High",
+		tx_pll0.channel_num = 4,
+		tx_pll0.dprio_config_mode = 6'h00,
+		tx_pll0.inclk0_input_period = 8000,
+		tx_pll0.input_clock_frequency = "125.0 MHz",
+		tx_pll0.logical_tx_pll_number = 0,
+		tx_pll0.m = 5,
+		tx_pll0.n = 1,
+		tx_pll0.pfd_clk_select = 0,
+		tx_pll0.pfd_fb_select = "internal",
+		tx_pll0.pll_type = "CMU",
+		tx_pll0.use_refclk_pin = "false",
+		tx_pll0.vco_post_scale = 4,
+		tx_pll0.lpm_type = "stratixiv_hssi_pll";
+	stratixiv_hssi_rx_pcs   receive_pcs0
+	( 
+	.a1a2size(1'b0),
+	.a1a2sizeout(),
+	.a1detect(),
+	.a2detect(),
+	.adetectdeskew(),
+	.alignstatus(1'b0),
+	.alignstatussync(1'b0),
+	.alignstatussyncout(),
+	.autospdrateswitchout(),
+	.autospdspdchgout(),
+	.bistdone(),
+	.bisterr(),
+	.bitslipboundaryselectout(),
+	.byteorderalignstatus(),
+	.cdrctrlearlyeios(),
+	.cdrctrllocktorefcl((reconfig_togxb_busy | rx_locktorefclk[0])),
+	.cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout),
+	.clkout(),
+	.coreclk(rx_coreclk_in[0]),
+	.coreclkout(),
+	.ctrldetect(wire_receive_pcs0_ctrldetect),
+	.datain(rx_pma_recoverdataout_wire[19:0]),
+	.dataout(wire_receive_pcs0_dataout),
+	.dataoutfull(),
+	.digitalreset(rx_digitalreset_out[0]),
+	.digitaltestout(),
+	.disablefifordin(1'b0),
+	.disablefifordout(),
+	.disablefifowrin(1'b0),
+	.disablefifowrout(),
+	.disperr(wire_receive_pcs0_disperr),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(rx_pcsdprioin_wire[399:0]),
+	.dprioout(wire_receive_pcs0_dprioout),
+	.enabledeskew(1'b0),
+	.enabyteord(1'b0),
+	.enapatternalign(rx_enapatternalign[0]),
+	.errdetect(wire_receive_pcs0_errdetect),
+	.fifordin(1'b0),
+	.fifordout(),
+	.fiforesetrd(1'b0),
+	.hipdataout(),
+	.hipdatavalid(),
+	.hipelecidle(),
+	.hipphydonestatus(),
+	.hipstatus(),
+	.invpol(1'b0),
+	.iqpphfifobyteselout(),
+	.iqpphfifoptrsresetout(),
+	.iqpphfifordenableout(),
+	.iqpphfifowrclkout(),
+	.iqpphfifowrenableout(),
+	.k1detect(),
+	.k2detect(),
+	.localrefclk(tx_localrefclk[0]),
+	.masterclk(1'b0),
+	.parallelfdbk({20{1'b0}}),
+	.patterndetect(wire_receive_pcs0_patterndetect),
+	.phfifobyteselout(),
+	.phfifobyteserdisableout(),
+	.phfifooverflow(),
+	.phfifoptrsresetout(),
+	.phfifordenable(rx_phfifordenable[0]),
+	.phfifordenableout(),
+	.phfiforeset(rx_phfiforeset[0]),
+	.phfiforesetout(),
+	.phfifounderflow(),
+	.phfifowrclkout(),
+	.phfifowrdisable(rx_phfifowrdisable[0]),
+	.phfifowrdisableout(),
+	.phfifowrenableout(),
+	.pipebufferstat(),
+	.pipedatavalid(),
+	.pipeelecidle(),
+	.pipephydonestatus(),
+	.pipepowerdown({2{1'b0}}),
+	.pipepowerstate({4{1'b0}}),
+	.pipestatetransdoneout(),
+	.pipestatus(),
+	.prbscidenable(rx_prbscidenable[0]),
+	.quadreset(cent_unit_quadresetout[0]),
+	.rateswitchout(),
+	.rdalign(),
+	.recoveredclk(rx_pma_clockout[0]),
+	.revbitorderwa(1'b0),
+	.revbyteorderwa(1'b0),
+	.revparallelfdbkdata(),
+	.rlv(wire_receive_pcs0_rlv),
+	.rmfifoalmostempty(),
+	.rmfifoalmostfull(),
+	.rmfifodatadeleted(wire_receive_pcs0_rmfifodatadeleted),
+	.rmfifodatainserted(wire_receive_pcs0_rmfifodatainserted),
+	.rmfifoempty(),
+	.rmfifofull(),
+	.rmfifordena(1'b0),
+	.rmfiforeset(rx_rmfiforeset[0]),
+	.rmfifowrena(1'b0),
+	.runningdisp(wire_receive_pcs0_runningdisp),
+	.rxdetectvalid(1'b0),
+	.rxfound({2{1'b0}}),
+	.signaldetect(wire_receive_pcs0_signaldetect),
+	.signaldetected(rx_signaldetect_wire[0]),
+	.syncstatus(wire_receive_pcs0_syncstatus),
+	.syncstatusdeskew(),
+	.xauidelcondmetout(),
+	.xauififoovrout(),
+	.xauiinsertincompleteout(),
+	.xauilatencycompout(),
+	.xgmctrldet(),
+	.xgmctrlin(1'b0),
+	.xgmdatain({8{1'b0}}),
+	.xgmdataout(),
+	.xgmdatavalid(),
+	.xgmrunningdisp()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.autospdxnconfigsel({3{1'b0}}),
+	.autospdxnspdchg({3{1'b0}}),
+	.bitslip(1'b0),
+	.elecidleinfersel({3{1'b0}}),
+	.grayelecidleinferselfromtx({3{1'b0}}),
+	.hip8b10binvpolarity(1'b0),
+	.hipelecidleinfersel({3{1'b0}}),
+	.hippowerdown({2{1'b0}}),
+	.hiprateswitch(1'b0),
+	.iqpautospdxnspgchg({2{1'b0}}),
+	.iqpphfifoxnbytesel({2{1'b0}}),
+	.iqpphfifoxnptrsreset({2{1'b0}}),
+	.iqpphfifoxnrdenable({2{1'b0}}),
+	.iqpphfifoxnwrclk({2{1'b0}}),
+	.iqpphfifoxnwrenable({2{1'b0}}),
+	.phfifox4bytesel(1'b0),
+	.phfifox4rdenable(1'b0),
+	.phfifox4wrclk(1'b0),
+	.phfifox4wrenable(1'b0),
+	.phfifox8bytesel(1'b0),
+	.phfifox8rdenable(1'b0),
+	.phfifox8wrclk(1'b0),
+	.phfifox8wrenable(1'b0),
+	.phfifoxnbytesel({3{1'b0}}),
+	.phfifoxnptrsreset({3{1'b0}}),
+	.phfifoxnrdenable({3{1'b0}}),
+	.phfifoxnwrclk({3{1'b0}}),
+	.phfifoxnwrenable({3{1'b0}}),
+	.pipe8b10binvpolarity(1'b0),
+	.pipeenrevparallellpbkfromtx(1'b0),
+	.pmatestbusin({8{1'b0}}),
+	.powerdn({2{1'b0}}),
+	.ppmdetectdividedclk(1'b0),
+	.ppmdetectrefclk(1'b0),
+	.rateswitch(1'b0),
+	.rateswitchisdone(1'b0),
+	.rateswitchxndone(1'b0),
+	.refclk(1'b0),
+	.rxelecidlerateswitch(1'b0),
+	.xauidelcondmet(1'b0),
+	.xauififoovr(1'b0),
+	.xauiinsertincomplete(1'b0),
+	.xauilatencycomp(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		receive_pcs0.align_pattern = "0101111100",
+		receive_pcs0.align_pattern_length = 10,
+		receive_pcs0.align_to_deskew_pattern_pos_disp_only = "false",
+		receive_pcs0.allow_align_polarity_inversion = "false",
+		receive_pcs0.allow_pipe_polarity_inversion = "false",
+		receive_pcs0.auto_spd_deassert_ph_fifo_rst_count = 8,
+		receive_pcs0.auto_spd_phystatus_notify_count = 0,
+		receive_pcs0.auto_spd_self_switch_enable = "false",
+		receive_pcs0.bit_slip_enable = "false",
+		receive_pcs0.byte_order_double_data_mode_mask_enable = "false",
+		receive_pcs0.byte_order_mode = "none",
+		receive_pcs0.byte_order_pad_pattern = "0",
+		receive_pcs0.byte_order_pattern = "0",
+		receive_pcs0.byte_order_pld_ctrl_enable = "false",
+		receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000,
+		receive_pcs0.cdrctrl_enable = "false",
+		receive_pcs0.cdrctrl_mask_cycle = 800,
+		receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63,
+		receive_pcs0.cdrctrl_rxvalid_mask = "false",
+		receive_pcs0.channel_bonding = "none",
+		receive_pcs0.channel_number = ((starting_channel_number + 0) % 4),
+		receive_pcs0.channel_width = 8,
+		receive_pcs0.clk1_mux_select = "recovered clock",
+		receive_pcs0.clk2_mux_select = "local reference clock",
+		receive_pcs0.core_clock_0ppm = "false",
+		receive_pcs0.datapath_low_latency_mode = "false",
+		receive_pcs0.datapath_protocol = "basic",
+		receive_pcs0.dec_8b_10b_compatibility_mode = "true",
+		receive_pcs0.dec_8b_10b_mode = "normal",
+		receive_pcs0.dec_8b_10b_polarity_inv_enable = "false",
+		receive_pcs0.deskew_pattern = "0",
+		receive_pcs0.disable_auto_idle_insertion = "true",
+		receive_pcs0.disable_running_disp_in_word_align = "false",
+		receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false",
+		receive_pcs0.dprio_config_mode = 6'h01,
+		receive_pcs0.elec_idle_infer_enable = "false",
+		receive_pcs0.elec_idle_num_com_detect = 3,
+		receive_pcs0.enable_bit_reversal = "false",
+		receive_pcs0.enable_deep_align = "false",
+		receive_pcs0.enable_deep_align_byte_swap = "false",
+		receive_pcs0.enable_self_test_mode = "false",
+		receive_pcs0.enable_true_complement_match_in_word_align = "false",
+		receive_pcs0.force_signal_detect_dig = "true",
+		receive_pcs0.hip_enable = "false",
+		receive_pcs0.infiniband_invalid_code = 0,
+		receive_pcs0.insert_pad_on_underflow = "false",
+		receive_pcs0.logical_channel_address = (starting_channel_number + 0),
+		receive_pcs0.num_align_code_groups_in_ordered_set = 1,
+		receive_pcs0.num_align_cons_good_data = 4,
+		receive_pcs0.num_align_cons_pat = 3,
+		receive_pcs0.num_align_loss_sync_error = 4,
+		receive_pcs0.ph_fifo_low_latency_enable = "true",
+		receive_pcs0.ph_fifo_reg_mode = "false",
+		receive_pcs0.ph_fifo_xn_mapping0 = "none",
+		receive_pcs0.ph_fifo_xn_mapping1 = "none",
+		receive_pcs0.ph_fifo_xn_mapping2 = "none",
+		receive_pcs0.ph_fifo_xn_select = 1,
+		receive_pcs0.pipe_auto_speed_nego_enable = "false",
+		receive_pcs0.pipe_freq_scale_mode = "Frequency",
+		receive_pcs0.pma_done_count = 249950,
+		receive_pcs0.protocol_hint = "gige",
+		receive_pcs0.rate_match_almost_empty_threshold = 11,
+		receive_pcs0.rate_match_almost_full_threshold = 13,
+		receive_pcs0.rate_match_back_to_back = "true",
+		receive_pcs0.rate_match_delete_threshold = 13,
+		receive_pcs0.rate_match_empty_threshold = 5,
+		receive_pcs0.rate_match_fifo_mode = "true",
+		receive_pcs0.rate_match_full_threshold = 20,
+		receive_pcs0.rate_match_insert_threshold = 11,
+		receive_pcs0.rate_match_ordered_set_based = "true",
+		receive_pcs0.rate_match_pattern1 = "10100010010101111100",
+		receive_pcs0.rate_match_pattern2 = "10101011011010000011",
+		receive_pcs0.rate_match_pattern_size = 20,
+		receive_pcs0.rate_match_reset_enable = "false",
+		receive_pcs0.rate_match_skip_set_based = "false",
+		receive_pcs0.rate_match_start_threshold = 7,
+		receive_pcs0.rd_clk_mux_select = "core clock",
+		receive_pcs0.recovered_clk_mux_select = "recovered clock",
+		receive_pcs0.run_length = 5,
+		receive_pcs0.run_length_enable = "true",
+		receive_pcs0.rx_detect_bypass = "false",
+		receive_pcs0.rx_phfifo_wait_cnt = 15,
+		receive_pcs0.rxstatus_error_report_mode = 0,
+		receive_pcs0.self_test_mode = "incremental",
+		receive_pcs0.use_alignment_state_machine = "true",
+		receive_pcs0.use_deserializer_double_data_mode = "false",
+		receive_pcs0.use_deskew_fifo = "false",
+		receive_pcs0.use_double_data_mode = "false",
+		receive_pcs0.use_parallel_loopback = "false",
+		receive_pcs0.use_rising_edge_triggered_pattern_align = "false",
+		receive_pcs0.lpm_type = "stratixiv_hssi_rx_pcs";
+	stratixiv_hssi_rx_pma   receive_pma0
+	( 
+	.adaptdone(),
+	.analogtestbus(wire_receive_pma0_analogtestbus),
+	.clockout(wire_receive_pma0_clockout),
+	.datain(rx_datain[0]),
+	.dataout(wire_receive_pma0_dataout),
+	.dataoutfull(),
+	.deserclock(rx_deserclock_in[3:0]),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(rx_pmadprioin_wire[299:0]),
+	.dprioout(wire_receive_pma0_dprioout),
+	.freqlock(1'b0),
+	.ignorephslck(1'b0),
+	.locktodata(rx_locktodata_wire[0]),
+	.locktoref(rx_locktorefclk_wire[0]),
+	.locktorefout(wire_receive_pma0_locktorefout),
+	.offsetcancellationen(1'b0),
+	.plllocked(rx_plllocked_wire[0]),
+	.powerdn(cent_unit_rxibpowerdn[0]),
+	.ppmdetectclkrel(),
+	.ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]),
+	.recoverdatain(pll_ch_dataout_wire[1:0]),
+	.recoverdataout(wire_receive_pma0_recoverdataout),
+	.reverselpbkout(),
+	.revserialfdbkout(),
+	.rxpmareset(rx_analogreset_out[0]),
+	.seriallpbken(rx_seriallpbken[0]),
+	.seriallpbkin(tx_serialloopbackout[0]),
+	.signaldetect(wire_receive_pma0_signaldetect),
+	.testbussel(4'b0110)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.adaptcapture(1'b0),
+	.adcepowerdn(1'b0),
+	.adcereset(1'b0),
+	.adcestandby(1'b0),
+	.extra10gin({38{1'b0}}),
+	.ppmdetectdividedclk(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		receive_pma0.allow_serial_loopback = "true",
+		receive_pma0.channel_number = ((starting_channel_number + 0) % 4),
+		receive_pma0.channel_type = "auto",
+		receive_pma0.common_mode = "0.82V",
+		receive_pma0.deserialization_factor = 10,
+		receive_pma0.dprio_config_mode = 6'h01,
+		receive_pma0.enable_ltd = "false",
+		receive_pma0.enable_ltr = "false",
+		receive_pma0.eq_dc_gain = 0,
+		receive_pma0.eqa_ctrl = 0,
+		receive_pma0.eqb_ctrl = 0,
+		receive_pma0.eqc_ctrl = 0,
+		receive_pma0.eqd_ctrl = 0,
+		receive_pma0.eqv_ctrl = 0,
+		receive_pma0.force_signal_detect = "true",
+		receive_pma0.logical_channel_address = (starting_channel_number + 0),
+		receive_pma0.low_speed_test_select = 0,
+		receive_pma0.offset_cancellation = 1,
+		receive_pma0.ppmselect = 32,
+		receive_pma0.protocol_hint = "gige",
+		receive_pma0.send_direct_reverse_serial_loopback = "None",
+		receive_pma0.signal_detect_hysteresis_valid_threshold = 2,
+		receive_pma0.signal_detect_loss_threshold = 2,
+		receive_pma0.termination = "OCT 100 Ohms",
+		receive_pma0.use_deser_double_data_width = "false",
+		receive_pma0.use_pma_direct = "false",
+		receive_pma0.lpm_type = "stratixiv_hssi_rx_pma";
+	stratixiv_hssi_tx_pcs   transmit_pcs0
+	( 
+	.clkout(wire_transmit_pcs0_clkout),
+	.coreclk(tx_coreclk_in[0]),
+	.coreclkout(),
+	.ctrlenable({{3{1'b0}}, tx_ctrlenable[0]}),
+	.datain({{32{1'b0}}, tx_datain_wire[7:0]}),
+	.datainfull({44{1'b0}}),
+	.dataout(wire_transmit_pcs0_dataout),
+	.detectrxloop(1'b0),
+	.digitalreset(tx_digitalreset_out[0]),
+	.dispval({{3{1'b0}}, tx_dispval[0]}),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(tx_dprioin_wire[149:0]),
+	.dprioout(wire_transmit_pcs0_dprioout),
+	.enrevparallellpbk(1'b0),
+	.forcedisp({{3{1'b0}}, tx_forcedisp_wire[0]}),
+	.forcedispcompliance(1'b0),
+	.forceelecidleout(wire_transmit_pcs0_forceelecidleout),
+	.grayelecidleinferselout(),
+	.hiptxclkout(),
+	.invpol(tx_invpolarity[0]),
+	.iqpphfifobyteselout(),
+	.iqpphfifordclkout(),
+	.iqpphfifordenableout(),
+	.iqpphfifowrenableout(),
+	.localrefclk(tx_localrefclk[0]),
+	.parallelfdbkout(),
+	.phfifobyteselout(),
+	.phfifooverflow(),
+	.phfifordclkout(),
+	.phfiforddisable(1'b0),
+	.phfiforddisableout(),
+	.phfifordenableout(),
+	.phfiforeset(tx_phfiforeset[0]),
+	.phfiforesetout(),
+	.phfifounderflow(),
+	.phfifowrenable(1'b1),
+	.phfifowrenableout(),
+	.pipeenrevparallellpbkout(),
+	.pipepowerdownout(),
+	.pipepowerstateout(),
+	.pipestatetransdone(1'b0),
+	.powerdn({2{1'b0}}),
+	.quadreset(cent_unit_quadresetout[0]),
+	.rateswitchout(),
+	.rdenablesync(),
+	.revparallelfdbk({20{1'b0}}),
+	.txdetectrx(wire_transmit_pcs0_txdetectrx),
+	.xgmctrl(cent_unit_txctrlout[0]),
+	.xgmctrlenable(),
+	.xgmdatain(cent_unit_tx_xgmdataout[7:0]),
+	.xgmdataout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.bitslipboundaryselect({5{1'b0}}),
+	.elecidleinfersel({3{1'b0}}),
+	.forceelecidle(1'b0),
+	.freezptr(1'b0),
+	.hipdatain({10{1'b0}}),
+	.hipdetectrxloop(1'b0),
+	.hipelecidleinfersel({3{1'b0}}),
+	.hipforceelecidle(1'b0),
+	.hippowerdn({2{1'b0}}),
+	.hiptxdeemph(1'b0),
+	.hiptxmargin({3{1'b0}}),
+	.iqpphfifoxnbytesel({2{1'b0}}),
+	.iqpphfifoxnrdclk({2{1'b0}}),
+	.iqpphfifoxnrdenable({2{1'b0}}),
+	.iqpphfifoxnwrenable({2{1'b0}}),
+	.phfifobyteserdisable(1'b0),
+	.phfifoptrsreset(1'b0),
+	.phfifox4bytesel(1'b0),
+	.phfifox4rdclk(1'b0),
+	.phfifox4rdenable(1'b0),
+	.phfifox4wrenable(1'b0),
+	.phfifoxnbottombytesel(1'b0),
+	.phfifoxnbottomrdclk(1'b0),
+	.phfifoxnbottomrdenable(1'b0),
+	.phfifoxnbottomwrenable(1'b0),
+	.phfifoxnbytesel({3{1'b0}}),
+	.phfifoxnptrsreset({3{1'b0}}),
+	.phfifoxnrdclk({3{1'b0}}),
+	.phfifoxnrdenable({3{1'b0}}),
+	.phfifoxntopbytesel(1'b0),
+	.phfifoxntoprdclk(1'b0),
+	.phfifoxntoprdenable(1'b0),
+	.phfifoxntopwrenable(1'b0),
+	.phfifoxnwrenable({3{1'b0}}),
+	.pipetxdeemph(1'b0),
+	.pipetxmargin({3{1'b0}}),
+	.pipetxswing(1'b0),
+	.prbscidenable(1'b0),
+	.rateswitch(1'b0),
+	.rateswitchisdone(1'b0),
+	.rateswitchxndone(1'b0),
+	.refclk(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		transmit_pcs0.allow_polarity_inversion = "false",
+		transmit_pcs0.auto_spd_self_switch_enable = "false",
+		transmit_pcs0.bitslip_enable = "false",
+		transmit_pcs0.channel_bonding = "none",
+		transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4),
+		transmit_pcs0.channel_width = 8,
+		transmit_pcs0.core_clock_0ppm = "false",
+		transmit_pcs0.datapath_low_latency_mode = "false",
+		transmit_pcs0.datapath_protocol = "basic",
+		transmit_pcs0.disable_ph_low_latency_mode = "false",
+		transmit_pcs0.disparity_mode = "none",
+		transmit_pcs0.dprio_config_mode = 6'h01,
+		transmit_pcs0.elec_idle_delay = 6,
+		transmit_pcs0.enable_bit_reversal = "false",
+		transmit_pcs0.enable_idle_selection = "true",
+		transmit_pcs0.enable_reverse_parallel_loopback = "false",
+		transmit_pcs0.enable_self_test_mode = "false",
+		transmit_pcs0.enable_symbol_swap = "false",
+		transmit_pcs0.enc_8b_10b_compatibility_mode = "true",
+		transmit_pcs0.enc_8b_10b_mode = "normal",
+		transmit_pcs0.force_echar = "false",
+		transmit_pcs0.force_kchar = "false",
+		transmit_pcs0.hip_enable = "false",
+		transmit_pcs0.logical_channel_address = (starting_channel_number + 0),
+		transmit_pcs0.ph_fifo_reg_mode = "false",
+		transmit_pcs0.ph_fifo_xn_mapping0 = "none",
+		transmit_pcs0.ph_fifo_xn_mapping1 = "none",
+		transmit_pcs0.ph_fifo_xn_mapping2 = "none",
+		transmit_pcs0.ph_fifo_xn_select = 1,
+		transmit_pcs0.pipe_auto_speed_nego_enable = "false",
+		transmit_pcs0.pipe_freq_scale_mode = "Frequency",
+		transmit_pcs0.prbs_cid_pattern = "false",
+		transmit_pcs0.protocol_hint = "gige",
+		transmit_pcs0.refclk_select = "local",
+		transmit_pcs0.self_test_mode = "incremental",
+		transmit_pcs0.use_double_data_mode = "false",
+		transmit_pcs0.use_serializer_double_data_mode = "false",
+		transmit_pcs0.wr_clk_mux_select = "core_clk",
+		transmit_pcs0.lpm_type = "stratixiv_hssi_tx_pcs";
+	stratixiv_hssi_tx_pma   transmit_pma0
+	( 
+	.clockout(wire_transmit_pma0_clockout),
+	.datain({{44{1'b0}}, tx_dataout_pcs_to_pma[19:0]}),
+	.dataout(wire_transmit_pma0_dataout),
+	.detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]),
+	.dftout(),
+	.dpriodisable(w_cent_unit_dpriodisableout1w[0]),
+	.dprioin(tx_pmadprioin_wire[299:0]),
+	.dprioout(wire_transmit_pma0_dprioout),
+	.fastrefclk0in(analogfastrefclkout[1:0]),
+	.fastrefclk1in({2{1'b0}}),
+	.fastrefclk2in({2{1'b0}}),
+	.fastrefclk3in({2{1'b0}}),
+	.fastrefclk4in({2{1'b0}}),
+	.forceelecidle(1'b0),
+	.powerdn(cent_unit_txobpowerdn[0]),
+	.refclk0in({analogrefclkout[1:0]}),
+	.refclk0inpulse(analogrefclkpulse[0]),
+	.refclk1in({2{1'b0}}),
+	.refclk1inpulse(1'b0),
+	.refclk2in({2{1'b0}}),
+	.refclk2inpulse(1'b0),
+	.refclk3in({2{1'b0}}),
+	.refclk3inpulse(1'b0),
+	.refclk4in({2{1'b0}}),
+	.refclk4inpulse(1'b0),
+	.revserialfdbk(1'b0),
+	.rxdetecten(txdetectrxout[0]),
+	.rxdetectvalidout(),
+	.rxfoundout(),
+	.seriallpbkout(wire_transmit_pma0_seriallpbkout),
+	.txpmareset(tx_analogreset_out[0])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.datainfull({20{1'b0}}),
+	.extra10gin({11{1'b0}}),
+	.pclk({5{1'b0}}),
+	.rxdetectclk(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		transmit_pma0.analog_power = "auto",
+		transmit_pma0.channel_number = ((starting_channel_number + 0) % 4),
+		transmit_pma0.channel_type = "auto",
+		transmit_pma0.clkin_select = 0,
+		transmit_pma0.clkmux_delay = "false",
+		transmit_pma0.common_mode = "0.65V",
+		transmit_pma0.dprio_config_mode = 6'h01,
+		transmit_pma0.enable_reverse_serial_loopback = "false",
+		transmit_pma0.logical_channel_address = (starting_channel_number + 0),
+		transmit_pma0.low_speed_test_select = 0,
+		transmit_pma0.physical_clkin0_mapping = "x1",
+		transmit_pma0.preemp_pretap = 0,
+		transmit_pma0.preemp_pretap_inv = "false",
+		transmit_pma0.preemp_tap_1 = 0,
+		transmit_pma0.preemp_tap_2 = 0,
+		transmit_pma0.preemp_tap_2_inv = "false",
+		transmit_pma0.protocol_hint = "gige",
+		transmit_pma0.rx_detect = 0,
+		transmit_pma0.serialization_factor = 10,
+		transmit_pma0.slew_rate = "medium",
+		transmit_pma0.termination = "OCT 100 Ohms",
+		transmit_pma0.use_pma_direct = "false",
+		transmit_pma0.use_ser_double_data_mode = "false",
+		transmit_pma0.vod_selection = 1,
+		transmit_pma0.lpm_type = "stratixiv_hssi_tx_pma";
+	assign
+		analogfastrefclkout = {wire_ch_clk_div0_analogfastrefclkout},
+		analogrefclkout = {wire_ch_clk_div0_analogrefclkout},
+		analogrefclkpulse = {wire_ch_clk_div0_analogrefclkpulse},
+		cal_blk_powerdown = 1'b0,
+		cent_unit_cmudividerdprioout = {wire_cent_unit0_cmudividerdprioout},
+		cent_unit_cmuplldprioout = {wire_cent_unit0_cmuplldprioout},
+		cent_unit_pllpowerdn = {wire_cent_unit0_pllpowerdn[1:0]},
+		cent_unit_pllresetout = {wire_cent_unit0_pllresetout[1:0]},
+		cent_unit_quadresetout = {wire_cent_unit0_quadresetout},
+		cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[5:0]},
+		cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[5:0]},
+		cent_unit_rxpcsdprioin = {{1200{1'b0}}, rx_pcsdprioout[399:0]},
+		cent_unit_rxpcsdprioout = {wire_cent_unit0_rxpcsdprioout},
+		cent_unit_rxpmadprioin = {{1500{1'b0}}, rx_pmadprioout[299:0]},
+		cent_unit_rxpmadprioout = {wire_cent_unit0_rxpmadprioout},
+		cent_unit_tx_dprioin = {{1050{1'b0}}, tx_txdprioout[149:0]},
+		cent_unit_tx_xgmdataout = {wire_cent_unit0_txdataout},
+		cent_unit_txctrlout = {wire_cent_unit0_txctrlout},
+		cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[5:0]},
+		cent_unit_txdprioout = {wire_cent_unit0_txpcsdprioout},
+		cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[5:0]},
+		cent_unit_txpmadprioin = {{1500{1'b0}}, tx_pmadprioout[299:0]},
+		cent_unit_txpmadprioout = {wire_cent_unit0_txpmadprioout},
+		clk_div_cmudividerdprioin = {{500{1'b0}}, wire_ch_clk_div0_dprioout},
+		fixedclk_div_in = {fixedclk_div5quad0c, fixedclk_div4quad0c, fixedclk_div3quad0c, fixedclk_div2quad0c, fixedclk_div1quad0c, fixedclk_div0quad0c},
+		fixedclk_enable = reconfig_togxb_busy_reg[0],
+		fixedclk_in = {{5{1'b0}}, fixedclk},
+		fixedclk_sel = reconfig_togxb_busy_reg[1],
+		fixedclk_to_cmu = {((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[5]) & fixedclk_div_in[5]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[5])), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[4]) & fixedclk_div_in[4]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[4])), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[3]) & fixedclk_div_in[3]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[3])), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[2]) & fixedclk_div_in[2]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[2])), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[1]) & fixedclk_div_in[1]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[1])), ((((fixedclk_sel & fixedclk_enable) & fixedclk_fast[0]) & fixedclk_div_in[0]) | (((~ fixedclk_sel) & (~ fixedclk_enable)) & fixedclk_in[0]))},
+		nonusertocmu_out = {wire_cal_blk0_nonusertocmu},
+		pll0_clkin = {{9{1'b0}}, pll_inclk_wire[0]},
+		pll0_dprioin = {cent_unit_cmuplldprioout[1499:1200]},
+		pll0_dprioout = {wire_tx_pll0_dprioout},
+		pll0_out = {wire_tx_pll0_clk[3:0]},
+		pll_ch_dataout_wire = {wire_rx_cdr_pll0_dataout},
+		pll_ch_dprioout = {wire_rx_cdr_pll0_dprioout},
+		pll_cmuplldprioout = {{300{1'b0}}, pll0_dprioout[299:0], {900{1'b0}}, pll_ch_dprioout[299:0]},
+		pll_inclk_wire = {pll_inclk},
+		pll_powerdown = 1'b0,
+		pllpowerdn_in = {1'b0, cent_unit_pllpowerdn[0]},
+		pllreset_in = {1'b0, cent_unit_pllresetout[0]},
+		reconfig_fromgxb = {rx_pma_analogtestbus[16:1], wire_cent_unit0_dprioout},
+		reconfig_togxb_busy = reconfig_togxb[3],
+		reconfig_togxb_disable = reconfig_togxb[1],
+		reconfig_togxb_in = reconfig_togxb[0],
+		reconfig_togxb_load = reconfig_togxb[2],
+		rx_analogreset_in = {{5{1'b0}}, ((~ reconfig_togxb_busy) & rx_analogreset[0])},
+		rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[5:0]},
+		rx_coreclk_in = {tx_core_clkout_wire[0]},
+		rx_cruclk_in = {{9{1'b0}}, rx_pldcruclk_in[0]},
+		rx_ctrldetect = {wire_receive_pcs0_ctrldetect[0]},
+		rx_dataout = {rx_out_wire[7:0]},
+		rx_deserclock_in = {rx_pll_clkout[3:0]},
+		rx_digitalreset_in = {{3{1'b0}}, rx_digitalreset[0]},
+		rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout},
+		rx_disperr = {wire_receive_pcs0_disperr[0]},
+		rx_enapatternalign = 1'b0,
+		rx_errdetect = {wire_receive_pcs0_errdetect[0]},
+		rx_locktodata = 1'b0,
+		rx_locktodata_wire = {((~ reconfig_togxb_busy) & rx_locktodata[0])},
+		rx_locktorefclk = 1'b0,
+		rx_locktorefclk_wire = {wire_receive_pcs0_cdrctrllocktorefclkout},
+		rx_out_wire = {wire_receive_pcs0_dataout[7:0]},
+		rx_patterndetect = {wire_receive_pcs0_patterndetect[0]},
+		rx_pcsdprioin_wire = {{1200{1'b0}}, cent_unit_rxpcsdprioout[399:0]},
+		rx_pcsdprioout = {{1200{1'b0}}, wire_receive_pcs0_dprioout},
+		rx_phfifordenable = 1'b1,
+		rx_phfiforeset = 1'b0,
+		rx_phfifowrdisable = 1'b0,
+		rx_pldcruclk_in = {rx_cruclk[0]},
+		rx_pll_clkout = {wire_rx_cdr_pll0_clk},
+		rx_pll_pfdrefclkout_wire = {wire_rx_cdr_pll0_pfdrefclkout},
+		rx_plllocked_wire = {wire_rx_cdr_pll0_locked},
+		rx_pma_analogtestbus = {{12{1'b0}}, wire_receive_pma0_analogtestbus[5:2], 1'b0},
+		rx_pma_clockout = {wire_receive_pma0_clockout},
+		rx_pma_dataout = {wire_receive_pma0_dataout},
+		rx_pma_locktorefout = {wire_receive_pma0_locktorefout},
+		rx_pma_recoverdataout_wire = {wire_receive_pma0_recoverdataout[19:0]},
+		rx_pmadprioin_wire = {{1500{1'b0}}, cent_unit_rxpmadprioout[299:0]},
+		rx_pmadprioout = {{1500{1'b0}}, wire_receive_pma0_dprioout},
+		rx_powerdown = 1'b0,
+		rx_powerdown_in = {{5{1'b0}}, rx_powerdown[0]},
+		rx_prbscidenable = 1'b0,
+		rx_rlv = {wire_receive_pcs0_rlv},
+		rx_rmfifodatadeleted = {wire_receive_pcs0_rmfifodatadeleted[0]},
+		rx_rmfifodatainserted = {wire_receive_pcs0_rmfifodatainserted[0]},
+		rx_rmfiforeset = 1'b0,
+		rx_runningdisp = {wire_receive_pcs0_runningdisp[0]},
+		rx_rxcruresetout = {wire_cent_unit0_rxcruresetout[5:0]},
+		rx_signaldetect_wire = {wire_receive_pma0_signaldetect},
+		rx_syncstatus = {wire_receive_pcs0_syncstatus[0]},
+		rxpll_dprioin = {{1500{1'b0}}, cent_unit_cmuplldprioout[299:0]},
+		tx_analogreset_out = {wire_cent_unit0_txanalogresetout[5:0]},
+		tx_clkout = {tx_core_clkout_wire[0]},
+		tx_clkout_int_wire = {wire_transmit_pcs0_clkout},
+		tx_core_clkout_wire = {tx_clkout_int_wire[0]},
+		tx_coreclk_in = {tx_core_clkout_wire[0]},
+		tx_datain_wire = {tx_datain[7:0]},
+		tx_dataout = {wire_transmit_pma0_dataout},
+		tx_dataout_pcs_to_pma = {wire_transmit_pcs0_dataout},
+		tx_digitalreset_in = {{3{1'b0}}, tx_digitalreset[0]},
+		tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout},
+		tx_dispval = 1'b0,
+		tx_dprioin_wire = {{1050{1'b0}}, cent_unit_txdprioout[149:0]},
+		tx_forcedisp_wire = {1'b0},
+		tx_invpolarity = 1'b0,
+		tx_localrefclk = {wire_transmit_pma0_clockout},
+		tx_phfiforeset = 1'b0,
+		tx_pmadprioin_wire = {{1500{1'b0}}, cent_unit_txpmadprioout[299:0]},
+		tx_pmadprioout = {{1500{1'b0}}, wire_transmit_pma0_dprioout},
+		tx_serialloopbackout = {wire_transmit_pma0_seriallpbkout},
+		tx_txdprioout = {{450{1'b0}}, wire_transmit_pcs0_dprioout},
+		txdetectrxout = {wire_transmit_pcs0_txdetectrx},
+		w_cent_unit_dpriodisableout1w = {wire_cent_unit0_dpriodisableout};
+endmodule //altera_tse_alt4gxb_gige_alt4gxb_4fh9
+//VALID FILE
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_alt4gxb_gige (
+	cal_blk_clk,
+	fixedclk,
+	fixedclk_fast,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_togxb,
+	rx_analogreset,
+	rx_cruclk,
+	rx_datain,
+	rx_digitalreset,
+	rx_seriallpbken,
+	tx_ctrlenable,
+	tx_datain,
+	tx_digitalreset,
+	reconfig_fromgxb,
+	rx_ctrldetect,
+	rx_dataout,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp,
+	rx_syncstatus,
+	tx_clkout,
+	tx_dataout)/* synthesis synthesis_clearbox = 2 */;
+
+	input	  cal_blk_clk;
+	input	  fixedclk;
+	input	  fixedclk_fast;
+	input	[0:0]  gxb_powerdown;
+	input	  pll_inclk;
+	input	  reconfig_clk;
+	input	[3:0]  reconfig_togxb;
+	input	[0:0]  rx_analogreset;
+	input	[0:0]  rx_cruclk;
+	input	[0:0]  rx_datain;
+	input	[0:0]  rx_digitalreset;
+	input	[0:0]  rx_seriallpbken;
+	input	[0:0]  tx_ctrlenable;
+	input	[7:0]  tx_datain;
+	input	[0:0]  tx_digitalreset;
+	output	[16:0]  reconfig_fromgxb;
+	output	[0:0]  rx_ctrldetect;
+	output	[7:0]  rx_dataout;
+	output	[0:0]  rx_disperr;
+	output	[0:0]  rx_errdetect;
+	output	[0:0]  rx_patterndetect;
+	output	[0:0]  rx_rlv;
+	output	[0:0]  rx_rmfifodatadeleted;
+	output	[0:0]  rx_rmfifodatainserted;
+	output	[0:0]  rx_runningdisp;
+	output	[0:0]  rx_syncstatus;
+	output	[0:0]  tx_clkout;
+	output	[0:0]  tx_dataout;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0	[0:0]  rx_cruclk;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+	parameter		starting_channel_number = 0;
+
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire1;
+	wire [0:0] sub_wire2;
+	wire [0:0] sub_wire3;
+	wire [0:0] sub_wire4;
+	wire [0:0] sub_wire5;
+	wire [0:0] sub_wire6;
+	wire [0:0] sub_wire7;
+	wire [0:0] sub_wire8;
+	wire [0:0] sub_wire9;
+	wire [0:0] sub_wire10;
+	wire [16:0] sub_wire11;
+	wire [7:0] sub_wire12;
+	wire [0:0] rx_disperr = sub_wire0[0:0];
+	wire [0:0] rx_rlv = sub_wire1[0:0];
+	wire [0:0] rx_patterndetect = sub_wire2[0:0];
+	wire [0:0] rx_ctrldetect = sub_wire3[0:0];
+	wire [0:0] rx_errdetect = sub_wire4[0:0];
+	wire [0:0] rx_rmfifodatadeleted = sub_wire5[0:0];
+	wire [0:0] rx_runningdisp = sub_wire6[0:0];
+	wire [0:0] tx_dataout = sub_wire7[0:0];
+	wire [0:0] rx_rmfifodatainserted = sub_wire8[0:0];
+	wire [0:0] rx_syncstatus = sub_wire9[0:0];
+	wire [0:0] tx_clkout = sub_wire10[0:0];
+	wire [16:0] reconfig_fromgxb = sub_wire11[16:0];
+	wire [7:0] rx_dataout = sub_wire12[7:0];
+
+	altera_tse_alt4gxb_gige_alt4gxb_4fh9	altera_tse_alt4gxb_gige_alt4gxb_4fh9_component (
+				.fixedclk_fast (fixedclk_fast),
+				.pll_inclk (pll_inclk),
+				.gxb_powerdown (gxb_powerdown),
+				.tx_datain (tx_datain),
+				.rx_cruclk (rx_cruclk),
+				.cal_blk_clk (cal_blk_clk),
+				.reconfig_clk (reconfig_clk),
+				.rx_seriallpbken (rx_seriallpbken),
+				.fixedclk (fixedclk),
+				.rx_datain (rx_datain),
+				.reconfig_togxb (reconfig_togxb),
+				.tx_ctrlenable (tx_ctrlenable),
+				.rx_analogreset (rx_analogreset),
+				.rx_digitalreset (rx_digitalreset),
+				.tx_digitalreset (tx_digitalreset),
+				.rx_disperr (sub_wire0),
+				.rx_rlv (sub_wire1),
+				.rx_patterndetect (sub_wire2),
+				.rx_ctrldetect (sub_wire3),
+				.rx_errdetect (sub_wire4),
+				.rx_rmfifodatadeleted (sub_wire5),
+				.rx_runningdisp (sub_wire6),
+				.tx_dataout (sub_wire7),
+				.rx_rmfifodatainserted (sub_wire8),
+				.rx_syncstatus (sub_wire9),
+				.tx_clkout (sub_wire10),
+				.reconfig_fromgxb (sub_wire11),
+				.rx_dataout (sub_wire12))/* synthesis synthesis_clearbox=2
+	 clearbox_macroname = alt4gxb
+	 clearbox_defparam = "effective_data_rate=1250 Mbps;enable_lc_tx_pll=false;equalizer_ctrl_a_setting=0;equalizer_ctrl_b_setting=0;equalizer_ctrl_c_setting=0;equalizer_ctrl_d_setting=0;equalizer_ctrl_v_setting=0;equalizer_dcgain_setting=0;gen_reconfig_pll=false;gxb_analog_power=AUTO;gx_channel_type=AUTO;input_clock_frequency=125.0 MHz;intended_device_family=Stratix IV;intended_device_speed_grade=2;intended_device_variant=GX;loopback_mode=slb;lpm_type=alt4gxb;number_of_channels=1;operation_mode=duplex;pll_control_width=1;preemphasis_ctrl_1stposttap_setting=0;preemphasis_ctrl_2ndposttap_inv_setting=false;preemphasis_ctrl_2ndposttap_setting=0;preemphasis_ctrl_pretap_inv_setting=false;preemphasis_ctrl_pretap_setting=0;protocol=gige;receiver_termination=oct_100_ohms;reconfig_dprio_mode=1;rx_8b_10b_mode=normal;rx_align_pattern=0101111100;rx_align_pattern_length=10;rx_allow_align_polarity_inversion=false;rx_allow_pipe_polarity_inversion=false;rx_bitslip_enable=false;rx_byte_ordering_mode=NONE;rx_channel_width=8;rx_common_mode=0.82v;rx_cru_bandwidth_type=Medium;rx_cru_inclock0_period=8000;rx_datapath_protocol=basic;rx_data_rate=1250;rx_data_rate_remainder=0;rx_digitalreset_port_width=1;rx_enable_bit_reversal=false;rx_enable_lock_to_data_sig=false;rx_enable_lock_to_refclk_sig=false;rx_enable_self_test_mode=false;rx_force_signal_detect=true;rx_ppmselect=32;rx_rate_match_fifo_mode=normal;rx_rate_match_pattern1=10100010010101111100;rx_rate_match_pattern2=10101011011010000011;rx_rate_match_pattern_size=20;
+	                      rx_run_length=5;rx_run_length_enable=true;rx_signal_detect_threshold=2;rx_use_align_state_machine=true;rx_use_clkout=false;rx_use_coreclk=false;rx_use_cruclk=true;rx_use_deserializer_double_data_mode=false;rx_use_deskew_fifo=false;rx_use_double_data_mode=false;rx_use_rate_match_pattern1_only=false;transmitter_termination=oct_100_ohms;tx_8b_10b_mode=normal;tx_allow_polarity_inversion=false;tx_analog_power=AUTO;tx_channel_width=8;tx_clkout_width=1;tx_common_mode=0.65v;tx_data_rate=1250;tx_data_rate_remainder=0;tx_digitalreset_port_width=1;tx_enable_bit_reversal=false;tx_enable_self_test_mode=false;tx_pll_bandwidth_type=High;tx_pll_inclk0_period=8000;tx_pll_type=CMU;tx_transmit_protocol=basic;tx_use_coreclk=false;tx_use_double_data_mode=false;tx_use_serializer_double_data_mode=false;use_calibration_block=true;vod_ctrl_setting=1;gxb_powerdown_width=1;number_of_quads=1;reconfig_calibration=true;reconfig_fromgxb_port_width=17;reconfig_togxb_port_width=4;rx_cru_m_divider=5;rx_cru_n_divider=1;rx_cru_vco_post_scale_divider=4;rx_dwidth_factor=1;rx_word_aligner_num_byte=1;tx_dwidth_factor=1;tx_pll_clock_post_divider=1;tx_pll_m_divider=5;tx_pll_n_divider=1;tx_pll_vco_post_scale_divider=4;tx_slew_rate=medium;" */;
+	defparam
+		altera_tse_alt4gxb_gige_alt4gxb_4fh9_component.starting_channel_number = starting_channel_number;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
+// Retrieval info: PRIVATE: IP_MODE STRING "TSE"
+// Retrieval info: PRIVATE: LOCKDOWN_EXCL STRING "TSE"
+// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0"
+// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
+// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
+// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "1250.0"
+// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0"
+// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100 100"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "100"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "125"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125.0"
+// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250"
+// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None"
+// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
+// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
+// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "1250 Mbps"
+// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_A_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_B_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_C_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_D_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_CTRL_V_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
+// Retrieval info: CONSTANT: GXB_ANALOG_POWER STRING "AUTO"
+// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "125.0 MHz"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix IV"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "2"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "GX"
+// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "alt4gxb"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
+// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_2NDPOSTTAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_INV_SETTING STRING "false"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_PRETAP_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
+// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "1"
+// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
+// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
+// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE"
+// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v"
+// Retrieval info: CONSTANT: RX_CRU_BANDWIDTH_TYPE STRING "Medium"
+// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
+// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "5"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
+// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2"
+// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
+// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CRUCLK STRING "true"
+// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
+// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: TX_ANALOG_POWER STRING "AUTO"
+// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v"
+// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "High"
+// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU"
+// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
+// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "1"
+// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1"
+// Retrieval info: CONSTANT: number_of_quads NUMERIC "1"
+// Retrieval info: CONSTANT: reconfig_calibration STRING "true"
+// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "17"
+// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4"
+// Retrieval info: CONSTANT: rx_cru_m_divider NUMERIC "5"
+// Retrieval info: CONSTANT: rx_cru_n_divider NUMERIC "1"
+// Retrieval info: CONSTANT: rx_cru_vco_post_scale_divider NUMERIC "4"
+// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "1"
+// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1"
+// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "1"
+// Retrieval info: CONSTANT: tx_pll_clock_post_divider NUMERIC "1"
+// Retrieval info: CONSTANT: tx_pll_m_divider NUMERIC "5"
+// Retrieval info: CONSTANT: tx_pll_n_divider NUMERIC "1"
+// Retrieval info: CONSTANT: tx_pll_vco_post_scale_divider NUMERIC "4"
+// Retrieval info: CONSTANT: tx_slew_rate STRING "medium"
+// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
+// Retrieval info: USED_PORT: fixedclk 0 0 0 0 INPUT NODEFVAL "fixedclk"
+// Retrieval info: USED_PORT: fixedclk_fast 0 0 0 0 INPUT NODEFVAL "fixedclk_fast"
+// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
+// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk"
+// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
+// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 17 0 OUTPUT NODEFVAL "reconfig_fromgxb[16..0]"
+// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]"
+// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
+// Retrieval info: USED_PORT: rx_cruclk 0 0 1 0 INPUT GND "rx_cruclk[0..0]"
+// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
+// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
+// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
+// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
+// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
+// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
+// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
+// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[0..0]"
+// Retrieval info: USED_PORT: rx_runningdisp 0 0 1 0 OUTPUT NODEFVAL "rx_runningdisp[0..0]"
+// Retrieval info: USED_PORT: rx_seriallpbken 0 0 1 0 INPUT NODEFVAL "rx_seriallpbken[0..0]"
+// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
+// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
+// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
+// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
+// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
+// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
+// Retrieval info: CONNECT: @fixedclk 0 0 0 0 fixedclk 0 0 0 0
+// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
+// Retrieval info: CONNECT: @fixedclk_fast 0 0 0 0 fixedclk_fast 0 0 0 0
+// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
+// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
+// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
+// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
+// Retrieval info: CONNECT: rx_runningdisp 0 0 1 0 @rx_runningdisp 0 0 1 0
+// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
+// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
+// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
+// Retrieval info: CONNECT: @rx_seriallpbken 0 0 1 0 rx_seriallpbken 0 0 1 0
+// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
+// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0
+// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
+// Retrieval info: CONNECT: @rx_cruclk 0 0 1 0 rx_cruclk 0 0 1 0
+// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
+// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0
+// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
+// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
+// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
+// Retrieval info: CONNECT: reconfig_fromgxb 0 0 17 0 @reconfig_fromgxb 0 0 17 0
+// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 1 0 @rx_rmfifodatainserted 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 1 0 @rx_rmfifodatadeleted 0 0 1 0
+// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_alt4gxb_gige_bb.v TRUE
+// Retrieval info: LIB_FILE: stratixiv_hssi
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altgx_civgx_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altgx_civgx_gige.v
new file mode 100644
index 0000000000..2b8520f51d
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altgx_civgx_gige.v
@@ -0,0 +1,1112 @@
+// megafunction wizard: %ALTGX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: alt_c3gxb 
+
+// ============================================================
+// File Name: altera_tse_altgx_civgx_gige.v
+// Megafunction Name(s):
+// 			alt_c3gxb
+//
+// Simulation Library Files(s):
+// 			altera_mf;cycloneiv_hssi
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 9.1 Internal Build 281 12/09/2009 SP 1 PN Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2010 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+//alt_c3gxb CBX_AUTO_BLACKBOX="ALL" device_family="Cyclone IV GX" effective_data_rate="1250 Mbps" equalization_setting=1 equalizer_dcgain_setting=0 gxb_powerdown_width=1 loopback_mode="slb" number_of_channels=1 number_of_quads=1 operation_mode="duplex" pll_bandwidth_type="high" pll_control_width=1 pll_divide_by="1" pll_inclk_period=8000 pll_multiply_by="5" preemphasis_ctrl_1stposttap_setting=1 protocol="gige" receiver_termination="OCT_100_OHMS" reconfig_calibration="true" reconfig_dprio_mode=0 rx_8b_10b_mode="normal" rx_align_pattern="0101111100" rx_align_pattern_length=10 rx_allow_align_polarity_inversion="false" rx_allow_pipe_polarity_inversion="false" rx_bitslip_enable="false" rx_byte_ordering_mode="none" rx_channel_width=8 rx_common_mode="0.82v" rx_datapath_protocol="basic" rx_digitalreset_port_width=1 rx_dwidth_factor=1 rx_enable_bit_reversal="false" rx_enable_self_test_mode="false" rx_force_signal_detect="true" rx_ppmselect=32 rx_rate_match_fifo_mode="normal" rx_rate_match_pattern1="10100010010101111100" rx_rate_match_pattern2="10101011011010000011" rx_rate_match_pattern_size=20 rx_run_length=5 rx_run_length_enable="true" rx_signal_detect_loss_threshold=1 rx_signal_detect_threshold=2 rx_signal_detect_valid_threshold=1 rx_use_align_state_machine="true" rx_use_clkout="false" rx_use_coreclk="false" rx_use_double_data_mode="false" rx_use_external_termination="false" rx_word_aligner_num_byte=1 starting_channel_number=0 transmitter_termination="OCT_100_OHMS" tx_8b_10b_mode="normal" tx_allow_polarity_inversion="false" tx_channel_width=8 tx_clkout_width=1 tx_common_mode="0.65v" tx_digitalreset_port_width=1 tx_dwidth_factor=1 tx_enable_bit_reversal="false" tx_enable_self_test_mode="false" tx_slew_rate="medium" tx_transmit_protocol="basic" tx_use_coreclk="false" tx_use_double_data_mode="false" tx_use_external_termination="false" use_calibration_block="true" vod_ctrl_setting=1 cal_blk_clk gxb_powerdown pll_inclk reconfig_clk reconfig_fromgxb reconfig_togxb rx_analogreset rx_ctrldetect rx_datain rx_dataout rx_digitalreset rx_disperr rx_errdetect rx_patterndetect rx_rlv rx_rmfifodatadeleted rx_rmfifodatainserted rx_runningdisp rx_syncstatus tx_clkout tx_ctrlenable tx_datain tx_dataout tx_digitalreset intended_device_family="Cyclone IV GX"
+//VERSION_BEGIN 9.1SP1 cbx_alt_c3gxb 2009:12:10:21:16:17:PN cbx_altpll 2009:12:10:21:16:17:PN cbx_cycloneii 2009:12:10:21:16:17:PN cbx_mgl 2009:12:10:22:04:43:PN cbx_stingray 2009:12:10:21:16:16:PN cbx_stratixii 2009:12:10:21:16:18:PN cbx_util_mgl 2009:12:10:21:16:17:PN  VERSION_END
+// synthesis VERILOG_INPUT_VERSION VERILOG_2001
+// altera message_off 10463
+
+
+//synthesis_resources = altpll 1 cycloneiv_hssi_calibration_block 1 cycloneiv_hssi_cmu 1 cycloneiv_hssi_rx_pcs 1 cycloneiv_hssi_rx_pma 1 cycloneiv_hssi_tx_pcs 1 cycloneiv_hssi_tx_pma 1 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  altera_tse_altgx_civgx_gige_alt_c3gxb_vgl6
+	( 
+	cal_blk_clk,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_fromgxb,
+	reconfig_togxb,
+	rx_analogreset,
+	rx_ctrldetect,
+	rx_datain,
+	rx_dataout,
+	rx_digitalreset,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp,
+	rx_syncstatus,
+	tx_clkout,
+	tx_ctrlenable,
+	tx_datain,
+	tx_dataout,
+	tx_digitalreset) ;
+	input   cal_blk_clk;
+	input   [0:0]  gxb_powerdown;
+	input   pll_inclk;
+	input   reconfig_clk;
+	output   [4:0]  reconfig_fromgxb;
+	input   [3:0]  reconfig_togxb;
+	input   [0:0]  rx_analogreset;
+	output   [0:0]  rx_ctrldetect;
+	input   [0:0]  rx_datain;
+	output   [7:0]  rx_dataout;
+	input   [0:0]  rx_digitalreset;
+	output   [0:0]  rx_disperr;
+	output   [0:0]  rx_errdetect;
+	output   [0:0]  rx_patterndetect;
+	output   [0:0]  rx_rlv;
+	output   [0:0]  rx_rmfifodatadeleted;
+	output   [0:0]  rx_rmfifodatainserted;
+	output   [0:0]  rx_runningdisp;
+	output   [0:0]  rx_syncstatus;
+	output   [0:0]  tx_clkout;
+	input   [0:0]  tx_ctrlenable;
+	input   [7:0]  tx_datain;
+	output   [0:0]  tx_dataout;
+	input   [0:0]  tx_digitalreset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_off
+`endif
+	tri0   cal_blk_clk;
+	tri0   [0:0]  gxb_powerdown;
+	tri0   reconfig_clk;
+	tri0   [0:0]  rx_analogreset;
+	tri0   [0:0]  rx_digitalreset;
+	tri0   [0:0]  tx_ctrlenable;
+	tri0   [7:0]  tx_datain;
+	tri0   [0:0]  tx_digitalreset;
+`ifndef ALTERA_RESERVED_QIS
+// synopsys translate_on
+`endif
+
+
+	parameter	starting_channel_number = 0;
+
+
+	wire  [5:0]   wire_pll0_clk;
+	wire  wire_pll0_fref;
+	wire  wire_pll0_icdrclk;
+	wire  wire_cal_blk0_nonusertocmu;
+	wire  wire_cent_unit0_dprioout;
+	wire  wire_cent_unit0_quadresetout;
+	wire  [3:0]   wire_cent_unit0_rxanalogresetout;
+	wire  [3:0]   wire_cent_unit0_rxcrupowerdown;
+	wire  [3:0]   wire_cent_unit0_rxdigitalresetout;
+	wire  [3:0]   wire_cent_unit0_rxibpowerdown;
+	wire  [3:0]   wire_cent_unit0_txanalogresetout;
+	wire  [3:0]   wire_cent_unit0_txdetectrxpowerdown;
+	wire  [3:0]   wire_cent_unit0_txdigitalresetout;
+	wire  [3:0]   wire_cent_unit0_txdividerpowerdown;
+	wire  [3:0]   wire_cent_unit0_txobpowerdown;
+	wire  wire_receive_pcs0_cdrctrllocktorefclkout;
+	wire  [1:0]   wire_receive_pcs0_ctrldetect;
+	wire  [19:0]   wire_receive_pcs0_dataout;
+	wire  [1:0]   wire_receive_pcs0_disperr;
+	wire  [1:0]   wire_receive_pcs0_errdetect;
+	wire  [1:0]   wire_receive_pcs0_patterndetect;
+	wire  wire_receive_pcs0_rlv;
+	wire  [1:0]   wire_receive_pcs0_rmfifodatadeleted;
+	wire  [1:0]   wire_receive_pcs0_rmfifodatainserted;
+	wire  [1:0]   wire_receive_pcs0_runningdisp;
+	wire  wire_receive_pcs0_signaldetect;
+	wire  [1:0]   wire_receive_pcs0_syncstatus;
+	wire  [7:0]   wire_receive_pma0_analogtestbus;
+	wire  wire_receive_pma0_clockout;
+	wire  wire_receive_pma0_locktorefout;
+	wire  [9:0]   wire_receive_pma0_recoverdataout;
+	wire  wire_receive_pma0_signaldetect;
+	wire  wire_transmit_pcs0_clkout;
+	wire  [9:0]   wire_transmit_pcs0_dataout;
+	wire  wire_transmit_pcs0_forceelecidleout;
+	wire  wire_transmit_pcs0_txdetectrx;
+	wire  wire_transmit_pma0_clockout;
+	wire  wire_transmit_pma0_dataout;
+	wire  wire_transmit_pma0_seriallpbkout;
+	wire cal_blk_powerdown;
+	wire  [0:0]  cent_unit_quadresetout;
+	wire  [3:0]  cent_unit_rxcrupowerdn;
+	wire  [3:0]  cent_unit_rxibpowerdn;
+	wire  [3:0]  cent_unit_txdetectrxpowerdn;
+	wire  [3:0]  cent_unit_txdividerpowerdown;
+	wire  [3:0]  cent_unit_txobpowerdn;
+	wire fixedclk;
+	wire  [3:0]  fixedclk_in;
+	wire  [0:0]  nonusertocmu_out;
+	wire [0:0]  pll_powerdown;
+	wire  [0:0]  reconfig_togxb_disable;
+	wire  [0:0]  reconfig_togxb_in;
+	wire  [0:0]  reconfig_togxb_load;
+	wire  [3:0]  rx_analogreset_in;
+	wire  [3:0]  rx_analogreset_out;
+	wire  [0:0]  rx_coreclk_in;
+	wire  [0:0]  rx_deserclock_in;
+	wire  [3:0]  rx_digitalreset_in;
+	wire  [3:0]  rx_digitalreset_out;
+	wire [0:0]  rx_enapatternalign;
+	wire [0:0]  rx_locktodata;
+	wire [0:0]  rx_locktorefclk;
+	wire  [0:0]  rx_locktorefclk_wire;
+	wire  [7:0]  rx_out_wire;
+	wire [0:0]  rx_phfifordenable;
+	wire [0:0]  rx_phfiforeset;
+	wire [0:0]  rx_phfifowrdisable;
+	wire  [0:0]  rx_pll_pfdrefclkout_wire;
+	wire  [4:0]  rx_pma_analogtestbus;
+	wire  [0:0]  rx_pma_clockout;
+	wire  [9:0]  rx_pma_recoverdataout_wire;
+	wire [0:0]  rx_powerdown;
+	wire  [3:0]  rx_powerdown_in;
+	wire [0:0]  rx_prbscidenable;
+	wire [0:0]  rx_rmfiforeset;
+	wire  [0:0]  rx_signaldetect_wire;
+	wire  [3:0]  tx_analogreset_out;
+	wire  [0:0]  tx_clkout_int_wire;
+	wire  [0:0]  tx_core_clkout_wire;
+	wire  [0:0]  tx_coreclk_in;
+	wire  [7:0]  tx_datain_wire;
+	wire  [9:0]  tx_dataout_pcs_to_pma;
+	wire  [3:0]  tx_digitalreset_in;
+	wire  [3:0]  tx_digitalreset_out;
+	wire  [0:0]  tx_forcedisp_wire;
+	wire [0:0]  tx_invpolarity;
+	wire  [0:0]  tx_localrefclk;
+	wire [0:0]  tx_phfiforeset;
+	wire  [0:0]  tx_pma_fastrefclk0in;
+	wire  [0:0]  tx_pma_refclk0in;
+	wire  [0:0]  tx_pma_refclk0inpulse;
+	wire  [0:0]  tx_serialloopbackout;
+	wire  [0:0]  txdataout;
+	wire  [0:0]  txdetectrxout;
+
+	altpll   pll0
+	( 
+	.activeclock(),
+	.areset(pll_powerdown[0]),
+	.clk(wire_pll0_clk),
+	.clkbad(),
+	.clkloss(),
+	.enable0(),
+	.enable1(),
+	.extclk(),
+	.fbout(),
+	.fref(wire_pll0_fref),
+	.icdrclk(wire_pll0_icdrclk),
+	.inclk({{1{1'b0}}, pll_inclk}),
+	.locked(),
+	.phasedone(),
+	.scandataout(),
+	.scandone(),
+	.sclkout0(),
+	.sclkout1(),
+	.vcooverrange(),
+	.vcounderrange()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.clkena({6{1'b1}}),
+	.clkswitch(1'b0),
+	.configupdate(1'b0),
+	.extclkena({4{1'b1}}),
+	.fbin(1'b1),
+	.pfdena(1'b1),
+	.phasecounterselect({4{1'b1}}),
+	.phasestep(1'b1),
+	.phaseupdown(1'b1),
+	.pllena(1'b1),
+	.scanaclr(1'b0),
+	.scanclk(1'b0),
+	.scanclkena(1'b1),
+	.scandata(1'b0),
+	.scanread(1'b0),
+	.scanwrite(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		pll0.bandwidth_type = "HIGH",
+		pll0.clk0_divide_by = 1,
+		pll0.clk0_multiply_by = 5,
+		pll0.clk1_divide_by = 5,
+		pll0.clk1_multiply_by = 5,
+		pll0.clk2_divide_by = 5,
+		pll0.clk2_duty_cycle = 20,
+		pll0.clk2_multiply_by = 5,
+		pll0.dpa_divide_by = 1,
+		pll0.dpa_multiply_by = 5,
+		pll0.inclk0_input_frequency = 8000,
+		pll0.operation_mode = "no_compensation",
+		pll0.intended_device_family = "Cyclone IV GX",
+		pll0.lpm_type = "altpll";
+	cycloneiv_hssi_calibration_block   cal_blk0
+	( 
+	.calibrationstatus(),
+	.clk(cal_blk_clk),
+	.nonusertocmu(wire_cal_blk0_nonusertocmu),
+	.powerdn(cal_blk_powerdown)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.testctrl(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	cycloneiv_hssi_cmu   cent_unit0
+	( 
+	.alignstatus(),
+	.coreclkout(),
+	.digitaltestout(),
+	.dpclk(reconfig_clk),
+	.dpriodisable(reconfig_togxb_disable),
+	.dpriodisableout(),
+	.dprioin(reconfig_togxb_in),
+	.dprioload(reconfig_togxb_load),
+	.dpriooe(),
+	.dprioout(wire_cent_unit0_dprioout),
+	.enabledeskew(),
+	.fiforesetrd(),
+	.fixedclk(fixedclk_in[3:0]),
+	.nonuserfromcal(nonusertocmu_out[0]),
+	.quadreset(gxb_powerdown[0]),
+	.quadresetout(wire_cent_unit0_quadresetout),
+	.refclkout(),
+	.rxanalogreset({rx_analogreset_in[3:0]}),
+	.rxanalogresetout(wire_cent_unit0_rxanalogresetout),
+	.rxcrupowerdown(wire_cent_unit0_rxcrupowerdown),
+	.rxctrlout(),
+	.rxdataout(),
+	.rxdigitalreset({rx_digitalreset_in[3:0]}),
+	.rxdigitalresetout(wire_cent_unit0_rxdigitalresetout),
+	.rxibpowerdown(wire_cent_unit0_rxibpowerdown),
+	.rxphfifox4byteselout(),
+	.rxphfifox4rdenableout(),
+	.rxphfifox4wrclkout(),
+	.rxphfifox4wrenableout(),
+	.rxpowerdown({rx_powerdown_in[3:0]}),
+	.testout(),
+	.txanalogresetout(wire_cent_unit0_txanalogresetout),
+	.txctrlout(),
+	.txdetectrxpowerdown(wire_cent_unit0_txdetectrxpowerdown),
+	.txdigitalreset({tx_digitalreset_in[3:0]}),
+	.txdigitalresetout(wire_cent_unit0_txdigitalresetout),
+	.txdividerpowerdown(wire_cent_unit0_txdividerpowerdown),
+	.txobpowerdown(wire_cent_unit0_txobpowerdown),
+	.txphfifox4byteselout(),
+	.txphfifox4rdclkout(),
+	.txphfifox4rdenableout(),
+	.txphfifox4wrenableout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.pmacramtest(1'b0),
+	.rdenablesync(1'b1),
+	.refclkdig(1'b0),
+	.rxcoreclk(1'b0),
+	.rxctrl({4{1'b0}}),
+	.rxdatain({32{1'b0}}),
+	.rxdatavalid({4{1'b0}}),
+	.rxphfifordenable(1'b1),
+	.rxphfiforeset(1'b0),
+	.rxphfifowrdisable(1'b0),
+	.rxrunningdisp({4{1'b0}}),
+	.scanclk(1'b0),
+	.scanmode(1'b0),
+	.scanshift(1'b0),
+	.testin({2000{1'b0}}),
+	.txclk(1'b0),
+	.txcoreclk(1'b0),
+	.txctrl({4{1'b0}}),
+	.txdatain({32{1'b0}}),
+	.txphfiforddisable(1'b0),
+	.txphfiforeset(1'b0),
+	.txphfifowrenable(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		cent_unit0.rx0_channel_bonding = "none",
+		cent_unit0.rx0_clk1_mux_select = "recovered clock",
+		cent_unit0.rx0_clk2_mux_select = "local reference clock",
+		cent_unit0.rx0_ph_fifo_reg_mode = "false",
+		cent_unit0.rx0_rd_clk_mux_select = "core clock",
+		cent_unit0.rx0_recovered_clk_mux_select = "recovered clock",
+		cent_unit0.rx0_reset_clock_output_during_digital_reset = "false",
+		cent_unit0.rx0_use_double_data_mode = "false",
+		cent_unit0.tx0_channel_bonding = "none",
+		cent_unit0.tx0_rd_clk_mux_select = "central",
+		cent_unit0.tx0_reset_clock_output_during_digital_reset = "false",
+		cent_unit0.tx0_use_double_data_mode = "false",
+		cent_unit0.tx0_wr_clk_mux_select = "core_clk",
+		cent_unit0.use_coreclk_out_post_divider = "false",
+		cent_unit0.lpm_type = "cycloneiv_hssi_cmu";
+	cycloneiv_hssi_rx_pcs   receive_pcs0
+	( 
+	.a1a2size(1'b0),
+	.a1a2sizeout(),
+	.a1detect(),
+	.a2detect(),
+	.alignstatussyncout(),
+	.bistdone(),
+	.bisterr(),
+	.bitslipboundaryselectout(),
+	.byteorderalignstatus(),
+	.cdrctrlearlyeios(),
+	.cdrctrllocktorefcl(rx_locktorefclk[0]),
+	.cdrctrllocktorefclkout(wire_receive_pcs0_cdrctrllocktorefclkout),
+	.clkout(),
+	.coreclk(rx_coreclk_in[0]),
+	.coreclkout(),
+	.ctrldetect(wire_receive_pcs0_ctrldetect),
+	.datain(rx_pma_recoverdataout_wire[9:0]),
+	.dataout(wire_receive_pcs0_dataout),
+	.dataoutfull(),
+	.digitalreset(rx_digitalreset_out[0]),
+	.disperr(wire_receive_pcs0_disperr),
+	.enabyteord(1'b0),
+	.enapatternalign(rx_enapatternalign[0]),
+	.errdetect(wire_receive_pcs0_errdetect),
+	.fifordout(),
+	.hipdataout(),
+	.hipdatavalid(),
+	.hipelecidle(),
+	.hipphydonestatus(),
+	.hipstatus(),
+	.invpol(1'b0),
+	.k1detect(),
+	.k2detect(),
+	.localrefclk(tx_localrefclk[0]),
+	.parallelfdbk({20{1'b0}}),
+	.patterndetect(wire_receive_pcs0_patterndetect),
+	.phfifooverflow(),
+	.phfifordenable(rx_phfifordenable[0]),
+	.phfifordenableout(),
+	.phfiforeset(rx_phfiforeset[0]),
+	.phfiforesetout(),
+	.phfifounderflow(),
+	.phfifowrdisable(rx_phfifowrdisable[0]),
+	.phfifowrdisableout(),
+	.pipebufferstat(),
+	.pipedatavalid(),
+	.pipeelecidle(),
+	.pipephydonestatus(),
+	.pipepowerdown({2{1'b0}}),
+	.pipepowerstate({4{1'b0}}),
+	.pipestatus(),
+	.prbscidenable(rx_prbscidenable[0]),
+	.quadreset(cent_unit_quadresetout[0]),
+	.recoveredclk(rx_pma_clockout[0]),
+	.revbitorderwa(1'b0),
+	.revparallelfdbkdata(),
+	.rlv(wire_receive_pcs0_rlv),
+	.rmfifodatadeleted(wire_receive_pcs0_rmfifodatadeleted),
+	.rmfifodatainserted(wire_receive_pcs0_rmfifodatainserted),
+	.rmfifoempty(),
+	.rmfifofull(),
+	.rmfifordena(1'b0),
+	.rmfiforeset(rx_rmfiforeset[0]),
+	.rmfifowrena(1'b0),
+	.runningdisp(wire_receive_pcs0_runningdisp),
+	.rxdetectvalid(1'b0),
+	.rxfound({2{1'b0}}),
+	.signaldetect(wire_receive_pcs0_signaldetect),
+	.signaldetected(rx_signaldetect_wire[0]),
+	.syncstatus(wire_receive_pcs0_syncstatus),
+	.xgmctrldet(),
+	.xgmdataout(),
+	.xgmdatavalid(),
+	.xgmrunningdisp()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.alignstatus(1'b0),
+	.alignstatussync(1'b0),
+	.bitslip(1'b0),
+	.elecidleinfersel({3{1'b0}}),
+	.enabledeskew(1'b0),
+	.fifordin(1'b0),
+	.fiforesetrd(1'b0),
+	.grayelecidleinferselfromtx({3{1'b0}}),
+	.hip8b10binvpolarity(1'b0),
+	.hipelecidleinfersel({3{1'b0}}),
+	.hippowerdown({2{1'b0}}),
+	.masterclk(1'b0),
+	.phfifox4bytesel(1'b0),
+	.phfifox4rdenable(1'b0),
+	.phfifox4wrclk(1'b0),
+	.phfifox4wrenable(1'b0),
+	.pipe8b10binvpolarity(1'b0),
+	.pipeenrevparallellpbkfromtx(1'b0),
+	.pmatestbusin({8{1'b0}}),
+	.powerdn({2{1'b0}}),
+	.refclk(1'b0),
+	.wareset(1'b0),
+	.xgmctrlin(1'b0),
+	.xgmdatain({8{1'b0}})
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		receive_pcs0.align_pattern = "0101111100",
+		receive_pcs0.align_pattern_length = 10,
+		receive_pcs0.allow_align_polarity_inversion = "false",
+		receive_pcs0.allow_pipe_polarity_inversion = "false",
+		receive_pcs0.bit_slip_enable = "false",
+		receive_pcs0.byte_order_mode = "none",
+		receive_pcs0.byte_order_pad_pattern = "0",
+		receive_pcs0.byte_order_pattern = "0",
+		receive_pcs0.byte_order_pld_ctrl_enable = "false",
+		receive_pcs0.cdrctrl_bypass_ppm_detector_cycle = 1000,
+		receive_pcs0.cdrctrl_enable = "false",
+		receive_pcs0.cdrctrl_mask_cycle = 800,
+		receive_pcs0.cdrctrl_min_lock_to_ref_cycle = 63,
+		receive_pcs0.cdrctrl_rxvalid_mask = "false",
+		receive_pcs0.channel_bonding = "none",
+		receive_pcs0.channel_number = ((starting_channel_number + 0) % 4),
+		receive_pcs0.channel_width = 8,
+		receive_pcs0.clk1_mux_select = "recovered clock",
+		receive_pcs0.clk2_mux_select = "local reference clock",
+		receive_pcs0.datapath_low_latency_mode = "false",
+		receive_pcs0.datapath_protocol = "basic",
+		receive_pcs0.dec_8b_10b_compatibility_mode = "true",
+		receive_pcs0.dec_8b_10b_mode = "normal",
+		receive_pcs0.disable_auto_idle_insertion = "true",
+		receive_pcs0.disable_running_disp_in_word_align = "false",
+		receive_pcs0.disallow_kchar_after_pattern_ordered_set = "false",
+		receive_pcs0.elec_idle_infer_enable = "false",
+		receive_pcs0.elec_idle_num_com_detect = 3,
+		receive_pcs0.enable_bit_reversal = "false",
+		receive_pcs0.enable_self_test_mode = "false",
+		receive_pcs0.force_signal_detect_dig = "true",
+		receive_pcs0.hip_enable = "false",
+		receive_pcs0.infiniband_invalid_code = 0,
+		receive_pcs0.insert_pad_on_underflow = "false",
+		receive_pcs0.num_align_code_groups_in_ordered_set = 1,
+		receive_pcs0.num_align_cons_good_data = 4,
+		receive_pcs0.num_align_cons_pat = 3,
+		receive_pcs0.num_align_loss_sync_error = 4,
+		receive_pcs0.ph_fifo_low_latency_enable = "true",
+		receive_pcs0.ph_fifo_reg_mode = "false",
+		receive_pcs0.protocol_hint = "gige",
+		receive_pcs0.rate_match_back_to_back = "true",
+		receive_pcs0.rate_match_delete_threshold = 13,
+		receive_pcs0.rate_match_empty_threshold = 5,
+		receive_pcs0.rate_match_fifo_mode = "true",
+		receive_pcs0.rate_match_full_threshold = 20,
+		receive_pcs0.rate_match_insert_threshold = 11,
+		receive_pcs0.rate_match_ordered_set_based = "true",
+		receive_pcs0.rate_match_pattern1 = "10100010010101111100",
+		receive_pcs0.rate_match_pattern2 = "10101011011010000011",
+		receive_pcs0.rate_match_pattern_size = 20,
+		receive_pcs0.rate_match_reset_enable = "false",
+		receive_pcs0.rate_match_skip_set_based = "false",
+		receive_pcs0.rate_match_start_threshold = 7,
+		receive_pcs0.rd_clk_mux_select = "core clock",
+		receive_pcs0.recovered_clk_mux_select = "recovered clock",
+		receive_pcs0.run_length = 5,
+		receive_pcs0.run_length_enable = "true",
+		receive_pcs0.rx_detect_bypass = "false",
+		receive_pcs0.rxstatus_error_report_mode = 0,
+		receive_pcs0.self_test_mode = "incremental",
+		receive_pcs0.use_alignment_state_machine = "true",
+		receive_pcs0.use_double_data_mode = "false",
+		receive_pcs0.use_parallel_loopback = "false",
+		receive_pcs0.lpm_type = "cycloneiv_hssi_rx_pcs";
+	cycloneiv_hssi_rx_pma   receive_pma0
+	( 
+	.analogtestbus(wire_receive_pma0_analogtestbus),
+	.clockout(wire_receive_pma0_clockout),
+	.crupowerdn(cent_unit_rxcrupowerdn[0]),
+	.datain(rx_datain[0]),
+	.datastrobeout(),
+	.deserclock(rx_deserclock_in[0]),
+	.diagnosticlpbkout(),
+	.freqlocked(),
+	.locktodata(rx_locktodata[0]),
+	.locktoref(rx_locktorefclk_wire[0]),
+	.locktorefout(wire_receive_pma0_locktorefout),
+	.powerdn(cent_unit_rxibpowerdn[0]),
+	.ppmdetectrefclk(rx_pll_pfdrefclkout_wire[0]),
+	.recoverdataout(wire_receive_pma0_recoverdataout),
+	.reverselpbkout(),
+	.rxpmareset(rx_analogreset_out[0]),
+	.seriallpbkin(tx_serialloopbackout[0]),
+	.signaldetect(wire_receive_pma0_signaldetect),
+	.testbussel(4'b0110)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.dpashift(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		receive_pma0.allow_serial_loopback = "true",
+		receive_pma0.channel_number = ((starting_channel_number + 0) % 4),
+		receive_pma0.common_mode = "0.82V",
+		receive_pma0.deserialization_factor = 10,
+		receive_pma0.effective_data_rate = "1250 Mbps",
+		receive_pma0.eq_dc_gain = 0,
+		receive_pma0.eq_setting = 1,
+		receive_pma0.force_signal_detect = "true",
+		receive_pma0.ppmselect = 32,
+		receive_pma0.protocol_hint = "gige",
+		receive_pma0.signal_detect_hysteresis = 2,
+		receive_pma0.signal_detect_hysteresis_valid_threshold = 1,
+		receive_pma0.signal_detect_loss_threshold = 1,
+		receive_pma0.termination = "OCT 100 Ohms",
+		receive_pma0.use_external_termination = "false",
+		receive_pma0.lpm_type = "cycloneiv_hssi_rx_pma";
+	cycloneiv_hssi_tx_pcs   transmit_pcs0
+	( 
+	.clkout(wire_transmit_pcs0_clkout),
+	.coreclk(tx_coreclk_in[0]),
+	.coreclkout(),
+	.ctrlenable({{1{1'b0}}, tx_ctrlenable[0]}),
+	.datain({{12{1'b0}}, tx_datain_wire[7:0]}),
+	.datainfull({22{1'b0}}),
+	.dataout(wire_transmit_pcs0_dataout),
+	.detectrxloop(1'b0),
+	.digitalreset(tx_digitalreset_out[0]),
+	.enrevparallellpbk(1'b0),
+	.forcedisp({{1{1'b0}}, tx_forcedisp_wire[0]}),
+	.forceelecidleout(wire_transmit_pcs0_forceelecidleout),
+	.grayelecidleinferselout(),
+	.hiptxclkout(),
+	.invpol(tx_invpolarity[0]),
+	.localrefclk(tx_localrefclk[0]),
+	.parallelfdbkout(),
+	.phfifooverflow(),
+	.phfiforddisable(1'b0),
+	.phfiforddisableout(),
+	.phfiforeset(tx_phfiforeset[0]),
+	.phfiforesetout(),
+	.phfifounderflow(),
+	.phfifowrenable(1'b1),
+	.phfifowrenableout(),
+	.pipeenrevparallellpbkout(),
+	.pipepowerdownout(),
+	.pipepowerstateout(),
+	.powerdn({2{1'b0}}),
+	.quadreset(cent_unit_quadresetout[0]),
+	.rdenablesync(),
+	.revparallelfdbk({20{1'b0}}),
+	.txdetectrx(wire_transmit_pcs0_txdetectrx),
+	.xgmctrlenable(),
+	.xgmdataout()
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.bitslipboundaryselect({5{1'b0}}),
+	.dispval({2{1'b0}}),
+	.elecidleinfersel({3{1'b0}}),
+	.forceelecidle(1'b0),
+	.hipdatain({10{1'b0}}),
+	.hipdetectrxloop(1'b0),
+	.hipelecidleinfersel({3{1'b0}}),
+	.hipforceelecidle(1'b0),
+	.hippowerdn({2{1'b0}}),
+	.phfifox4bytesel(1'b0),
+	.phfifox4rdclk(1'b0),
+	.phfifox4rdenable(1'b0),
+	.phfifox4wrenable(1'b0),
+	.pipetxswing(1'b0),
+	.prbscidenable(1'b0),
+	.refclk(1'b0),
+	.xgmctrl(1'b0),
+	.xgmdatain({8{1'b0}})
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		transmit_pcs0.allow_polarity_inversion = "false",
+		transmit_pcs0.bitslip_enable = "false",
+		transmit_pcs0.channel_bonding = "none",
+		transmit_pcs0.channel_number = ((starting_channel_number + 0) % 4),
+		transmit_pcs0.channel_width = 8,
+		transmit_pcs0.datapath_low_latency_mode = "false",
+		transmit_pcs0.datapath_protocol = "basic",
+		transmit_pcs0.disable_ph_low_latency_mode = "false",
+		transmit_pcs0.disparity_mode = "none",
+		transmit_pcs0.elec_idle_delay = 6,
+		transmit_pcs0.enable_bit_reversal = "false",
+		transmit_pcs0.enable_idle_selection = "true",
+		transmit_pcs0.enable_reverse_parallel_loopback = "false",
+		transmit_pcs0.enable_self_test_mode = "false",
+		transmit_pcs0.enc_8b_10b_compatibility_mode = "true",
+		transmit_pcs0.enc_8b_10b_mode = "normal",
+		transmit_pcs0.hip_enable = "false",
+		transmit_pcs0.ph_fifo_reg_mode = "false",
+		transmit_pcs0.prbs_cid_pattern = "false",
+		transmit_pcs0.protocol_hint = "gige",
+		transmit_pcs0.refclk_select = "local",
+		transmit_pcs0.self_test_mode = "incremental",
+		transmit_pcs0.use_double_data_mode = "false",
+		transmit_pcs0.wr_clk_mux_select = "core_clk",
+		transmit_pcs0.lpm_type = "cycloneiv_hssi_tx_pcs";
+	cycloneiv_hssi_tx_pma   transmit_pma0
+	( 
+	.cgbpowerdn(cent_unit_txdividerpowerdown[0]),
+	.clockout(wire_transmit_pma0_clockout),
+	.datain({tx_dataout_pcs_to_pma[9:0]}),
+	.dataout(wire_transmit_pma0_dataout),
+	.detectrxpowerdown(cent_unit_txdetectrxpowerdn[0]),
+	.fastrefclk0in(tx_pma_fastrefclk0in[0]),
+	.forceelecidle(1'b0),
+	.powerdn(cent_unit_txobpowerdn[0]),
+	.refclk0in(tx_pma_refclk0in[0]),
+	.refclk0inpulse(tx_pma_refclk0inpulse[0]),
+	.reverselpbkin(1'b0),
+	.rxdetecten(txdetectrxout[0]),
+	.rxdetectvalidout(),
+	.rxfoundout(),
+	.seriallpbkout(wire_transmit_pma0_seriallpbkout),
+	.txpmareset(tx_analogreset_out[0])
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_off
+	`endif
+	,
+	.diagnosticlpbkin(1'b0),
+	.rxdetectclk(1'b0)
+	`ifndef FORMAL_VERIFICATION
+	// synopsys translate_on
+	`endif
+	);
+	defparam
+		transmit_pma0.channel_number = ((starting_channel_number + 0) % 4),
+		transmit_pma0.common_mode = "0.65V",
+		transmit_pma0.effective_data_rate = "1250 Mbps",
+		transmit_pma0.enable_reverse_serial_loopback = "false",
+		transmit_pma0.preemp_tap_1 = 1,
+		transmit_pma0.protocol_hint = "gige",
+		transmit_pma0.rx_detect = 0,
+		transmit_pma0.serialization_factor = 10,
+		transmit_pma0.slew_rate = "medium",
+		transmit_pma0.termination = "OCT 100 Ohms",
+		transmit_pma0.use_external_termination = "false",
+		transmit_pma0.use_rx_detect = "false",
+		transmit_pma0.vod_selection = 1,
+		transmit_pma0.lpm_type = "cycloneiv_hssi_tx_pma";
+	assign
+		cal_blk_powerdown = 1'b0,
+		cent_unit_quadresetout = {wire_cent_unit0_quadresetout},
+		cent_unit_rxcrupowerdn = {wire_cent_unit0_rxcrupowerdown[3:0]},
+		cent_unit_rxibpowerdn = {wire_cent_unit0_rxibpowerdown[3:0]},
+		cent_unit_txdetectrxpowerdn = {wire_cent_unit0_txdetectrxpowerdown[3:0]},
+		cent_unit_txdividerpowerdown = {wire_cent_unit0_txdividerpowerdown[3:0]},
+		cent_unit_txobpowerdn = {wire_cent_unit0_txobpowerdown[3:0]},
+		fixedclk = 1'b0,
+		fixedclk_in = {{3{1'b0}}, fixedclk},
+		nonusertocmu_out = {wire_cal_blk0_nonusertocmu},
+		pll_powerdown = 1'b0,
+		reconfig_fromgxb = {rx_pma_analogtestbus[4:1], wire_cent_unit0_dprioout},
+		reconfig_togxb_disable = reconfig_togxb[1],
+		reconfig_togxb_in = reconfig_togxb[0],
+		reconfig_togxb_load = reconfig_togxb[2],
+		rx_analogreset_in = {{3{1'b0}}, rx_analogreset[0]},
+		rx_analogreset_out = {wire_cent_unit0_rxanalogresetout[3:0]},
+		rx_coreclk_in = {tx_core_clkout_wire[0]},
+		rx_ctrldetect = {wire_receive_pcs0_ctrldetect[0]},
+		rx_dataout = {rx_out_wire[7:0]},
+		rx_deserclock_in = {wire_pll0_icdrclk},
+		rx_digitalreset_in = {{3{1'b0}}, rx_digitalreset[0]},
+		rx_digitalreset_out = {wire_cent_unit0_rxdigitalresetout[3:0]},
+		rx_disperr = {wire_receive_pcs0_disperr[0]},
+		rx_enapatternalign = 1'b0,
+		rx_errdetect = {wire_receive_pcs0_errdetect[0]},
+		rx_locktodata = 1'b0,
+		rx_locktorefclk = 1'b0,
+		rx_locktorefclk_wire = {wire_receive_pcs0_cdrctrllocktorefclkout},
+		rx_out_wire = {wire_receive_pcs0_dataout[7:0]},
+		rx_patterndetect = {wire_receive_pcs0_patterndetect[0]},
+		rx_phfifordenable = 1'b1,
+		rx_phfiforeset = 1'b0,
+		rx_phfifowrdisable = 1'b0,
+		rx_pll_pfdrefclkout_wire = {wire_pll0_fref},
+		rx_pma_analogtestbus = {{4{1'b0}}, wire_receive_pma0_analogtestbus[6]},
+		rx_pma_clockout = {wire_receive_pma0_clockout},
+		rx_pma_recoverdataout_wire = {wire_receive_pma0_recoverdataout[9:0]},
+		rx_powerdown = 1'b0,
+		rx_powerdown_in = {{3{1'b0}}, rx_powerdown[0]},
+		rx_prbscidenable = 1'b0,
+		rx_rlv = {wire_receive_pcs0_rlv},
+		rx_rmfifodatadeleted = {wire_receive_pcs0_rmfifodatadeleted[0]},
+		rx_rmfifodatainserted = {wire_receive_pcs0_rmfifodatainserted[0]},
+		rx_rmfiforeset = 1'b0,
+		rx_runningdisp = {wire_receive_pcs0_runningdisp[0]},
+		rx_signaldetect_wire = {wire_receive_pma0_signaldetect},
+		rx_syncstatus = {wire_receive_pcs0_syncstatus[0]},
+		tx_analogreset_out = {wire_cent_unit0_txanalogresetout[3:0]},
+		tx_clkout = {tx_core_clkout_wire[0]},
+		tx_clkout_int_wire = {wire_transmit_pcs0_clkout},
+		tx_core_clkout_wire = {tx_clkout_int_wire[0]},
+		tx_coreclk_in = {tx_clkout_int_wire[0]},
+		tx_datain_wire = {tx_datain[7:0]},
+		tx_dataout = {txdataout[0]},
+		tx_dataout_pcs_to_pma = {wire_transmit_pcs0_dataout[9:0]},
+		tx_digitalreset_in = {{3{1'b0}}, tx_digitalreset[0]},
+		tx_digitalreset_out = {wire_cent_unit0_txdigitalresetout[3:0]},
+		tx_forcedisp_wire = {1'b0},
+		tx_invpolarity = 1'b0,
+		tx_localrefclk = {wire_transmit_pma0_clockout},
+		tx_phfiforeset = 1'b0,
+		tx_pma_fastrefclk0in = {wire_pll0_clk[0]},
+		tx_pma_refclk0in = {wire_pll0_clk[1]},
+		tx_pma_refclk0inpulse = {wire_pll0_clk[2]},
+		tx_serialloopbackout = {wire_transmit_pma0_seriallpbkout},
+		txdataout = {wire_transmit_pma0_dataout},
+		txdetectrxout = {wire_transmit_pcs0_txdetectrx};
+endmodule //altera_tse_altgx_civgx_gige_alt_c3gxb_vgl6
+//VALID FILE
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_altgx_civgx_gige (
+	cal_blk_clk,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_togxb,
+	rx_analogreset,
+	rx_datain,
+	rx_digitalreset,
+	tx_ctrlenable,
+	tx_datain,
+	tx_digitalreset,
+	reconfig_fromgxb,
+	rx_ctrldetect,
+	rx_dataout,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp,
+	rx_syncstatus,
+	tx_clkout,
+	tx_dataout);
+
+	input	  cal_blk_clk;
+	input	[0:0]  gxb_powerdown;
+	input	  pll_inclk;
+	input	  reconfig_clk;
+	input	[3:0]  reconfig_togxb;
+	input	[0:0]  rx_analogreset;
+	input	[0:0]  rx_datain;
+	input	[0:0]  rx_digitalreset;
+	input	[0:0]  tx_ctrlenable;
+	input	[7:0]  tx_datain;
+	input	[0:0]  tx_digitalreset;
+	output	[4:0]  reconfig_fromgxb;
+	output	[0:0]  rx_ctrldetect;
+	output	[7:0]  rx_dataout;
+	output	[0:0]  rx_disperr;
+	output	[0:0]  rx_errdetect;
+	output	[0:0]  rx_patterndetect;
+	output	[0:0]  rx_rlv;
+	output	[0:0]  rx_rmfifodatadeleted;
+	output	[0:0]  rx_rmfifodatainserted;
+	output	[0:0]  rx_runningdisp;
+	output	[0:0]  rx_syncstatus;
+	output	[0:0]  tx_clkout;
+	output	[0:0]  tx_dataout;
+
+	parameter		starting_channel_number = 0;
+
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire1;
+	wire [0:0] sub_wire2;
+	wire [0:0] sub_wire3;
+	wire [0:0] sub_wire4;
+	wire [0:0] sub_wire5;
+	wire [0:0] sub_wire6;
+	wire [0:0] sub_wire7;
+	wire [0:0] sub_wire8;
+	wire [0:0] sub_wire9;
+	wire [0:0] sub_wire10;
+	wire [4:0] sub_wire11;
+	wire [7:0] sub_wire12;
+	wire [0:0] rx_disperr = sub_wire0[0:0];
+	wire [0:0] rx_rlv = sub_wire1[0:0];
+	wire [0:0] rx_patterndetect = sub_wire2[0:0];
+	wire [0:0] rx_ctrldetect = sub_wire3[0:0];
+	wire [0:0] rx_errdetect = sub_wire4[0:0];
+	wire [0:0] rx_rmfifodatadeleted = sub_wire5[0:0];
+	wire [0:0] rx_runningdisp = sub_wire6[0:0];
+	wire [0:0] tx_dataout = sub_wire7[0:0];
+	wire [0:0] rx_rmfifodatainserted = sub_wire8[0:0];
+	wire [0:0] rx_syncstatus = sub_wire9[0:0];
+	wire [0:0] tx_clkout = sub_wire10[0:0];
+	wire [4:0] reconfig_fromgxb = sub_wire11[4:0];
+	wire [7:0] rx_dataout = sub_wire12[7:0];
+
+	altera_tse_altgx_civgx_gige_alt_c3gxb_vgl6	altera_tse_altgx_civgx_gige_alt_c3gxb_vgl6_component (
+				.pll_inclk (pll_inclk),
+				.gxb_powerdown (gxb_powerdown),
+				.tx_datain (tx_datain),
+				.cal_blk_clk (cal_blk_clk),
+				.reconfig_clk (reconfig_clk),
+				.rx_datain (rx_datain),
+				.reconfig_togxb (reconfig_togxb),
+				.tx_ctrlenable (tx_ctrlenable),
+				.rx_analogreset (rx_analogreset),
+				.rx_digitalreset (rx_digitalreset),
+				.tx_digitalreset (tx_digitalreset),
+				.rx_disperr (sub_wire0),
+				.rx_rlv (sub_wire1),
+				.rx_patterndetect (sub_wire2),
+				.rx_ctrldetect (sub_wire3),
+				.rx_errdetect (sub_wire4),
+				.rx_rmfifodatadeleted (sub_wire5),
+				.rx_runningdisp (sub_wire6),
+				.tx_dataout (sub_wire7),
+				.rx_rmfifodatainserted (sub_wire8),
+				.rx_syncstatus (sub_wire9),
+				.tx_clkout (sub_wire10),
+				.reconfig_fromgxb (sub_wire11),
+				.rx_dataout (sub_wire12));
+	defparam
+		altera_tse_altgx_civgx_gige_alt_c3gxb_vgl6_component.starting_channel_number = starting_channel_number;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
+// Retrieval info: PRIVATE: NUM_KEYS NUMERIC "0"
+// Retrieval info: PRIVATE: RECONFIG_PROTOCOL STRING "BASIC"
+// Retrieval info: PRIVATE: RECONFIG_SUBPROTOCOL STRING "none"
+// Retrieval info: PRIVATE: RX_ENABLE_DC_COUPLING STRING "false"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE STRING "1250"
+// Retrieval info: PRIVATE: WIZ_BASE_DATA_RATE_ENABLE STRING "0"
+// Retrieval info: PRIVATE: WIZ_DATA_RATE STRING "1250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INCLK_FREQ_ARRAY STRING "100"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A STRING "2000"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B STRING "100"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_DPRIO_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_FREQ STRING "100.0"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK0_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK1_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK2_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK3_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK4_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK5_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_FREQ STRING "250"
+// Retrieval info: PRIVATE: WIZ_DPRIO_REF_CLK6_PROTOCOL STRING "Basic"
+// Retrieval info: PRIVATE: WIZ_ENABLE_EQUALIZER_CTRL NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_EQUALIZER_CTRL_SETTING NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_FORCE_DEFAULT_SETTINGS NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INCLK_FREQ_ARRAY STRING "62.5 125.0"
+// Retrieval info: PRIVATE: WIZ_INPUT_A STRING "1250"
+// Retrieval info: PRIVATE: WIZ_INPUT_A_UNIT STRING "Mbps"
+// Retrieval info: PRIVATE: WIZ_INPUT_B STRING "125.0"
+// Retrieval info: PRIVATE: WIZ_INPUT_B_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: WIZ_INPUT_SELECTION NUMERIC "0"
+// Retrieval info: PRIVATE: WIZ_PROTOCOL STRING "GIGE"
+// Retrieval info: PRIVATE: WIZ_SUBPROTOCOL STRING "None"
+// Retrieval info: PRIVATE: WIZ_WORD_ALIGN_FLIP_PATTERN STRING "0"
+// Retrieval info: PARAMETER: STARTING_CHANNEL_NUMBER NUMERIC "0"
+// Retrieval info: CONSTANT: EFFECTIVE_DATA_RATE STRING "1250 Mbps"
+// Retrieval info: CONSTANT: ENABLE_LC_TX_PLL STRING "false"
+// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_ALT_DRIVE_RX_CRU STRING "true"
+// Retrieval info: CONSTANT: ENABLE_PLL_INCLK_DRIVE_RX_CRU STRING "true"
+// Retrieval info: CONSTANT: EQUALIZER_DCGAIN_SETTING NUMERIC "0"
+// Retrieval info: CONSTANT: GEN_RECONFIG_PLL STRING "false"
+// Retrieval info: CONSTANT: GX_CHANNEL_TYPE STRING ""
+// Retrieval info: CONSTANT: INPUT_CLOCK_FREQUENCY STRING "125.0 MHz"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV GX"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_SPEED_GRADE STRING "6"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_VARIANT STRING "ANY"
+// Retrieval info: CONSTANT: LOOPBACK_MODE STRING "slb"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "alt_c3gxb"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "duplex"
+// Retrieval info: CONSTANT: PLL_BANDWIDTH_TYPE STRING "High"
+// Retrieval info: CONSTANT: PLL_CONTROL_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: PLL_INCLK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: PLL_PFD_FB_MODE STRING "internal"
+// Retrieval info: CONSTANT: PREEMPHASIS_CTRL_1STPOSTTAP_SETTING NUMERIC "1"
+// Retrieval info: CONSTANT: PROTOCOL STRING "gige"
+// Retrieval info: CONSTANT: RECEIVER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: RECONFIG_DPRIO_MODE NUMERIC "0"
+// Retrieval info: CONSTANT: RX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN STRING "0101111100"
+// Retrieval info: CONSTANT: RX_ALIGN_PATTERN_LENGTH NUMERIC "10"
+// Retrieval info: CONSTANT: RX_ALLOW_ALIGN_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_ALLOW_PIPE_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: RX_BITSLIP_ENABLE STRING "false"
+// Retrieval info: CONSTANT: RX_BYTE_ORDERING_MODE STRING "NONE"
+// Retrieval info: CONSTANT: RX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: RX_COMMON_MODE STRING "0.82v"
+// Retrieval info: CONSTANT: RX_CRU_INCLOCK0_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: RX_DATAPATH_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: RX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: RX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: RX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: RX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_DATA_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_LOCK_TO_REFCLK_SIG STRING "false"
+// Retrieval info: CONSTANT: RX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_FORCE_SIGNAL_DETECT STRING "true"
+// Retrieval info: CONSTANT: RX_PPMSELECT NUMERIC "32"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_FIFO_MODE STRING "normal"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN1 STRING "10100010010101111100"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN2 STRING "10101011011010000011"
+// Retrieval info: CONSTANT: RX_RATE_MATCH_PATTERN_SIZE NUMERIC "20"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH NUMERIC "5"
+// Retrieval info: CONSTANT: RX_RUN_LENGTH_ENABLE STRING "true"
+// Retrieval info: CONSTANT: RX_SIGNAL_DETECT_THRESHOLD NUMERIC "2"
+// Retrieval info: CONSTANT: RX_USE_ALIGN_STATE_MACHINE STRING "true"
+// Retrieval info: CONSTANT: RX_USE_CLKOUT STRING "false"
+// Retrieval info: CONSTANT: RX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DESERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DESKEW_FIFO STRING "false"
+// Retrieval info: CONSTANT: RX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: RX_USE_RATE_MATCH_PATTERN1_ONLY STRING "false"
+// Retrieval info: CONSTANT: TRANSMITTER_TERMINATION STRING "oct_100_ohms"
+// Retrieval info: CONSTANT: TX_8B_10B_MODE STRING "normal"
+// Retrieval info: CONSTANT: TX_ALLOW_POLARITY_INVERSION STRING "false"
+// Retrieval info: CONSTANT: TX_CHANNEL_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: TX_CLKOUT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: TX_COMMON_MODE STRING "0.65v"
+// Retrieval info: CONSTANT: TX_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: TX_DATA_RATE_REMAINDER NUMERIC "0"
+// Retrieval info: CONSTANT: TX_DIGITALRESET_PORT_WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: TX_ENABLE_BIT_REVERSAL STRING "false"
+// Retrieval info: CONSTANT: TX_ENABLE_SELF_TEST_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_PLL_BANDWIDTH_TYPE STRING "High"
+// Retrieval info: CONSTANT: TX_PLL_INCLK0_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: TX_PLL_TYPE STRING "CMU"
+// Retrieval info: CONSTANT: TX_SLEW_RATE STRING "medium"
+// Retrieval info: CONSTANT: TX_TRANSMIT_PROTOCOL STRING "basic"
+// Retrieval info: CONSTANT: TX_USE_CORECLK STRING "false"
+// Retrieval info: CONSTANT: TX_USE_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: TX_USE_SERIALIZER_DOUBLE_DATA_MODE STRING "false"
+// Retrieval info: CONSTANT: USE_CALIBRATION_BLOCK STRING "true"
+// Retrieval info: CONSTANT: VOD_CTRL_SETTING NUMERIC "1"
+// Retrieval info: CONSTANT: equalization_setting NUMERIC "1"
+// Retrieval info: CONSTANT: gxb_powerdown_width NUMERIC "1"
+// Retrieval info: CONSTANT: number_of_quads NUMERIC "1"
+// Retrieval info: CONSTANT: pll_divide_by STRING "1"
+// Retrieval info: CONSTANT: pll_multiply_by STRING "5"
+// Retrieval info: CONSTANT: reconfig_calibration STRING "true"
+// Retrieval info: CONSTANT: reconfig_fromgxb_port_width NUMERIC "5"
+// Retrieval info: CONSTANT: reconfig_togxb_port_width NUMERIC "4"
+// Retrieval info: CONSTANT: rx_dwidth_factor NUMERIC "1"
+// Retrieval info: CONSTANT: rx_signal_detect_loss_threshold STRING "1"
+// Retrieval info: CONSTANT: rx_signal_detect_valid_threshold STRING "1"
+// Retrieval info: CONSTANT: rx_use_external_termination STRING "false"
+// Retrieval info: CONSTANT: rx_word_aligner_num_byte NUMERIC "1"
+// Retrieval info: CONSTANT: tx_dwidth_factor NUMERIC "1"
+// Retrieval info: CONSTANT: tx_use_external_termination STRING "false"
+// Retrieval info: USED_PORT: cal_blk_clk 0 0 0 0 INPUT NODEFVAL "cal_blk_clk"
+// Retrieval info: USED_PORT: gxb_powerdown 0 0 1 0 INPUT NODEFVAL "gxb_powerdown[0..0]"
+// Retrieval info: USED_PORT: pll_inclk 0 0 0 0 INPUT NODEFVAL "pll_inclk"
+// Retrieval info: USED_PORT: reconfig_clk 0 0 0 0 INPUT NODEFVAL "reconfig_clk"
+// Retrieval info: USED_PORT: reconfig_fromgxb 0 0 5 0 OUTPUT NODEFVAL "reconfig_fromgxb[4..0]"
+// Retrieval info: USED_PORT: reconfig_togxb 0 0 4 0 INPUT NODEFVAL "reconfig_togxb[3..0]"
+// Retrieval info: USED_PORT: rx_analogreset 0 0 1 0 INPUT NODEFVAL "rx_analogreset[0..0]"
+// Retrieval info: USED_PORT: rx_ctrldetect 0 0 1 0 OUTPUT NODEFVAL "rx_ctrldetect[0..0]"
+// Retrieval info: USED_PORT: rx_datain 0 0 1 0 INPUT NODEFVAL "rx_datain[0..0]"
+// Retrieval info: USED_PORT: rx_dataout 0 0 8 0 OUTPUT NODEFVAL "rx_dataout[7..0]"
+// Retrieval info: USED_PORT: rx_digitalreset 0 0 1 0 INPUT NODEFVAL "rx_digitalreset[0..0]"
+// Retrieval info: USED_PORT: rx_disperr 0 0 1 0 OUTPUT NODEFVAL "rx_disperr[0..0]"
+// Retrieval info: USED_PORT: rx_errdetect 0 0 1 0 OUTPUT NODEFVAL "rx_errdetect[0..0]"
+// Retrieval info: USED_PORT: rx_patterndetect 0 0 1 0 OUTPUT NODEFVAL "rx_patterndetect[0..0]"
+// Retrieval info: USED_PORT: rx_rlv 0 0 1 0 OUTPUT NODEFVAL "rx_rlv[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatadeleted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatadeleted[0..0]"
+// Retrieval info: USED_PORT: rx_rmfifodatainserted 0 0 1 0 OUTPUT NODEFVAL "rx_rmfifodatainserted[0..0]"
+// Retrieval info: USED_PORT: rx_runningdisp 0 0 1 0 OUTPUT NODEFVAL "rx_runningdisp[0..0]"
+// Retrieval info: USED_PORT: rx_syncstatus 0 0 1 0 OUTPUT NODEFVAL "rx_syncstatus[0..0]"
+// Retrieval info: USED_PORT: tx_clkout 0 0 1 0 OUTPUT NODEFVAL "tx_clkout[0..0]"
+// Retrieval info: USED_PORT: tx_ctrlenable 0 0 1 0 INPUT NODEFVAL "tx_ctrlenable[0..0]"
+// Retrieval info: USED_PORT: tx_datain 0 0 8 0 INPUT NODEFVAL "tx_datain[7..0]"
+// Retrieval info: USED_PORT: tx_dataout 0 0 1 0 OUTPUT NODEFVAL "tx_dataout[0..0]"
+// Retrieval info: USED_PORT: tx_digitalreset 0 0 1 0 INPUT NODEFVAL "tx_digitalreset[0..0]"
+// Retrieval info: CONNECT: rx_patterndetect 0 0 1 0 @rx_patterndetect 0 0 1 0
+// Retrieval info: CONNECT: @rx_analogreset 0 0 1 0 rx_analogreset 0 0 1 0
+// Retrieval info: CONNECT: rx_ctrldetect 0 0 1 0 @rx_ctrldetect 0 0 1 0
+// Retrieval info: CONNECT: @gxb_powerdown 0 0 1 0 gxb_powerdown 0 0 1 0
+// Retrieval info: CONNECT: rx_dataout 0 0 8 0 @rx_dataout 0 0 8 0
+// Retrieval info: CONNECT: rx_runningdisp 0 0 1 0 @rx_runningdisp 0 0 1 0
+// Retrieval info: CONNECT: @cal_blk_clk 0 0 0 0 cal_blk_clk 0 0 0 0
+// Retrieval info: CONNECT: rx_errdetect 0 0 1 0 @rx_errdetect 0 0 1 0
+// Retrieval info: CONNECT: @tx_digitalreset 0 0 1 0 tx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: rx_syncstatus 0 0 1 0 @rx_syncstatus 0 0 1 0
+// Retrieval info: CONNECT: @reconfig_clk 0 0 0 0 reconfig_clk 0 0 0 0
+// Retrieval info: CONNECT: @reconfig_togxb 0 0 4 0 reconfig_togxb 0 0 4 0
+// Retrieval info: CONNECT: @rx_digitalreset 0 0 1 0 rx_digitalreset 0 0 1 0
+// Retrieval info: CONNECT: tx_clkout 0 0 1 0 @tx_clkout 0 0 1 0
+// Retrieval info: CONNECT: rx_rlv 0 0 1 0 @rx_rlv 0 0 1 0
+// Retrieval info: CONNECT: @pll_inclk 0 0 0 0 pll_inclk 0 0 0 0
+// Retrieval info: CONNECT: @tx_ctrlenable 0 0 1 0 tx_ctrlenable 0 0 1 0
+// Retrieval info: CONNECT: tx_dataout 0 0 1 0 @tx_dataout 0 0 1 0
+// Retrieval info: CONNECT: @tx_datain 0 0 8 0 tx_datain 0 0 8 0
+// Retrieval info: CONNECT: reconfig_fromgxb 0 0 5 0 @reconfig_fromgxb 0 0 5 0
+// Retrieval info: CONNECT: @rx_datain 0 0 1 0 rx_datain 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatainserted 0 0 1 0 @rx_rmfifodatainserted 0 0 1 0
+// Retrieval info: CONNECT: rx_rmfifodatadeleted 0 0 1 0 @rx_rmfifodatadeleted 0 0 1 0
+// Retrieval info: CONNECT: rx_disperr 0 0 1 0 @rx_disperr 0 0 1 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_altgx_civgx_gige_bb.v TRUE
+// Retrieval info: LIB_FILE: altera_mf
+// Retrieval info: LIB_FILE: cycloneiv_hssi
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altshifttaps.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altshifttaps.v
new file mode 100644
index 0000000000000000000000000000000000000000..ac03ae4bfb4892aadfeaf31d3ec13037522a7255
GIT binary patch
literal 1696
zcmV;R24DG$6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?NxEgvnoxS-0}%L>rb7edSr+
z3e2I3l5xfU`fgmv4MhaONS;u0XbbVz+FSyf9E#W!F*ORAS>}BmiW9vCq+^wxhsXV#
zQ9&hM_^fnE;dhR+M?jPV+r7sS&bGh?oBi6g<FK#Dcioe=(S?{ln3Jo^k%JVREwm^e
zJ=G~h%^FMpXgM#oom3*NhaaAejx1snq-)VUA$IzsK~9fNVp;f8#ggD(9S$**h6~-=
zXanIc!bYIzL8vhg|B#cvT7wiT@-9SRRl`5JtJ(|KXWkIY@*3?S4+A=_7n1>s5zA$y
zU#kHbvNpcTRjpr7PFiJmUlO@t)OT=Hz5+9glrH)TY4{oc{j@$&Y%A7+`{o%fU1$_%
zBC?0_H3i*K;XL3s$6eV(PEyo+4UF>CVe0)dV(Uq-1cPL9H)Cfha#XjD+In2L+Oo&e
z2qX46ym9}fv2lxp!eRBR^UyR)hhwD3!TPggJL7u?e^%c@pwe;@S~F-g0bdOi$Ud5X
z1+2#ojicw#Iy*I6c2bNnuk9bFfdKFm1&B$Hc1Vaq#?xG<O7C0e)TJ%dv+Pu}kZP;|
z95^^u4&q-<4cvSO-~f|v=8`p;bt;x>q0c#%p-b<_oHMQAii9Za6v}?X10V(?9~v5C
zov7&AC5V)-HeV!<I?Hd?e3$319Q=?}MHplcm?sa<H(1fK#DD#^LG<z<J7k;2I5-wa
z#x{NeKi|2?6<p<PEGwy_s*?M|*-M`lZDp`3*b(SK4A1_GBKCel0hx5Hg=DVHrVLNK
zYp)3tg4yDFS33?9GooG2Kh-WXXsjZvO@tkoIU$S($OC~YlNG`w#SyN&V`eF}vcqJJ
zPEnZEIqPsib^G#z>vOooH#J+7+vtsTY>esR;108`s^ade;A{him*d%*nazB0?ZX}{
zW+^KMTVd+q<uWJ8pYngLo+_uC9F%e@L+2;@3bq0H_<;}mt9<PqkffN}n{s(VTJh!_
z=JjwBkP+~&6TcGB!MLJTJ4wux!ldJZ&X)hnNo{Npitu)*f1T5_FpRhD&u!^B#5vGM
zcF}1bA)2q@2l(tGpdvB98~RwA=hE}_Pv@`q$+VU4DtF*XLS?Fu&Gl(KndVhYS6|h8
zW`;Lo!g24+L%IaYl*_fr*{IPabzuGIg$B&mp^3)%+p57*jPeB=Y(cg56{aY5HDr*Z
zVqG4=2I!;8^Ou<l<9S-{1y?<<M={7G=Ot}j84s{S7-s>uds-N}O>|;MsyHY-&x3{!
zbI!gYpLG!TKGC0K#}_Uyy=ZSNb_4qGH@i>smYE+=k$VUDTwzpM(%jy|QR;G4_19j#
z@>oxVp$x)ta$)?1VlP(1{(iV`PO|;|(V+b2$6JYqw}5hz%4@jqREszSq)MU;pDmQU
zc_IS%ezsyUDnkmUAu&#%`9_qL2#}tCQK_{aXKtHKc%D#FP#smcz7@q_7}JV<VY3s@
z0Z#E}N31}ob&)>rQe@M#v?0=dAtU$lOlAcjyTm*ty=F6alDeEHM~`@fyJLWIg)=^V
zsDpbv!Y2w3iMtF?1`#1->_+({aV|#G1HX56G8i!mXm;fg_=&mvjp0;^Gt_gz8$Gy{
zM}{L_J^LIH!O}O|Jytpfb63OimWX<|)Y?hiy2*~g(h}}|9WN{To5<B-&DAhe6_-?y
zX>pqly$)V$H$pO&Qewz?EJYq*fqT?j2fhv%<Rm*arUbI`AiVEb8)!Ys1U_Z=3znl2
zV8%p?NF!e>b*E?U?^a{rq&e{q0u+_aN}5a7PiQqO4yc4-&XNn&doT}DHs;*3J_cAE
z@W4#LKk#FRaTucJNWH^?C9)^<K0xlub>@?fwFs(k5lI~bmvMx(^>8>)2gc@_D(8#G
z^&do>QxKN22qlZ7{kvff^Zk|Wf2RcZ;ixSjy2)V6hWbp$!&7O`xJ}or4tm;NvtJL~
qMht2_@cMe6;Ne-WFK|_TEfPq^Yi~lD<%Q&1uTKU25nzWq1$k>S%SMC%

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altsyncram_dpm_fifo.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_altsyncram_dpm_fifo.v
new file mode 100644
index 0000000000000000000000000000000000000000..df89539ff5b497a125df4ff683124d8a3f1db2f0
GIT binary patch
literal 2888
zcmV-O3%B%(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1OhTw@)i}QK+0pRhfwXq9`@qB^NUTY~Y
zcmZkPH=#tO-f^6;I;pUKkbMEO3Pp!ZQ_+<=zUYZGw7aFix6>!*=eD<2jNn)6_p*tM
zV>!C{)hINR+@J-MPJk8865sK13uj`slVMn|jGYB?aW@b+ftF*i#y#`nS}eMh`;$L<
z&7}TnH-_R%6vk_x;^1gK6B{3Tq&vV=>HQp;RdsQ7og#_5OwD>zUAXNw7`LRn)O#dp
z-=fYP`dghTgkh{;n#~3lWBZ`FYaWYT<w}di?-!st=06c569Ong&B!~^F-fdKS-6$D
z$8QoC_7|dpy(Wdmm_bUUd_$pmIQyZQq4`<`e8(7JlmWY<D@&ai9{_MBjC(q?VF`d0
zM^GX;!4m4?W!6Lbkaui|d;!@XlsIm8>6kd}Lf&uG9UU<dx`QZXg=TxM6JcwrGm`QA
zigjq0dn?MIIBj6XQg=AjSHYoD{#S^a#`qLpSw!o2qZS?qVoIlsciDRwzzb8?EAAtq
z<$%RwJ38beDaJUCVCzMHlA(bi!vL00BJ4f<xiob*Jddec@S?m}cB+t8zkU;uMFAP{
zjK(P)avLQP3V54k<&=te4ir)*;14<XDF`1jlMrI?tDkpcyX?1VqehW8{_T!z@B#6_
zOIeD7N}Kh8yC$J<zbet{mYr7~7tFOij@hPH^AiskaSo^Ga&EQpk(6o5?b9zUK=kx<
zHZSpZ#E-`o1p?DA%oPrsNmh{IAO0)do-<P9xI)gi)!Rbkg5$FyL1~v*-I#&p)fe@J
z(d#nS>N?;TwSUwDVck&V%6b#zlBpTjk?U)U7(Yl!r|)rB#nr=x82)WmNda*pSvfq6
z_Pa4DTJRU?|BhprmS>ER)TtOy14*SUfNMH=DmIXODn?<TATL|PU59~ZkTE6_T~f7G
zA+O20j89?gCUM*KIzvgURUs%4lMdMKZ@YpMcHgmThv&h*n^o4^P%b;g6RNVf%2C&?
z*vTDA3_6EC!aoIfL1gZkv%#o{+7d<le2nTkMl?(v2O}Ltb@0~dx-cwv$6LUt%K!0q
znhcLN8btXa;42}pB1#2$#|M<!6T;TMAFIhz+w3x(qiaiJ@D>hy!7j`-yJ^{*N$sX#
zBJ71;zCZqoQ}kx`H&s*$0LY7G`lvtcWyhTPJJv%3y9@`B|3dMw<XH7#6c$o<B6!c6
z7iH|9?Yru<F103@v9ng_kc3#XNnfT)@5D}lqkdXAVOA6j8?EBjolTN&&vwfE3UA$v
z0UVdeF7rFjRx=Gvo$pG!+g24&kd*}LK>0K?HJ*fp(y)|}_iWaC``FDJO0>n-=xpsy
z`)d3zCG}aj@$<1kv=?z$pU_|Ud!i!1Mrv~)H%mW*G0xaPu={WHZ!pb|;Myh31ez)h
z{~6~swX|5!_aIVG=HrO!B{AxR85q0I@WbZD{zU#N^fW0=8k!=UbB5|qR|P;&d>ii9
zo{j9)Yr6DqX2*oojBv~R+tp`fjj1~;#NUa0{Q%+Ah%CsOJ?P`>xpI=q;%HgEx9dK*
ziHslraj%KCj68PVo=E4p<<j)23*H>4q@x}CM3v1KeIwP+mB_xQ{|HeOq6c4T%F+r@
zWty9WvZSby(i~q2oXgZ7acy1l)C0ZHT98^`k{Ke*no`;--@ECOTC`uhCXOnH_LL=1
z&5?a3?ZtP=GlA~<6o)KJn!IKZ#$CM&q+e7PC^6kyINXRD>~EG*g6*SABWikPju(2h
zP&18#hO+|+P;660%tWyPmOc@G2F-&Ck)w~zDFjYye*@}V|No)WC~IUW`PeToS(gXq
zJ+59swkyq4EI83qX_;Jz>B$3QRZLv|l*FUR#xKQlO2e4iOO(Xs(lSX6$k>^I5qY#J
ze?y%Eh92M32w?(`NiE4v!WFqeE_!(pK^6XnXLGFE)DEVjQ}8lYvY@4m+P{IlCrNRd
z6xc@)HVN2aG^Vf?tN1bTqbz>(mtYhzWGR+z@O8uQcoXcv3VtDuE#SfU>70Bu%)I5p
zlg;va!{0@KM`AX_Ezx9-(I6EYHe9eD0;C*ARJczV{@G`EOtZ#XjQlK3i{&4ab-rnb
z_hsvElod(}O_c4JKXCeOToaB6p9MTHZK9>|6R)#J9`lqf!A#vQh;)o*i~d_});vG}
zWj+3-^c-dG@*l%3Pk!={pJUIK9<y1}#y`(gVsiVz$hL@`dm&qxg?_(^#Q0(Yc(x|6
zQZwjQDt998=`zRT2T>DJ6DEgO`+pP6L``q?JW{p3+eY36XVFGLud!XWThElchjjP3
zxG-F(DWb1SnraclpqWW;Qf~<b@Sozu#u9K(WdNqJtr+)wYSI@MY|cVw05puTXPuqk
zYX$vd$HdBev%%4Fl-_OyX1UJ%ZZ4F^1_cie_a?>^NiC`T^0-P+QHZsXykpy~6Qxsd
z-jiDXCA~An??N#dG*RNhwLgke+s1OJLN%n@OAqUHa<SVuLV9BS?iM}gwH%Du^NO7B
zn{NtDQpr}2nB8>}g-<T^8?Z%LwxFon6D72{Q<iq+_EIWB7?&?B7cS8Xu_Mhr>MQnY
z%_chkuqnJCt!KU;#J5yOYD<j7Qf-jqbMM<YSP0Mlf+wwgsh&_};7B^d(}~7g1@7@b
zWAzqAs<{5ZK1Z9E`c;(=#N-m=<;&Ff+Ay(eobAdMR4)$pvEo7;`{$37Fh2<wLB-}y
zkh!!K#p{xbKpLZCMNIugwg(p;t>XkSNxoq9&Hg?KUQQuf?}{-b-W2v+LauO=dS>d#
z#>=DYX?#=wrz>T?jbR?ErnPTxOv2h$B1!qtiD6d^CZ>d6?DIMWX7~-wkUMx7C6=0y
z-36t-@AlBQRQTmc5lFFoeNjQ%OLuISSv>U^HoDS;vuJY~@bI(tfukrjE)*L~`Vmuy
z_`}!5(&Ay~EKGtt`*e-p03rS;h<O#8rPIa7fdQwMLaBf6&9k<#Gjs4MXcXl#N&q5d
zIBy2)VydE0D|s&B_g<iIAulz4$NNcEcV=`qIP{VpW{{2j6e%OqHhcg6h-Q<;)!eLl
ztj5tU*OsOO!b%fZOmz|O$E}X8ih-R7IKMX2<;)CEw3v`8Rk3Xa$EZ|4$(LwT8Bhuo
z$w!2{7&}-_-7S13J7ZYF?J)I1c$|Ox=Gv;6-72C_j71H*N7LjdAgH29TM+FBfp%Be
z2b&+=y(2G*x`0P$sV|oY@KXISjJ4SHR}arMp?ZwhrKm{rr>9)6?=OY(eKrhQQ&(0V
m3iCZQnYk!zQhd>7x=MK^1B~T}GKGaaBd2{X7Lu>?@H{PHx~3ig

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_bin_cnt.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_bin_cnt.v
new file mode 100644
index 0000000000000000000000000000000000000000..0addf07f0265b344e70bf6e446eb9b569102b5b7
GIT binary patch
literal 2448
zcmV;B32*j`6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2}=dfEld*yfoan+556F6sT{60x5h(<#W
za~RWGdl+m?o3h5=ioIfqp~sG*EnSU0@i+A%7fBY9h?rq(zeycc25g|(Zqu$w?1yFW
zJgNG(o<qfXeO~;Tb%eLY7ax0ZiZ<vRZjo$_m~bAFb|Y;1q>L-XGzyXhx2?NtMQg*v
zZ|u`|;@e_{#tuSO=0sohF+<<lk-lT3Ai$zRdhm&zWF|8L@n`c=d>rz1k6v<K>ZXVJ
zMVZ*3)<t{8h{5adGYLk?y1Eub%MRu{ys3W_ut-~2qHiTp!^h0Rw5ic~99;K}cN_z!
zUAEFm5d&}p4+@zN;01O1*x?#Tle)|Z&Z%PDJl*;~u11d0Hbvtt+1`5>{+-R`@V%qb
zBQNN?^~!hYcoJS6TCO>ye;K$%q1cpf_{z}eE*ekGIimTm^h2;D7RqB?1{20qAeuL(
zSW6A2h{0jVw**e_g|iduINGbAq~v+&5)&?J?y`~K4bj=Gn8UrPF<@Bfvf~H-`h<gB
z;(a3~KN5k0?$<W(YGZH>=`KlYOFdg)lg&!}P-@`g)+}ab#KCJaj3v!Qr`&foL9SR4
ztZdS)3+VYpF&4L*IYLRk=kWWJRc#0P0~SrZaA2>H1k2~-vwkjUamI|#wZ)7Pes7Dl
z*7#_ncT;@<x3I9=S8gAs(__~n<XKt;5;B<0T>Cu&g6~TZ3PNJJK#zJV+sw<>`;AhW
zTYW6>PFY2d6>K#8eQaJAL%4Ugu0@IdrR!gBU?~o(yVDu(O>ljn7I{WeGUN1rt;2K8
ze`H_}-2#{_2<n;A;DbD9@YvPuv?o*<1esQlMaaj*5-bt*Yxi2mpf$k>?)l%uZMq$H
z_)|~Zf3GeLB&u~F*1fTPE=U*(ui(le6&>}B0}pgalgUA6(+y^Sh@=%B6tf3u&{Q)H
zy~DHr`s38q*T@QbOL`%)*&`A)No?^_%s!hep+?j7I5iUIp{rR<i|~?))<MZ=1M6QT
zNwG53^CE@N7IB2!xT(Dbi(jymU6$Y?JZ<AGu3MJEq{chSsNqzSMH)_afA+?#2QM=1
zKgK;MYn(!pEST9jAI09ZsyZK%@1LfZ`sq#55o=u32E8XCX*Xg<Qij`Lg_eTyxY3rH
zUG?tx`}mE+(Kuqxf0jGPP;VB<S@YMXlDoCFY?us_m?VqJe3Pd$9&LZ5*V})9rU51e
zJALE&+`hTd1O!{4kLIpf3PoDPQMvapUMJO&mR!j(v7F%|80igZm}ieM+EoS;Y4z_a
zUKM`jkP70>^Li8oDc;wQ6on?bZ(9Yo!Sa&p*^9XyMl*qW?;xK@+A)b$2gJT-LdinK
zdIAwPJ8B^7b{AyR`KK19($m25iZLU107n6SaKq$9u*qwsVua@L@xrvgd3k@+*s~5<
zG3X5l_JnV#>X6??0ed!1Smq3Co~)h~A(pe%VFREcTXJS*&=jZOlTT%8U)j9apO>A(
zhd4I4r+rpdoy6*X8n<;^zOfh0rxSzo^(C$P_B2Wt#vJ-BuCoB3kNH$Y6Dj_<I%qb3
zltp+XwcS%FtL-@W_~&#nNjJH`Xwj_Clb|94+IA&oXcf&q(-u$iStj>b5vDESg{K`T
z#I5V<s~7|6`v8LCPI7aym2{wGwF{zT8qzKh)I|IZqb{Dg>0Pne5KY{X&RqNr*)F_L
zZ`2F{hw~$sDaI?gs1nw+bwzjacH}5{BS^?~%|%bp8$mD<80GQwMsLK2T3vfmEQI5C
zgaHE3@)37x(3m=q)Xqou^Y=Y%eKy4}V~%F+pv8U2c>KJo61`XrZ~Tu%VspcKuoAH=
zc7&Opo=AX1*s8(7PzKO-!vs_EL-tW}f_YUK&@$4FMQQ=vIO5}Ca>@%}=~@|g6g+}l
zH@E9Q>6Z*^5ki4_cd14y%&n{NTKgKLk~gpYK;Jl3pr5Mmc-KtY|EAxi(Yl%gm;Ia=
zYJ|yf{xc8foE07V`ibo85tg56lSsa?4xKu*hhBx~OPHG{RE-bF@JeyxB$CPSa(G(H
zriUeV1H2DNC9c*!4F`ajN#OSZ+PiGFr3UKO-8|x2q%{<f2{gf&>7}zz>UxbAX&Ji}
zVm_zzp>oh|FRm0tO~KDPFdHz}kjt(7&%#HYX(6O3D%QakvdL|pCODDQy*U5Y7b|$O
zRT1canAVwup{GbZp$k>2g0f!i#1xn3CnxJr<@jFVCYZ3(5zCQbxGynW3<Iu5t<`1#
zXL8cER42TY)h7X1<H+5{eXF+Vr_?M$R+fAWJo)fHg6>Ge0y&!uI~<dtm&8;;6;iBf
z*c~C|s+DWhaqJ_-=kaGE22?o!q5N2+M6qa%MuLZLiOWJ#IisTatx!i;JZeBVZUYh;
zJ+~c01n&l}C9pu}STS%yr;u7#$hh0B38XCpN&E+P4EX5YAQ!|^;Zc#wkQ5oW`2pPl
zDKWX|k4$ZNh)hb-DST?$&CM?epZ%D3pP*~#Y`3E!yh=J$7iX1z3h>tWFdshV7|$hL
z7q-pLl<;naQA;#?i^awQVg}di(M(!_9|Nu~PzDZ&I0X3y!JEA(to}X%5eYH&UuWh?
zi)t3|y_W-Qe}cigap~(!gg}iPDD>@5z6vD)V3JfY{4e{qI{cJkkF;Gjy(c#T{quGX
zofG!sOIe5B`Nr4DaMxWp6=ZL8_>96FZ6yR=%iy<)2IZ@1TsTjaSUF5edTw%vJG<7S
O+_UG=WR02X=nE>(uFS0f

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_carrier_sense.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_carrier_sense.v
new file mode 100644
index 0000000000000000000000000000000000000000..c281913893923458be0e267d461005aa1f3fd08d
GIT binary patch
literal 3392
zcmV-G4Zre>6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3f621NYkfY)&(W&|@cj)*8*JZWT4=CQK
z2ljUCQ4ZE@h}py<oaqyVHIf&g|Frrh#$`wJhE1k<s{~FDd6*_|a>YJ!L;W;Xbt>Ks
zp*EpMf25BlFNOjpd8MQJ1b2fTX6}KwAY<yOLluxR*0OF^EyrD+`iH73J@9>#dO&Tn
zT0MB`3#NH0T7fJ*B<{{R+*8pRB|ATUpF@Pr(qsxvUy>#u5CYz!2H*4Ro&w%ixFdXJ
zt}cphs2JXWV%X58w4Yj2%RV!#ouZ^?Tkgq8#RDp{{$#r*sw(qGFqt0x4;rLB{i*5t
zYS&Gt9H6xYx7d%i6X+lI)UT+p{?Z7Y5~?w^>;3BV)TIt&jbt>&CO!oc>c(m=O=fOa
zXgBvg4>Q7V^N9PIrHOOqGB32#VX5XJ#0USP1N-F3{aTcgwD^3PxF!7iW?iP^2Z+Aj
z>OMVs#6owt1H~5*4z1n<kwOy>9(3&5)tD(}zT_6!7Lmhx?R^e<)^AKCd5mjb#rsYp
zEWY=T$#BipWnh?30e_p5Mm2sN_^I|uJtIPe#6&xR5Ct_W|6Y()JGu&RR*8PxIlbYW
z!m)@T%pEGR$T-Oh86WmDAAz;$5#n;Mt4g8mI||newQH2*!7FZ3-cHFGj8I4M!(JMk
za}0GyHCjI<H9eueX&G#*4pS8%F#((LGNckGn^=~RaHAkTd-+jA`@ImVXSLg`w_tO^
zurQcQVpLrwX!g}UFAZV;XZ#_jnnP8){W&i}hhDs7vWD+-fVj0DE0WwS_o~*@XlUWB
z`0XSioTmLc7YT*Xi5iowx`PRz+-yZ6d`8<=tcbszEW{Wt5X@=W38AMh|D2Q{U!nQx
zbpTNANWbP1xfdn2kJGd{0A>@Nx(&oUSs$>CSBCsY?1m7$W+zGbZ~^9pX-2l_c=PEf
z3NKIMT!fI)#}Sb5a?AKq@s8(bzTTPZ1POiBWv@Hm15>#4;L+#~B|tDAo=rCPGjHFh
z;U-jBux7awb0bMrW0)=)Sm5|Ml!@qMC+v^H0b`rhr<lNDK%D<fnbfzC{gS2t*s!`%
z&kdFKoVs#9v;~QSHxM6ch||K&I_D&TK6}A}8cuLdL8R*TFjP?dl-Pe@usxfAu|@a7
zJ4;;ef3wXrXpZbDcm`#=2m{ToH1AP84%|D5pKBV$11WA%gb4*mL(6x%zA#L>jt>*{
zK65IRrVpV9s!zvNwMvGIwubNJc#znmw=Dwf5<x@JOHK_Vd7R&VWktJAIfTroB}q=|
zr>kzVFH-$rOgMdB6g6E)d&E4JpBPdITpE_|YD_Sy`Njw2kRhL3WQMnksB^8K*NsyU
zQ5^d8+>;`m)vE%Zd7v1t!3#g?+nl(q>f3}P)0<W=M;2CJE+{??3hbILNQ~C`9ayhL
z6OQ14w&j^k)>mmEmha4lEJYcjPP=94CcL@3;>f6iUY8s{t70Mzc}@Mxq23z++|nl^
z>c(Oj8No{Q2I>xt$`3=PNwxGSv$ud-H8(d?;TYoGP*hP2VVyaIeqN`F&${ECvV;EE
z+Ng9=Q<V0Seu>!Ta+{Y%1Gn<Wo{Oz8yi@~LNeSJbDfi)T7eD1(K7#IO@iX$S7~S4T
zuG--ScK3Sd5dEnsV4Z4%t6d1h)ibIM3+xsqhkhsU>4gX9DDH)V;z_>$lyzA=ft!iH
zYC0Hs*qj6CdEu-2$Vl%^X}C!&YU25#uM{{?%gWy@pVqr>&`g;E)2hP(W=)ykNqnC5
zTB`rX`1!)Q=93*fi>q)~n50?fM-L$)_pXK(XY{Aj(B{zSAOGr`6<t)F0T!<RDb+s|
z5=$VnjSQH`(*X*SQVMCY81^nPSEOQW3ugctm*2Q}mpZRFSFd0D^<-BCUK|Wy`SUoW
zZz`N_OYbbyPo(VRCVKduMvPg4(ji?)R_&Si7{)Ex0XxUzgK{xuMF|d7ae|7*P^R*D
zt>tV<qU!;uv4R<prFxW;r|tQA`Gv%5z4m~8kyft7asajSJ+hnE-vI?uR7{3S7zy(e
zGDU(A6~rvU_m^X#6TTLGW6Ufg{#LNGz!iZiZ0IqYAx1d;oq3V$VHMGws9ATqA}jyU
zLz6Ezpctg_!;g{;+2q0CEIFv!ROtdzw8)1Rja%G@pc}6u7tw+?*h&3smZIsUU>rDB
zHqzPCyWFnTxu2wy;v(;Z2ZlS;*IYpZDhF7I@}+G8Yy{uawK|l@yNxmNG~11NBuDpk
zL*UrtF>GfJHp@-jIIF_l$Qb!Oo7N7HxZAuj4!Q|5h@j==@DhHIoCW$Yp^~O=XcE`i
zUK*GjCp$2{><5K*su}qIk9Mr++u^HYK966UI5TBZI5LDp>%$%;$753E00}=Yq&Q&S
zyJ0`kH;$(H_psl8>Q)&4B<1`*LCH0_y^Dhn(GkwTZBjkjiqY*eBzAw%53yluO@<<i
zA4Jcy_y;HRQROoen%}>Ki;#>(7Dr(vkTUL&D5^WOYXe_sArtU1t{#e_2LN<WYC%CO
zN=(d*7o_m*$dsX__)!x8Y|!&(vVlk-$cX`Ur`JK6Y7EIag83Zqpye4#R}-K6=$fW^
z5c}X@FKVX9G?}?CyQ!hZYaBbj8#vjdR7*scU|HhZ^Y9jVTK5l;;w9YGJR0VeyxF-G
zSWI76USWfEzOHCnjZkL&J6o;L<7FKO?>$bqy@Q0^hehR6#Kj=Ca{6kOtE}>ipZdcw
zZT7-J>1rg{pDd;-1hhu!+KT*JV*mJwn2lj@M=1jjf|&elz@Naluu-kgJk*$08Q>*B
z?U5$8{|&6qWvYwPZ9{z<7N^UrK0^cIDt3yh6SQEmyj%wHh+HPmcZISO)sS!|@pP+2
zk!|u&&g=B8+bfH{RSUB(sbBT^8W8&il#Qg2m&NUO@*0*!<!6QP+M&yj%OYr)aR|9o
zY%L9-D|tJhh`75i)uUxujCGvZWP|JIy|a%!;MR0aqJ!F~adFdmY|v$%`+!t~MxNON
z8w?FC$3(vt7@*KAfxB`(JY=1aAxF?U*e**AQ?67j;<`i=ik3#=vCl*4GYWt{hS4ii
zo;g!YF+pr)4*6c|hIZWyMfiZSF8fdTjock1n2pS!0c<mf0A4*=@&2bF2^)!xl{$ep
z%ufV8qjU)ksQUCx!u{SlA(kLJ!=xU#`K%}bml`-<v7cpC>Tih4-Srp0AIZX{_SElv
zm6MONMu!$9sOr9yKpmP;0Mza<hWotc=`a{qzmiNO^%vV2T)Zof@cAPlu#s0AKGm|L
z^xvVO`PVuA+Se-kk>89$;8mZ>ptl`D_k{-4es(cktOt<Shj8N3a}}~>tKZHy7JO~B
z{(Ll8m&pUproQn08EVR0-3Q`LZ`UKT1iT{G`eIj1!sYc$F$3rb@J(sC_!fdkU*nW;
z0*1nLk*@C%OV7q4?i9VebWh8aOLo+(cW8^|E9yIFFYzCQBvSnC1<5!L`1@noWLAhr
zlS`HFUW44l?z(&xo7iW=);`t}hx(L?&<z5%Z8vHNN(&3(9(cCxco=#jehTrGLHthq
z4ogy)DqD)6j?0J=<`8v<O#$5VMp*%bii;^z`@J`eRjulyKci-nfg4yW>|klVqRR_L
z)m7-E>|C%6JgHubfrV+hVpwVsl4dzQVEvleepz<;MIu4(sdK?)DIR8H0Yg(!GFqez
zSd&~JOd6ANE=IDHq&wrBlV$mCiWCK9Z2`3d*{WZJm&z=D8cFlOOPphdo3Z$tKGPRn
zzrVYk&&mQM0GLK>Z3a{y6$JbRVBvdfhbHUQIH!mk-?V^xN@M&hj8cRtP@A1A<$J`&
z&d<Y*cuo|mrb*&$<1kQAiQ2ZIW%f)9;YdU?^zeQ^A96803OSr4+ntDYU|46k0X6bo
zVsb}Jw6V~(p_st34fGp!-_Ok>;Dv(v2~bs{;&}HXFC`6;4KTJllN$U)2_A8Je|g{>
W8anl-6k{YF7dqR3EzaV5<jDg@h@LF~

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..33339b87154f382c569674ac1dfe4ef335c12778
GIT binary patch
literal 4104
zcmV+j5cltk6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}S|K@8a=t4WI~
z^aBDF(hVlrZ*D%fDr_ir?>y}gI^aNcz1FCdji=}-D(S#HNj6)sf4Gz8r3>a-vLVPf
z2F5Q_X9DXXp-7s4ZGC9-E!}~?rWYbu5bJV#q!&1t3y;S&Z-^fK+BDtcuO0IX{iodZ
zUA|Iq;kU+@0MUU)wDf-Mz%e<JBVzH`n`)0`MaXc@`|P0R#V+7PsU$(^q?*0p+L{1p
zCwpm7n@6RFS)vIs)K8xl8wREMiAAo07g^PEsPM|YH!_0QAyhMG4B&n)^6#;H1_;T}
zA>{S@1Rc#0BBL@=B0Avr6R!iz5lj{_^ZSGGYn3<{s+{>?Zl&CviaV6Y?0ytzOg4N5
zNOz@;)7<<rs9YCU&D_(kj^ocyl&6TcQz<uyozxm_$NqY=fPv)@TNtrf%t+zAv0W(F
zGoSFYau!J@T!qp^ys{;V6yq#-ifbifL=|w;$&S{Uc6k&6kkb<_gAqMl?ZBY}V||H;
zU~(-i-rJomA$!7;^|!(HdQ2{D3^cJcubv-kxwhP@gX4K(Ivkh534v_J$<L@&+|6;d
z6`a2-?cKpCSAWO4IS3FMfo_2`d}=q-DNd&tJ{gM~S9(hnJ@1fJ95Mvea}ne=OEm>R
z!JIMUN<>exAV}qoWM4q0NT5~}8x+5Fa6n#^>M6Kh;HtgyQ8o`t6boUgXac}^%STC>
zm%jl~=e8!WzEtj~+cx|aGR)C80$QYC(-`sR<ZU>yqV}!o-G@{khlW5T)|_u{Hp`og
z$ZfV3E{y7Xj21=jOO{udn*3){iLD>ySis+$T!#rs^}zN+AuL_jkRJ2-xVf}Lm)}P2
zY42_{kDS>@v1SXnZdroLNu%N=Sl`uOP617Ae4)&%uMx%301?CY*5Mk%b1vfBYbHNn
z{1&qv*2{c@(-n;VC-DuuT&-$af7;^5O#^boc*F7&j3eZI7<_H&Y$*6l$!cKlQIdhv
z5yt$wU_XLMZ?QVs>FWw1+L$Yfwz~4d0wBP}kLigvuNQp_;Oe9Y1ZTRtcq*OxzW9W7
zj<1%o4wrw2&oCE?9?@?@R%G;FUb^9yt<}SAnRdiThvJvJrjv^=kN0z0_uYD74${%s
z^iY{iFX#FK*ZR}~D%~DiLZzcR{Z7zHLt!sI?>u2UW0GfVGiVH#B#P)`W4S%UFElW>
zEB2P5a^n}o1B0tctzUj|lLWPGd2{{wsF_<yA_U>!6Uvmii&DKM)?FRfM(e)YXqByF
z?~?0o=DF3BA~b8bqGTcasaBK4V91QuOCrsJSwek#I*yG2(|maT?DS{rb<Sgz)3F20
z6~wGHz3dsi)X{Q?liL+rnMKoo{Z!5KcJ|ML-S}vRI|@;O>|2zqGh<E|W$l%rkk@N&
z5VDV5k^BtE5PJ1vNnXHc9z<el|EHO{e?#*;<!u8)!mKwG;DJxD%jfnQ`SYwWPj=d{
zzpI-_BxM&;BoO$woA`@771ilYe4t)k`LiZV?P>!g)$S~Bq<o`^Oo;;h<WLCaHt|&B
ztT3_1awF4kz(LSo=}8g!nfR_=nu^!YxUOG3(@Q8V)s&qs43H6tBHu68IIK=7;)n&n
z+4r#nI{0N~4Is{XEB<18c+%cF>$ZbRzOv@bU&D`Ghgvc7oi0ITTY|Yvl_|*o6*@(c
zwgc_-d+LLWyW)--!k(Zj<6SPYcEYGqQCDDJCy~V%Ji!@ZIiX>(Y>PIe<k^Ul#o>fr
z4s2pQ$LcphUxm+GQF7}#((OhCE<>vHnY$5^Z`AQCX5|ezl;KIagBY^#XP;ASBmQqK
z^0954l99t^aV*1OJ%!r?#L%R7s?P<icxlS<`ivDWSyrN3QV=7kYv=w11(1q->fxe_
zpI|oa$5b;YK@0`YOtLUT#vPN%Bkr?M<1$d@bJaQcVROkY{p1qWG0YUiTOZoyA?~w?
z078mge$g&6wS>b(%DN-3LZzJ1yF57i?&~S!q3$e3zyxgn4fBD302}SI^@c_=@(Lf@
zr|I`t=t7OztsUXS$s;-yNh4iKswWfjnV@ZoO7*rAp4jYEEwP0Up4Nh{M7l`scNY8v
z*|PA3>>1mhBozps;F{R21Z#%RO->0Ayqh=M;xBbEupb%cWTNsI><<A>+Q^=8;mI{^
zjDz7*j~z8nC5Jltp?V}p1&Mdg>9r-hP|jeSlx-veP>CwG$lj{&1Zm%LJ5sSp8N~H^
zT61Zm+tFtcctn$V8*>#=L0)ttx@uhRF~YdZuK!@w_w6#R&qR=~q)D5|O`NUZBe1tc
z<mhmWV9&8c`m||&?TPv5JqOC^38|2eYnVQ%5_b!9;OJzn_9FG*Ft~sRh8+1RFy`DQ
zfpm1%cmu^(P4Vg%#-_!VA3Lm_L$cQ)ECw0Y9Tym?Zm|;6@uFwr4nTE9VkDq*p4pmX
zDd&wWEyd5UcDR<{O^%^pXa-K2ycVse2t>nV>on_yss%`7vo@6J)J1%e3p+EjEi%d|
z5K7QRBtM`I)7`Iwxlezt3leq5h}+EfTsUPX2&~}%*F)OTQ=UFEh^;UNcvv(cy8ZiI
z@cPlZ5XfX%0@6(Z43WvB^sRJNo$fgE%wXqg#O$IYy8v}h!j}7ZfUkmGUt%7CoqwYS
z5(b0)RH=An#ucBuv3bg|0a@we;+3sK9_J6i`W?1C82LlW!*vvk_=U970@dT?0i0yu
zBU^_ch=;vh1So4QRi~XTRXyshZ*WEAYoTEHgBFqq(v-%D%l^tHGjn+QSW}1D84EjX
zvau9qm4se^e};Rn>10gado)e+=C*bXeqH+vHnaAkpaqCu8ATO}yV_W#4eckc3f78J
z&;J9+jYu$?nU^g*bPdVEfWV>DRH1>F6e{(P`^u-Q^V?yM`ACg=&4b#e-U>mHS^9_T
ziPcv^6y^K<F;BZoB>E{Z2wWigJIFltu?AqCK#Ijko_i7JF_h3xx8&x8QylI!{x9#V
zol%3ZE-BnO^5?yUSoM@SW8N4)+LSyyvhEB=|0uSDw)z6xs_mMb!sSW^pf1pqXPd@O
zCm)E2ogoQTw#94PwNk+E8#4rLTbwEKm<~b^43r8^(HBjN3eW5xycf%P=^p`BF8@>Q
zJvTY7AG>^MvzEd-B931V@2&k>vC|5{5EK^a?i{389r660>JFAslz~_T0AN~gjSndU
z<+Z)8r^6ckU1mAFo0ynEsvWN&o9uc+1?NdoNaBnh(ciPDHYq3By2NP_<HuW-0tz}w
zA{AD!6?Eq?P&{!RHo0dCt2(MN8t46l7ALMr8hX%?qn2AC+8=^%e)EAYtUo%C>#CuZ
ziJvOO`w;%EJ{rA)OPVS@eOW~b%zWU;F?PLhmdU``1E@3k6a{*r8tVKV5uMOdD$#_b
zm!zWaQX{650VN%25i0>?@6>@_@wA3?)pXQJFprSwQeN;g+bj;ODj>QHzQc~ool?#R
z$ZuP5dC{PmS|=@a(QyS!hj)@+>3}9uD4T`$u&SQ7ZDaGs0_beO0{H8;ug;<Ua~7zD
z+h_qx1CcBlk~mU8q|pw-l}DcCVi9p*;C{7bOck{kG&g25mu4t3_Ua0CI<He+U2Z}&
zcqLc&wC(CKY-F}SO3WZSky)O%$<BPcxY3OiLy}kD)<uOl*d#OGCKt$y<Hc(tN_y+N
zGA(rAh+ZGAa(Z=#te{MC)K97jxsRK^5V(Z?PZXJ8^!+H%s^CAk!*DzC8KzbRn?cj#
z%t)DMI|)02Z?RFraJj5lV50kA9vN0uqsbP)XRnZ6PMC0NqSpZts$aYS@BzA`C<L{M
zWk64FW&Y-SdSD`e+YtgqUn*ON*pV53{o$$KPx}`}3v^FhH1D#Csxw)ay&1?}O+XDC
z)@X7yzeY?>)vJk#H}a>FyhT-BF~+B$;Hfa(U?at?9aM_vBKdg-`MlRO(mj7$<q@0Q
ztZwtA?n>g;+>xB&n9$Qj-L<MVbY+&gf<D~t#zI7qH=Zz>HTn!6Cn5L?`~t87<QL~`
z7LJYcmwKjXWWQi#+^B7%^lQq`CwR<AH-SDr%+SVPr3n4qcJwN8G|~N9<mG+_34LT=
zVL{?V_Zm!e`CC4eQVWC_u0W#j#R&{Tgz3f>pz1)uYo0|5+)XTKfPksHMa5}D{~nN{
z^-oQBFxm748$+yApILe%dC#)#>Ui)g^!~!G9sD#zK8LaNsI$Sq{@+h&f}s?Xjj@I0
zJv`&KSV?1LYR=JtKCY!?XLihFNKT$jEp4kg8W|-+?vWkI{ucB0&cL*S6}3DVk=M7s
z@GjT|l*Z#inBh3!x%Y9yW3P~rYpxjy51=CIrZAwjswXdv(peRnC0c%c&oh<SO5&o5
zN4E1Qi#ed4UV-g)Xlm#4Av7IkIPMYg65P_r1|~bEYHgZ*Lupn3Mok}FTJ4)s5v;38
z4g-Nq;E-Ipl@H}ot;VC|)Ym`%!jHXi8bmV(+xo1u7(~CQ+x{<)`}RNUdhkV(=FXWj
zy{c>G%c$tCT(%*MAA_FsK4~TJ6u5EY!i+1xXR^*#xs=T8(_^X~`xWYdws2QW-H5W3
zM}A5aRH^eruY_p^ce@4o@zKS<?s(x1_^mEyasB248!^?9+MIslpgf-TV({?Q7}0Gw
zdZM{cnD1pUzJy0TSKeuah)SpDe~((q<VoK$6nuTC3>tHqNh-etYtrZsM03rzgnN}h
z8BPXgXw7{cmc69}kSqSbUCKVfXyL(f)%H*dNQsg1&a=qfqZ4k=VB(v;MlPeL9q%xv
z$C&|%%?4<&`E(~w8^dj+0406f&tsmEulDQS4i#hS3>IX=-5^Aka{6}Ss=H<>(_TCi
z+!hx~Xd=3<IpSW<@96X{fF^iNTirN!+Y?1jq}0O3*I6qccB-B9aUmPlG7Jr*adRi*
G>%!H5Y8r3=

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_gen.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_clk_gen.v
new file mode 100644
index 0000000000000000000000000000000000000000..9eedaf8a5be657acbedef5728b6feae23ec5108e
GIT binary patch
literal 1168
zcmV;B1aJF`6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3$iq;nYETe1UEpr-+#WINeP8iww)?Pkg
zuTWItk25=MPz}RkzmJ!bT%YoA<U(~_`+RM73Ii#!+w-CPo8`J(v(u&;K&Kammyzr>
zjin)bT-e#{m21_A#j09Gq?xKcm3ODzfo%E$u>%#UVmr54ZtC`Tt$flB=CF52TEbyI
zX4x~2KrwGRKut^P5NPfABH4sTE+nw1n<jG<QeY$q{fPvDk8f31!dnz54rO*Q^(ITR
zfQl%dd(bq-GD?ux2mx8}M6v95H1pZH>2>FSW!oZFscP?9%Gip*o(DP9PH|$P7C-gP
zIs$)+j=c1X9O^qV45v!a=wK=dmJmVSCc{Gnn^RUl6n`4da`G8T1C)z-9TxshQ!BZe
z7YZVCTz>0Du$rUdSqdzaCeuaIGKPDwm;*Y)mp>HZ$N;V0>uaJ+c#+Us+N%=H1C~QW
z31sm4H54#3qHK(0ivK+nUA^j6T}TbiQLYXNB0juWzg?DjJ0U9vX{^^Fc{(Q6cP)4S
z-5;6D!__N(mA2880FHGO>w)EU1+Z{ZZ@8~DdzM)Z?yTuo(trgSp`8T8!Hr-;mxrv3
zP7ege0lnyP5PT0QTi)W6J{Lq5ewGgL(IQ!w^<b1On6&vi$Ib+c5tTeH_C(;cU$CG4
zeW%gBhN+jFAG+<+Cq+JfHjj%)e<%eY(BtuXqI3V+Cn4D7FszYO_X{8dDAfIOOp`Cd
zc*Ssf*FtAD^iP#@VHD_JloL1_r_zProuQ>9$7hR%kSKcFyvO~EyutuoFG84}|Ft3n
zK#z5oh5|XtTSYEnIozCk3m?Mk?41YbywIBHsVb*Qay<UzTAhKt_;nKol>w0UhBDo<
zI0PQEsR(j%X&fN13mfl7C!R96T?60GZS`A>jT-eb%IesPI2vIe;!UForiww2hE#bc
zT^md0PTQDU`-wX+#x@n>!0$vNr8x9SNbD-{rQz6H4FHnO!IqtAJa#7{m5mviYhCD<
i*D^EWAY;N)%qiDe3C^J5qOb&Vx$nW<#q6}n(0yu$6h7Jj

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_colision_detect.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_colision_detect.v
new file mode 100644
index 0000000000000000000000000000000000000000..3bfe9fe3f18a327faa8c6dcce073f5b2fc4e9d6b
GIT binary patch
literal 3448
zcmV-;4TtiJ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1=01^fut&HOfp%So*ib(IV<6Ok<B7f#0
z&*jbwAOi_P|1Yb>f)&r`B8<2%)xrS@+oa2E6!_LwD}&kl*IqGQ{(n5vvQn#t;|R>r
zoN|PiXH{K`01H)DTqqU=?-W%LC<Pxx3@o)R75K~R$#*m`&F|y{(~ezf;c_%dD_k`w
zP<TN}#bCXA+ymwor!$YT+&;Rn#IdP;X0Ph)aY1$@S-G_+Kx}~3G!?GPpv`Y47G9w5
zu4k7H=REMn*40#M-y&6O^gpm&K6O<xG@S1SJ9eiqVussXZxum|RZb@RHj3`gV3pmO
zZ7B8%(^KIu(22#{5(!+@Tf+5P>-*QkS=l;Es$CU!l;+t33=|}e#<L_eQiOCPY@OVM
zzc1bjy2Z+LuDK(^8Pos(_S<S<I_!V1BuvUNL;2viXKA@w%s;VwS$JHCcYFOm*;vOb
zAB$HKRc=0IVCQ_zUuJ_)yP2XdN=LB&IyhrMTukxN=qccAM2@4}8|&=W#kf^>kWWp2
zTw#VkiPjdI^H<wxD$uKa9-C>}LAF&omPmS#!_+3^=hI4nfeBq?K%bE{_s|Wl)ev=s
zl`~-m-n6n?g;?vOwI~9MM>_$Ll%mUQ<_L;Fv@truOhfn}h|q%ndanvbD%?^S88RJZ
zgL$$P*tkab*r-h=UNQvMZ-zJOjpMaoYR4BT-Q+(*8_I)fT+>BgUk<2N?>x7<LFX<4
z$IRy?Q5x!NQ38M`2X&)U7}$9wx}bK+kijScPsA}LsPoHX57^~MMs1&G1Fvlw{zA>{
zrW=TTQ&t7lT3_nyzzy(xB_-Nh<TRUO^@!tChzX8nb0zpyY{qr8h_aow5}|dR&~Y>L
zTqLj9YSv4MAXK5t-~u#R<635#1EX8-XBSu0Zqi}6-!`}HjzARXpzmTm`&W?+ele^p
z<nH^Xb?wuGXOvzLul$)U)UstQFH3L92#;vpK&=q?X0ESlTfnf#>??gTAYY#shhIcv
z=A9ulkliQ$9ikD1XUP1JBL-Lw1KBoPQZWI>%kiIRk<^r5nfI499k2J_bSzhg`rpAJ
zC9SLFYOC<N|7TH8sx?_jGxLbeQvG!ETV{V4&$gmxqSyNO*E1g(M5C9*oSS(v6vbkt
zX_|9pG(aT3RU3qg5M$i!s2-++7obuBR7j;cbf%rr<wL?f1hn&6j0vZX;-LYbM7>}p
z)|T`p8xPg2koAdwfrmt;C0<nYb4Yl`((^J!z?o%XN9)(2lTl|UjKj=_d9Veggc8TF
zy*O}V^-(f?+7`_MA#nKUQheQ4t4F)$6Mc+%6>}?@I~b*v1+eavoARxZ5esG(hG{Xu
zT?V>1461`KEMrcQ`dJ*YUObR1e|ILSB8Vo<0%{$+;jn-RckW$hf(*l<Oq-(|QU%_a
z;?CoF9valx8@^QqF}XD?9SGL-;U`Qf1IC5kQ@ki_G~6+~P#jvUiL+-r3R6;T+mHTh
zctOLaC1+3zdIFZ<kU-Wz)=U3rV4`s`EgVQ>$>jZ4u9|mnfpRT$GUhv~aG)`WRF*zn
zHX$S8<fMy#=hQ(@JLUZ*JlxKj^jdfk6e0*B5_%Mz6ov)<lQuE@r{(u=6<y$Bz(PN*
z<qF7_@TM=1mWXFWPI{MPjTzcRHcj!RIC`4|SUEE<pJx;Bb@i@beN_K-0wnizLU9#&
zBPjnKngIxN+@7rB(u^M^_<0-%DS9dmVyrr?R?Y`a;-{&Pl2@NoQ&{_pKCEoK=#5g<
zeNt{iBTGXmFM^ul@lcl~r=HamZ3;r(=4T@*CfJT$c+SQIfP6)%aPl92keU6`6E`3U
zv9lT!zIVAaV5|cNJNe8M!-1}9_yIJBU9ZK~e<#<oWR`dA^t1D#1$FbN`H?)S#!P}e
z+o7r&;Qpk|Xn>Q^tFvv5EgsbNFOg0<He?2<T=&+w%&X~(Vk*R>v~KGXqgr!ENZj~F
z=7AN<L8w-`N!LjHWC<TiF%w}~-MwT)koEM))xHPU3!d^tgR_jQlPAg>mtlX=?8J*Z
z0Cm!kSE{m+uS#<XjHTk6U&FPAk<RJLa)@$!a*rcwB9Y@5*<7fxHQ*KlU|X$NqpR27
zAeF*~N9U>P&KF>6*{4ih`;Gsz?w~1=DpuN4=-M2XA5J}dtS-AP>~ZUIUY#&rL6K`;
zDRdt5szpQxKpQZFR!FmPZ$r7XFlH<MGs9x{u!8O{^Peocam&2j)37LD#qa8xltt*s
zXZAE^4wtj5kTIJdf9KhImq#y`Jwf{z82l*XF(`L*jCDv`4-L-$#&20MiYf!dAKfw&
zEBM$(3DVK+hfGHE!-6to+*pJ7oUvLkZhhgnH7dN8q1jSF&HiTFJ`ZIe)VET&{nwz}
zWRvE(O3;gzNvZtQ&2#4pf&2Pyx|@$(C?$RyB0_^@fRrWVzt7PFfMF$d)en&|h~7fQ
zW^!(`21{d<<<WK{stzIICj&^auOA~ONQv}ZoxLP$ogs5=2iqqW_U+hB0nl7UE@$Z~
zkh<3$#$5-_X)3AH$rsaof<Yy-wrDhi{k|->!V;Mrh2l#6mD+oivK;ElhU0u^V_vmm
zz+Jf95^W~Yg-HvrKs}4fUd_Ou>_u^myvWj~+CCFS|9}CSyPU*P>(qfO((p_L@17e`
z;G2-LctJ9x+TN8TbEi1F5nP}i*@xz3Qn0}1_+IyEc;5G1#n^2th*LZf*8;amQvf+|
z1fUpjc}<9e;bg{bCMZUJ;_=KZ*LxfuvJXvkDnn$z9qH?Rh^SoQNHGd#FE~%O;`dn!
zYj<cFBUMS@FDiPl(tYs!E4GG~75rQ#SdaMowiJWq!SR(TX4_r0cc0J+IPzxVZi7H0
zpSzfR(<;Nl^A7O+#GYP1EG0c@eQk25;O~xUkh+rNH5C>k82Bsg`|!y<=ZF8;$gZtw
zvu<bY1!EJdS4oe*rSBusu&t#$GR@!ghWy{adPA)M<+$EAqz*|kb{y44_D~NoiEz@F
zsLQjm!3n;q#d8B<%^@{dWL%*cP1*@@ZGcyM@l9K1K>k|hN&>c8#CrRKw%X1k{`(d{
zS;I@AZ9sDg<UpZfP#;Svxq%`b6NE}80S54bPSj4<B^K>I(;-$`OM%s+rDdXrOoB8D
zrf?H3_pdLo3Y=62giHd#)$L;LSKW$+ferD;8ccBv`M|8dNF&MIYqO8nTo0m)gnDVa
z-lJ`g05$S+DyIJGYo|DoNwW~_ixq+3LF`b&_Hp!dJEo>g$Ta*vVm(+xQCt!p7O9l{
z$|}a#A^-DiUXm0)A4>7UUhalqK(e9wQAa7fFD0&u#qHQ0Zj4k57Dl3*Q965pD^TF2
zSCK7fj?3zy)?4&hA~&9@@N*ovy#0@#eMa9f5Fm2EOEK%y<0K-RcLjalq%MfcNX~G4
zt=mz7X6uD2Bg)KyGCdTy23|RzDzGa6H3Z7xi{J8XAA)K)auJLKN(*+OXzVwkwOEjl
z>l}d1|Cj?34XWc8mnN69;r`|Id1>6#?>(PDm$8Ih%5$R8>SIOOax^FfkR=IG?(*)A
zD{ET;^kIeG%_CelobASQ&%NXq%MuwJZ+|t6m{B`VxcJTs^o41;>eLoMvE`u|r|hIy
zJi&At8ssMaIU8Cr*_{{N2s}FkibP5L<g^y(P#{E15baw*=28YwXVFv^c%o2K0TkP@
z#cj0XyH)Wbzu+5`6(K77^a+S6xRxQo=1l_1w%v=z%~98kKDm#4neKtz={-h1E0if{
zGE}^X!T`qe5y$)O7c^?zq+bK@T^5J$5O<+&q7mN^NKF1R)0@C)+?>JP_ILQea}saM
zJt0M@5Jo<5FPluYcRa};4E)9vl?QqsV~{s@F*#Awa{W51El9Zz@B)4Fm;HUf5VK0f
zn%Tns(nspEkNyxsmlP#U;EQ!lE=DWiS+SR=X;la1Y&Kp~2xR(n46g1oHz-RZxi}Uz
zlN8WzHVuk#);Abc3uUb)i<%I8&@z1wYU|b;*{a+Ga?wm^>mZWJU(T!B5Jb__cRs<G
aA2AB)0Y@XOjBPug<Hs%YnC?prQ|nY(x~HE2

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328checker.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328checker.v
new file mode 100644
index 0000000000000000000000000000000000000000..d914b3e306813e6943c4a5968ee38385024468d8
GIT binary patch
literal 3808
zcmV<64j=K06Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2O>Zb^cD+8>*F@nzw)6_Z2qjk!V%v^Yr
z*nIHt5RBLqO~6xl+ef@C-<y%W^uOe9FC;pA%$5zgiA8`APnKirewWpH<ljs%YE>^k
z$7&Yn-ZX@YZkA?lRB2a}5Ly+ipR#?zYKm%5`iZCn{B;7P+=%Y9!{8|J;o`xo2mv!>
zJ<zn%I?G2_+J2$Ck}8+h4M>AMguDB(s$K7|OV;k4<9>p6^TS(~dRSmpt4mS%!>uaJ
zs?AqplSpMdg>V3s)KY~~Rt^syB&yfeDYo(6<_x65=BHEAYJg1ixq7Zn&@kEYRhkpv
z7hd36u#hJr5{^r-7q^~=c$%V)xim8h&>U>*R{B^@c9(N+gNLJ=)Qq92x8e4&Dd97}
zs%zc~08zzyjOKaI2`u>R;L`bF-m~+RFFtIj>DELa`{~!hLF9~n<>0P4t*gIOau?%Y
zr%kVp2BohafY(G(>>#WbG}{bSHL0sT%Ws;5`J?7jrZ}A2644J10d88FU(q)NSNlH-
z&b$Ik-59i0K!tJ7)VC<k>a$eAhP*<!fAIxu_q6H_=}VX4+@R;UjK1qvbLNb@z;w}o
zl-GnYrPPfRhT6!mC*j2QZeE}URM1X&69bErPpm5guv-0eJw#u3b^4VPg9FRH-{XBH
z6c~kSGaVC3<PUAZgG|4uQwkSi6(#BF$Y^4eN6qxvajY2<*Z3H3cWC6Qh#cbcx&)&)
zM{PUHMHwikB|e9nMAkH~q>UK0eYhdNK`@!NN|>r32~XvmpUgbC9$x0;wT0WyEDbu^
zU1PyxFdmq2wnWCyp13C*PWv4{E(R?-IZxrzYjifN0QU>QZym8!y!d_k$o55!BaE!(
zo<0c{ZA>+~@vUN{z`@M=GN%|~yTa)Fiv6bou#!zQ(Me~3oUmq#R1gxjjB##CyGgtl
z!lM~*NsT&$HJ$L7S&*rvX%;MUwB8xBxfVc}E|#FOpTGjA2v<ah=4-*~S%rsx;48nE
zQ~8y>)Seh)2zvo6N?J>o9!69MyneBrzFJ1WHeBTr#9m##{BcbRSBcQ5h;-&Se>`WE
zIY=2KV_U-x-^PxtR9OYVa8(O!sB>z!uT3VPHab)s^Cgz7d%n(+stfboHD{(m6~Vwo
zbjt;6DC7h>a!J<Cm5ex-@5*_1Vdu6dO!5nd3@?}~LZ5CT=}ziFpKv<VSb^a4<CoH&
zpOzQucr3+*Hu+SrJ>tFu5|RAx@~NzGlBw+WSkVQ5s-#NP>)s#)K(K*YdXs8HE6xW~
zOHW{HwR!o++#y{f>%~2DQi}eQO1)b=yg3Fl)NGs1dIP0OV2p?}as&{M<D;J96rJOT
zt<$RUJ(L<+dMSOl)6cS+hNvRN9?gzkSbcUnrHc2H!H$=r?_x%q#Fq{{@i7R#!mY#m
zWf2?wk&8TZ!k1S&wqtt`l{8zqfa4N+KAev{(V=Q(sY^!F{M=oI7;Xv{mRB=<>`-SQ
z#FNUYBDI^;dOQBV4=ozTc%v2NJJZo=P6)9N$5HC-m!*tl<3~2d@tUM*;46+m3yurd
zM{zx1D0$g8ItVp{!Xs21fJ25PqCA(N*GZ)C9qk_;Bj?frJwEI&?hc`0a1yb=3yq)*
zY1m#Ixe{YWrNuWQ4OW_`xe0YfO%LO5jVCKVaNvjFJM{jN?b|QR`u*dCK4w5XlQb}Q
zf})mRR?JsGR3}s-R~eem5#0DS#-vXr;2TciE(n;iP^CS@;*SI^F{yPfuv`_Z6mFAy
zApGCSd2|s7Sq~@3BxPlV`Vp#TQ6y$S7TS-AVKQIi1wCji5o3qozSIO^!~TC8*LBR5
z@7FK@zw@1Bu13sNa^)#<x_~jW2*#KpdG&DY99D*Y<s<wIyL<sMfH`<+$RL8he0IWK
zofNz=@&HAbb88>b_3?=Z;A37^i9#|836oYM_Qtc0H>4h2N*SVen#}N;%iLxsdMIhG
zD^M-R+9+%}03kSs4gV0>G~fRK1&^gVem}euekB&ELSw)8lc)2R`?Dl6*H<w|@R#yT
z#8UX(3r?;x^eu=d+tp<h2eL#AqVlIti-%y9k?uer(XHitiZXS<7v|aZq2%XVzxJnN
zJ&QDA*LjQTclFGunG`8+GKQrF==C^Bn;U4%SePAd5x;(S*o!YKHe5pgaCYboI*2a<
z5FL)!O!k}BXbXfr&A;+uqMHFl*%_>6dAJ1v!VG%i!3DW2`xZlx10V?1IPFXs_E7cD
zDplFTuqIN-+cudlANe|2?flNyS3ZU%3Y<Pr>hfh%#o}N#$+;LogQ6quLXRlOwbiZ{
z*m|5f_$SVGvA*3{c>fU>xtHIRjEW_~WQcF95~IXuuRz8<6iu^(qp`u(>qXuG7}^Ar
z1+dQFTDluG{*>P;b(w8Oi5;Q_fuaBrq3M(OJY{>O%70hzY>M*5?5_8bP_BMaV?{|A
z%G_Dtd=xEGkWNsThKtBQB->y{gp|Rn3>8@0`TJ4Tul2m<rme`JM&#{J71b>@uy>L1
z8}Z`5R3%f`1G&{z5W<ze`P;=j4qsszXFU~PI*)%`l=TG=Kh0juUx(FXZW(BxB&%SD
zKX~}9F7581(h{M@Vm?I1@SAr{CJ``jqatg3^LhHzn?9hN=Or1f<VODG`+S+JXNaW~
zJOutVLx$ANY`nU&NATrazk}J@F-TevZek^AIlKmbbc{qY9ljCkBDK#I!cp!v^AgiM
zG%y{iFQk{^$sUyRo-h)%7)xUOX$-ogORn39PY@!F%ZC5(g>o|hgv4Yvk2*46u3jxr
znlIZYkX{EJd`x!?z!$o+!g%&1<@ymH%O^&>DHyE|iyjIeB3|b9tdn&|U=4qp?<@j`
zoDUi~0cRiYIsX6!95@T8tk?Yy;#EKH?0fN+b;nj`QhPOqD^6rRmLP@9a?udZtvVl^
zvs>WwP^r83IQ#Z*VDb5Z$dii7JqIKsJ2gXF31!UIK$~_TgW|W_1j2QTOL@nICqk0b
zDHCZUl9NvQKy7WLaioTor26$OogdOkb`Kl*@?ApMSgBKct&!WJ-<du}VW2^3PH4HP
zJ$J#Y3L^%uH$uV@Hx7DN8rfj>-}u_29lXg$#<E*G=!Hm&Us%8z@bG}zp7R3!>m@-+
zoYMN%`|RwfuIF=wEm}%xVX{#$pY;V3`X{ih2yq@*lzDejtyf<hd-f9VQ4;`X_<1L4
zzFIa>=kG{S;k>1!Nl~on6y`Gi;H^!5lE^Kr7I*Gf7>@zcB@we0@Zfa_;%*4^LouXR
z`dut`!{$#-!F6K6;wD1dgH85g)So7MQ2_-)JBFF`N*kj#AmeTQI;to5+?qb7N>^i-
z(pK;P1-PaL$)-a7LbqAspYg5sc`JUPoO7784O_@c)Mi%Z*bfq4ny12k*ddPG8cJPD
zF~I|m##!S2>;yOhb%c(oKR|L9p2aDzuD5{Lr3V29D8;-nX;`5J?F4h;fi287p_;bL
zd@N_C2Z!p||K$NDvS3&(SQQ?gBrge-iCd6Qmq4l8?iq(liP98OXtc{NOq+?S;aCIc
zqe9*XKL&WfoJN#0>P-!SiWLOtZ2BN*5oijwvZg@F5%m|p>asFA7YMgIR!?Sd^bzO#
z6I?))Nvdj211S@SI5cdCKg=$EO2(lD?irHVkou}wp9K-d4DL$^C3~~j2vZ9H>P`XQ
zVuTskF!fVz08nWSOKIy>Z4#~JBcB{}y3YRPN|jUP@!PUN`^01ZAFI6M3C>9#wgpwF
zs8{5;$sU(AX!BO3U9M3h%G_TmvAfQ<E74Eq7I6qFKuLLe<Uc4U@&&c(jy;J!@6f@B
zd?zYd>X&3B8%NVuTu`z#7k2{g<PIba$~x~_v0WH9m<i>7QS~)AEFtNlUshpKQpbQb
zBK_1>HTX20GsryFqEAA)rtCdDa1rG43ndriD^0+I8p0CT^IEPol^8lxA+^rX72d5i
zeJlsniW=?U$*}Gg#sm7r?{^>p)$LL!8k6jqHcPnx#rb>4P;P|b(^Lsl+yxj7?_|wJ
zYj3vkB!PTAMrfehshEd|7lY>}rz8g#>Y3QeH(F6aC0`yI8XeOno4GfnXHF^q6L&i}
zcQxK{keWN~uT|>DDwuuxNUb!PeuKsI#DWhl1p(`yb`)J*zn2W)9HEd}H?Zn5P=*@h
zq3qxZ9Z>BLxnwgI$;Jg_mnlj`%HRe&aTcpQ0+-b{RU>6bXF|YtmoipP*-m)EsNXGD
z?k7_`=}}pJTC|&^_MM(Zh{vD*FJ>XOrph{B{p0v?+Z)snG?gBAnqh39LXtkXH>&h?
zQP#J)Z&kPiKVaU2`SS4i?J1oOpsmiQ27}|^u$}Ks%eptZqUB#5aU->DTbiKe$iiIp
z)54BWuI*w!xIt<wnkKZpNnR2zi|;O71h@{(lwF>2Ck%cWWyIvB^^1Z;RCU<`Zew&P
z4+{{~pV<5yLShY;WD~q+hstq3PT>eg?B^5ia7r5Kr-=gN7<U)R5JkcW0Z<MqI@wMH
We+uL4#$>)r-POOx|F|)uzUD5E<x$@N

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328generator.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc328generator.v
new file mode 100644
index 0000000000000000000000000000000000000000..e8d3d99771ae66bd0a5b46e20142887b13d6950c
GIT binary patch
literal 2696
zcmV;33U~F36Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2O>Zb^cD+8>#4Dv83ohi&Iq=(>TIB!dC
zjln^sd*M`Egzj}Fs$x}UOT?8%bT!E<kP;*$EZV*12vy(-G>j({t}FF*J%$o%7+SVL
z0B!X)7{&B)4t3+<Y-|W*S1HKlavP1yM}@52S?GK|6T4e)i&zwXzRX7sW9%W#yRsO!
z;b(0jyUdeWh;9H|7zHQ^;5dp5d)t+z=+ee%jY_J-xhGdTapA_M(`dbQj-?MM`&^?H
zl8=*MUjQoN0d@@;i{QR334`C2!dvL7-0+UNs;^Rigz}>`d7vm+Xs=~G6*Q%ya)uFL
zd(YfydqPy-4GwMR<`$k;6Y%a=9(s{cQwHhwfZnN8EXu7h;Y~8WLs9<Bdl~L?w}5eL
zjFso{^eCS;J7|!YOJgxMTV}XpVEcmo7zacQPcj;8j-<d_WgJcI<t-$Hk62#q>juEY
z1R2(}BD{pH>int%o!*x!JZRIs1m1ll0#~EQ!M%o)%7#jKDp5c_GXYik*??8MjoBxr
z#2mLS=u)WRHA2&ON-fPfb2%d6<c9m1RTl?GvJ8czz*57VUn=%~m-;>sp7Rr=E18u&
zMG}NOUfm*%ocD!qdtT|b#z4}4OQYC$fo8u@sgjfGKMvrnu+Kn24QyUZ-~e~j2LdOA
z&}J}cHfkZ2RSuUJDq)EsU`+&P^~zOb7&$&iZ7ZS29)ev?-9ujW^<hwc^(?t?z>Gid
z0JQoI^Mff!9DO^iI<MIBF+O53^;YPnS`<Na9#!AG`_AnSLfWX`Q2`}&H>}fj(kfJ=
zG*<}#9D_KXCCP$86-$aSKAsj#a9eCu^z!)}uQEi0<-qkU6ivj>{ZV(_fj_FAjYGAb
z^w-o#cmZ&*Ay_|oapi>NQTJ;XGqMHljzv>6_|L}B7YkKK2v`Mw$Z*G-3BtL7zy)Tg
zkxyVQbXqt)`Z+Yyw`X)vCbyn2&nVtSV;C+zAG$+F;I$5FB`@6~*D=!E!rLoDG%x6+
zLheigajKWkE)~SCcOgChxodq#Z0Z4oHo<>{xOLB7U=gH)E+r&YvJS+EP-2Y6E{1rR
zZx5scxYG=3#Tjp4do9B<vN2tUxuXTc<Omeq>=+s1KJ8DKY;F6oQ@6<tFSCjZxYTAi
zGvW;!YC1TD7|z}V^JDo#%;zL%>Bmg8DbjYW6xZKOkIMw_I^*W9&@(7W5|l{W&|0-J
z)b95R#1*ApG{e)=@G}WU;BBZamJkaMEtXWfg?&K|3N(Q+4oZc@#}~n)u1#e)#zuv1
zqHE{rK89%?C(X)i@A~{#rU#G)o=Z%2##q6lh!>w2o7aUC#Uu}p|G5llroIV3SAsXr
zp5_~Vt=C~hv@&S5-`D{a`y-f^mM3f6OB<jLcw8EbkE`v8sD0JkVke1lN_zm^;$6B^
zC7Zb=c@pv#xu?AX==>`0ugwDDNwHgQoXX+J#s$M>U0nDRghK(Smir0_ce(&`w!zfo
zlJ%flmIRb%aF5oA0G?7B>TD$Go6n+HdH(LfbtQvh+h?lc;wEZrntJuhyw~?F=2LNu
zGeHt~s`rp`-r%_xiZpV1>P*K|ZM$zwyTPh#aoI?u$j~RV6}<+Fh$s!#%ZI+)1+vt{
z`f7hOLm0?N%dro1(Wtwr=8DIa|8`lWGleUCnfSiMDO0-0v~TLSI?=n8qS7gH;h+6j
zV7x(XS%Qg?n|X6wtVrMR+RVdl$g1)tkcAQ0L&J&dbR2l>$AXciC63eMGV}Df5`zXi
za2>k)5nP}kC%^TDmgjufAQz-@bdErlfrtOY6?0=LneNU=*+xU5+|t4~Yiybc<r?c9
zl0+UT&oOkW)R<CBQ{(&Gxx*dSct5zvpCU>xevP(DB2|^|c{}$Q2m!+#6SVVVUPt39
zz4O9PD>;i|f}hJ6B7wU2SlCnvy;c6r1YXYD+JjUxq3-Dc0){N+F23(n%P%)0JpW3S
zUE(Aj6Hb2nC0Cdg-3LV3G9ZiI---c8c~u0SWPw6tN-Qf?oHp|*!&93EXG)CY0jABI
zxT6*|T=595ta%kkgRpM|gYFoHW0@PJO3^hIUhpN%=%7#wvXqO=tMbv=JBQrUW+ybr
zt_Q-jeJ!N0{4qzURcS2454(!O&XmE(4DV{qzlqIJ<!ps_A7#WyH?t~8m%lDw*8~wo
zKrC2G9gtuGw@xL@54s!%t)`}wB&M@nv+&EPS#MObTQLh)3TwW~a(^;oUI#ejZjZEE
zrxD>2SE7_$Gcr+>(f0*|9(6Ap$xu;&O4yM!5Zea^QFher=o5lsdaOF`Om?SQU{~BH
zHIM#F)C8YkiefwV^2N9p|7Rz8mkR$rU+DltZ9#pyTG4%fOA)cGlf2E^CijV~zdPmN
zs!k`JIod~R1{Z^3MHSzn_A|7;xN9B_K`YkkyZADq+ndn@o!CQq!>!2G_4YiBl?V9P
zP<7-zA^j9Sf`4RStgE&|H=1vsNv3%q?O<CszhP3#hOF-IwQ&uD(;Re61yi3DvK0Ri
znJ?l8eg`!Fn%aM~zGXPp;Xx9nJMso*<k>d6!cos(?Eaog5$P%FEQNl&Mei8S=UW)G
zR29OGbRr^F>y5Dn?COOzb}hmZ*VN~znfwcMn(~r{3l$KXT37YEm$W6hrgNx#jyv^~
zYqSeSS1B9x+-5cyXGW;P89AKPJSxBlM;VDNjGeAAYnJK8-Mh6QD;wowPDIbbZ8WOS
z1KRR*Px2vkxI6JAf>e(VauGId5#9)!JKkm{^@3s=?||#z<NAxH5GT3-Gr1%J;l}zZ
z9{{Hfsk&$wpdy_15~u88SGJ6h9B5p~CbjI5kArR8SigJjh+M+YE5syXE+iRv0-JY{
zCmP6XkL;7|h2gR{eMJ548A}zHUv0-j{~+NBw(mk0SkY?q59S!7iX$9AT5!;SD)MFM
z;7u_#ufQmT$l}n*ypQ_+QdS|NT<&y45ifd-v}r#%bq9W`MAVywr{oVdg_nc}K`}P>
zB~FCL*S5>d3m$<c=}OMceS@Z@S$y2BL(|c6n|>nE^qOted^vc&G)u|jL-#3J;Fbj@
C$tzy~

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32ctl8.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32ctl8.v
new file mode 100644
index 0000000000000000000000000000000000000000..6fe77b1238c796761e06439a2dd9f4ce6430057b
GIT binary patch
literal 1848
zcmV-82gmq}6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2O>Zb^cD+8>;bO`FMYO2%Jtk!50!p_*}
zj~yG19GMX^nn*8C>j)VYGvC;$cg$F~Sc#rWoBdkY!_raltD^`Bf?z#~Z@*Z)+HX}B
zGX?<vr}9&&h5?W$!Z~`}(g5}pvG}moz<sLZv1&RspNIC#-3Rtr{>>&btmfNtv>;ud
zqA&&aWdPLNAGsJ{WFwH_4sCc%Hc5wYK+7vUlViUxX0$uvRe1h>kvkNy$d4DOzvlM#
zGzdvb2H?u;u1}Ds?ORb*sn-9&1D8+1g>zd%@%fJ~e13`jGs;O$(Jmf?2}-^4Wlh$s
z|BRU`zl=Ko_k_6kaxY^2BBL?i@j~8a<c0{dyrXPqJat_Z5VR83a^<2IiNvX>VOWF1
z1ynB1mz&Vu>C>uI5YkyNf-eAhD<D}@(kJ3z97WKk|CA;8k$&1M&b{j3r*N}5JlrTl
z3PepwJ|B>{f~&Z&SXSee(=7?B)YQY#;Ey}{wwCM9I4X37qT;t=IZkC{8{sx<y6qYw
zJLRZ;Nu@_Z#v3=YE2Dc9BH4`y&@A@9@JKuQ3`@v^^|vV#u_s=RU1j^6@j8HAVc-Vk
zPR)Q3+tXRS#u62rYC4s4i?hgXy34DKcuolL1BitSigB_0LYj<FEUbzB{N;K+@lTYV
zF!2bYu62kP3ahBHFrAHP*LhIfJOnIN2J!^bA_l)rZd~59ga&G=Eq~ZWCxEJ&I$he6
zCFnr2Ipj={{?;jz=C!TQ(tSjuW?2k_IXjf3MB{wlBbQMA;Eg^C4yXP&M~Of8(zlG;
zircmbX;@X6(~*w_F>Yin_%l%P7soYG7$klfAq&%zcJ2IS*tNh?f!ro&4Jj#>N0ODW
z*#<wy=Vx6U^GaI^{0GWaWk9BF5i>}3hX+gjBm&cZXE%8F_!0N;mwkl<BlQH@I<?@R
zC885&PDWh35*DG$pj!jn1fT+B2Dldf@U*p0UhQ6eDRTZ$%VZ@qjsbK+a*&-r*PN)t
z2TC1?P4X5XUY3cWl!sApHgYtL&9onVeTDoG(Q|bUjQ*Gkl(Em<{|#lJ&6GiXl%{wO
zC_J2&33jzatQ=~V<YW%iOtxa+C{dY^(O~+7c$bY`9cdtQnt#vJ-duq1&|fGE$6G~g
zQA~=bilpd#v<fBrfSoajl0<16Zh?oAKqu|4hMaH!Nn&%RU29%ApXe)YEf)~1YnzuW
z;hV*Wf#iNpY)5y`K}5oa*>3p3p*#BAG!SnW3R8+(2H!>ArI<^HNhlulAX);)uEfIH
zxU^9h2f;x%T#6La@AZ4@PB-9%%SOc6auV!2<!lJzdFGo=x^fC|(M7qD?GQ5Jh0g~|
z|7JKb^wZPHheY^#!ut2Mw1>acR<piLpYp}6NGJP-x?>zb#WSL515aT+zwQ)*M+g&6
zmkO(4SFRr>aXi<|j>>CD4^)#ZY?&7hz(}$^t9W7t1gz`zDXB^p`V$ztNneOWA1`0%
zQ_}Fzfg;|(8dUY1+GNEThfaMCoFW*$s(zAS=NkOAYV)N(%*Iv<>GN47#uR5aBuQz%
zMi;Lww|+|nT30a{>c@v0!-%HVh=W^B99mN9n|yg5Q`3QDAtbn?6OeprVg)0&l0Fb+
zociZ|kI0SG6<u&)5;ON4mt{vwe<wzIhhbhJcqqku(36zploO|5W-cL^=@5=o4orwU
zg((lk_3bov8SM5;;|nV#z|;r%Yi~5ufWiwxM2s+S3MDLf{#K}W_kJ38g&b|>&kpcJ
zru#Gj2*4@d!I^>d$H7;6^hKj<9smKYh385`IXeNeifeD`5*RK(k{YKeXG<}nuhsKH
zU$ZM`1i*(vg`Plo1)5ymDJG$rP%o15<%0qG>{ET-QJ9;fx0%Et835v3sgEKC@7t-}
m`$5%;9*Zk%2QB1F6<trV@G}KH8?|01DA514#>O!&W1l~X{)h$u

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32galois8.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_crc32galois8.v
new file mode 100644
index 0000000000000000000000000000000000000000..c9af4aacc4942050b95cf9fff9defda2130d2e55
GIT binary patch
literal 6976
zcmV-G8^7d>6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2O>Zb^cD+8<)$sOPy!om#!yV~$JfmyzJ
z-STHm_~Z#t2vJykwkW)VtiaeHV19BM90U<iuQx-b<11B75(_$>dDHWrB-FSVcQcHF
zNiAWKRX8OfC#mEohA(N3nxGyUHC;_H3ec@qXz+A{Yh@hIO5(09dsxqdYHv>6wLd22
zfO=n8Z*EYn!1(XdiKUlf#BIrb(qnR-<jKF_7DpY?0i>MQB$im{i+o0$P;Rzy+~TI?
zr~ND;jdfT*WmZ>-RAnx@<FHa1bvdxmpL_XNd}UvTCY}Z>uKN!uI*q%Fn!ka&>!&e0
zN;2c4|7Fb#ruA-2f1xZ(J6_S~yD<d@ivP=jaZi^=|A)>PM&XO!Ul?Mu{5Fjf7l7e!
z1OXA3<^&gz4Sy%4umt?E<w<q#ok7vJvSI*qx*<c#nix%!^XrueXLW$o^C4H~-a9qd
zbO0`?^LL#mX$ua2=T3@!#We$T%N;+s{@0EdePryMWOkDoSps_nN$o!g<ex&LxNo9x
z-5I~Z4X|)AYH-={(05uA-$zYOy!x`X$6OCKCYvzLfj{4m3;-E;1;XniQ%F!@d6b~k
zwT2oWR!l_x<P+e5kr-Z*9kBYe$$;|QZLfb9MDe^CHC^+XM<T$#ZH@}ZhJe6GiZS)T
z)EptdC#YRhD|wL+Chn+%+Gr&~U=^S*eW<Iwf^+=bg6>Y^h2I&1A6l0&&?lD2-MF%g
zT8&~65h}<_coINJfBmp;HeU0BE&j8ZgQznBOLI}-+^`8b0X}|7ASrK=XI=vZlN_Q>
z2Z#$E`$)<h9xB}VNxFKADEXf^LIBZ_Pwxs}uQ8T1>xB-f1m^t;2@0WsJQ&-fp4^;S
z5IN24ai}1&s2qOo5L$xq(nFM!CfF?~82k%h3gPW181t9R`vP1PaeHavmaV{4UcfpW
zR&^c$0=%2jvf_xTP%~n@NeY9TnS-s-);H*FYuo?9j)+2+$i&1l)ugOR8sr*>2l&`3
ze1elm>6tuYOXp{1dZtm+(TB<af6Tch9!TlQeVmr|HNw&sBh}F+%#!>n`H74F2<iuv
zLI&O2d`HjO@R)=B#<G%`80e^0Ii!+kdXp9Z)%dKt6BL?Kz9{v%iV@2Z(d_tIG+*LV
zf34?@z0-0Q#wkQ<@o5hVAxcSEa?LV`t~&@P1{Nu@0zWV~J0RDp=4!k*huU1oDk+D3
zke}C@rgMrI)>M9EwyA;QxF1$K#)jU555u%Rz*>K+NMtcV;+u4cuGNkEFfLn`!lfoy
z>hc<xQkz>=$%=wITdNsw!XJCyzB~^g4Xe32crvf{UMS-!H`al+>?+<{D=ZTEY9>%x
zH*ZK$7!auF2e^3Nm}DelmIk-HTkkZXI_x<%^EW~a3nZ8U`#Nj(6$odoc9}Y!JtBu4
zF(XrwZR*s3n}%l)1{o?Ql5HnP;GppC_JNhbq6X11WN5zxp;R(j(cb)o1Nu;2RJ>gg
zlB&R$+a@sFO5YUfU0xwI!R}&rtN80$sN<?!ArhqSnhue~KG&cSt|h5%eS^8jib@e_
zr<PKama-jd4rfUObcXo;23vCy9BA}g>^TgkQTpe)FyM)wb;yGRrpFyg$Uu`6X;9lo
zM7YOM3RehYlg;>Pq&q6UOZSgvW8Zq6ZQ~8fy-(m{V(zoA?B%&s5~+1+IiUAMhefiO
z1~&cRuA~t@?Kns^>J-mi4QJZdKElKM${DDIk&X?{j@k9KvNp_x^|XsIu^+9(XL`Rd
zXlx8toYmiWkE%7XxL|Z?0FM!I(fNa>UW++}I$fYQyJ?up#HR>Rm`v}!YZ3gU(D)WA
zx8UEq#=t4?zZ<`Av|-zB6V;*TH7>D(2-&oWz6!5LXSHr9tH6eIwfLoj>ta=iqc63X
z`)s8&HQu<VRe-kiANb`HDlLf3nyw%k!I^NYv4#B{<Np?pWu`K<uK=dX^|@MxWCZ<z
zyN}UM&{r0aj+4Ivz39iVKRhZOL~A#UpYb>GR{ogOj2drtj3xF7TPv$QSa{-}8}`E9
zmir^}r{M#0WfyN$4xs6iXkyExi20S`TQSDPqL$YYsmIU6Cv%6^_JpR<Mvdk%)VR!&
zGP?6Pvbi73)o`k;!LSsxS{HLR%-g+x9&`BMzh)@DhKQ?5@<Lg$nc1fU*)syjT|1v8
zsto0Pbo_4v%-DOkB8~F(+tp{T`}W{k+g|N&m%H2BbB~waeUr4_%gRvF!C^P$!SCcS
z3~KcUBB)`CV&~lpPIq@q;S5V21!J7&#@39Ay)|l+680xqo3JXW5>x+dbK^}T{J(Q6
zAj7=Y!$6fJm)OUkShL}>{2Wkig`ogV1Ogmu6oz~YLKC20lNqDItC>q|AorfV$MK=Q
zH-BjoYE_Yu)`|@e8ce*h!GNVJ__dC9NQ2TPO+&*@y)+vjwTI660D?Jf%$-ps(HJ|v
zThwxYb(;_zeq<InmSNtXqCMdb;-GGGn|uDJvBvQ;q+M@TIvcYcAGgOY3)rF^MsUon
zfKpKw`wumDL@|mz94W5O6+BWXxuK{MLof`6WRzcR@zrS$&t*R+wPn<NX+4_&K?hQ&
zFU3Pql9`Jp<f+m7&~u)?9EA7l+P})s^Q#L1p9g@=rC!1HsVPgKtRwcurDWDbn3KAu
zg+NL>$Qn$pB;)Bb_=)J%``)@mB@MbmI@NF6S##<+U#V)>WH#d3M?ThGNQDiz`IJbH
z8Yb|`5|E`bfG3pFNCPFRst^H7SeY46Wff}kVn%5QmBD*`e?RAdjDCYjV>*fHQ9+`i
zQ|$+Q#^=698<4emwe(OH@9ugkOFs4d<aiELSi2CXu0b0Y!<mX4<OYx-#lJb^QQzB3
zSyD;2fbrYsrX*$PP`a=~#`wXFww*PbFuc5nizR;Ic(K&)ERLV_00x}cRrgr@vJxj?
z!J5I4O84UE`5B-|(qvQ`juc|4{ztn5-(o+d2DNBon*bK6haq38Oj5^fbr42jVhPq=
zr3P|-aJHo}sOjo=^z60(RyWA3sN%TMGZ)Z51Km5BMwpqE2EYytS(Mmr9d0G`^HR|C
zkVxU10ifEYWF{kIJS}wooq~oncW2%4161j^Ck>N118em71JJ{@q9bmy{b+E+WMU_@
z(x{5T!$Elk*?{|0RCY)9b%{ju&gcs&U7>j{`E$4r*dtWk`9)7Z{=<#7D;j3Ii^(VR
zqfA;<U=@LbrP6%53Qf@sZLnhj4q8*ZV=p7T{BOl?cf&GXy{4ZXdIB|HSUugF%BM^9
zXA*I+2{nvYbB!ijoy(1-<}*wQ?*$OHzHD3n7DkCIm*iWnUw->kxo0BHvh7+x%rnc*
zuGyO0ZQa~_SLsovC2N*pl7B{TVOLG1Lo|B(I0_-Q(K}urGIEOELXXWj{o|(7xQ(L1
z-u@L}iLClWM?jWct1G(QbeWyY%#GKm1jq9&Xd(`}Q=b3=ny!!6X{OX``|jhFCp3BI
z@)GuBf0=$A#E7T=wCL&{l=QH>GY4jlJzh&Q4udAkFm@!b1Tv>h*yt{fg!kH6Y9xlo
zeT`yL_}!%nmrSNReL2}-Wnj?)Fy6CYZv%ltRki6MRk`Dw=s_-!(4)=0=Fa%@5|;I@
zgIo!<5;Yg9-~k|bw8gt|!18#BxPdTmi%H*Ak&@|Mza7z5ZC^p1S5t=1xQ7ldRkSVs
z>($~pel;;#TW=z0uEJ$YcwgKqEvB%C8{a1)yB7rgs=5e_pfx?+8tR>ePNi`7v7Ww}
ze!dda+JC@D%ALy#tu<01%$R`d*4uazM=EMZLX8D?gMM+zaK<5`$6NXL@HdY!FS&IX
z38)bHvwxz9-X9)PDSKOOL*;7*<i;_VrU<~lxJ&>|$&K(9MT;?yxzTO3ngUv&gurc$
z&s>bdf|$6xs)pfDUTjMst!ICAhQ-{<wT;hlRWbol`H6CK9H96d3PUe6dk`A?|E+6d
zQt)08VOGMFZipEPRyti*gGe>KT!1!a5<<!y7U4{=-m-d$zp~p8HDxP+Eps1W_a_RF
zLtP}iUNw+GcdfiY7CLuov7Rwx$a%N9#}_9rQz^iK&<e#g&5Pww14}CvfTn?(8iNAG
z@>hX*$x?R{*cO`~z{#oSq;ZQ&b8Q1Ky?s*SSn+YE3bb(m2w^ZC_!N?*{cp>l`V^hS
zv_P*6xbrHa<oPKGaahnWccTO@p2|fph#}@S;#mde$J(_9vQ)wk)knZVMz(<R8(vwj
zu;zx7sDOOys@;HS8X$cCpGS*{q<ANHfNs{p*-pGIwoOOGjP`kG&b3L~pvu<BVs}dT
zVXpJtH-n`+6_Wf{0oPS@d$&n#;L59lSEbYse>B!Jy?+D$jY|*pp<S@fQb42Xpt*CS
z)_;J{7mEGCk4EDgW6<Kw4>ckj@rgH@2Tu2J;Jj~%fuSe-Q-faEkt@wC>8rJ@cI8-n
zvqh1l?vUB5^CS1y*jL#LNFY6A&>NT!c2xztqb2<BDZQ>n1Nm$gVa%H30D^Y~2x~8g
z&CHS)W5sAT9;A3L52Woud$CXw?s*o|PD&*L^wLjo<Efc>yMVm!{8;$Bzu+}e^?QIw
zH(hj^Aic~hPo~2|)mzZrb2wNB68w&|*^}*{D$<6#&xNdQUfoDjwY$8>GidH$74>9X
zbXK*=?B&CYG%B#h97tR@GkJnfq{vUUJmlm{A7^SJxf#+p5muc(e1gUkC5F~68z6g}
z`280cGkKCx$^77s4o>hi%|k{K-g@`!mid?cjnkmK5R;TIs@+_aS&pM{|7qQqN0Tma
zE)zFgP4+6TF&QkuUb_s*a%=Y(mob5uwD-sv*%9q9!;q7}vK~YCgR3xjrv@=)fl``B
zR~VH7BdWUy&pewQ_V{igKhjPX*6(3@3`OgJ?Jj8UZPkKUREVg^9uQ;>W#m^XZ@(!h
z&MG{FEmY2)ObemODOpmvM(vP2!3f5!!4(RF{XK54mF{Xt0(cWbP5nN9a_4|sc_>0q
zk*jm@sSyoNE5BXG(`X}U^B_rV2a+6B6YL`+*?o3Ej}r$0>Y5b;_frXnnVJKI8b27l
zc-%oH-)SV_&UY$H=Qu?-5eDj%a>u|`#3A1;)26)y;dMCh+*?}g!HG6SC&M;RPWSvb
zYjnThn$9D2F>(B5Py7KQXd%o9XP_@t_Ax>a{)5|2Sg=5QMHWt1yRw9SJBM$;RAP-}
z-fF-;G|ljl6_(11hKC`#e*FN}83vl-K{V!!aG()vM_uw}9lxH3Ykty7NgT0yj#03-
zsNP_vnJ&a;!ZZfWm)D5w2{C|z;b#Vsc7^6K{-RIr5_SQj@gn{&PA4e9nbd06;MJUg
zTxz2tG>}Da3w+H@#fhpRL5sUo+cvP(AtXEPZo!|$y52`C%IqE2Ej>y_M!@QoVjOI3
z8pSZsD--q_=|y(<7C`;szY2LB&3C|`NoYBUSQ=daJu~jH&d62^pohp|!+R8xdWSPS
zxziZoB&z>D%>{xmfP}`;OUvAB{D!5f7hLs!Pc>0aB>WL0RbC<<q=5ni3htm(Bd31s
z2TsKcfDIzZ4sJw^7Q%u38{p~^WVzzFd5i*8{T`E{Fdd*@(<5eq($B}?yhfspbDS5k
zjNhy^DTJt}Wn=3DwG!b?VYmI?5b(>XzI^c=d<0&dp=nQ&F*T%#P&ZDTe?kqq`4mry
zZK|cLhW+FQ`St+6z>>waa$%~@eTFGji%aWzYl6CL4@YaJBcfWsAJ)G@D*aM32jy`-
z3plb7D^d=fs@2tLy5h1!%m;X2VTz<yj_;Sz_vYQNK*hba5TceD&^UmNf^MG}%38u#
zn*_7#*!--oX~2u;2qH9E`+;zI<J!HP7zWIvWO-mFx70)3UcMBCFwz^QccHZd`B8Q?
zz;M%0AoIzYp@6yIfO2#zuiV9^T*GV8Em^S}&G}^Zd_Pr1{doo6x>G4O#@r6y&pN;2
z2w(`9)$8W14K7DD;5Wi@U7$Sf&MRG#rdvR5aTBdU2XaQmd*vtbwFj2c896g8=^aR$
zPV@+~hNY#XADeI6kzYIZ)Po$J?RVRgC`BNK$NO^WwguEO*t1SwY=jcWJF+WBe|UuP
z?|Y3ypammjYTGt;q8c4QL756TSAoZ`w|Da4<MoN!>Um^{Q(2amo1D9WUVfTf00j5*
zsb2(bES}FsY&&wEkShAz8es=miGFcH41x+simY^Xbanxw7Iu+uNq43+m|sbWU2>kL
zN<?URvC4dS^#%R|T&4arITSakc(MPvzI2pmGMG|_K9i099wS9`yAV5vL;FZKAVm24
zb_b-8I0INl`n#8gEXts1JWs%V?J*s3h$0mz_cy!E$*_!{H3z{+vt~q?mRN{M4LPr4
z_#zrbg>YS1?A+Qh+U=!;zYqu;iKk~~5MYithlFIR$tYmG+NSKXXGvHvR9k@xitV=!
zOeC}Ucn7~l85&N>hYRwKm=8aZt)KCaC%uPzj^v86<d1Utch^jmg_5*ayPrCCnju9+
zEa(d71o37fEPhIwWT|l9BBC`6s#?d-P4xeiN5}xbS;oP<pDYsqkd#UQgOkEK(@xXd
zId)XGHYWdJ{AY{rI=8UbN2G)<SX;~BT4RbB{eZ?oVQ0*vsPc2{zxY{Uoo1%CBsuS{
zM<R3BsQ&w6V#QVpqh1-E0%SX1iB$+g-g64oht&`68Mg@14$xw$wq%Vd$V@{EpU{_&
zF~M4|D-3F-jLm<8)8?UUK~XMsZBaD|kSIh&&!$1SH~erVcf<<{!UhbCnu?<JqiybD
z@KGl}9#J;{!&Xp*B|4y+7#KO1Y-NJ9_d?fA<B~dK6;&4??})gj4l;^-<Ef|9rooKG
zy^kFXK2q!EP1{1Qk~KyZ1WxcDGN~PlrrFke&(k$t>~oZZ>q6nL#tQ`Pr8XocT&Z>k
z0jXM85j3yIG!3D+*$hT<600y8tDc`(B7tbVIdc;^nfVyX0WEWQcV(dvXH1TQ1>q0S
zv9mRAH;w13<2>O|M9sIhJkQA*;e^Vbch<I*80CN#XV7z;e!Dtj`33o6&8X9BbA#eE
zEtSp0j1p=?E$PE%VJDR(h|oT3enmA`ALHrHhbemNsZZGl*1e;NH3i?OSUHYdl=%$w
z)c->^j<kVh%_J<)_L(e6bb|al5@39_Jy^R&f`umJeQkndTiNBrMuhupLpIZW_@&E$
zAekBdVynVDV`2o;3b)v!1|}@Xp>bC1#&Rx6UkWnD(piec@G{BHWKraD%aiFc0mYT#
zD%J(4A*+xZT@x<sc_w_mjmZfL#{wThs%YOL#7D>{dy;=+p{Qv5ptEZj9<14jK@D8!
zyjnTPM9~^X(jZ7Tr{>O<4(y#hl_vs|`l`Z3eMCdy*`=yTqgw$S;jR&uafCCcY*d?C
z!+Ep(ylC3?${G6(_U;oEe2oNvYn!%J4mhJ1b>cCw=ZNZo5$kab#naf~k=P1-&h~86
znx4IJ_(0(|C<rrnc#nyyzC2&}1$&F23(rF(&LNmr@{e#x;a;uoZ^TaN*ab$7A1$r3
zJC`MIc|yy>*~alrt#t;&qRQL)b3WE|V_(q1Lhc<|qS0XL?Rx75y%-=!FcuNB1Mj=k
z`sv%46suT3Mul)gDgQZ`bDEPk4+(@xY+q6c;+ckM0zEAqoWj&U?@~xeW#apX*g<jc
zb+48lM8t3<RvMABu39{vBL2|~?zrwg7ZJ>OuUcb*-^tF+AJ$0P{qp`(Zusm?ni>ay
zH6z1tRnX3BHd?FJ1eWH*txw6l;Dgz$@K5iTOf=Z~T4xVcQT49XV~`LXNe9`9Wqc>>
zInT5@Xf@_bzxbHKWZOFLdOjuF`?h*ASCP59iGnf}o`}^VV-YC5ib)>O0_RVq8`8LX
zbvEb0_<TB)U+2u@$p_u7g}!!}!l;L`@Vv;uQa9eZ>4250elHQ9#s?-41RjfP_YME~
zU|lXkcdCeK#2SeR4$m6O0H>aCdpds}$ZA2%-Yo6a6*d}zH1bWXBGX{B#t=)gdH7Z2
zYD3wM%>{(2MC%J83<ahd5;R4=p>P5sik=1D<ELl}Nt;HYTV674YElTGl!aH2>6j9v
z7`#O@%;Rhjl3px7MXv?}vy_se)fn*WE7#&n{2pNHS{qFWZw0L+jzFyL5(uG%CsS0b
zRwx&yd4>!{v%B*d00|H~%#cf4f4&eg%$WKwViTinmj7d^`mhNubpFbsicMDj$GE*F
z-H@!^(N@=F>wmSMbwLUehM$#DZ;^V8BvBIrZjq1h^R-`ETj#Y&sJj`s_piu7R1n~o
zW1E%0y>=Z#gl`cL2EW&y%Y5!dHOfot4<S<Cy9tb8H(V)z&y);Lq0q0`=R&WUr6rc5
zg>cEBj${M7l+}mvjgC`B6)KrAw4-IwvnDZb6&2n{w_yr=BNtM4G6l+q?{cfpmv)MH
z8D3;FTRH3=>pwf#64(EZcBp_$DxM3fKs$g6_{=9F3&Xeq;8uudd{;~(5#e`^$CefM
z0y^MQB^xfU@F-oJKG!^+NIjDx2Wr>I?%zg!u2%+Qv7y<Xz(9M*8WzSHRHzuFf1{vF
z=i7ZgviOvt#&&PT;ZL5A<sgL)6febea{eOT9r|CDrsl#Hk$;5!1Xy;Y*mKWCl4KNn
z$=S@U_Razwp6E<TNe>T;7#}LNpkfOoXf)}d1WS5C(O^yJy~@g3^3@#mO^5bCs9AK?
SN7+v9)bN3_lZdvfCo6*39eO1I

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dc_fifo.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dc_fifo.v
new file mode 100644
index 0000000000000000000000000000000000000000..b704c235b4b83ff98d186eaad20c8ffe654ebece
GIT binary patch
literal 7920
zcmV<M9uMJ*6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%jhiUsvrwvB+q_*!uYSn3LR*0h?1ry~t-V+yu6uQ(G|Hi2mJC(&w&@(Yxr
z=S6A9n{hcwjh|EgZYVUesw-r0T3hpTyu2M}fpi%;>Lqq>bCtzMvBBACglVUuBv_VG
znZ3`{tjt4-P!!;`!jWtJdXG2-)P<`6u*z#bz`Sii!pSzd<j6dw)X#7UD<M3dRI(4I
zjLYn^zmo0pW@gna5T&%O2CUf(qFpu((bBh94BPJ`Wp4E#M~Xdb1b)wedLZ+NXM&Hc
zpp-5*BlRN4Ho!1P;%=_CRs(yr-S^mg`!c`{<wLxZ=iMH(O0JW2Jmr3t6FHN5H^w@v
z7RXsY43ComIaf|64MO}Cu>&<>gW7mUkm9XRu9K!UkJk<ahTAO#0HTX~epn-@tkO}d
zU&ohhiI${C{e@YQQ2O*@zT)>)YOlJocHg;=jHuFcvtp^+fbpioC`JK2D7x`_UBPuN
zB3BxL)uOLZ?7<dELb~GXv%<0TbF}eIiR3_LG%0nxav@TfXepoCdXaUenx=Mb=~sYx
z4q*aDD`Q$HEc8l2`ZQtB`{P|&;-PiC{8B^5CptL?V+cU{ItqT}&g>d;F&mZU>ahCg
z>(m#hVK`QSG^>h7{PnOp^)|j@ALS|uRD=L=(d^e^_tN7_<yQ_6Zp(A9fuaN9pGz7F
zGkllphfAh?EdEd_0*z<aRoH8ANVkQZ^8r{(I3#&{4&=mSa*)L56YsEa67~E@CY0-<
zQ;_0$Mo|+J|NZmke=~E4gk&-x#_~kH@por$5&}5>-6Lp9{F%CkcQVWxw~Q*{TeE7V
zSr%PmY{C52&`n%*hg@%V=h2&fZeonQvJ^_o6ZE~TwIBrp*1jD$^CkVc)eimk^ovAN
zgos2V<<J6VC7=1<59~hgw86_U4Q@kK?s5H)hC1Y#l@KmOAIX}{<>{p(;4xpgWvY4B
z=1}#Sp%DHj$>X8goF%U_{-eMX<>#g&*`qT$2tRdgLWrUy`-uq<1#@o6My~_}cUXK!
zT-_qr_v=R<P0~j*3a>N`o`E%@;s;%*?@h0-RF-2!lQNrBRaw>8t!JRQKDw_N2!;4_
z<hdgL*8)YAcY6BEUEN^ROWVGZ%*j8Nfq~jnLlW6|MTD#oZX1rfmToEc*f@c0ERfHA
za+9E$su)Hj1VMkSIoV%%6Y@%>n~Eh|=*#9&Cm3Nk<X>I-uM_+&BP^Fi+e@J}ZAqz=
zj2MrmVp5h8HOW<W2WAAkuS=`s#?;;CXtK}545*X<!2!m9Wbd;h=9&i?E~!wXZD@uK
z71Qxc&}luQNzl0zdRMsp#SKnorj2Rs#G}y^aaJ|sq1)9pG>`D-WBQ&VLVCBrx<VC>
z4rD4_`8r~Q*_0I;^5$r}Z#ePBCB{DprVu->4R!q~MpExNS5FKsz7w-We*-{=4x46C
zpiub{nD8}9R)NXrDxY}W-_Q(!x$=j=ofO$xF4AhD`Sv-0$1F<=W(q)PH{VL0mGb-L
zyv*`1`0IZCIJe_`x1F&|*2IZ7mC&?fwN8XE^@D=difA^+`O+4Nh8gTbdYab(1wIy9
z=+5ntBe+tKNbn#5BEwGvr0fD?`jgxOS?sVS-wO@xr=_9ispX01?PmoNpY<)*bk)jo
zT(?dG?U}y_pN;f6y_k@37&723lHdS1d2REth`))KwXV|931v1kFVLrSW!M#gb`caP
z{?qCaC+z$LTB$Jpj6c$$1itB^OVeHa`#7$AP|8liF7t2rMN8*mlt?AaFAiY6c_#(j
z)%1lH3&&C58jk;`g2@6BX(Slf01i9gbO{G0xhmBp%|nkzg|R)+>deo=J9K*$N!!HO
zYqXA<Yex}1g(WvH3(`Mp;_28*YGQ)l5gRFb>1h@)vAbRZ3z><SB$%W6a6+l&_FdL$
zG;=B;QXgN*d}4Yw)5`%9*Rk}Q$CjwZvrW^XG@C&lKX4$3W@lfBh+VAeRM3t9>}2w&
zp*W`VQ#QxZx)PJb80CcNuJkDu9>?U|_uQ;9>(_cd?4|ftdj~FzOZKMLs^H1;EH<(-
zHivLr89C(P*h^lrfo7kB-s8&4hd-e*S?6~pq^Nw}Ia9$yLMfQ}d5=6Q1Xc#V3ZaT~
z;Vd2-b-E+`r|c}b%h?5lpqL|w#Py_L6$mPb2+3DhLT2*SokaZB9K-vXV9he!8eic}
z&l3=82o`<7ju-cp<ved6dIid=xV#d0>IzYIUw9C|6eD`O_JiZ0uEE7c)L5g2c3LOT
zrZ`Jgk<5bK5^#2IQ?!?5hLMku9~UAMj6`ojRh$Dw;<z6&N7Yock`h?|Dq8VN%r;3b
zKksMmd3Orfbh)m^yEH;{NT;(LbgeH&Fxz^kt3BhgOrzom#z*s&A#V-;88#F*tfy*r
zg~?6YC%avrAKy=X-?uDJFxi><|MenwX9HqV7yOD*DEU*|?tLJ&dQc%{-w8wheH>9)
z-`R`Tk=@v0#j|S|3b6P}FDkxiTOvV8uudHIQrqTZ-!#_=Jn-6W!rw5eD_^QNAf8q6
zH}mO}s)5X&KV0w@4|`U+B6H4CnDdFNeA~sLAEoxQT+`ExqI|2fmAGc#m0r<?_v{!>
z31u4#bzMS|-Y<{S`h_zy*L38#Sa7rG%7Mu<)Hxx0H|<~cRj|EsTYvUKZ_Gq&^=T3*
z!71ta4e}-)yv04o@agU7umSsMEotWYbpBQ@7XMjW#l2bKY1U1iAP`TbT9<;A<}eAD
zL<+yOliG?yFpy!n!1lPQWbE5o1HnZqTp*rIYRg%hS`sFYu7_!>RIdt^6|W<DVPE}N
zk<iZ|8O7kc`u(4?-><BV*5tx{AaLboZ&v_E4QSd$EJpo5r8!7{y^-XpFWVw6l2Qq#
zh;Hj#%&zy7q5jA8@-sS(n~?3bv^aHS2S`G2xtkE1%cNA(#Z}AVQ|%|CO05~!o!Fsl
za;6wb9Gs$Hism79`AuqLc!u!=>LVvt;!!}ncD1k)gL6RH@-hT8b;7tcrv=GRToCAK
zCl88ckc<}Npd?RCplvR0=L&;&G&IoGr?_&;YGx?_G`pTRnD6%wXWJ%N%QtU!7RuQ<
zcRvIz-#DXQb-;U_Y@Z<g|4cdVa)EP{+x~R&nL9xuEL%UqL&oOyA<TP;RcELyvNXkR
z4*qRnu`x{9#=UPlD|dPk=#l)Sjvwc+u<fNtXk*%#9{N5ycFWZ_LxyRvm>y7`FAnlW
z&6NfVu7(*|<gdN4G_)RGiE%uU(I0ci7RtuYXsc~w)A%+C#icg(ejP6*E-Yv-<Cko{
zf)+$yAl&MPU60D;0|o-o<<k{M2s`EdT8=k+(&kCyw4C}$Y1C^aMAIzE4z|-eU-lkW
z2*9r6sm$@wO)f;|rP`JKQ6Q_rssHMOCgryVv7~mlG4gyxW7-rmtx=z=E%a+m&*&;_
z^$7}H`li(A_9`e^_7cywMTxlUhL;;6AKVswt!_{4V-*T}Nf5X0NvRx+l{kk_%lc<&
zh-5%DiZQ@bvMZlz_NWcNV_5GOw;ujP!9`nIQO=T$q;1>YeLV~*{N<5jsQ`s4#{+c>
zByGB%niY+l_5gb$b!v+@_m5AfYtyxnl1SNAb}n05E-Qr{xJYiqd)m->TkKSW_$Ax1
zwEhjgVD;$7X#T$T>v2R(W@?u(<toOPfu9zj<x&zSYuN~)W@?Et4bMNuTG)sj6bM0;
z412AJ`6=~eSq&0Ih+|f-NeZp}L;;h2n#=<3fqjZ+bGf#xg@)-yRZ$B|q>)c7q-rnI
zz#DkAJPjp8g=5|$_$Y6y__LCxy+6A7bgBydG*(1Z91mbQpa{=c(UdI}EHe4}+&v5=
z=fw;zzqdkD8)a`sD)#<pA+y*7AIJ~&gq$JQ>bE|K+`g7|stJXhTu+|Y;ueV~S576>
z{ksUBf)-8K5@~>Ya$XEL4}WaOZM2@Gl370&#e@U8XKdeM>y=zK**@<uu*})nKkc3~
z%s#DkQ$*m$;W6fVCqB%f-%;T#1an$g%^@S&U7Qjx8&cW6E~{gTLlU+_ql+_Dnwy|2
zB=}1>_7E`fv$V-Rh2@H0)&bZ~9{vBCU=5qkhZo&+2>?JBcg+&F4c<Wy`3Mn{D!&;6
z69pd@X(c{md(?*GdhlOPJ~mbbVQQbT3+hoA7s&IN97ehqdKPh#=ZAlM0=qtR!@t=6
zu0}|SM@Y?mnKC0(ni%>M6x8t<1Y^fsS`Mnmaf{PNH`4Az?;NGVsyy{4I){g5uICPJ
z!I;dGQ>$0Kc4*6M<}tczZ4u`QmvoH1>|S58Y9(S<w?=-0&Or>aq`Ei@WwEAPEa2!V
zwd|Z@_bBM@ehHXC4_3z-yr?Py;~2{F!oeDfn=ocR-SvUVQsZZKfk@pqjor%EB0_}x
zVE#FSs*)JZtpnko=k|rILLnv77fb-~Zzb<gOswMNn(B2dSvT#&IwUmsP?GzB2b!Bh
zp|s&?aCBYX(}c{B=C#{E>l$ZM`cLNyR<~#5H#u+;b%5jc3ch}ImUKfncUNMMuJ_uZ
zpauur0DyqVXlFLN7Ozly&(O%K#mQ6*&2AOsPZ+$N=d-H9FF5{F&%9M-p`Bf|KYwJt
zj*!`*tmJfF3^JD=v727#hC@BILn{wP4mBj?XPSXmuW}(JV#Xk5upwd8s<yD83=$(8
zd2NNf!rzPl-P+Wn4<kavgBVrb4`)i}qA-n5WjV4qDm-+onPNuFLV$^D3a6(FNi!5A
z|8D{RCVEq5UUq$eFROF!8|0yL9h~Z8Ir{hU_&=ontvF6<r;F{JbzC*P&W#I8^Td2s
z@@fB8$A9(vewWnFZ}?TsxLQirrszd{(h^xv3(BI1&(m78e{n+Uj$jfhPzf4^I;t<t
zZ2OgX7KiE(WB4Q9uR4WXL%N*GO-i!Ajv<oVxC|!(P)`O{fhpe7-aV3aXH}5*M@aEd
zr>LAL76=9C6X)w5*l^o#dW8U(DA;6d^*7PY81d7hKh0W6Wj>nV;ZoHIS>Sx)1-Uyf
zk9qOPX&L(Ki+73>|5@i<Moi8^9}XK_>8iN!0r<~9`OWye`kh>_EHKuLA(wL`R`%k<
z`3+hOgVdE8jkbNtqP<g;n}5J%E7;>BO2-o=QyHl@?K+T>@4}l7KiN-Ji(*#Sq`G_c
zN2n+obI#q3vqfY0x}>HYAp_{5*vIc9s+KO|l!5CF-aV!-^<>;fsPR2%tf&5q=6W3a
zx?9tsUIM*JY`xe7R~-mf-cG&zIdJ41jj0!0M*I6T>1trbK0)31|3fU8dRMg>u&)Rq
zGRH<Nr8(JQa_oypMO50q4e$dOg@{bM9w$^WehvSuvnc7%Y7@oyEBCkDoF-l6$Dw36
zn?6|^2cbj1{El1UGRg+UR2v^8;}D*{HK6vE5n4b~5ZEQ(_WZk5(9b9ZortH@U6ULS
ze1FfR3~pQnChr)voAa|eZ$IRp1c)20hfS%i9<ORKWSuv@`3TfMqY=hxZ`>tp=wv7I
z(K%C)Nt~}ib@PYTaNa>EZ7mek+BWVCQQWgGAKppa{>=W+?WEC-kEPE|8m#aLEGw}A
zD3VuDpD)ANCFN8eOnk3SK4kV^g1W>VH8$d=I5gY+n5w;DBbo;ne;Sc)h}ay-`8L!Y
zcU~l%KnXf^vhQGi=b&bJD~u!$UHlU|D<lw;Qz+sLR2$B1UO6Ed%*(5!3+T{%UN+^L
zRPuHZgK-r})w$w=B`>62P+{HW7T9hlH%y#O9WxeS7+l>)p4+f;vqi9znP|Q+i16ui
zCFvhqE-hp<U6FQ3w?Mig-A5Rs?EU^bp<@)v6V7pYq>&e4Y;g%4aO#w>jc&JV!<YAh
zr#t?G_SBllGOR766y!8?E;n6yG6C%7ECqNo5gVYk{K$9Ounx;5YAB2Lx-nv-^K>N_
zD|>*F(C^(%hYy)Boap4eVMQPOkC^)rfWj?D;tkk_Uz_En@!7Sb8L*S!p-roMJf5Il
zT39X;;eiM)KVneh%#Ut9on`Ie2EG7{3_q?GN?0umCGlnVy4$qWC?-dw(l?k-Yhhq%
zV@R2jP?6ulz!q9t2sI(PZtSiYqNUy1;YV7KyAL)5*7kkHQE|>lf+Y9<^=c~j5uk7g
z?|KUW8J$v-Q{}JpCNcTfd*SCRqqR*q^(PcB$v(3uvI+~;M3MsnMQzs>Y&OigvCe<q
ze5*vVTesd&R6o7HeJ+3z@LwFdSik`Oug^GpR*8&o{1LT#!B`l^epG9|ME}`XX-R7A
zF#D}U>r0(}uWO0~{fQWw@%<H&arnok*zU{sS&fH7+r0)Zp=+lg?vyWJ2rf3eUa~hJ
zdn%UbZccEH;SY8yr2U$Wt}Bzlbi$HCoKuU0l^Cx9IRj47kiA1Rg+0n*ZP)i}(Lh`W
zzcF`ZAw)%8kW-P0Ta`hcxTR%IY#$(*<(ssaUK?pP`_E9tzp4y1G;0%VFLZm#HkeP{
z6Aw5Pk{`&{>T)ssNzmE<z}nJA%?i&lQd?EaQ+8z0OFCKr-UWbdG}L2{qi$&3W(yP^
z{(-R(ozTP^&j1mgB1O3zGH;W6RhhQlYWy3ZCp5gcp>%kZ;5!&8gY83oDc6P<X)ZOO
zj^~7I07-tI#M{xW-K7Ox=Fs1Bt7M*+SXY?{5K28w81z0T1t8+I)HqprIm2VQr!D7>
zP+X>jh<v6@^VruckbyucUO3(CoEDfmd#R)s*<!mo+HSk5jtHgtz--?1<*BBf9<a76
zuLgYCIqpNj#%0Odj)+}ME4j~sqTU1Z!_>K;Cpj~b)9A*N+g(TDXr3()81hC2Re4g|
z1DGHTx00b&aWws5F|!j_RCU#F59P(x2fUrn<~1it5qJU2#l4aXmMOWtc7h`bE*Lw4
zpyd!Yiomm2*^)9Cxq}O#&3u0w8O38qJ|sTlz473q{Z)u*3szD2=%;hHF5env-rsyI
zy?>Ip&J$+D$*WHY$Zz&Zx>^i!e%FXWRUJIB_aR>o3piGw9wqw^3SWNNr7<vkgtL^$
zV_-n}(#AmZ^(ZS13*?^p3V!$VUKkP2MWYzMH3$Q^><AXv+B0}-N6h2<AJyq;Hisa~
ztxksq#(yTvwW(HW;cxCunnNhqyN5@QX6>(0f5%d@SyWLO8Nw&nJ15i!8d)5T;NCa}
zw%*J1+DC}VI8v!car){$&$1p<Ss343{NR=pjvQDl%QR<DoBx;NzYan|Yllv!R#gQX
z{Noi|FnpXMDg>-vW*r}<8<q4QtoAl^S!LzZ-zWUa`C@Tu@R54M>!v!etkprlC(Z{L
z*M;SW!4JDfVa3p^8m}D5Z$66pp$$l`*)>0!7T@0phz@eHp_tQAE8xukS?+rmnFeMv
zUTK0g$13>OyHzE9nkndz%zUP^Hx!f-#p}mn(Wm24$3-Txf36*O`HkuSSUUP&aMG><
zbHsv*`lM&EU}JfWfzPlWEeLNd+g{v6hQ5ZA7*4iqvKP*pI<U(zo<zHVEbaB0DNzVI
zT;eiuYG~0d{L^X^qFxx60{Q=aP55W^9Vw*r{CycyiwikR$fnshb_VE=-G}09aD^>}
z-<=2G_`GsLHdP<Rr`j=c7fWC|&5w|!^(}K}hrr5pn7^!jR-C!C3x@%T)W@3?lV5Bs
z1ae7T3YbrH4M8k3jX8HHE_qKij0xKLUB5gpu!Jk)j<1)^zDfG!F@;@d8k4fMkkN;Y
z>p@B$P`%ypEjx+i!X4k?^6=Q?cr>pP>$H!n16b5_)Y*r@SY1e^y+-W%z*=t0itK_o
zr~dS9*>0ARX@3f4{vdwRed<cT?ZNkqfAPD%Nan(66A)rDJS#jW1i1lZDsv7816I$&
zn23KSZjB|$-m3={HynK}b^qu~A#W?mn<r29l{MX_TzfKHWOi1#Q|`SCE&FUFsa{$<
z>(dEtG>1OFL1}dBErJJ)-t<AbdERrC$2$_}8(YSj^#LeGM~n)gBo(}UmIg}NA)64;
zcXvJbG7_TTyjt3pA11Ox_Jum9P;&%qxqZB`!xuI-!f0;oJ$B*1H#^}%s?UhI^2|4i
zEC$Q&;Cw26Hs`|?_;yuRRr<vMx~%&-t!m4cUE0zchwePV!daBGvA@AJm%5I-0oeX{
zQ6m&=DV3lVo3+D73w>y87MkyTd%dU~^$x2I^P&|D*-FSZ8osC1Lzhx&3}_2b;FYIN
ziblSGWF~ddR-8|W-{G{76%%AHUc#bL#KON_l~Dr6&rp;@qa^!d2DY@^(<`q-E#HF@
zig(z0<R7<L%sRvz-_AQW6EJzy|E6O6Q#XubEEi-0U(R&aiSaY1te1S6;QgqOz1Egu
zxmWEYsBR3Fh5mW)B9444aNwf70bf#ge0D`NM4vi_z*&kbW1kho-r^YfA3B%Goc(ey
z%FgFe+}l8%qN!{Ce7;pfJ-z5!sql-&j*Ia^Kf{%w+BfM{UfU$VmMMUd#?F4<O!-$W
zBt*7unaAd5Fv8fY8pw+pmiQ7z5E)ZM_HZ6o7QYiaP|^>9cVkAkPdeG}68D3hrwscT
zO0=lkDRlbkU=8+?>7i|>b{itXjuYelpe}l$sL(gyRltuIr`GoRQ{u+L#2Sr%{yxWO
zSU2wsAY_W#((HpB6EFk`#5!uK$f~i3;a4Ni>t1<ms^Xg|aaHK%fJW?_-3s2**NxG&
z@!wOoeI(|k$DnJODw&rFMf6{5B5ZVwaafMvYai-jk1=EV%`i=RMs_Hk+H(lA|5;fR
z>%g$}Ij&U3WuX4JtWFuO))8wPx&!r(CuW#ozKVamyckOls)eYyo?-(${C!x07a$En
z&}cfFESBhsa&nsVfpzY)y+BJZ{w(5MV3Ud!&V2fyJd~PE%TBF9>`(a83oy&x&i~4<
z;<cC^Wr=<>xi5uPCeh{|ckfR;fVw5)o<D<Lj&S1PgW<a9><7wIl+a$~&LxYvXpI-o
z+`c#Jjg_Nli<&z@V6yQ$M7`YO=*o6n#>j&pCpH-t@!t*e3L6?~0>&GwBP`iF{hH9;
ztnFi)_{#Q07U2F=hLw1OYxQX9Q@<|3=<u;9qk2)~xl)8(#K+*(5tjc?#<1RtD^6$^
ze&{r3LQCm05RN)8s_RdN4|mx$UYC?szx}V?%4PwZ0{Puyj?AVmL+_boR?Y)TpB~(3
z{*~UPfia3Xuyb&Ur8IW}8F`qo%m=i7qGvp;tRPWe0p{ib)tk7Pyl2ouxTz4OPrB$k
zRNA?#C9OiWt{*uAjlt`))t$aMcD(1<3wM8x*47<^Qvne7I<9+3_ex+1V!$#QWQjU;
zr`70Ow_DR5et4oBZ8QU{B8}#+meX^7!)Ec}0f_8k{hY&msLdBk?xahZOg^cyM%CQ_
z&;V0xzg!HRRxMheNt6n_t|8Sce1^{5G~WAbA4d~j)ecGuo)Rq;k93kFQ7=Ri-gr<*
zSN;J59A6lqpxwVp5vfO%orwX%W18ZyQ0*dzcc%Hw=r^VNh9i$@l{<W#g2rCI6?(*L
zWBFJAHVjpOkpRTxR!MY>Deuq1+eQ8JRwGQodnGzL3r7IM5-Hw)*6c~9l>HTM$sIs>
z0anS+UWGixbtkFz&w8)MLdOlR$_xCnVciOJxfqhvHZ>Qff$bVov4R>)){K+0bds)%
zk9HvVWS8R+dfbxyp+o2ZYZ^pun;7Z^8rcf9&g3luTb#qxY}m6<cKv0J&L_d~jZzT3
zA3T>{Ad2n{_~x5+?ew?Ev6i_YCXfPD4%WBSmxn2MGu&8~<};$U@2(Jhu@p$Qwk6QO
zkGh9GI>W2sBJiNUDLBqn)8sjUKrO9aBp9`_W#=;$zD<pkYpK+vMhCjL>8tCp<9uG%
z@L`xjWX+GU)XJeL0CETyT~H3fmMyNB9PTZkR5Ady>T4M~->=93FEanW0YNYQn*z7%
zT4%5s=KvEuE-T#!(dk%drrHqkFgV@c6fZM?9c}TqNkPJL#`3ByeNvuk(7=Qm%D>Sr
zU3ERb*uPLj;*#tZ;BA*K>DrP=2q4)IZLshgD1RLk6QaE_j2y8Wss@~GAcjk+bgs*$
zh$L0<=;5)Z{_-9m{tF<>Y^vWrqAv^BJ@V>GXH@T|b!&i~TpiJR^O9><d@%BdIA({3
zV|yE26AW<a+z|@Js@7;hzpBX(`9Fg7l66%pOgz|O3h<X_fjrD7<dkts>|yY(kLB#_
aF?(!}RGXcM7tQ6iA4F4bJUAY4TLsWPlX(UJ

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec10b8b.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec10b8b.v
new file mode 100644
index 0000000000000000000000000000000000000000..6941997b0624791a304b3c0f71abbf53d588aa4e
GIT binary patch
literal 6304
zcmV;R7+>d$6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3hincB8$37aI(voTVQ#RSUGv`-;U2);8
zxUh^(f+>yEgzExHCdi8tth`2WT~ZJ0UUu-jO?QK>-x95mQaGvVucNeMFe>}vsNm5&
zp*|!}`}Btss0;Eo{hrALMFnJk)km6FRJu%kcb!}w($_m$6kDwpK(o?!hs_$9?v?4D
zQyyUz<Wa{cq^smjxdPGq{#z#z?s6-MA(bk<TpV?W{`N12Y%NE@5x<k?YBb8DI6$qW
z&NRaN&gL33uvh6p`9LRdHL^L_5}Ru>qHU>X@uSqq3bs*hV4lCZ;6iZ3#L*sD@PN5=
z(tSV_IeJa^wsL#er!LRbsGp(R7Wl&o`vtcA<Wy9<Q<-}xk}`h^j(uXpOl?+=lx(gg
zILAyVnu@KUDLS}j3+D3yzYqIQ9_${LHo$8pbC%PxLiw((dJ=Aj^5yR_>4{DM=WcqN
zZmZJr&;7Omp}TS{ryOT#eW#-7Zr5aOO&!LMI=Kt&Nd_cG^4c*^_bJKR)T9`bP7YF`
zW}1IvJG@t47`T6X+5F-mEj0y!1rZ=why=-c>OFZ|jKOrR9(k-OVeq5WT~b?Rr$%|0
zjw|o6&F~_jMh-;kl0C|$MPzNhFYtWco7po}XBQ~NBm<H3ARb4q)hY$f!Q3oN+V7Wp
zyxE%ChWo~t&UZ=vFZ@H<W7)}Ph5mi83ZfQ0zx*eC$gF=Ty$g>`(@P|B{!7ICnRAJw
zIr8f2y+6*bRl`<SiKy$M^TSd1tA^=E$4*~N(&;wTkBd%xP5Zq93LwEXR5g}iBO5ds
z7L?K&e{6-!tic6^XPGEhW3)X!<_Km#RU;|(KB8zdW9ITUOwq;<2;0JB4EO1mnGU)p
z@Z<fCY5II0w&SKczgs|~95mGxHL<S9(M)-*-{y84f9!u$!IIShdSnBe8+ycSG^XzF
z|AyV0Z6vKRvv786b1(qoka#|RK6O1F>FEf{oF**s2LKFa5Htb~xdI;T&*vB)0hwTA
z+G;}JtmBXgMqj2YpZd8~wk~-kuw5gmJ!D_VGBNB{on6J1?|ePpmh{QMUtQlh364J{
z&zzcB!f1B4DP12jx<oGF!CouxDb1Cc<0Jxkqw4Q!u=%Y_{zw{tiu88TOvY|10fvha
zQ`NkM-6T*UQ6;rCjf!h<CWl0##)^#oC|dAs{WMIA@3qEu^-=bSS+*X&CI(QOQsb6J
z@keEfI*e<qb5>t9aIT|Dql`z)k2&>uY4rM<L-x+fqCZE@%Jg7-)10(Om|SEsJGqrE
zj%l#aZh@ukN^OJN48^xWo{>CFUSgMPU<9~LPDiw|v-O6a9dfjmC+cy(q!579ic&%8
zuDPJC%7wdwrgHq;`h5o7$YG!tyMdw%LVCVQJm47ReO+DQdXNb1(#YVEyq()_Xz~=E
zZwDQSr_j|L?t3>#kGn={pFv$vdi)k&3eq=D4q7ZeJSjJKxJ|;3UbO=<17ev)Xma^8
z)t+W|XSLAd<8rj|j`}We&e!C9G~caSSrNS|U=Hr?CinyyrlgMZ)kP_ot)cLZEXPev
z*e1kjVUZDf4U5Wr8q~X|(q1J>2EZKjTA|`x)wjVr!Vp0IDPCl>YFG+r;T(FISOFyd
zAK28)#VbKMPt|34uA<6iWPgaQOqY4`@c(NUsuwS}?dk3&a9!lB&CiNv{FK5A;tBo^
zF`-Z<*3qOtgixsS`;85d5cbrQaXvef<qGMGna-b0Z_eviN5z<Jhe`Fp@FdQ&a)1y{
z9di^zi02&t2;?TtuMrhwIw9nydq?&o4aLS)GfTU({3HZOd&im7D@mu#)K#YkcG9M%
zK4eb3XtGUEJWCQEH6#rqy2DYgOwYuPM|TS@e#7I~g6T@nVoQAx0cDtO)AK%##y;26
z@tq99T=TLcHmk+K!GhRBg5HKYNCqumFr5J=;%Wf7X;Hopr`TX8HM-(<>ClFlQp4-f
z-kjE#E!SOPa7N08KYGfKYa+hAswK?NLV|gXC5K;Rk%4cQ5Nh0gA;|{|qXB&ClDRU3
zbh<~Ho=$=uKVTsjXOAPw(BdR*7*~b`&a{Uao@t@+bU`Ejg;qe(|6;LGVT(C0CVQ_^
zfozA6R}rH&Dt@Eja6+w769~a}xqoI^<~sUro`d&*{$JX=6uP*p!eZId8OJ{%0Lia9
z4KieuMIOY&c@zx&0f_@AR(b;^2}>2mM{U|=D<UIc6*WhXgph8CgVPhMqD~WWHg6t=
zt|q`{y7AhxlAhkEODe`gS_rOpn43#6d(Hrdxl!M508kTu%bp6fh}k@J`PTNeq&<>&
zkJzIzP?N5@jUNK!_)!Bgc|5@ZB|Kiz^bWKuL<w8o7G9kn!j0UalQL(>T2{UaR84Ax
zm%1zR1g^F?f1Znidg7mf{S&8GA!=z_7DDaHM&(f08?s&@xKyg>6B?Cy1%TD=B!uob
z1lb?G2;+^JoN35^DTO7w>>44Rn?6GTRq3|7r}@x`Sg&A#Hu<J(rQ){G?METiAmFH>
zT2=k#v}oTzmH7Y`8Ssm@kZ)Zw^t+=35<T$0;nD4#xJi+`LwO*_I;+}uPU<WY(~8&P
zeLXgf;ngo)kyR0*)krik#!aK?zBl;lZ!U)+L>Bok7O8;M;B_u%&7ZLS|5q-Y)Qe_}
zK+Rxf?#Z7ycI<n#r6Yc87_efcMZ2me4V#eOUc35M6>id7IJVj%&=6u)Vq`<g)7&@r
zm?YfwH-uNt`&{)ZTCl7qf*j$_+}(3a?2?IQR;`{Q;-ib_DR6>&9U_j0!1iyRI`5Dm
z#raq#<n_wfVQ$B~q@cZ>Y{al(L&zv0xeQPwbN~MJg}I|5)7>3`p(7+D1IJ|vYn0)m
zeSj)SiAcSUDYenQ6lBA*#Ej?BXzpb{)>~4tI30uec}doyn%PKkgG)M=gFkq|{FGV$
zFB@Oi=OCR&l;bAOXPu;DYR<u~(|tISuLUHi+6_TKOG>{j_X%m>#wx%!H`t`AAYF#j
z_l>V9rhQpN;?OQDu)ty%jBOoSu2iLc7N4_(NBty1Bsqo%qsLn7k%PD4)OW~3Y`o{>
z#1=o4&A!*rtwS^HK^>@bj17!2yCwp=6C+HbTiH_zR_2F%+@wt}`@uzI4`?R_6RNGF
zDlo4IcvKN~nkt=><;m>7pJ&qZ#sv+bWJ`^OK3^a#yBp@-B7%6M_Bs%9A_^ag7HI3j
z!W`>h?+ZE7CdYs?>K+E0u8ot@)0$~h>(-bE|4wAczivs*@uJ9m<l8^8|DI3_Dkv^n
zD^OxU&{VMCos*E3<6)wQ&C(D6oMa7IR*An_g7+d)HJmDpBLCZdr+Tw%w4*Fr@BpVH
za=4C|x`%<CCOGLP&n%(!em-<YuBCtgAX~Z#*AH+!@IMT?Jn&JV4Zq@sVn$gEJij6l
zX_UMIeMGECI)f+?+OL=M%?0lZ?$!a1oh;O-v=;t9wB#fn-D?{XAv(CsN>+5sQrhV2
z{Pr5+B2h4=4!?UXo$v0anF5^j5Nj}(VkxXk+RT=c6Hjo=b}ssM_iq~S1l6q%XNQ(I
z?F{`#(yywJ>7hsV-{`--_h)*9tQiH}At9g5|NW9PnldYr9rc$tYM&tFc@~QKq$i>h
zHSCMfW)zc6r7>=h=?EZ6vO&*1*5DQq?WbMVnWfnit9g_9J)<NDx}XzuU!Oc<w{{q(
z8HDC2vT=h94fur<h05`H@HVS)U0F~&$$8n?sNhOdJsv-DrUN8Y0&dte6_*<@6_2t$
zBF-IiwnSqDS_nMY?o2S=q+l>+2+qS%CXB5G*4zCR;*@ZKf|2yz6~-@_WVGt!q+z{!
zKXOH1LE9QC+;R=m@y-@qz5{Phg^NeuCf<@t_N5YM1nsu4E~+*k_z@VbdTB223SSAU
z0?`|>qGi1}!1yng)*$2d^4f&(2+6BFJvkvDj}%k8zq@#3TjkUYjQ}n5c36Qm#WTP-
zqTX1s&q|`+w(UK*RjfW%i)+Q&1}+R%6V)bA^5Ld+dVA}y)O_rokn%L(!&I^qsdyVW
zynXc^<+Eumqp#uJ)#EM9ivK41$o%L0&9zZZ5;23ZofmwDHo_Uzii!OK_&HlR%gQO^
z{qX70&X%GROr$h~qL9&NiHzqD5;|mAIY~m9ZnkA|pKpPxAB0LK={Oj_ql@qH#*lin
znEV3)aX-H_yVoy-)Z;(cVKIE4knH+mn|~QYrw`(S^4>@%R+r#wlMD>@bs65HT5;=v
zL8L1hyu&d`5V)*Pdc_&NoP~mk4c^QLUO)5(;?L&Y%IFYq#t_T^`lCzvO|bg4Wv_FM
zt1I{G8j`gjqe;E2YTq8>cpq|ew$NOiM1?Qr%vxOws}NJAe!ZsMex6Nmk?9&%9KDhq
zC89lb{flAbSK+L9x2dwoBCq%Wm8H>)Q4-))pX~cMl7CL(k^wQa<+sOck7xcQgun-C
zPDK&!5xM}xn~unrgSiR-?9$0CLFokZ9^^D%p_p2v(Cx5;t8}?S!{WEOa=`!hF5V&A
zo7XkV!QVK3a4`=IXY>h}O&3@J-{+<I1~!>MN7^NZm?`Uvzt!56RyhhD+;E_W;;{P~
zF$H_x9-iYQ+K*w3o}ZvScIx&M@eGTgZ~1ClwKXxh{QaEO`|@^iktgg_%~M!laR2jB
zVI16_g0yf{m$_~V6x&Zt;xI<xk7{Z2Z`d|+*H!QB3COlN>3m|9@SNysmB=!fco5qR
zVvKpN&b!XqgD=6Y+|2f+sb`DMZbbjM<V*1po?qW4DXIoUw31P}S(nsN?=(w#-}N{7
z%(LlT4%EbR<l~(qZOV{-^$LUVy--o$*M+uJF2jY1PFC;XEGEng5d^_w8<KEcd*Og?
zw0=W<KvcYj(qr@~dd1JmBt~!e`N%mTeAm61TS_jl<^@+hIVasF?13D(uN(NuYO--o
zV9(&I4kKObzPHnH*9}ZVZ*r48{G4m!u`1W<WY`$>la?4(m1kk}BLY{rM1yUSSGsCt
z^fc6vWQI;<_uyd<%sfsjZ)<te99YSBriA+jr?%Z#I~X=*CK-Zfh2qp(7aqFd?t8Ko
zy?uS@k8gdBY<3<DMHgny)W8L!L}sk77`6@kBg2@taI|*jeX%735kFKoIBgIHI0a|8
z>czZw6?wWrR`HYrK{(9r(!%I5{4#-I^192Bnl~1>b`=lAm?z>_YPfu*Ckyo71KqDw
zC+B9DzpJPfPe(Nvle*=NoG*~&EW{T<rH*$kiBGxPKW}fPi^M)3+;pswE%c{%x5;f*
z@Bzj*=8vM4GWUyhWx*8Hg_0GE!~`ODh8Z^c%XUS!T+?^Qd7MduMf2gc#Hm=ttnImU
zOi`6HSOpm(zi-77clVj#!}ax`BE7~zTscytgu7Tk!C)%pqIZ!*U?U%cTL2r4zP-@1
zw-!mW)2|x4es~jf`Z@`|`2V=Xm4gd`yh*|iE$k1IKfsE2e6`oF6%d&K0ZK&2mz$PJ
z@q6NOjf;+H%7eKsq=fzBwDTH>*Db@KAi+gFf9$^=^b;fKf-7_Rp(5NyzWyb#peT$d
znS?T|tNAa~de1M*9gC}A=0^3b27HqM_Hu9&Y1kOzvm9fOc7LvVU-vR-@Sn(6IkjIv
zg)s^K-XPoO`QdMyyfirWl)+BAwN4$;*ob>Lk=VO-jx3O8*KG5GKpxC)ebY_D+o;NO
ze1kPirk|<5w&1#0+TPuX#bX9G{G7`s)P_I4u5Rk9D=vNHoH*Y@rh~Vn%^+NPh8EMW
zopy%@ZhfBRXl8Iukgqo;+B+NjG6%kgXqXeBPNo9gaYWwo0(*mqje1{$R-cRyGd+^W
zRX)#deD<v4ZdoSE;5M*uR!{TRUE1U=Xj#*^IL4MAA$!um+Xb4*q@5ZH#o7{T0u%b5
zf&ZDB^8M3&mcOd-<^rW8?`3o6X{=&*918pdwJ4Updv^0<)Tb90c&3nhKY@y0Ya00v
zIDoU7TEaLWDkyi^W)1WHRo;q7ruY0$><Lvqjl>kL{!og+H=WoHkJJ%$#6Of)UN)pL
zb%`m)Yt~vhh7C61d!eb<Yqyse0oqRM$AG&>%<AYcmCQL+XO)_bxrjF%a0sPE>VqE`
z92y56_tG|grpF9l4ij-65lxmD@HteiZ0_-aZc$cPm}^sttTVH}b3Mi{?HJm(r~xZ)
z`0?4;2n>@EFR`A(t%R&oe4`!fH7d4&HIN275%5~k9ZDXAIz1CQ#eyklDUDaAbs(RO
zgdk>qp&?dxU1#Q^4#NWqX8$>ip_<1^*B*7RbA(B#V3M_i2t(L1Gn)hlxmQt*b|tA)
zAonV7!U(ZRwu7Q;BX8bnHu>{M&VB=>AG{cYRFaQtvNtvGDel6gBr)4y<J&v+6W&*c
z6P7whTX7Fbh^bzbpw`~4-409~YUt@_|F~-91Rm!_r0A-2*%77m7P9bg{ybzj-b>lH
z7`|8B`A}Vs9D7pfCrQ;T$Y<NGoD(eqBDrM_x!!g0VxP|<@$*@pd;H3Nbq1&If^%nG
zv09q!da9=fS#KYuSQs8&ujB5PoOE6E_JvTvp68I#n;@PHch=bf-y%;A$FdhqH>^4H
zq%pt^EZ;#y(ArF!?xCc9WMgY#S_J2FP^lX#bSLhtzElF}lXLl$s-@NDod1I&sPMuS
z9iVVjvIa8lZmAzmtK4qv7lK0+-t+z-R-vvG@Y~)FMVtcb^7IJ*xPMh~jFbOlhTwi?
z+6bq}l%z$OSKhd<`G<fp6Ca7!XnW?D3)F$Xk6uihs-_=u4hp?*a&dc@(4~YyX{frH
zqvxy}n<v<rixf3!(1q~s7iQ!%A9os~_isb{GzGz3-E?(kW0}tW4pFujK;fc2G0C(c
zHTQ}BNw_9KTrav|OsiC0zZF#c7M|^mK_m^Oh~$yay*Lh%j)%IZ5t88uuy&oa$EJYs
zX(RI`UD@t_p2{6!>H!+9%QF|ZUENSm?v6cbg%UF!*upR_v939kIi1h`yPTiT(B%S>
zl71|e$|>v^U&~sbHyQi2sB)lyMq(uYbYFAuXf6#%7N#To36@jzMGNjtR8Qy#NN469
zZ#x6CFcQbrqDFcV5(ov-0l?|n1{>WWi!lZjA%k_ZA64$R?!(_JmF#z(@m7c#K$FbN
zFY^1$J(C&8HV4KI^JWQ+`<<T`--D1krx_5A%BQKtSiUH=|5j)=7lvx_1@wDWF#qMH
zlnFhI{#u~d$$<-E=Fx}^sbpgroY~wWCNDI3R(t7;i)vHfs<pw!O4^^?i!C`>xaQyd
z^5{#Tl*)F7#tGalx&}q<)8v4?x_tOoA6ux+H)zQ&RLLRi6Jv-&l&I6VGuxp*>k!et
zt@TccI5x+(E}ipoU~x+Ph=s!-5a1t>IK&VYd&a$8EF!+7Q|(@5mgFaIY|@1*%-0he
zuxaTB3wyENQ3Ecasa*c8dE{txC|J@NrKAM|Yv9lVd2mpD9EE({O^~IKB`uoUbMRxJ
zX*{h%RJC9_&EspS3H|^(cl`8`(kHw~4c8T+&n1_OJT}^uwKLR5zX9Du!Q6<HCX)g`
z7U&J2&<MO`(DArZqdKjE%F+n_swM+Z4t&@p3|HNM6k<d|WF8%vf37laAkwtZ5vzN?
zHs*X;l0MqCD(btI1CPbXlivw@oFg3~uZJ-LRUgc<2M;F=%6N^8G@Y?|sBjkkV{+%{
z?DQW3()&oKr1NDL&3*i9rQv#<2+ti=DE$SfJ&nY9iTf0<p;SiDsTo#j3N_ponR1NB
z$?vYJzqx2Jw9+c8*0Asft10|Ni`ilQ64uu!B&f@B`b@T`@33Q0LQA0FT6Z2towh3u
W7qCcL8pYR|EG_)0&#Rp~Ao7_MiEKmw

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec_func.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dec_func.v
new file mode 100644
index 0000000000000000000000000000000000000000..083a1fb6e358990de3398039a1875609d7e1f7a6
GIT binary patch
literal 45008
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}VKux&h&E7jc^
z%&upHapyOMLsDn#u5yDyN38mIhDp*uX|6Y>#l+Vtrl=JXlLc!Eh;{xEgvqq()-EZ|
z3-w7MO(wO@LtXIim5{{6zJZGu>hp_7difhYO@!Whfn3xbQClfT(#SUUN!G!Ler<xM
z_;I9uy<LQ#>K>JZENdM!uD-?^EbNSBRUqCsDycV3Hb!$8(2jjg5*!6T4s@AlLlf`c
z#T;)f%Sq^XQgq8@@53!gFRi2<4)~>sK2(lHxliKUY~fkQ%iINvkSJ!99CjK5FKk?i
z@vs)!WSfVqTljqKtgN;@wSAdGw5y5q3Qg)DXBlwRk1Tj23iAdcf}Eq#SW{_B1QG}|
zVI@!699@(j?_hXFmD~7-=m$1+cjs;Dq-jwduK4m;)1O(&qNrNhGupKk@lx<D8H=u-
zQOiouv6gwr$`Yv&E4OLw!KZd;(x7D&SNEK4nV>%w0Y{g%#!Nliz|$QO|30dex|6#Q
z+vt5i!<j@xkC(%vo6RWJnwWR7=tVeg(PXyVp}c?Uy33^}GZ5)YOY&hvtz#mvH$gF~
z@&5|GVqP~%<;^K=`UtnHpIzSKh?2y|lHbA79Y%0?%|$daNbIw+nEndeZnoN0o3~;8
zH9+ILgNPV5+8p7zssSjCQR~nPPmQD^<K1xD7`^;2(a&@;Hfae~p}-p?f=t3i2U6hJ
znIy@Ea!sKtgc_qsukk^Cqh0f_%pr=j6>5Et{Z3t3!zOtRL0b0r`iV|!L4W@1Y0Pp6
zb!>w^%imLFY^cH<=GJ)z83VLl-16uU2%qDIM0<-baPUQX468~NaNFGp)U%B&g6Zh0
z+NZJ%ey@^HduSn(%78+L=%4GL&$)<Ki=hw>(~BWpK%&|<YA=at=TzMd9Yp^N8DguX
zV3-F&n@L)Ol+Oh3CzJSbbX;ti@_<3j6%E4n1a@97bV!_k`61z8C+-v&vyP-#OPI2-
zE^x7%++rn)_7VSwjW9doMUz(6c$gZO*Y#{n*Oy9HJ*V&CYYw;ieY7wAVg%w)7MA#4
zwNK~ioBe`7guf`HE!zdNU%)|qnLF}D?AzyXXX7@iA}U}p?r35}<E;V0$ehyl7&m^+
zmLwmP!`sIIKQL!gn}d6MH(ima>B{(KV4k|@(XTV0L|oB6F#DmQYFxl}l*U#<M^;Y4
zM$&RFb$6)EXuu~Lmc2ykm?EU}gfI~QWyLo5(G~is(i<v#5y9AO7q2;M)vNQ_7l>5u
zyg#`N1xGLa+5%%s>`6b#ip?9IQL)!06icB7yI>);E&IqMk2{;g-CX@^wNY=K*;)bu
zNFV3X@}fP~##AL|7<yQPrR1vzd2<YLd`x*Q7WqW(Xh>;=0mS@$I?Jd0>19|&Cp6Xq
z5yAq;njhYzPd&w;=-pC*_2BSQ(Jc|8U`&@gijp>Q5yPh}IPMwqjlUIBAJYe&c}|{|
z>TE^dK2dv9HICed$fPWe4HeJfGX%qBS`OE<l0_<0rD8LUZ8Uc~#e;qYlku<PzFJQI
z=@iF1?G75I!3x@zxq(0tL2=|<6CNa~k>y?e3=NCCu@hrH{hKCQI0p0|$oVB}vE=cw
zY~>zJaePUt&({gD=!}*_W&-x30mG~D7zJW?li4CU-JIba0Xqa~1uaxQObaI5+{rHo
zmmhd1iC~)k>!^DltiC5xjY>sT0oF*_g6xC>TsG;m1nZ07RR@JLz=U6n@s!7}ls=-;
z@^l?+)35}!_|$FVM%6JwfBzE_2p~iz5U}TgYKy3}87GgP2JOwtuxJ~s3NhntLECs+
zRjJnp9gxmXfOehy>m9(P0656{<5*S*7_~_oOM_}u@}CCF2Q|9&<Yi6RV5S;8s>4o+
zCff+_`_n#u87rG%I{TLW2wTa5hwKzX=x#+bI0?+(%S+PwW`m$;2=vEYwf8pcTaC_W
zxK~gyynkQsku&6OgzYuaT-WpLUG0aYCg0db<5Vt!Fo&I9Fuv51j^?KKDWHP<@{KDw
z0-#0}5p`J;1PfI0cysbqpr)oqTaMl;H!(^|Ua`={ADJJNfAf0Oi2TK7-OU~5V3-BC
zupI3JsfamJXvrI#Srg;>Orqovq3rWS{SIL8Ygom5ern(KL+9CxIC?x(R>L5$3@FHb
z@%&7;H~sriIqIHyEsAFWziME>4(S1K&E?$TP?4^8Dr^B7ZF;o2`*8GlD#be8CZ{<s
zaGQ0}q;>rkM**$Gon<H9*%C~2aREe6<<&Q^vFGRz5*i*KN3^qDm2Rkfd178BV77tD
zgy-ZODUY$^wco*3-yLBA;h6N^0IONI_c+YI*ZBXJYOj_Pa9PQmAl(7L<+rN_^@1jM
zXhX8?jV%dsT`k<@2)w43xDLc<m_gat@fV8IFU<gvo1$&xb(!kcWGtCO^DPN<K!dxL
znMR)Tc>8xYcjV}jMs~ezzv}1$(Zjo>xMD;!Vs5C*!+rkf{{x8?O(Wh)`w-*|`jVpu
z3Li@Gza{O2ptOpl$B>*gb-EFfi3!JB7#ysTEUFN|Z?QYqn_vkYOmyY*z{nOUdPeVt
ztf_Rq_Cw;b_XfQu@TH)x3wV3M$g@qBu`iKyp~zx_FCm+VFRR3!W<9or#Ei*lMW?HJ
z9={9BafTW3l)o4<vT9c+Q}5!6P$+$_@E!limZ`ETO2RA5cSYONmz@)vo6=bj1Q5)-
zF4UBSe)3d_H=Pdtr<Iusd{KAdWY^-U`RWK_CXrm`@CX3boF;1e*DW$KdX$Db`d`p{
zr#KkN?1)q~Xpm-fkMJkBKF(|KaS~sdN(Y_1CERcT+J^!wI*_BkEppZt|F6qF?~Wxf
ziIFetmzA}dBA0!`wBi}N5=9z=zwUcEQTzRd2rP)Ex0$io7g=R^bwP-GEEtYZEKC}`
zoo-;7;g`+1E)&50BnHd%YaNknzGo_}zmlm7YY`&6-GJ*I0MNk3dA~3LS_NY}WRa#f
zVmgbOyphL}t+!mP!l6Blo=m4Y%x)TCbd~#oaB)JthU)5dkj{TyV>2?bwCPM$ZV**X
zy%c6Oq#u+$g*%DafTC1BFS>t(Lma<OAx#mlfOYpOMRFY7pSgA1mME_T0}yMJ$-N*l
zIEP0dDT}4o=wt0qoeu5f!I#T`^fTxiP3}amw$-n*6CHiDj?OWo2!-C<E=vhmK~i9n
z)mUg;C#4+GxZG`bF%ENt5eF<V%F3QsZd4NX$wcG%rZ2il9`6{Qw9;Vd158U=iNKy5
z553AwyMi7N4~#L0*RL#%;$?q76}tX%@8>@o**5Euxw{159Dbh%p#&wId^hmqD9oto
z5v_8E*>B7#nlwo41Ag_!@b`hwiX)PTj%#b>PM8sTo+6c&EH9hMJs`dscPhBw0j9(&
z6346RzFw`j6aQr3T83w%96E{v6Mzr=@sie4adg@syU4P#n)_@f(1#Aww%vC)1I`K@
zy(j9|jQ`{ACI^es14QogpN_0EcYd3DxJa@nL;a+_!a}7#-$M#x<(RpH8P_LXLX|b}
zO}TY&75?_FyU+h%u>}L5Y##tSP*)iF(y0_=&$;8F6R7zZviBr*g=*sZlh0ZXKv6!?
z<k5MrB&^ID?Fxlee$tFl%7ECYQJlaYY1)<)>_gn?V3pU<?McK~^6qz^0cE%(_7A+)
z3rkGgSCoWm%fj#6y)L|YH;eh28O-6&-*HkvmvjqEB5<Pt`@~oUP(OvU>XR*I!o-E;
zfG5AHca-<bWBH;LfCrKnV*Xkbq?D4v7kV$yJr{s3*?K;&XPltukhu+ep!oajnL+~G
zJ_xjVI9lUVA>TWdfd>=yy>mBr9mtAzZf(BYHETyX&_RY*=`^{<u^j{>1%;@fwMPBh
zA6cyqjz6I0rt4N8sFTH_=1^3bWDY6WnWXvdzMp7cHuOQBW{tww^i^=)09venb>eDD
z#3pm^S~T7zAaZGXx@Vx6C+Cn*Tz9!t%Zts4UFOx{4y3^0=}-k>fo34DN(<wDJcXFU
zvW{!ZXrp-(`6#6L{x&dmO)YM9&6(y@WmJMW9~U!I;0t9zzgYBqyTZB7gH^85Un}|J
zeMu1PDYB!~i58ZU;RS8%GPJTpv&XdCA5sD%RRM#)=yaY;w5D)0EvyS$L@s@WJp{w(
zXnU=t6Ni6^CUI&6EEZ{OL49u+s#J0oS0cnuI=ytER!%C2HM>Va5vn-MB=l%I6(|E)
z9gZp`HJbzPWldK=4m1=fl4q!sZce?!tI6RCQ6}or7mwQlPhPoZ;|qawDYx{$tG%hR
zYEx;rJQIvFF#LS-E|kPJ00<)DHJ-;&*i2lx7{<mI#sEDd2=8P#&tK*WEoC7ZkVKZI
zP2SfAot<J&ulozlTR!MxRwy>L0nEnYMDb?Ha#>f1Dyn0>%I)@cg;X=bJ3dnMd#wc;
zU?fj>4nmECJj|tRe&%2qy2#fYz8T9yT4GDc#yval16I=)^DqL^R}VXtmM6$tegm5}
zost5U#JngGUeWK?A~zWlA+*br7;i@?I~l}PDa%I}flTx`&Gz&v=~G|VffCY4yLR!s
zyudX0TPssH%Q2*!Ydj%kzn^rq1Ecj!TX?3+F_S$14=Bb}83O))PXR@KzgvFICu70F
zkAYuxasawaQ(1oJooOM@-?`mZ-587cSG@hRlYdkaUFJv@vV4;+PvOn22zW4B<&j&;
zr~?qwH)M6t<3cghNF3N%Nqs9s7=yuL4~`|MR45cnr#eoiSFK8lSk#qhSQJKYP9oQO
zsWlw;P^`_Jio};o)|V2Zmf_IEmOMO<9b7m-j0eF`LX;N>1NOz$rKkQ=UIYg1rdrU|
z3J$ub@7Ht|1;V9+t75~3wI+)MAh>UBo8>&KOG51EAE4>q$9erBS)AoH`J)Nkn?oQX
z#(Faz1nB~|AM{5p+1gTCnFwnI;I7qy-4wmSL85Z`6o%(7j|*PT(8P<LfDuR1*bEvw
z2M$}x%lc${R?k<Y`C7DgOrF0$uR92LEgb6Xq^f%hq%ArP^3RKQ`Z*aprTXe~j|&03
zAT!<*C2llCOgOYE9@extU%-k|UtBbo%iSS8I$>pM1yx0nheaXAH6++nHh&=kg=|XE
z;F{d-(?aZrc>@?BP`Si?vXVS)<Am>X!hv0SP}9h%vFG!YE4<iWrR5^Zdxr6$>z-S7
zu0#|GlNhw0!LgcKn`=AIjc@n9N>Bcw{n}izcbKm^7=oZS3(VJ*!|0|1T8}e!M8?Q*
zdJoU<>u1D)T{go-yZD0ZnM;Jjgk6_+U-qLDD6vEoBZ+X?_dZ>CXbtP{SNgic?1(&r
zx?}DDV-Srz6;uW<0ur%?r01T#%>|cp!V(IxJjv=n2u*XegX|#|v=i#;oEyYuo=2#5
z(WTwxC0SQ~zbK}49YFX+<~Y3bq}Gf5U;RWe-6!WAsNpD|FXNL-oljbUSj6Etbn!=i
zFs6$Ak^?&Pq2abGjWZcPRC-z3;W_u<CG<lUb-)xfA<^l}EZoXCrZIUtynrc`rKLGe
zbCvVs8;%Fajq)={@nfWZ=@(5DuLHkR|CaEBM<~H;(T9`N&vV}LQo(H_w&LY85L?<U
zw^Ez@zu07&sWJ<lEMG_;)Z9t!LItS&pGX7Lv}oG1Sd<a8VP@Zh^0wavkiM2A9y}6v
z{F^8zbnV2*60#~I0sSvY>2P`Qkke{}=sA6H*sD2&k~ikpU)w+7(cgQ%BNJC9K~=cz
z-1fGcCnhKec^PKiRiN>F+%2x{)lpU^P3{*i9)S!hDT}7$vlLHkYF}~t@zMGY4&sU)
ziAzVx%^1Bf+%Gi9CKX3BLtt{-D}Ss>vi<cYJ#3Z<@i@)IJ3v-PsHf7=DRC^K&a<zm
z-<m;h?dR{-z5k)sW6Rz(EH`lE>`mPOnYp`m%QI#qP6WmeTWy*}r`(e5V5DlpM^1l=
zN6JL1l%s$UXNqdb@t~9e6fr9g{OpAneG}f)eBivt0uY>G3CY}{Tua1(>q*puStKWh
zO}0LbQg2p3lIsL&c&Bj~ybf8%-<7ii<-_%+YU1vEJSI+}AM;5}8trED`)K;t?Z+7s
zfC7Vz@;w)7JZ{D)Otxrc;RZ_!qPT0(ljoKXrT!eJy9O!cj_{H@kpj!Q*Kll?kTf!4
zvqRw@S89h~C~nY3<Q)Z24wpc7nwL7u8*pKY*6gA#LE!a2q)SWazNgjy6r7eiX+%k6
zd(|FjMqHxaT$&O%e5!m?g|;VRJAj%7fBjeH9nK>;*`ey;1Z~fWmSMs<#GPAvDFuHA
zL+(u<cw~NG@6+UoCDBUY31b$>uiq1JdiV~7`unWSVvyLe#T>UkN(!w=4JF!Uss}qi
zlJaFt(0f-~gYzdKfe$5vP2nACZg}7(ZPvsh8@Gy{j$KASqY@>+5L>Oa2|W-(I|cYX
zS<s{J*nZ@QacTlJj;<A>(!Sx=ui)EYt{x^D!Z3JRBCu(td%Y@#0<mrm!q)}k1qgI*
zlx&J~xRJW6WtA<84ncquh?avsOK74R_JHnX{!eD*(Q#i6a;-J>g)NezTU|P`oieL@
zk~ArVWIIRc^42-k{f^f=WoqD+G4qG1z2J_Q!54~cTVVa#@?*l;D7+rDIOGCX&&_J$
zcgZz{RoE}n%LB9qiH5r7KrkX0snc5r5rg7O`Z;g#5PPH@oBu6@dtbhc%w6s4QePp`
z-F?O;RLFCoZNlM0=w;hEeAb_<PaJHzvxVUv74#t_6>#*I!yt2&@++qSR6Lt>!~FZQ
zZjB_9Hf|$4_+}?b&@AG>gXFB68?n8w+J$dr;N9<g{R5<NVVdKM?L$yKy0QFf5zM5x
z96i8P<|6a?x&?I_Ff$73o5HLzsT8F&RL<HK#FKmswDyi@C$=dAhGI?Tu0o5=b^Lk)
zsiZzx0U1x5aTYg|4bban-2z^k3rVo4IhwGBy^4yJ5poi^M+#vSLuH{E&z<};c8~xN
z!xFeJ=36CFlw(<x={^tnP&Pk3VlllZl1txX=L^j|v{xfhNwx}*8<TtQGCYR8fDrm`
zs-jp|&WKEf1RdI@Mai?j#P)d2<roa=sIjS<nOrrsIVG#Wlgs)V&ZDC2Q$<CTp8Fo$
zy}!u?w+DHWDk;3H1$9RV+ks9geOvZ{{`V{ro+ng;O5k8+`qW$ehHsoUXQy^9fw1mg
ziw$VYxCf!+IoFZ;n=0&?oteC)0a9D7T~^MscAgv>#gzYW3!$Fko+^SMl&L>(Lz)j;
zq8>LFKo(&_lBU91GXmT2H03{g*p2=5s`OBo{(87dN%Q+I)f|<p_dCYRku4=`kg!(S
zb1<SuBl3y~fr(4mAHz>y`S9nmCyJ?(tUO<U28N3hv+{-oX>mb0kco1K7wL)&J194K
z;!MbTRTk9nVu*-nNk5enNXbK#MZB&X;5eT2v3p0EuAMoAseYN~NeCMH`L6Up>3GC;
zqF-xJCv7&s0ZjKmZYZjr4Uay~%{qu)yq08Q&r@~xJe1ypi{)r6UmDWwTG@B(4A#cG
zzpj=lH|;`?eRui$wp{w`4kpr5r;N!LMzVQBYe2APYczx4I}#8WKyT&lnzD-dgx6D`
z;TW}P%2dvskkvFwgxMv?o}%))M3PU}AyMr1A3;dd0rVxF%hUHVSF0^&F^y}6AR-Fp
zmC9Q#dppQU9>)bAk~2hsE!)AefbJgvp<$C{Z4M%g>wekCk7ux@VSrPpU_RV=Uwpa9
zHUN4cTV|_U$1}C#8D^7HUqBT`*L!rB$4v~r%;xVrs>I8aAWnlY&}LnY<vPHeOw5eZ
zA6?H}^xYZg-Ns`oz54g`j~vZE1Kv%UWEq>ikZv_WQz9>{pj8Ca_Bzb!1h2zfa@is=
z%_`VECg#ett5y~Nf2?=4argArI0NOhDcKslT$RdJ6SCpBnF8wLQLomEa$vbHOLvlC
za)ep6##zGi0s*iabDEdW)xSfepjqx3>6EcbiC1m^@r$VdlcEC*&_d@IS>DKT;Lv_!
zlH%fFij0ZquFiJ1EZH&%GlRn2EFhCv`<xWo`^#A651t-{BUHkFK>ksP(wishHj$sP
z6}E^hL~{UpoRo)tZYrgBF5C8zKDhvb$npWRm@m4_J3e3D_%F0P!f%R`fD(%V)s$mH
z@KvEmh-3}SkTYn|AOsGjx~&gli0gTu7pQ0-^UC##sb57bZn-^qFW~_ZP?cShepE@E
z;ZKIzL3ua;3-qY8S8q_6?5fRPdC(}1P~oAfrKhng!q(*3PQ|e=?mT&D*xgZQDO;5n
zm&V%Iy07w-<zao76zA?E!3tLUhW-XKrk%hKu}n&88Y)6mdZBhf=~E^xJl%$W-iOjV
zh0MkQqjlM;cMVf&DQasI5Ftg0FIvo}{X=M7)X7!D%h=ZC>Zok_TeI_`;;48~BcjWr
z(m7~9dXUo2iu2nc=UbJFZ`-~C3h^c7=lT#9A<Zo_Ul|J(@c(-FWra#iI}pmICy6a8
z{;3{XDhg9??I<=8$v<%#$4sH)&qY|-dCGE4;~x|NM_7OL^XiLX)B*T=0{pPcE5YR3
zcX;}$^giR>eJZ}qB(zAOEJ&f;Z(e+3d0hOwl-ZkcNF!aRhXG+JqluDK?9y#f^h?0C
zXG^f^$!UK1TzZYi?*Sp(3y){uzk=o}^>0?Q-j0z)7r4yiz^%Bqi?nPDA>HG^+1oOV
z#g`bez=a}l$v6395=Sjw!#jVRV!aT8`E{W@`n|<Ld3N8vPOQiT@|}A|^HCdJli=oE
zvLVyLL@cC6y`O-?Em(_Dp2=C{z6z#mR08=ZN+J5Rhcg}In6pkH3u-^B2QlC=f#I-$
zANmD|If$5VS~9off4SclHz%cR6<Jad3K}`CK_Q1oXkunm08d_#^zMkTp`clnW<HQ-
zFInVB+}vuISya3-@uJcNBvGOccE*^gqp2Y_MOd)06jA~4jBVy}{ulJ|;KX*F*jWZ2
zXC#_Fh{e*Y_{>|6+!jz@`CUnU<mt}<LGhN{=v(}sV>o^_d+{WwD3`@*<@ClT0%w1F
z!yV@b5Yq8wA_5r&>7}S?xg~vaq|alYdRjQoK3U_>-n}n7B36V2J@uo?p~=k;1v$E%
zHu|7qW|01VYa}1T8hj2|h55$!V|Q9S+3Eam*Z3h<`=vFn>JL;wGQS*#WpcAKWcVR6
z5yC&KAc()ZQLg(J|8`R5b4Eb*Ao298M?5?b>2l4m13T+Oy%$T(uIeyiYQ9;4rV$*p
zALKNtesp_GNNMuV9tX1TSj>iB5H8B6>z>u=>DIWT{Jr0g_pTN1RQqbr*$A2iB?2t-
zh6tIA|6w*9XC=NYD!bh9CU5woK2c*G0ykb;3dl(QVUGNpYW|cDm$XTxg(AKQA6q6s
zmjE7YUL0m6UVGy#8C^tdE09{w8V=7hGQ2EHyV>?u?J(BN^aw4~#74FQ`Dvty$6xAg
zd?Yeico}MQMfi1Wcbf$-(BO0=Pgqnmm>2V72dP$+PPKnlPmpq2_262i9k!<d*HF`w
zF_ZgOE`YP-1UE2jAS!^jAr)MeQ$U@2Kz?6c?$p=>cmP6qd%;Z>FUIc(%@@Drxjp=R
zD?^FOF-xFB{HiNt`o{x@$L>VtLiGmw?!!)QSYND0AA!AxEg-U=skh6E#(8b<eUDc-
z_;Tv7L5_Iu9`&#@$oRlOv;-J9J@+cb)%FJLd1J4ApTLbART4=H<(k;_|CV9v+j$Kt
z&zYBRBmJ|0S&0;f>bf*1MQZYYXtO8QV#u(@t{hO%M}ue}&%Vv*&HnVp)DRnB6Tei#
zLOW2%2JXM~a}%F%_e1N!K$Z>a2Bszj0->imljvxWKe6*_k8qw{KvEeO|3y0(tg}u6
zYiH4^18xtG)}j2LL07Ww$cu5rS7c%ngTFlV2sTAYz48L(z*}4eQw|2R2@T9B<iEM$
zT&?*>;JttP9{e6>L!#m`ZIVP>`|+RVjAk&Vv!qjY*ihPjR>CtaH8_q|jfEZ8R&_pJ
z$h9wWEw^q1bHndfsvZ4LhP2+FY|O)}>wCtX7NY?0LpR=m{GH7C|NCR4<-X|2u2h+R
zC2ee`qC1d~9FF^fPs;THm@dN#AYKp0oE*NjCsv6RA8*$QW9{ZTjEvw~-eWl+$@eNW
zFwt20(*A4V&5IwdW&E+;j?RwWA&aL706bo+=^9UU&WbN~yV7@IU1xgb+CQZEmK7I)
z<>Q$+VgRGX=aO~Tgzu5VP+W826~C5b%?~xWlJjuUlnExfXs9LMKVf|__u(8RTLw@>
z13FMqKXU1ZTRyVPO{d82iHi$lH$O$<fKKTSp89h%^{E1G4&L&iK}J*>EpW=HljTdG
zIZlQ&rN#6@IK;9oSk{vV=g<w?kv;{k;U=8h238!*zktYaD_r$Xc7gd3BXD4s{BWtH
zF@Kf1_-Eb{ZOlw6n*S&2X8@Pat*97odxl|bphBx-7R0t^E-WD@aJ!-bFN-KD#@IOa
zMq-mT>ZEI*Ak%Nw><jCDJAOv?ga!_@Xd*5Yi(x<_9g?8v3kT|kT|JJ3LKWz&{Tx^_
z_ZSrl@S^g(nvX(NVA+w$?WJCGuTGCcn5r?Dnz>|~sz&U6s!l=N^VWmFr+|RBc7^E}
zvLgjTm^Nxkhn`|y*NM?V4)c7M<F`ZB#s8k@A8eb~RO*$8x8knKc4}2xrZGKfZzQlW
zT2$pM&P{=RKWfT|@cMwue3#z^I`#eG4WpI8WQionJHDa;iP(k5M$a575|6HY&JWs9
zdYwFEqFlQdCKT_9{6}(+MW9JlMgwJ{h6;2<D}m3tkFSR#5l1srDpj+@YE=c@r{C{K
z<5?2*fWQvex3U4*kU6z=i^`%^9%I$!b}&C#+dp1rZ+45*PM`p^n}{0~A=>!ETxdO3
zn&5&I+&CuksWsvp7Qla@1dC`ssq30Q*}~RJ1n7lQb7E8-+pwNT{PVi3BS0ayBr`0a
z=Oy6fR}K-?oD=6@k|6+lZ}_hVVH+eD(sE(o<d@@S{x-qUG~{z}pVt3L+R&^7@+)+I
zNGq_2k1N)<kOrfoWu^*)zsi8I&^gw`Z*jK9RdmyM0UZxl?3IfIxYkel5q0)(YcB}s
z$RzOSMD@NR^?%_j%~+mI&bEY;XC&P`BvW)}5$!1Qg86CwjBKgem7v^47Qtxs#JyaK
z(a18Op0N(d;Bd{G?!nt@un7KJpM$s5fa+v~pzjN`A7g>?#^;@MQmy35b%3Z$)P{Ka
zwddizJp5cF*i50JAneHOj#ANKVb;l?f;MP`ZRZn+QAOAxZCOXo(fXMa0#eS;zq@li
z$EXVThajp??kv3^D7Pjk(jpFfuSb0lXgDZv5$bRzyUehVv?fPAfzaBOK!&b=_N;JE
z{=B~!xbE2}WX4zWN<Lw9sMZpH=`~;ZaZe+2Z5<Ue9k(?*)wNFBwLfrX!iCv0O~?>e
zo!NAdo-XC_miNFwV%#A%09$d_dDp|sD{6vHhp5Tm_J`Ba2*t3*@lyP_>407P^{?^V
zimosh1H)J^oOLW^b%XQ2tVk8g$ACUz6CB2=bY0wB={z%%2?i1e&ph9*5dN2M-UX}i
z&aUPImVcYkd~|yLbI}cUWC7Af&hq*i^42$Vs_)^s$#RAC3=}>FV)BhTiyYf%IJlnT
z(9QklTm4Dm1kfInA`th~#e-hh9@G1HD;jO5+H)6?oK~$|3qMlROY*krzhADztKkVX
zF-_|&JO%E_E2hP$8<)-9R|f4g<kZ8T<O+28TfeEBp-%zBM=|Tlr%<d^^^=L10G7>9
z_`@I~V>|ausGR%wt_T0X?btB)6S{O@<Lpob$5??`M%X9>7B#wspuw<+P<J$7{fZ(R
zOyPdvjsKXs>pT#3YV`qRbCVUmZ<b`MG;%42<TNZyY<}6KrEA~q<twQQOl)AfH|^fD
z)Echu>TP4`SVmqR4<`#=&X(@|=E^NuW(E$V6n-f{AznLjG{g%EGtOZ#YQ(Bb6Y;Q1
z-yFzAwpVIG^^85?PB9631sgrM-<nSeD5?ignK9~dG}_f6xSjSWXM@xy19QR|9cIVv
z7aSR68BYWP-=&cG{%|RwrQ|gP)$@94cUE{eQ;p6x*hxnJwy7hapKt~CA30Dz(C=?p
zg2}fK)>a)2q*HtUh9o&)zneo3r2Uv(W43~yc%BwD?forj)k+<1QpdgZD#X{TW9+D{
z71MW2t-dPTnY;WD^ga!$$Rh9eh6wtxb9jCnc9c=YVwun9OB1yBGQ^8OSo^_p{DA0$
z6y%z}_TeB?D0$mm+KoQ534W4Kl%(I7QUCeWm#8{%V2?Hhz1Ctz%+zaM9~vk!@nB%u
zI^L;+)f%25mh2&Oo?XV)oD9RUILPEdG1HSn-r?A2v+yxG!c@!(1;j1Cq|=rFTmB@B
zu3OiCC8z6<iP4u?(`FT!=^KWA$6BL_nwKQsb#dOnqbkNkBEQ_8ZLBFkkrb6NI)2op
zN}vx_vfE$??VvV2_m*!ZZbQm+a(HHqg)m#Gj(JbqJh|Y92}*{04({Yo(f!2IKl6DW
z1nKG)VU=y4GXvtPQqQFB*2e#D`|nD3`QaDh5j!o1P#cp+*Ya9u=u5M(lu7?Pw?k-p
znA{NW35?RO*WM(Oxu~6<Ajs!9@~rxW_x5111oU!+1#-m^OG}W)DB?SC$GMMZ;z?~l
znTf*tVGW4$v{)P%+w-})Yp5axP>Zum0NvjS`%`VTGKce0F`HIEW9@-;C`;`q_Dak{
z-L6pKx8hp;MX(`1waqbA^=kDd5!tBM9|2w2*lYO$Mz{mZ6mXd6l%QEt`Bc1}J^Q4=
zdun;O*YbkqLx?1DrOwov+%g0<Y*b<*)BQHr<<Jhn{!GCTmean{<v@#+9+YW}i$!!2
zI+3*z;h5_y`)~f=Ueb#kGoDSKi($=I7jWk7?J73V@6OePh@2Wn-O!=~l>lokBDnIC
z{#S>1@b?AZ^iy`}(py8cUB0g{E^hoQI=Qxplks2qY5wKxiD2FffW2REoE%%RU>D>+
zD<}`G#5u1*4%spT$E8*0xWyOmGR_VwGDn%7ib1Un4Ym!j`2ZuPpz;qnks>&7pa*w!
z<c83&E{xBskKj9E-Pf!+7~i$1&XXxAvt9pLlt?To_hBXL#)PoS>g$8j93x_6mM63D
z77;+7F|1^n(UB(IXVe1&UFFt&=+il1rS$2|`DAC!3C6%izMxC5cQ|qK80Td#fs8$B
z%SxsrVu&1<E_@Hy%WHfz<V3WI+;QTcRmoK*)4fRq|F)9RL~x-`eUf8Ag*n+d*Dxsr
z@Sk_u<PI*o-V}7ZAn9T!bgq7QTshKdIdK*tU;stqcz5roT%0~&>g-W|117G>ro=)m
zF-m$gMD;ogIe4^{^-;VLh=zAGpOSa?x{vw?<|sp4muv9pVMbmUV{050KT&7=({zr^
zSk*j6DX+pS&e=h$eM9}(>L?8`so7EKD6I@tuV4K=ORyxKih#hKsFBfu4KPcXM%--g
zggf9cE@<uc#K48w_ms^~kvydkZJDMjjGyfpwFb&EN?c8L8D}I#&l|BFxBkngcQVSF
z%LZ!#6DlC3{8iva>@&@Puga?#^Or+$VcN*6=VtZ`r|ltebct+qP5$it2WLaR*WhK=
zaEZKP&ENSib&~}K2||TixGq@RuJVjy2j&EXwZ=d*-p7HOx=hdvH0BDp2Z=Yinns3_
zyA?9lDx`;J5*a>tNi4MvGxegbTr$BZz()uHAA*Nh;_$m#@(Jfn>-)$AMeeyBiT{xe
z?iU*KiyI)+?KJKnxq40wg*0=S@wbPMv6P(#U~uc95~dXY0sUzY^$eX%TQ`+8z_r@f
z)we}~XI_tXblfd3oi1da{&8fT?u@&Axk_WD(OF@#4Qm8n;pt`;DG1MsD;!KEAvUl8
zVRbDH2q@xO%>NP5K<XIM>sl}WQRF=21L0moi82zPxX+25JP|U?&sZcW^&pbOMI~ed
zx4c``-(w-==+^n#Sm7{K>ubHKH&FhkIzvCt7t2<pqw{~sl~*~`*nZ4&3j_LFq4}C(
zQ>@#9rzYAwZ$1s3LX)NTVo}T8q;O-)6xy4~DNdR0Nz0^g-au^W+&`-6{E5v?<Q=8i
z;WU9>7(-(Ixlfq2U|W;aT;+bqx2rbQi~}N6@9LnfyrY53SVFIBN?y7B3o*=^#<KwN
zkk6OlGAaLh6=;5(>_Ltv1rtw@Eg_v;7zGxA2%d2s`tM{1?*kF;B1uh7b7}12=#0dr
zVtF7!S34<0?f0}jdRi*tOQXz6yN4DO&ysg68VOWWEtF|OM(mzfe0^R2i->Wv>vnj{
z&k|i*el^l4{2x6gvkrmCyjd4BUlO?dU?~F$YhirvhigD2LO4F2rbYAZ(b$!S%6awo
z@5ou#UhB+z_ojk(@z=(ZCor}#(kOL}1Y`}vF~CorN?0Us;fP`gc$>~f%D(IkEHPsa
zRfj8=7go-`myd%dnRiEfz_4m!wFQAG#*Vwo_@61{LBMts^46`3E9xFSAmG{-Cu>fe
z2f&b)aE+DswrSlLBIb7Ll`q!+Jn^~z{tS2@91NK2>sVYgmhhkJiIsuz92Z9TG8!Y~
zO$lX*QF_xXQIxaqeXm+je`xEaH*Kpf-R)zNfNSHOh%5C{pP#!O==U+;O9Djbm<U8E
zo37&eqZHyGl>`QX!BRGybAO7p51u1%IGdF?cWCUHRmas-SNu$%#l6YTq@amM_Z!F-
z|M0F(e{R;@2OgrLr#o|)1Fzc2FY7}Bfw56Q#_(4kZKFH+k23tDig@U2g=iK;B2XCP
zKC4@yqNq6@k62jm%CHzuDW(RI`0`Abu72Tk+teG-H;@<_My46dyDX8oWg&V9+i&#2
z1mmQmSe||6nj6aNK7YMR&8lKvX*gt`4W{3(dJ@`}9Pbs0Dly=0#1cfC9?%fMTZmjp
zWZ>n%;Ykx@lVBODPR+#|(Z6K6k*}+Ijj8eP{k~ydytoMv?W=UCnT44ESGY^zaDoH>
z;@J_X$^eW^O(-rs&{|Nxgs7PZQc)tv(Vo7#&+p7>Lx`R-&-suILnJ=RkmRBuL{3jS
zO9nwKlZ@MkwJyY8ZMj2@_}1-S^H!THwqnj_4nfBN6^pQ%<Jch^>wYjI-6pP&nwo(w
z6nPt<%6#5+_tx&cPB$Y=B_5_E@lv^XTGXeeN|UydM2|@W?bfnLs5)ar%1x)>&id59
zei{%k$+dZE`rr2Y|0H>#+EmG&s#3@b{oERAnj&&jr$Rj6$c{-tJ44=`Mgrt4efYIm
zl1))R3{zxdk9&=TkJhR6zwd0Cq4E(H12efM?KfN&{u2fZ^6s&YtDf?kyz&@lGBl^o
z7h~)ar<3Bb<pXtg^WMjAGYlath&ySU9PZvPxH4|TPSv=orMYm2%Ll$0E>Qe8&wad@
zHJ$xkan|kSG}Dc*vlTVIGzyW%Gln@tm&sMCTkYIVSwZnEQ@QRhWpk9@nXbvnT+_zC
z<wqnH{<g$D)!mPbXP<K(Y7-yBvde4#c6a`@8(|@ED+T-mEM$IHBcFejIDTNSY~slK
zs|TPn-vICZ@sP%MGX7?D`QNKU=MPxZne`wYYQ5*I5#2QX9dp0mJ$)eY^HE%9pv`1@
z<qKc{PFb5yS&-=LFb6Sm4nDxPp$AEHS;kr{0Ri*r{dJNmtx7>$Xz~}SnQI+vtv-mO
z!b+z#v&yU|Ej3WXqKnMISt53Fec-A0IB_rkb6M2wRSWUC<n;L-?qa8bHW4N^wVr8A
zCSBQi__%i_u_MM;AMy}o=+Y!JMPvDrLV^PZP_&)7C~YF`xq*j5w8nKsU4s-iXF!+c
z@6J#tN#2I+m(xK1hd*YYmxSvnGonN}^pd9lk3MG5%T>3XaP9AsmG#@+$hVA~tJ+ww
z<N(5IR|Abmd|J#uh=r$=G;}^KbLE;3N71S&AHmMn5Rx{6EF!U0IFHU2T04h~+IVgh
z9C9RW-Gw6$emZM!%jlrt)HB{Iru32~wZK`dbNBI$)0o2r*?sO5y)z3ltBykVnz6my
zjh+NV?$;MLAio+w7nm*y`yD6tSllPPI-o3jro#ex#^8s09b#{sk9}TY#hY@CoRDgH
z=$qFO3#nUHkX~{BG-Y#Rgk@~z%Km{|Nf7(&UR`|hvk5{4sURaIOu+poWwzpLsvqHw
z2$xU$w`~Av@bM{fv~h#EP>pqOlnL!AD-X(Fd4Zv~vAmdI6kciW_|)Sw9&AjRVsP^1
z!mF;AZFn#~Mmj|-cx)3FwAk8qao{Pu`+!!WtQYh|5PWo0=*Q&sTV9qqwP@XhXbiDE
zFwrRivw1SGif~@hly{E!+K+z(=qE6NBrGmN{ct++02~7<*_>Qg@VfL7iu6@yK(>!W
zJ?fG^!D2`~{5g?$J$!OZLu{#Pq{7<L_bLFw3WaT5IF{-_I|#e{z5;Gu)Rba27RA4S
zDh=ElBnlszR%PpqXo7ckBwpL`_ht%4q>0{Fuyk_j9d@!g5XeguM>HQma_(o2e7J_=
z_aJO(t`7>fXr+DH@$Lha-B;EaAtyyW2y6RAL5=k^mSj}HS)FA0FfQJHlp|b|Dqv=C
z8|g;?-zZoOCLjHT<XoHRGzs<eEt_V~eiO3X4eCC`Rj&SA3UcMiAEotFLCQ2Tra52Y
z=!Ngyw61G&I^Hq&(E3#mwC<Mjea0qBlH$rh7nU*=m`SC1WhT+?Lt<V%Ed)boLV&a}
zY4IeTlNjZX6X%{)#+3dx$q3q^tb&PEXJk+HO)Sa#W1Kdd=aQDKk6v9ho%Xgmth|5Y
zGTnjYQ5X3ydsBXWVn>RI`14$l=3-I+fP_<jU$@I|2v(Y4j$HXujxVyurE#_m2Z3B+
zztu~U(e@V#5eX0cu6|mhZKqg0<qT1Y5`zXqALxN>vH_&MSf4(gG4FPANux!hFwE3P
zMo8S+9Qn>-r|ixusx1PkYS91j{&RIUWWS_s_!u$Ct5hQ=>{Xec2^SGWT9bfl)Ec{K
z4jO_jJYUtvnVV_^bjhmG)m0$W5K+VAdOB&y_#Q4jK+rJ!1vwd>H>9kL!$zTDxtsS7
zom?xyA`h6ucUL%S`W|a7k-$u-UwZO&lhuzba9j<;G8-Pe&&wRVJt#0u^h9B<huo-v
zFL=J=ZJ0Yu7%dQ7RA`<?jXQiRe1kRCcJ|!k<vCjrU^@|1%NIRQ{iWUCmM-!&&={j8
z_D6g7BXiLuppB}VErF|-@;yF&`Hw~J9HQzT7;4OfB@IgHrGKO97EMg6P9}JxM=W7e
z+kewD({n&ZSl^dq>C~#to;~fQ2{8-=G2Y6I$8w^L4Fk9gFH$^_p-PsRNmFUl-QqEv
zqjKJ_5#=K4Tdd^v^5n%-SY&l;3L#hy@F=z6Sf-N8Qmm|vYfB}uj13yV#0IKHA1iu#
zt!%)Jo1R#N95ONlgm#={s&vGu>27+y(}#-2k#!NjT;=e4z&|=Pt&Sdisnw2RgSQc`
ztUI)sW8)?m^{>0XqBK%>%~c=Zm?RYg0KPgIifE$c0!LQq7<V^|aPqfF<~sK2pkb7(
z)H@FldH+Qif!>C=y&!QmxYGY2BnqWE4@Nx9Rh{R1{bDwvL;YWM-t3Y*PO{bD<)krU
zTdZQtMmtvmW>YaAgDLg$O(<tXlLJQ;W#4j=opuA@oJwktZG(L=qi{#I66hq){ac$;
zjU$MN{vTNu!Au=uW+NaNieV2VI#HAMQoU?}ON{cH95T1GDs>u==%YSPY~f+EXQ1P7
z?!U&dJfvvl2{l(jJRgVbuVHw*VF6^g!IKJzUY7Qunzh?I%*i+hu?TYrCg?qap55Qk
z%c3HV;<E+LH_7U2002Eyvv0(q_Q`9Rpe+=#(h44b*0q)m4YdjQgiE^hk1Xq-%IXn4
zeYAPh6K#B2FFXI(4n4B9{IOB~SrojE_AGPUK(UQRS`{Ghd!|7iQ=cr;OnE9Jgzk&P
z|EHjq=8{(AhMve=vtH5SHz8?`unIjCMO$=gEtV<E>sVMR>Qt~@b~0F>QW6d7n33fj
zEcD1)U`;Qd?%5%@fl7<hEymkY(e7e19Y>TwIRmH23s(t2B$64$xK?3r7?lP+@p)!Y
z0&rwISa+NU6LD%+xfjQFwoBfQzD82{`ljG}{S;LzpiE2N{(vWHH`l6$Il8Egc!nTp
z0Sokdyl=sDl-7tm;ojz_l}v=FL1~wwDEw)J3+#C<P}=JGM2!Z;bAK`-6A{`OBR*N9
zG7+*bxF^Ce*6oJ<^bP{53B(hT96O^S;M0>w(UW0YaI)wC>-h;d$OV&dkX`nDaB_<6
z3PLY#!%Xf>0^U*Yx?x$D)LEVz{ir_!_()X@qOBC-(On3f&oXrz#e`T}n5@gcjV*X;
zEn2JX<9mVUBnBh7-^VQUXOA*=SSM=SLewpVY8_1t4)?il4|HMa)kW2kHA-*6VWE&k
zpf24~8)~)i6UHl4Wyq$>D~7y{%?TTze9w!1Mf^!({tjw(Fu+I6MI1+p5@Q<OZY(Sc
z!EUyre8s-M*YBqHVx_DH$UZ&M-0rYId=k|T-V1be=_4zCZ~YOh5f=;UTubSf|H8yh
z^DNGqHz?JgPaA>psBNXJ#7b5&g5&YQbLe<2vXA)>)KB*Av)h|rd*tb9+ZQ&`UjerB
zda*cdMDfZg6vg&5nK-Bofq&Ju!iHdt`Nqg9)&E6}^nyNv@Xe12nJ8I3olfm|rvt@d
z1weC(Vm$m5D|?>9+qbeLjGrA#w%d};<#JR)4*kpAary<|JRZ)$V!HgL<aRg#CULw-
z*UoyCGY?;gk#kjAE6Ky{asNd3Vw^|_UsDYq&|0^Al<$;Y>`+!RSq=~~jCTw=?!0eq
zW;p)54Gx4&%c!t<f9LY@M+QmPkx<K@b5l^Sd2pi*d})J`=veb-_HN)hp6lw_HTb<U
zS&iTx%<{jnEPd3*c+Wu0K2z5t#$47Ij1~;xw{i(pzuD$B8oSC0`!hiOacFK)t9`3*
zd#?=jcFTCm^uqW9LNcTlkP1Ja@opao0HStkA&@ogKypZ$T&HYS7Cy~b9-qJ_=x-Xw
zWke*StM^w^LVw}`YIemkF844?qLbXh<}+y#g^xBk_C(lz<1jntvtyyo##3b8$4JF1
z%gJgZP}bj7#hRlK`R%gf7yD2ClNhD@gqum~Shmv>wmZs<=eoj1vE@|qVfeoHc*4ao
zc^MWZTE1y*{<$rEF&J2F*2I@)v5-F20pQwXNGb8UDSj+ReF6mQ+btlQCp5w%O=*oj
zSEwj82C-26BzqXv{flXFWg*No)Z+hucH<g-4Z<|)PV!6t7))~7*yt{09L3?mqhb5D
zG$cff!rUg?7<VhwDcQf?UX64hsofbfeF|WT)S<+sZH4mQLnko$J8m)U6%xpe`lvQF
z0FEq@Q%-!3hfN}_`t=oVumxZ0VS(F;*5?^EPyNnkxFBfooIW!cr*Ev#QVJP#GBLoJ
z3cu=iW{mgmp4P<_C@=pcE<zZ+sNq9@0pF#onq#OUT3PH%_$IQ9@S%TO^p%4BSI&(I
zTqXnqm^w&#66>8IJ<!JP@+{@-1^w77x9ktfUckO;d9^9Q8(FuhYQ+pXa_4I3gURid
zgNv{N&<ys9um>3CbF=RE&evfk5^8&rW84{WU24`)EGpDSFsh8U`d=T)<x_Yt_}pI8
zS_le4<_&p@%(TSEhje(+Nfo1QY`kV%B$0j^o-k|IfGggC)SLB}yZw3D8fLj2FK3vl
z*M#=1VpUyRjPAkZb6&DOboZ<Y(-pIEP1fPb?bN7s{Sh#RAnynHt^y?|P3F&3z8yRI
z;f0Bsn?<7Re$$cDIWZ;DzN|4@w=}Y&#L0WX<TGryo`W_+@RHUs&*&OiM6e;9R=VwJ
z_#N+k(ZBHSf^`lV{I~1&Jd9fcIG|pxU^FZL3QJ9QwB19Ti|TzS*ao+WPB}{O#p1;I
zeX1>=6{V9(sat;2l!#Je*ez!2bo+dswOAriWAS*)jgPj^NL>ORedhB@$YdF1QZ66;
zc7Zi%C7yrt-_{k!7yoCyD@^AB2iuf-i?TOlnoxuMq-an;Cbn=|*evM|w9G!oQPknb
z)wAVJ+so{-aV{A<`@5W<0KEcJt?!v~rZVkBv{3<e1T`VD-m2{~?{D&8c;XqnN5ik>
zj?B^28l<(=%POJ;PygM_3ULCDKWy0KUE!f|@Kj5P>n&Z8ktM5ZYs+ya=PqS)Y+u{5
z2>v)-W?0Xv@VxN<3ERpSpy}nTxv*gdgc#vCyLOC)5a`2NYk7<u`^n)SCaFbI?;B)r
z)AJzoO`#Nf9rGK;ibUL3${D|m31O~Y+=8-z`DIFP=i)l7k06Ur8Z~_&iRm}|j{AO>
zM42d-X1{*8y^$M}V`HMhtGG5mJ}!O#!)(s^j8wG1CEDNioKM(lqdy&;?Y*6qMQ$Rp
zEok@5k~FnOxKJoF5%{z|ak2mo(HWe-$*%ipHuOEK7G|iD#Lv6~0FKqQaXKjYWTZzB
zYW_VWv@(*3P~bWh51xwPRb?Y#b)`!6PD2KD?R`;#&=<u4bZvjR{2BU(Ier7r@Ob*C
zL%s=7#g?g$PxdbQrJ0A$1&B~Z7XKmM8qv5Hhf%fbAylvDwy<dmPPi>Aza{fV6?t8X
z!n~}xe?XO*`<scXIGLcyMD7Y`<*bx9=jE(@1*KnnlZRkL_MfARd3%@*Ola*-JTd)%
z+Exrl{4d=Apmdgkf>=(UI9B)AT<vERUUDQ>{#%*mXCy_Op68z`-9$5_D?tJ$6CN1D
zX{@9*|3Q#~Shx@_h_4|68{@qGc%3#+2_MyRwzHo{2uDHjGa2WFX=_JPu4K%ZW^s?3
zv@rX`DjVyy1IO)MX)9Fmt&PZrHGjB|d#yo4SbzjL`mJQhnja6Lmt*cu2Fk!VG=j5^
z8LGIsBG<!(_hvc|5kCHvL@>p)nPdb?$RpeDelE<Nh)0#qy4{AAEUn1pZ>H(oytqM!
z7sdJmyGJ8=t_XW~7+u&Na!Y<1eNNO7&T0CX7(L-ocIEK|?Q)|blilFPi-*k*!h}@o
zRM75&1)L#Q`VfhUU@5uP^y|`-sS*j8l9cD$EUv}qThg!8VOr@gI##VdTaxQudELdg
zzE}NQMv*frgS!Hu_syZT2(zfX&Ax_f03|@$zhx;Y`I!o31U;A}H`J3e?E?%)(znKC
z!cKYG&H&vFk>9{*6sT+m^XRLY*B<IhI@gq-klcg~aF@4;1VjJ4t^t{I0MW*>yty*x
z=X!TR*FLM?(d+Jmv!JHDZ|{aggQY6nAhr*TGmD@0;UTcvY~AyiMK~K1^eRLGpmN@?
z^U!HaGT%0uOiW)_+QNGc;%p-&pUYx*`J4JyMw`yfHa^g4dB6^_Q^VX{@rO<l3xjOJ
zc<;qh9Vq@=kw6dR3V3PhDP?O>eRa@_;6{k6R!#)R&F?GC4C}SEiXdq-V;<-h(@N9q
zRfQcSW^vKk#X8MPpUe(6q56j}{^REOi{8UaX)=_$M19cpxO@9JkY@Pd<JTRuIQ^bC
zeRLbY1-2-8>KqaOp=5wOOOOCMdx!2TT_8?&laQX=GqGFBQ>DQ4MSd~eO6r86nm`0W
z0G~z&aBr!cA;i)vWv@n^O9A5!<kV|l3=buV!x^`$61e_?d&wc(Z2~DEVop9-z8D|q
z3%O)I&NC$Q>tca#C`-1YXNjZD!3m>!-!4dBo7LKa2vl_C<s)syhS<VD7ceHcSdPyw
zC8IQd9+E74l!0`ZF2HtSDCmVmkqQO+O<kfIMHRfiZshR{@K|DzDpMhgKZUG~-OhA+
z+&qsSOdPh=Dwxm%-dqEuuNa^9gNQJ(0o{`jx@ak~!p0EX%!XFzL<`d~`gzgTn%L$>
zN+`f<350xzO;KT`qgi$-#LjRF|NP`+a?CrsuC9zuL&Bp68We_0w$A-mi<WSzY5>M$
z$S^M{7tpKWN43wN2z(mA27T|Yet{zhO>?4Uv;w*#9#<@iL;(Ch<T1Yl76d=R(D1KY
zGm(ToAZ+*jw?fOwmOIyPiQ?Mn&l6D8L?&!$v8#Kmu1{1MeC))>ItV;O$3&hLXwJKO
zFQ@}Jbwcxv&}scC&`@RG<c5sfC&+S|ft1c8B66QRjR(2)K0Lx9H1RBD+C?sTNoX8(
z>W{ylmdZEQEwdzItXZ@j;?|s%$`5ZmpwJ?6@g;lh;#Nj3+4#Qn@uK9ZS3M-#H5gIA
zeeKBKI#{7^v_(5H^a1(tm`?%q@I6Q4KV8iWe6w~ok=61!7B0H^Al<h(#Z1<9FmJ9(
z^Q(-<d)+D9Rs}PF#Hpq(GYIjpM4`eXM=kBwM#>Ni;4<ml@D5Ze(PC^=A6YomuJhyN
zjAfXW*?B4c_8KGHFG*8?UhvTL>d5F2c3dPWev!1u%7=6V4rqsEU`}8rDel=f78<P#
zb28ry1AG2%LN4D0B-@D&mM53Ha*DS0-sOE?WdB4JIvjD8@u~pwi;Dc(WGC(I+}Fy5
zOx)tXW8kk1r4h1x__MEPQMPR{9c$Va1pNhSz?u(xV%yG^b;WKZgMa;*4&&FRo}g^)
zFZGW^Er#DLDlpdA7b-f#2j_I11k!=4O`}L_IXsW=i_5zK!#^*3im4n~#bh*T+pNqX
zI7NMH4V*Wa(>{4t6M;iwH+d1`McS34TYYCv&&Ezo{xw60FCw_wGc)R`8MqOB^Ekn2
zRl-q9t&fyL3!2d9h5n`8&99HOT5+5{_?jWSvZNS}>qwkR{B04r1nM^aX9q*9o!z8s
zecH#R1v2a#mQGGYPOqIPDl$v5>MC+UNs<;SF~wZ?;N({Pl?cOd3?Jd8mPs>|T^CKw
z6-Jl6btDqo0>TIFHJ>?LNV&r@nlgpiypqJ*nBxn~vEJCfjGwsP6>E*IFvsbmy@S#}
zx)IoAS;K2bcyM36{=w~1+U0^9`d7NVJOm7B=RTyAsxW9wcv#%XAG?k*^o!P@t=(I#
zf!GL`hqFRxO~Q$<pGqGHjUxV7a*`tbV+JbJY^zcjI+DI}FW+K&6<cRHWTuZ$SJZGI
zzDu<#ybv^qw__*wy0YcZo2Ka1gB`Mx?3ab#V?1g`hfA^<*eVrI=GN+8p9YI?uGfds
z%vjw%Yv4(@KsjYjLm+<VS1V_){Vip`ZJuEMbrzMsVN~0^251JeCN`pFvXl3qjQ-ww
ztu;)72H&pf<`w)uFi*Iq_uQ4-2C5aYbOlFFwXX7s&aZ~HmFxqUv3CN3$AXiNt2y8t
z1BC}(C8`&wN9M^!cA9AiN1OE`Y=%mRRWW1}&SlR)F}=;W5x7ctc6((CAWg?k6-Z;&
zbY_Wl52jLvnY?4dOjhltresVN-r#L35>FlxhzUbJQN!8<KLidU>)84o<h)`~DFZ@y
z5d^nMjiJ~<IdP-Dd#>TpD1OBELFo(d(-rXvOo(c5Y8^d?+296s%6sxd?3em_g~-PJ
zFf<Um<f$rzJ+6w*qArOnZ^xbXe-W&`6xM+jtf~)EE&B^qP6~X;5#oo?H@04%+CtWg
zX!O^A4FGH~h`m(u9p$Df#<~>rfn6N=Lmgca=TSC7D#xs95f}$$AZ<kDc}}vURWI!f
z@Df3yOKBc0@i;Ur4OTxg+$F3%P@*mk@(96<0j2|oZ(B0D9-v>53Ad|Xur-$$v%T#p
zA4#Yv5t_p67?NY^@6d|`r5le-`(kgMfUV%DkFi8F?0v(oU2+SRI*Iet649yW0!1dN
zq$3(}7vpC?5cA<ze)c0ua=FwhxcIV8LcC>~GKn#j%R#CKx*v(MB6xFsC2gqD(z*BM
z1t4j--4|=Fs-U<PwvD<}2^BvW2$UZjKfeb$FmC>wnApBVnNy1Na(9XAw;^C8idI8+
zSk&JkXgaDmYJ`@2t<VGmEO~aI#lec&NnC$9Y-G-zm_rBlGHh#HC9MI2jv-KoQ2?YD
zE~`LQtU3s9Dd0Bgeg{=m>j}+!G9-RYKdAG8Pp&eNaeN)&;hGgw$PJDc|6hgyI#4*0
zQnc}GDin~;@vNntufDo^^lJ+7siqO%ru%dlm6^wWJ}Hjx_05z?vd;iN`1`-UTwB%K
zlO$?TR~O{7R-JIP=F*t~oLSFBc3J3ZLbJMEDG`;!1I;h+9jdWl!@!gjkm5#uHIJ?T
z2tj+`aY`6L7i239mIKdI2&GGQ4<WvZ8NMyVQfOKnovn`-J4^b6>psWaG4YhZb)#>o
z1HD{XLh#V{gt_A}ElA0ebwfEOGYn<)qfVNFls3=9<mNTd5C?yMLlqJo&S-(P?q#l?
zevcMKPS?}D&VCT8p-?^kiJTXh{3$_~9K$@7UIib~RL4vQDpucCB`;}&xO{~GI~A;a
zN&;1NZX;y**MxSfxhabe!6JqOq<tGtw}Az+nYIXuwTo$Pa!~k6F)kfES#x6)rNxgu
zKT7v3A-2k@DE6Nn7O6kUs)YC7$%k4P__H`I`*SG@zPpEcf*-*j-I1}cGDCPL;9m;K
zCTVC;bJ}pg(@tOHjrYEdmJ?+=AleDy)q=nFN9{jwq5;z^${z48XE1<u%235sIh@2I
zauw6Qp?QLm)Tw{U9?y@<SX*^ybQ=|zm!E}0Jf*MP4nI~H-{=er89mkB%a684<b9Fx
zzcSAi9$1o7sv5S1#D}iE+kYzREjroBnnx*x+XJ0)sB1q1tU`4O{y^UNwkU#Y!!<jY
z+Jk5meGK%T(_Rt$9YEW^6Dqjm3{C@~q;C644tm{$4afD=FNI14RLd9^+M~wkn`ZJ`
zgj>p?FPixfPw(6<=h~u34<M8QdjDzU2+q1Wd%sSPq@r5<NZ(x=LJ}nVOBOWedm+su
z@i#~&MDX|dedO+^>9>C>;--jPDKVTy>w>Q%7I{y;*+IqO?~-@RAIu%s{E0sjus+iN
zAApWc0I#4qCsJh#wiNqYZpRPxPUvx!F3|IdAp#JG9J(#L)BHu7<MQ17!6AfOfq7u}
z@@#x?aOBHgWi$cnf{pfs^-xM3Ys$>aR5<-HNZ_x^yw5rbi*(ix=tAMb9bNKsrAuh7
z<dBTO*Bf?28z_Xyu(S3vS+E;Jy9_4bBjrzzRlgq^?)V?(_e^VLTThjt`Zw!YYI>yY
zkJoZ2&Nz?q;gpSUCmtTOlRdA%g0LrcCJy>>dseYi^^jxYc)bxgLiVOZC%eRc?K^L6
zVnVZ&0U*wp1H6G$fBM^&^%B6ft%wb<KhO9;XK$Y<6r`pA8C(slM1S2Wn@~C_Zm=nr
z;(B39`(3V7I=dCt{K+9=(c2VOB^j$rsBdE^`~|E-#<NV5o?VoQ*%4%?fZ!UVsCZps
zO~>-@LAmn$ee_V`Q1-G}4EPS&sotJ0!vpUYs8@x<Tv1hbCVjtTz~5=01WBz4i3pi+
z)|&)5Xb>}Ng%fgJfUNa(x;4ehyZLXrGP!k@>?c;W@adiR`k~vb#C>5Av)+6&L$D_}
zuj#f-U9U*l{-^ZlNeR3T=(Cj5$N);|QKrhVb`Im=#JnXz=N_KHYs=5Nfl(9%@j*g~
zwn?M?BQ<TZTWYam+R#NQE+@=Gd0vzqOO{8QjsVyn+I>;UBQG<*k-2k&FYwghjU&3Q
zCrwp+5^(>gWHN^P3^`lMH0?JkD)~X^0`vC>Sv}Tc-y|L?KI%bjvR8_GH4<1HJuVkL
zw}ypYc;Ve0)$Z*1r0DI<K&s?+XnhY|z|JzQJchiAT@?6R?|Fp?s&KOA#$7LwS_z1k
z6YD<42nt2f?v)GPuhm#sXyS<cfI|kF5PEg=C4n=$iB|blYYhdlD}W0b3FG=dtAP^h
z;$+I=jrt5FTn%DpUh5*{HK}j|RP%5)fnEqlKTiWusVu2WDs)JwJLT7~*+jHszgXg!
z!6=c4xJEtT3498(ltq~s1twj0Ug-oEy=-<(9*}o+bFf0W2%JGlp0W_{{gUrD{ZfO(
z-7Rz(NVW{}-pq0m+JNNsc!B-$F?cbbuPZfHB~LZEW#*sh<H2>KV9Nb;d*~Uq&7X&@
zz*T-(Jduq5-bwSG>o~GZ@XM5EO{a!6KTggIx6zK&LXG|)Bf^!6$A%|+mb!?EO)miz
zE>bUtBX%e97YPPYigBcjjRRiU+9x~~V)fP*W*Yl2Bkkayk5wA^Ze3>DX2BO>q2)Vl
z^m;5;wzRrZs3Ab5P058TATt0LYlqQ;Lbdn;zPrh!9XYi4E6%IoH9JmvY1Y%eTH(fF
zzRaQNF+^lg=M}5=v|;Y0?i%ieKjnjX3q=U<L*?L4=x=p1E2BGP15m4yQ{xpH#sWRY
zL{sWy)kC9ZM&?|NJ4o}~c|VW+2%93{1mH9ow^}&n9})BUt?J3DL*5PVpV^9Tol>i~
zm28u=8Fun89;j=%YXokQbyU~6*}I^NQHwPt4ajq2TAj<pPrdVfuJaoz5!p$D*(B;V
z=9MMc+LbOD`lG&wjR}<2ide|wl*mLm4c~=whPXMAgL0^#cI{3t{N3i^riP%+wzLIy
zu^56o;kwrzzCdr(@I8`^9dD+CZ}~ld3Q1%uznIC;`v8i%3=lt7|9A9^OC|HBq=g8h
zJ3?hg@29#BU^*&x<{Rp7oX-30tSNC5PKdQ$kyHK(P>B`cq+RIvMx-gMDhxP&ThRY@
zv-hlr$D!l`ch>)Z@y4gqVi!+otQ&+UkSh(J#sx-bd7Yxm3ZtpK1(&2xMZ4fLY_K(j
zRk*$x7?7+J)+mNbt0>clN>Sqj(WH?$@joKp!tkkO;RlYMcJT^l(^DsC(IC~s>4v=O
zLP3ECMJ$~zN$#Bnatig7u;5S}HJ{;?D?VIJ5E-wn=ZW&M-nnZDaE<08piLP8!r<#}
zq|rGE20QV$Fpe3O`}9?}fi&GwHMqJ=Lt31gm%{tyj}jLvDSF6?&iFNR125!*CilA-
z;mqR%i>U|ijO{7aM#p9pHhBL1Rc)p^o1NPvC|3%U628A30&KibWzbG#8i-vq;QgX0
z0%_G23#)c(mRZh}?*M)oeSkB7PC=@b?CB`1(O8?d?{Dhp^4S<vJ@<3jtbufL49Sja
zc1$Duu7bAu#H(tzQ%fmqQ_!@@rlrlLdSKkHlm=oM9`L0-Dty)g<!iTV9B(QQsv%{Z
zEV2o~ovdHxf^XerC8RBu;op@j3R4Gr(HmEjuvD~N41(-9Ga2-;+F}S@jD$?b&2x%p
zU=VP2TbC^vV?xh|O);B4*lnNk=&>}vzU@7}oY|u@-dz)y0+PaVJ)l)TPD1FW*ckwj
zU+q}ON2qtg6i%Qob^Vcbad?!VQ*+^eiLYlhD(pTeB|Jsx2$5IUxg;5AXo5>+Vxf3<
z1F(R7R0lke@WZy-zyr<+^xxyR!Q7GB;*}|8*pZZ9vdebE{om4?Sk6g(Hw5ue4Nu8|
zbPxhX$M;Mhy&add1>o;7P}8l=XZil0@|WbOI`68sv|x|tP}FPnH;kNt-tJihIop}>
zrgsvoUfaH>&nT>Fk&}RU_1WJ{Qhp7^qYmH&Dl~$Kg>7l$g#HnzZfuO<;jo#tx$Iyu
z+k}l^J3w>4D(N{LyJUl{5-NBTlh1H77vic@bQMjPS*}%%064@ozMlnyF!F1Y{G*!2
zg@baH9E?aFsADrh{e|HJe_NBM6_~_47ZzZ3$vsS2czQX93KR_@Q`9)*?81N;LShVe
z6SW#B%AMx*rG#By{j`d$$4DoQQULXuVjWidSFX40%-weY8ZhL`W3{fHS{e}7?st!$
z+N5b)`XayL{tiS(u&M1~&RSO32b9{4trl1JC2wL>KX#(QG0=lEyRtJQDpOsmH)yLW
zq;r&vyCiBo*lX6Md3f8r0lF!-+9k{wkS)W#b+#TupPDe)`5!TtNByxsv8_96S!!=A
z7ChSsb%)l5)2es2rX%5ggjn8{f+I~l#4z?<%4NHPVYYtk)8+S%dONM2)zuUAIA5v2
zo_Bn`z*E+yhou`~TkF4Sgq2x+RJ<QCJuObw+!4@bzrUF2%sep*{tB-P{V*E#KZ>;t
z1%x;@|1^zIMcxw*_@o=Q8C7hC87)jQIKC5Refmh-YGz((34h*Q&v8a@@4(XCCrrgL
z{6Jd_2^0@@iW$hbFNVfQz;4fID+7hTlONm_m-4BF1VftiR(D_h#!e*5Po+JJ0FH{H
zQSXF={0*N(>7DDsF&@t44~;gYKleE>{=?n8W6BG)XMQ+r_GmuHAk;7uodv?3+kZ&M
zzy-2gWtk>A3e<(G<JHv(J5!Hb-OEtu%9qdLOyG9#HY^$7<ux5*4JoW#54hxxkfs0(
zUtX&I2<{P-Bm^mMJL+TOg7?32731(#=++M}G=QHdz+(Q-!xCDI3FKa(G<sdO{9Qvs
zRc7Z(yoexH4_;u9keARP0igHObVdHzU}1P#Dc8NNF6*9tdj)EibjlX5ZwErOHw-F@
zf@jR@(+p#T%BdS*V5ejYu2aA&9-=!sCKzbkS}z8AVibqf{>XyW9I@e*XW)4(mwMd&
zJido7jhHh6(^ktX*S*Vf&nuR$^(V1Hmn?DqU%aL{*5xcKxumqy&juQqJJ*+ldi9;5
z5Y45o(3c{Qhsp*cUJT8a{@hp>U4cyr)a1&A@i40{nrP?{P)<ewTLyNi-OZz;$y2H2
zE+YKtKtb@n2N1Wt-yw0N*@gv-l&w_KPn_<%)}ivtZ9`H}i^)^K^Q(loeGrl!=3SIk
zZsG#h+kY)85~&u+Z>Y;WhY#cPiPVZfGnJoqzJ9)TmN~9bg{lS%^1(y?E4MmZ`B17F
zt$3(ECL|grJ9QF2IKGt5mUN1VwxQ_aNr7oQlbf--iSAZ+G<+6JPs9_yOU2kTgAZBY
zPb@HuZ0$03Rn&Ndh^fah9_!8C<E(Dp!p5aJC0V?_{pgJc<GHqW;j?nb8Z~B;SS)MJ
ztrD#AG5jAAlmB*!Q}+bCtf8LW=1Ou+?|QNwu0stwk--G306&18Sq0dT0;jP4=0Gf6
zAR~XFd}>)R84{92W<qwi<BO<=U)@;=a=>RR2%e<+KW(bUFZ7MIFCA*?Vz?=@mbq#{
ztu#JaYCa1pBrnf<k>W^1vyoC1XSuqk8hsb7wcLvr6{VnC*ZHGrU>58lnjHPTML)h6
zeGVP}aDP+;yv+iTwE$&HySoj}p?*5M&nu|e=Uxd8-hO9Qu59XBUUpkhAe{!U*tEx7
z@F!$P+`Zj8`)c#~@Q|0u-ObuS5dwVPG2Zn4xiV2S{?#rLFBVD(?>wxO8_eoHP^A=V
zaE&cspX>p3+ET+SqM=3SP1y~gEH`Ov_vs2v{sorXKwTHsRz39*^92NNZlytLsn$+g
zfna+Vz<=#T^Z+%K7vLb2qGc@iS7Ra@yO-cc@m(ww8=#!HrjdVb#aCh*kUgR}WK~c4
z$Qowdq8$9RK4h+mcAAp`kzpDE?A<Z9<>#jH$es>y1$C07k`USG+fU8Z270N1TX+|!
zE5epFc;I>`+u4SFD0ZZ|r)GzH5z#AJ-f20XM8m#tpdh&M6o-J4v@LN+tWSkk+4B$+
z$W&^@H8}1p2lO^?Kqmx#Tvf5bdrhOLE*{2E{zoLs_?92+&Gk5{m@?UH#eQV(L&=#Y
zIr~86W)F*lg1vCZ3sOBwp~fJ#2NBWXd%eF<ol755i2(8d!PM5~K*cc2i4&=bBwI?<
zB_q&)|G+sLp1_}===7*&3c?jt<DR7AeM41Cz@OgOaYJq<g#WaV{^MK<fJ9MY_75ZK
zhNXfg)k?{Mt(p-?^r4%+1IQ0LoG5EM(`VqEe7m`F=y71ePz~=xQK`TmmX#Gu(Y}6V
zolbWX)OsPe3l|w#!-f@Ks(IzC3odEWf13PE9FuU79`*dBccB0a6{&}ij%&2E<1fXt
zh;brzx?WK}iw!S%C1Lr+M96U7j@d#jDq}IRYR};gr~!N0g6dkBHDoJ$;0fR_7JP`k
z+-)^2EaX5QV6q)2<wL5DyzP*3QCU%K7{+z$hPWc{aQa5`7v>G-<|1{y9?9J<qxg@t
zlUD{x^fnR-AG~LDnU1+r0&q;{rQ!|2KNeZuG=ghz0NnLNNyDV*kbQ3QbmAVT@FqHB
zRD?_}&A2bK1LiAmZC$+Pst6ilw4mO^FEP$}wJiJ=3ac=XZhNGg)$NP~rTw1`J4XJM
zx8A?BgJ-fnZ9CvL@wruyKS~l$pHD>M1HX2GSx6uwT4-4q(T$4FQXewY)|4FDsOwc2
zf~ohph-2YfQ+3j#n0t5rI^oUd9jPFH^O4@jrE0<>CgUdONFMSwDgtkb3pR+WWW8NC
zeBv={(q*AyP`IeT6omAE1~zwGdKnbwq{`;lZ)yq2??*>65bOh1^`fJ@GueIw()#Zt
z4G`S<LwQ%nON|qbFZAWnP;Wt7;@x{rq3yb^H}Yk*K@p>R^;c^@wf)K}=z>yEVXuPE
z=qA9VrG~80oC);{ZB!994N!h-gsl`vNJCX#VR{o9b?Cz;qkbjX?wFCndVGMBlxe+g
zID63yXiD7FgE}w2tK*X%qH3|h$cR)q{meAg44|y`po(Yrg4o=)r_&J8@!F|Ir@t9!
zq^I?}R^QVWE0mXFvO>#1tq?6kr({0E(iOpi)&fulR_DudxuM2N*i0!fz^3bO%0+46
zwV0!fIeKc>Chc$~tLlSbc8r<4#<?M^=nag!IYrv3{^V|9CYO|}0Pjx@3}~68%H7H4
zMpoBeXHC9{kY{skTeT98*t~&?T3??p*8b|XPQ1TT$(fd}IbyP#zJ4_?ba$Esl@83!
zRBy`KAFd6MK(2X!!ytRbGA`eaV>0pM_Q)V+>1wkD5b$OC;f8^4ZIOnPo7f8uzVJ+4
zJj;Zb*=l*zbG>e1EG?9aNQ&deoIryOX&H-Ulay$~#Zoh~&T%IQI8$uNJf7m50+sv*
zj&QyxT$MG3Nd;_KYL(19xp-A9t#{oamN!$@dwfD9HT5CV?hVeQdb)RJVCXQ3;Vw}=
z;+V<Y4CNf~9{Dv;z6)Kwmm($N?mIXFyH~<figOy5_^z9zPekwqqqrsYfwDPW%3vaN
zRFQXc^g<~(CukxF<6@>`kaK0OD~5`PHsUrCeG7@6N7^X2;P42K?KTmf?Yf)xnz|*?
zC@D<N5CkB>_{|JB8U_7~?3lfojUoL4O)ZsnM&cfolZDc+O8fP}vl0*_6toa@DUz|)
zi#$LQb2$D@HId9}=`-=_6PU*^sr7D{iWmqEwR<TX^$WotrYOX+tM?IwODjzfmww*+
zV;He>oT9|q%G!l~Qp*CA1wMnhTQ<)kx@8D#v*8x32#8xG4}~+$cZO)C3xQy`94|@x
zrNzOdhC5a-8HcWt(ifEot)^H8+qV9*?SP!8U1at|1`&m^l{?oxjK(Bm9s>p+e6HI6
z{4wErJ3=Y^ZZHNFUKS)qFwd2Wx|kY26V?d3X9?CDc9*Z#{iw-=zMWtaSandNao`Uq
z_2c5O>?lkA^3vSLB$a-u2Srtd`##Jz+m0@<$^W8bF&g2rb823}(^H_nZ+Iewrty*K
z#}^N}pp)@naE^QVAR_xfW!fq?CGi|Ct^7ZBMRLqh{*d5Y-bLj;ozW&dLKfU&W}#vh
z9U2Y)sFtJ@pS66oKmm8E+F(YbOoU~^w3yJz?5@zW1K*m7MuxUQaXdjm(P-AQAYv<0
zXF07A*mpy*k6;#G?bYKt)D_1f_N0x#=l1b3h_C)&6SV9Z3#2EfV+N`3l3rU;9m5rZ
zwt@Jpx1Ud5-iL{5P0UH4T;mJm`1~8@<5Ay+P!Jn|22Bnpi!;Aro|N`ZaE7<o6ylWX
z_M2#Kg`&C7%9y{DF4^bY3+&to7wf0J2%wezD?wjdU9O<j>;^qU7L6HE-|UCjLyNes
z9({%P4iQ~vc?SFb2a#HIZliyeEEROaNmLX-+3^FDN&6A(=kRPPgH7DQNZ{oc<wdK0
z0#=u<$&zB4POR3YwpZ&Ndjkgpy;htk;)cs!uQFQK?5RyGBut%n37Jiw>xeC{R!CqF
z_mzS6ZZ8_H+xri+cGaHOx+#E314vJ_D2iWNTb|j`yC13Bo4Gmtcs;`)R~JhZtV2!*
zbG&Tb6E>d*#v~SP2f6=m^Z9$*NVn7ZjYwOJ2Zf#cDz<quf{)SZ_C>xRJ8PDbea9wE
zkHt~!wElWcUIVGXP`cp=Tp(m<NfsQvj6-KZTwNI-G$!AF)AF9Y)x1qEMQh@?zwH-T
zu;FMY!qhV<05@Y@@Xq$!d3l%q9^fi-J6pz5{!50xG`Tq;8YtAJe)v2(T&??bEV=PK
zs?a$KsyA!69adjm@cY=#kj~=Lqm}~I9B1^T>Z#F#kZWQz-AAKP(K9uBEw*~>2^7}5
zjk~bPN(or<9c|OM{p6&As0onsisbRI)DbCAl-fcumM+}Tk%|L4*Nx(~jl(8erfQtp
z71nc!k6!Bij?0jjghY&%-N7^zttPH^!y38@Z~mF@qGKvY&S}ANEbKLy307Wm9vt<&
zTpH4`e$-tnem4w|fmH|f%G}^0ZU)nr^$h3jCEB=f;ZUGi)3j6@h1VEPA(zdXcvy=K
zR1_z+6e#ARl|>N!`9|JnuWjFYJ4}N;T!=6W(-Nu<d{~(nkHH(FEZ;C@Faih?5^3i~
z`e)Jk^3!c6f_)sKv_NtB9$B!Pf$<1bFgsM3o4-}!+{v^hId4liT1$>XS9dN61DM)1
zgk_W{7D4{6-DL=uTwK8#InQyCM0Anul2ONu0orlqG#LIAn%X9?)2U6gi;=T81iici
zDmh5HhTje{8GP!^FPmJg{(^!~C8)I};DvQ>n?!~~X4iO%^I;Uk$HPuchaD`fhfjhK
zABKUNd`HX>T`a1dx6CbM5N>^=xo|3VllNz{j0HdypY8=Po6rmz3U?NZ2G$~~gCn~+
z4jsOJ`SBf{Aug-&=czO$M_Mw?5VlTs;b$eV=ZE^RYZ&s-i`Jj-T9t!zqAS^JO2MTz
z{5f4XFyCHwI|mEg+;P4Gg+<B8dLWhp=}Cos+6R+IrAi?rN46`b$mPn>>Gw2dFW`?z
zHcQ7buxR(MqM?tGDBtps`w|6v9m~Rqi{&RLh(J*L!5bE@;g2)ssn1fx{~-B+$>tE%
zMjkDGaPE}U<fep-ZlcZsX(x)@wf)C3*7h)N`HN^WMl^)ngMoq11s5-Oq_h3um43(g
z?O?hkI;sr&wZV>|9$|3N+?>=zLC1N8CZ;;Mp~%u0(flh*I0ZNi<;L?NwT@jkT4*CG
zc36oeEG=ch&YgG4k|8ixK*@T$TGlc{?7=tN=P3~d#E$1S+Ui$fN_ow--Zt>-zWh%>
zuYWhpcdWFuECIa({;t_<4&UrnAIrI~7d>JBf(3goKMFs9NHwKFV%SCXIF9sv<gZCr
z@Nl-1UO)QDbdBc#M`ubt10tALdDmDcsb2>^dn6@$yYCa;SP}Nj+KYZ(`~g?oV@|@f
zk)Txb+y+er7CpC8*b#_KfpnW|ZA~bO{CBtiA*Kwx-#j%h9OO=FZkjhH5I1{hgHu2w
zl*ln_{uSWa%^3UnAXiprIZKS`473#T*ZMM(;;X3{DO@2={pr?Aq>@fr*rX##^W+KW
z1O*!tsK{LMz5CciUFnu8Th9EJOXX}Z9EN9^UR?IKf)Y9J>bK|?{t_Z2)uWh1)06!y
z1C%>M{uDacm|nly57i?=nAB9X#M*ej*3=`HUrRd|DVIX!<XY<NDQ3GEVqZ_yh1syS
ztr*0EjKd0e-4sVeE)(vB85M0D_<}H}86kOqgh7hBT=Ov+EbRNRcyZ)IzlEBItm(F!
z!byO-w5dK_-;`5$#R^~<Bmmg)d5i$$!sQOcs2tedE!8VwE*7xbw=E^+&~+#(fi%4s
ztU_~}&Nwrx?|S%*!CPK6Kci&z;@UB2N36%Ld$i!N=%BzFXhHH*P>DrlM8Nf-)JY0&
zKkfHm;#=h$mjis0$MFLjx!uxBEB}B&;gTp&RTZIRxyF#0*0S8xFOg0uR&xF0FI-xU
z&hSYfN#$2S_OB3%iSQW`J-7k*tgj&eE7k$*g$(2+DMcz~C(QW^tGR)CCB$t4S0#W`
z)ax~$okISTMWVmw0<sWQ`WIP{<O#WS^p1gNNv@%~Ng)@`LdW_`pTsTM<eUalJYvds
z(>MX`*C_*p4QWdikMwh)cBtS*gS2_R!&`O#)FuG^XZPT$!Ve?U`N?!)8Y_As(8t%V
z&~4hv5(57opU?xWm%=&g23gJSG&!&Sw0^kftRX+&rg9$L{tIpHO(}ws?TLEmEtbDQ
zPy%*$JHd7m0pn(65R=7EV~<}G)<OmoVfNZr5NNIobHkQ9Wb&E>m<OK7rcidSxAL((
z;TU7F@-ro8NTve4;e+QH-boSo*Imbq1H$7xC-ecBn>1Bv4D5I57i@0RqWF0pb||Bd
z5E!XQfcvwV;p`ER@y@_#?#xD>)hx`jJRfsk!*hPKg8KY|vYUFj^bR=Ip$33na=`6A
zHjNE^4Ni{EOw|jIV03VXq{UKt9Kt^Eo+zrg&6*oShmL;S=6%t3rHeX`NZ9QCP~Q>U
z8ie-3S{n}VyNtw%_NV}Elo;-_pZqv(Lb3m|e-Ps9O{|5;P5&d){VELApf4*mFM)*&
z2Dn3wF^!6ioc?T=Bpax14AUBBnYW)g@+Z*Vni>iEWUr<-M~F>;4-%Id%aN{Y<82Q!
zQ9?i<mfohGQ+f$SFY(g$?9eO{Tq{gV;*_FhaAv5v%%9dz8)<C5Gm=G3X7qX}RvN;a
z)<%MDT8yw?a^p!>>R_6i)lwL$p1%_|c--BphhjJ<>P+WNwXtb#jpz3_;2g|}fE~il
zM`Raebn~Q@v_4foa(?|?CFs?Yoq68mQxxvzpEE<+*5ztTW6kBc)E)YE8$&S=oS+u6
zDmQu3>4&C;@A+626{BXPn>nMiyI>nP%(CLfJmh#k!}GYkM$*kl)E3p8mvh9LNV&5C
z#iu#}<jo-%qB>5>UG$vIlB{Ak_Hk*&*(e+Yu8bUIQUzenee}1Ei`Okh=@`FC$sbjJ
zT0!ID`P;p@%foG%@S@=VBWWtwkREWnb!jf#d1ERdB{XUX;=&l~aw~zPj2mWp{2H5E
z{_X2K!?UF?-FXTTzP2o4#fy#RcYfR%iP6lkKIcR5!3Foelt3m*1RFZ$Dl@E70PrF^
zH87sppy&SC2Zfpp48=vO)%5R}LgjokeB4U0jg@9I+*_ltJ3pFiydIW-W}ccw=ZH6$
zapilcb86SJxLb`*IdF4;Wb6%GR>?mLZ^0Ggd#V@}*Lr9NuIt3;%++L&ntn#Je=U)d
z@BJfNJ<!k!^d8Cas8%q&lD+ewc(59qY7XBx$Dhn-rEcS}xP^JqowM}?cRx>UBG8MG
zTm<`^PxbFpL#BFfJh=a3X5JJd8#XnfvoG%XaoN+G-ZaPvRPtQG%Z!R{_-q3eRNY)0
zO^ii&NxSCBy!QocP<%^7_h6}&&{v3=zE<L{1<LYAHg&!e(Py0A@Bq-LOjXPp|E?-O
zDk-zLf>597@<=iD+EWLxxCW9Z*6KNs-3fjhb~CR1AE6g4!g^3}Z5iGx2M`FxBFzNF
z*V@eB0`~uV?*ud~LY-J3wf{R173R}sS)m)YvwjWb?!mfo@R{k6Yqr@7J_K`*KPn!f
zW(AO3??9kYi6L6QB-+xu*4<J*p)9u&$Fpn>XQ?dmi%ixtFY4{g-BGKe@WyXB7KT2&
zl#WPf`lLK4HTt&2sQbae9-`Bp`aEspxMYoP16t43h<Z+E{0%@!HiZF=13@O9ZrA(S
zAvJW?*45UuMWtqg6(UCOtE5^!#zwL3qMLMxx?i$_loO!vO_IdKhtd$z;iBKpO>a<n
z(~%y7Qu>B~$<Se!R20W;higL6-awh8#Cfa5OLZsS?eo^p&ZQGLeCT0)3U_pt-7>nX
z9wOeWMHktthsxh$PyogPs{L$!xCs?D<xn}Ie9ot%!r<IYu;4p)sh2O6vu-xCEW(^{
zi^@AbH8vd@0wUSkS#da&v}`512yF8i(o>xXFB*_6L=xD#obWYO=KOk&3s=fh3vTz(
zRteZ*4HAR01ly$NpQya1NC0igGQ(LNYA0BI?=i-tNS?U^r~?vcd?d{bt0lH-uQ0f1
zutOrq_=uXx=0f6(&_!}uHYdP3zd42;`mDhBbRYKc-IWrLGT=_2G?v$UcmklVB=`tA
z3MIYkuK(yiZ$A;?+trE2StfbigfL>25B!|iKy>RtJptT-$Qs+Ff_P8atZ=FU4#mtI
zNXZtt@r{n(Bso(}0aWk@|M;kd1zVGK7#Bd7%0Bxhs2qh=<A?6^C8|R*-7qU{w;oLl
z^h}CyJG=1dypG^#6~VQy%S5N+?ZSKty~tBodnlv@MyhV34ovpa)U&g_Suci;z*K;3
z)&=_Uqq>_0Sc`O}{X3WFrmxWJF-=*^aM8{mqwHL=jzW=XTOU(>I_fTte^yuZzc?Qm
zA7(7zZ;Fl92^ysf&Z1C+0BgJAUUVLs6dFqwm^EDjxeycNE}j_DPmnN`NLb^@v!5PE
z;aVEuMsAC7a)t(Nz;)QZ!J=T8>N(FHy7|qJY+&6)Z$bcJ(>L>zd+jBEf<D{)mW3jn
zqM;)Z6pSNNtlw?3D^(4zpe)~+mRo9yI%t}se+{`Ri0_Bv_NAhLPEiL)4~plMSwvP<
zWh~N47D!h63l5D6gihEPN#GxDXBmQ$4xqf!L_X>)UDgMQ-`DF2U;29=_!dg0&)F8}
zLzQ@frPWNsw-nHGmuU`B6PG&Zf`a)sF&O-_jIL>3MB3bi)@}JMeIP>9MYD1qn(s@G
z+U8u1Y?iCxQbt}}mbpQ@3)7QfG5b5d5kWi8Dp8tfkV==S`pkpaEdS1D;E0N5E#m(F
z6qVK9X=DC!RI|0&IU*{E7odK4b^K)8Dcr<Qe<Ht`l>u{_0+92+Cp73d)>ZRv{DYL)
zM91o&@P$x?P02TFba_#rJq}H#oFSHU;X>G?p-aXfrw%;n`-`21#sN3j2<=A5X$5F@
z)6!B)lthSGR~Stk87g>_k5f=yVBS7gtkMx{jq|#|oPrYT{kJADIp0DMRO86!@<g@k
z5DW)CW3N*RZ*N>4T)=MKYnb<=DZWrj0+;mAawoNN8>SsAtp8`MXtlM07OW{`if{U4
zlD1GKv~Q%YS7!Pj1u}miJTT!%3PM9?DY)&AF}6euyM;~LiW)j4GMhCZXh8S!f>W`k
zbmg?v<i!rQ58_XMR~X}9$cZN+{-R%62%Da7Z*+>IotD69Lbu4RQt1%GZ7$-jXfW%{
zq&D9Q+Tb&Kc8t4mF1c$Y*2_Nu<JAYe+K(AnB}qcfDG(M`_6I&qUdF4bzJnQ5B!z(w
zsg&EgP;0`H(_<XS6JXc*!2IzTbx+5BxUoIuco+U|AgED6BL{vrBZOV;j`-o96J3{e
zMul%Us+bWN4Y8978QZ+t8;z>d!$BMa9aRJeSbvr9Qj-=4x!)QzA9SFTZ+1Hi`+IMW
zhBb0h(;<4kjJ+)uU$HTUTX9N$xZX;jds){sR|j7Z@n6HY{!IdO2J=w&wA`c&c6HJY
zh>CS%scO#xYuL|{p+xg%L1Wv}!+(&0!)~neIxI}`Af0Ut_NGz)`!`bfQXTpRI5fc6
z^N#mwGMQ14o8aZ*ZaY(k?q{{v_9Kx<p05^gHg9hMyZ$fx5!Ni<{i?~h81|F(Q(63x
zH;P9OV91LtA597Xl^Lyg6_QzSQBVhB29iXqZ?pp*eWx8gjM)Ivb>IJgx_ia(r@Q6R
z@r^W<3lw9uz!i?i-RcrS9d8-D5b!|;9w(O(REyyOoHU|OwkGaAI@#@zd0=D=ooa~H
zrXa;rIW6Q3z}-{gnp#nnq{*ABIHZ!Gu0WOOR=0JIdHt;>vwcuBImZW?0F2I`wNNJ*
z#T3Nbl?Oi?@s4}7E@*^&vyx8I$<`^EM{hIXYbiOKgox3b&5<Kg8GayzF()`YfTt6C
z6~?0(UzzaU!ZV`7{;f?MT7gUqkX_(`p&viXvF<lKrl!fO*f(D(vpDJ;6?t^l$TgeJ
zBU?D>B^)Z2&o}?FO`4YEZp70kl6P3}A!<LWzb1se%qcxj`KDAeuwl5A^e@bz!<xP=
zp1X;E#0!4^T0a)daAL>-lVhgf)7~Xi->;wgj}r{~74m6>RO;k`A)W{s;qGMoIG)Kw
zh?n!&6-$iHTgt)++9;zw#TK*juHuC`xAVaMnJ0tMlk4n_V0T}XUSk)04Xn<p|9xmB
zk+14bd~EHZxnTlow0FgMS@)=#*Xtgsi8@-_B75h7ZATx`O=XfNtVXrnNE4SpM24{>
zVBv4D0NFKu-6y0?@tR+RA1+cFFTh>Q>KTjPPD*2v^}bKNg2-Py8yYQg>r<J4Vebdi
z0kaA0b~pVYy(Hm{e|oGy%9L?@!UNZaN!s6XFv+N2oWbfi6hf3RIcO`Y_H;b&1h@zp
zg>7a?q_fN6rYE0p;Ei8EE-}?Ms5!JoSp<IZ1W#f^CGKjLK@4*8*Yk38EVD4+Y;}|6
zHq}IC^cl90S`G;LJ|tH40l&MbcqvQ)=}}wFgk!ZRkJcu)i>#`HxB6btz<T;Kl1b#a
zLCIsWw$sw=L4lcq&f<cF>e#qA(6OcBupOPC5Vj;}VJ4q5nkyWy0)Zx*Trnw4hSpt3
zwFhy<{yduo(v7h>>Jtj5v`S0cA1Jy-iIU^#4ATf&`0Hd=BN_Hq>Ye$VEVA5Ux9?<E
zi$v*Sb4g(EBe2PNdbzh<q=sD{n-&cG5rQ6Xh)3Nr8Q~c$LwgGfG>bm4q-i7)G@Asm
zAfvJ(hqA8Q>;<)+bpWE=k7R(Zr9mz18XYOnnCS)ZuERpg3Z@dgprK6T&qoS9<ExjN
z(EMCEeeFve-9H5U2`BTmRg$_mkRy3N>1+-95D&$!Q1LH*`BVU=?tCAB>o<ezao6cR
zx)|K}jzI~i0|>|+T$r&6u=aYfLwa53NlM#c>i$VmCn{;ivapbSiwq)!FwTw{F^jw4
zbw1)5m93TRSq>q~K-llW!FjYC=Awa#)STTq%3S#f?Pd3)gSHwaK`_aW_IAd6z`IHV
z5DnU)!vui<7(}zQ9TlvXi7CCxs6t~Jp@1;Hh;dRDsjCw)W;_(z$wML{tp!Q4AgNK8
zjJ=y``dJ3G5*>s@mlTHpO3H8>2?je5nC=QR(<bPTYLa^<;hO7xlY9Ehr;@2w+lJ@c
z)+=h*C4>5c+bySVOU(%KdXq7}Aw3%2#U-Yr-ob+5LkJsB|5Vx++0YT1&PHeiz<&>0
zaW4(<jmRiW#5=i|GcQ`IzyOYnICcExD*EllO=o1zuH~NsDXY()ITvCudHoPvcnRI`
z-Ct!qG_Gko4Zn?dClZHCiuk5tX*1<FIP=O2M~hPRdN^^RZzUC`=mtA}*7AMa;<40Y
z)jX+mH4MJ3^{Ffi?d5})*kZ~St8=d>Cpf1Q8Qk7+aAo-{_W2@*tmw1(DPy{0*#aP6
zUNBoXu2Y^Pz(!S+@zta)>Hz<+a+6m5wY*1;G+Ibi!KcQQZNJ*BtnkT1JlH!6eiNQS
z8Z*+w30$|hBvW+Oh>p_A#$tVW#F_ZjyZv&?RHc!yXv%_8=@-hRNU%|m#c3opz(~F|
z&tO|fGZCf*fBE4D5rK|mM4Ra@cRNQGjIs~;2X3rVHh+qSEb{Ul^G8mA*Y$RFe6&ef
z?o+JMK_RJFc)`Y%rPro~Wmj+-63US*^rq#)+kp1{;dO|2x^2DFRH3VmE+L7JE(67)
zueniEH7|qM#@XX%C?}A%_UUO6Vq$~}Q|kG%v*mN+8rr8GIN*egZomHVlAtW77=vNk
zdL&v!1(RGh>~;a!6;A8<D+fxG&4F9E^%a0{Y51az6(KOfal6p{T;<QK3uDX|*CT7{
z<H5(Cl#L5-bKj+9A==m2iC+y<^dcjGYR}VD6ZMt6mjx9@5ugQH8%T+yd7}yPjhBne
z!;UE5th^vI$}y<<r!>og7_Q4V>>7)CawAt1pG)Yan{Nf}yryGY{TZt~-Cz#CqtVdX
z{o{iqQ%xW~3cJ=HJ_wi3^NAK+<C{eyi1DgXl6Pu;8D8OuX8fAt+SZ0)N60I<?;4}#
z@m?hri$>zLloSo^FkHbstBxP7269)2Cx+I>3Oa3IL2Ps)cGd4Bgv6me6i6UM+fjCE
z4WH5Pfn>759pm^Kg_cIE2cn4(OicFZxeV8cy<HCdKz7^2E18`M6x?|snmA;`cz6JD
zO8jIq&!U2pAQ~m|ZS3n4)H1zTro>K$j7ecnPznCQ6IyLrj+<aO!Sf>#7YuE~@T8(J
zOtEW8af5xG#t%@MI?X(U96u(kx|v_&wM$iJ9hoW`y_S|k6$7Itlxbe{*V;%r9GXC&
z$5pe9Bl+!w)bn1&Pj@KS>@~!AAbsN~JaIw*YjJlEpe!z46b44(qAdd050<2oUYwEA
zE9`pC%F}VV&VPhFv5|SHL(RUX&6mZpEpG#|ST|~)GP>^xC(QFz86pI6kj{~J*74v6
zA6mgRtnCcbNK8%&lmY{Ekm49yH&we+WQ1Ww;D&d=a<QK%%Shuo<zw|TX(PTT5oN2N
zY1FIu4!V{2H${T9bXURg<Ek@ay{+oOb-o(#`o=H%;T**H@#c{pYHUSOusks`4v1_S
zt8Ah!uy+-*8tBG0@jp;3Mlzr1WeL2-glDjEK2Qyx*K}?ryN@I-_DJ2#Q;o+CNDQ1-
zPqSOgan0KTapTR*B7q_rDYn2D_6c?&)TLP<b8_>uBMico9#jbr+QQigHX7!t!QA#~
z2AYxza3BdMx^|v7gnnncVkUyG#?=45xW`S`k*<a6AaQN0aQr{JdJU4?O18AZB$fZ2
zK}{(*M!<d|y=AdNL)=kqynKdnG~EW|4Lz));178+cG{qbcXff-4!Sb^w&Fl;B3z@2
z`ER_o3l}L*@fXFe_!$p;iIE)#!}BGWSh5EGbuXcAc4ZX0nn&N6o!S#@NVtHb?R)9%
zn1u~mSQFfrdwbd2QD2knzvb8{)+B%2N->oknop3E`P!jXTYCpEECcXq;CrZMjkqv<
z!aS6Uyw=8sIjT3<QW@g_M7$MJb1)g`Udg5zo-^?pQMuJ90VWs8;6?Uqi<d@>OcDNw
zoY^h+SRIhRyn(p@2tY~W{_UtQ`*yWM$J5w($YQCa);R98;IC~-S`ZjMzTwbbj|$|#
z{MBIT#T+8aiB^BY3Rr4hjntS37Gp;LIF1f|v7d-m*>a}I&uh-!D8u%*cusy1OLOuj
zuRG<Luy}IZKX18`<E{}{A-PH?3pQGFyg+4i%&3=9^@h$MDx-2^tjali?@u~QXOtFv
z9q5|yqgS8k9b6TbH#DH!`KDZXcHnD$cszsUP)=q8Opv86bP(NtM!{+@%RAVb5wp?u
z=leA#?zg$92^1A(NzA~T`TE@iD%d9M;F`*}o+4%QwPc*$-od(6Vk?PX#B4?}(C}O$
zThNzTF#L)nw%{>YjA@_s!rT~9BLHWM&pmUs3cLK}B=J0YYypLLbmf9Q*(+Q#inU!a
zDhAX__{|D=zV`<X@R^p=Y+agg)s$+-$PM5NZ4L$Q<_3w!?@P~e`jZ&!y>r!@2lH!c
zg0jHZ_41X{!OpHO+d6U_`CgW>YIwuNxww2lKWyjS<D~x+X)MH%n*M%fq3*?XBa4am
zMcZwN2ZR9C8$sdHbKcas5-Zsdn>DA~Im^1J36mhe(N^AIM?-=@FOBL1neBTfu{(Nd
z2y|M*+coXO)ZiU-RvTgjB0*~?(%9l@k~qH{uizOPIGmZCV&{C2>fXRJ6;3$R%bcxV
zPU{kNU|B_N!%T?Xmb;i0&Hg{NGl%>c*lUxix+h#9%;V0NQIN#SG_#iR;FrsMCu@-1
zty=RKZ59#Q%PFsF<|lxZE6qu?&3$#!5P|JsoSkM|{p4GiAdYZYujE=gYkT${G(ySQ
zf?@LyW*p1bAJZDnYVgGuu7kDG(iHc}$tVIqC(9UmY!ZD0nyFBbx;$t3gMW#DII3M3
zQOmfbP64}#7h#?W#(nlPXS<mU+e&+BoettW-_-u;^nSaH2DSXJUxNqj_+0aF64%*x
z!sYv$AF!u7z$rNGX#})q`-yz#zTS-{Eq8tQ)GHU*JD}UgLAMu1dcmkK{jP%ETkC7Q
ziZs-9Cbcz5d?W6Hvq+$seY_(mtw4$<(gs`uxQy#Tf@MgDRMt&HdRjBXa7)o9UzP%r
zn}Vq1<|Pz{5kHF|$uXaCoR9;qg^h1Vp1I95{UIX$I(r)tkdefw2Ba2kug@MczTfF7
zYo3kagqjKJa%3c4@OItZ>dR-kwESl+v1V^uph7cMnlmLO%p`YvQmKh+K;IN9G|by{
zH)WYXhdu{2XBUO2(sKY^)0dRnU6IhG)AyP$FRo!IO0x|;hXrz6g7j8ug_x0Ywv{!}
zqLKhLmI}FZIvsNMsI=?YXz@$zZ+p6l<bx$9@w@}HljVEMKDm=gp6ex#@;sc&&0cbT
zQz!?o)yPn1C#Epa<wk-OZJK-j>P)COO7Kf)CmMZZ%aZOmkfh4Aea=Wg69$Uu^Y_46
z8*dEdd@TTv;h<kWy(^XUoB7Q<vtjK5FtFvjQQYOOhh?p4D)Mh4o!GG>&5XwtKp;|8
z3zkH9^TMJJi~epNwZI~{R_G}jTGqi#hlrD(T<bR@p;+Vu<A8BVlqqW!FJ*-8d<Umb
zor09Rn#w5wA6vJw0NyWU#bm@(y(R75&~}0xo_S(tieOK${kkK}SRhzfa^vR4GcDKl
z*^Gq^^zVU<tlKu_IJ%=Tn3~|JZr8ajOB)}hkX&58#6ACIxLih3t1n9~N<xYZkwvja
zT5{BR9~y&Qu2<NFdU2logmD3$FJaKLPy6O6V$BzxVBm#*C8@eWd;<yWI$Ht&91^zm
zJ3fq7`_2=Z=;0*PcwrgH3nQF13Fe^`GID(E<+4+JKQ-~06tTJeSkba&%THX@6<GnD
zC(B_B@%?{F*L}StA(a7*71QvL-nrT$&8l@wLm9X1#%d_TpX>*cYj1VWVz^Eg{iK`G
z*ym;hBgd0aBX6<gn*oolShe3he*P9^>rh{Cr^ju_ufQS%xmmg`1Qi9Ha6CUHkWi1i
z)CtF*2yqr9s6ah7QKf%bI0r!vB!<o+h1sINwZnSp*z)ZdFJ3=a$iO3dTWPxdkE=);
z1R}RM8fSs~q}r2PG*vxu=*3MYL4$yWfT1l03Zg7FNWwnnV)kv0*4=pd6yWhpQlOIr
zFghpjqSS}*P1Hw0WZwE+$PNbGm_agcR++zWaZ;K$-8egB*`!lkop0>G-DEy}&g}Ap
z#_@RtWDN)<{=TII5W3_o*})&vQP(NpruL6d5@GRM_6!PhHyfV}?ko-Q=Kc}V+!;+-
zHMMfFLuzv7>78f`wIOI($NNo&h|CDNGL|h`BA0Q5kzZV@KvI1(le8+ViDj!GJ08x>
zDv4%q?~~7h!}vQZlN?rCE0yLu8r-)1Ruf`??L9SVO8#Ct{fWMQ_Zf1;0kq}3!e26*
z1JhfZ7i2M+-0C0;p`ier5Y@Oc24c%-1w#_&ac42)*1-j^pYGlP(BU#&jMRpQ8Cdo|
zmAXBVmyuI&cE-HEt6FXyuHPZx<FU|kn6WYq*U(ip2MF0KYL7CvQC&3W#J>o7&|c1l
zs9A_chT<u_6PY5Y+b*p~ashpc*>q^}KQQQEwY`?VlvgsEcm+zzI9`8PRz3`_wx_{g
zaLQ^>3bD|A?rn^|wb$5q+bc3@SiuT*mqFtCdMT-^eIFOLuS?tGbLLj5dHVsHa;F0~
zQi+QIVT9yS4<<|3(`~=tzdb^?*teAk8$$axU)wE(<A!2L#pj~Y1&`P7P@!8tMhe0}
z<~uBYw)0M31M!h;<}>1u$L-c?lpVHAHzbj&CsQcfWxHt?Uvt&`m}(pVx}>(@tsqaq
zE%X?PBRH24XGN}8v>k<Li@d<ezc2KTsk+wg&gM2WnQbyv_DJYruqhFHiDB=4m6Ls!
zv}}0t(vTvfhyEXw7{cGh_)Vj98v=$cn{kLXxyi+tj9UZ??BOWyHNiml?ICqQd=NKH
zR(u*6TpTrvZJ7N`(;XbZ2_4IZbzSpdY~6`ko8E*@&%DF98XmfJ>l0gS{UEs)(cBXA
zDtzrf>MAj9=4T|Fd*aRS3Gq_m4;XEnV!iR|_V~iu_Wa0G37Oa>4f{fzX?QBD_ZGmB
ze#CpZUL5(MK*NFn3J>PVh20S4-td<6qa0U*>7_*^X72Hf3F<tipCwt>7=Q}Ru;=ie
zje~PiRy_dDP>|4vXATDoigvbkwQ#2Oq1iD@k%BzIXZWd=-e7Gfw%WKiBkBF|++*8Q
zNnX(l>ET(4^C~gyN`0TrPEVHk9SnRU{w8?M!^q<<h3v+4$+}g*TO6z43$@x6oNbvr
zLQ{vLWr^l4SmeQ{$&yWe3VpBzgkQjx<woN#$mIIEtJq29&`XSFeejqp@ecY5twmc`
z1|N}-{zpNOLk<(GYu!)g_tz|M)MIYxBvpVNbeZUfGvqoyPD@$lSQyH7hi{>Agb#kd
zvpm8riBCM&%u?vg3`P!|W%Y8fI5|g2c@c#j?H?eq13Rv_scok+IU&p1@Qf$+EvL@M
zVAhy!_NYh<b{$o-VZ3Q!*&OOdUg9HI7DYr%?gAO>;nIABlT@m0X*X>8Y;<(EsobP5
zPGG}0YxAexIEe-OgSIxrddbH!!m(z#-Z=WNV)fEpN#Sl<PvVlxU?+C(tKjir6&FI;
zl!(gbP(ds>F1AWq>9(=^a(i%Jc$YRmLjT%Z{|?sa))t`Xlp*T*bPw>DX2Yut7TX4H
z>t#3cNYZjE&?0d(&jeFMqCak$P=92H6!;BI3mao*V^QqIjTa)s9^$Wq64A#d4Q2gA
zHT~3kKzpLpzxJLdH#tW8f25~4epK}S0z=Fs3!$FK$oGLYVNJi`X_(SNf7-+lnBC|(
zoio(?f5IKc4Rf_f_eSNTh(p2jc0{u?*+h1PGv-WyzWcH_YvTV@cNJ$k-U{Zm#P@N|
zNh{kLsgs-<qykoaAl0;E{5<L442=OFBe;mpLf4jilSx2d52c16@c1`$tf^hzEEt-b
zj*3}FDL)CPl@7QaXY_R~G?-b*?CO%S@#VnMg{{)biC_T{CjM_#B6Lu!F;-Hp6$BM*
z_s-8=tP}9W&e*&64m_-!lhsz{e@ZQ1z*kcOir0e~hfIk%<RJiFKh2LcCwf~WNcp!N
zzBBQQ`sEO;EljwtlicUPoW<6_N?Xc~tXq%q!4_vCt#}P;ua~W&7oY*}6Y0#w-d*@}
zr&HOIe#|DAPQ2JSQUB!8gtwr(&nZsa2yPxqlc)|QX6T6j)fKjZU{dc<39)_*EQ6V;
z1kjp_5|j*b*!s@_o}`u*Ntt>gl{I211DI}Cr)xJQYQNGsvGMWG%GYpzfvZ;&-mWkx
z-iq=pu{ud)W@$?~4IQW2*BopmO06HCD`sX4^gVzSIJl6%HnPP+nP%bHnuU2#33=^5
zvW`%d=DM{rDfLAes8_-tXn&~{eo$E=C(QYPE|6d$Bo##&bQan_+>iYqY~&(L*S?AA
zN!pPbaXZTObuqQVj*8Vo$}>iwAqENk0h=1v=US++i;m=Im2zz3YRTh-Pyxsh2;umr
ztU|;&0z{g>Y@dDC)}WRw_^W&8)MbR(a=VT&cP?`TN>rv`{mhue1mQ2Ti@$Z6mwQIX
zzrmR>VvpXcJ6EXo35Mwp3t-w3h9Rk)T=3XLgEnL=iq9o{!WMW@Z`K9NHeNIF*l(J@
z(x`WR>FSN>;{0pXSHEBdB#=?6(A#SlpofFF971LXV<E`h{SK}s<%k@WZO?QF%5JO$
ziGuvetx}zWOv*D*F^pwvOF^8IqX@fMX`i1jNw(2soKAS$AShBN#YG~=`qUHJee}u@
zYEpm*aJD$p3HUDHmp_I@<RB}UjV}LZ$+qVCS2r^|ULcV_lEdpz2b;o;tEY9c-Of((
zoa=H+Cc2m0slL%8h31k3M_yw9s4_!Y#P)6Mqbw*ON;1>j)*yW+NlKx&M13J4Ai!8A
zRCeNo8~Z7rB99ne<0)XIKqEG|*4?xMQv?!&rB2VLgS`gB#Jpg>UBz<*O8MpuZ#0p1
zzf~jN4V%Q`qJi8bv(CLRPOjz~f$Lj%HJ!M&A>PyW9%lI#LkS$287JsLXY?*+E#16b
zRUz!W*|<M8Bi#6T&bQTZQzRGj(<XWEYjOp$j})**`;QM0XU=lkR|8bH&S1TfBz&<d
zWsZyJOa4>;c=T5=fkGy5r6t^3A9-qjzc8M&FZ4|nL9F0Q(SLFXmlw&R64D!R8TMGS
zo}_<??dI|3iip=-Td8-MF)w${QW$k~o=wNms$)urwoSC|dDUnMu*p|_pRj}X$<Fdx
zj>4b1w32qx*;yV<$Qc^ayoUiL9o0aWysRp$2RnH-9WzpcZR*IDh}5<T$fAn+z>V`W
z-?*M`s4ND12oD*M0yhvf+hZSLVFy2LCWCC0{2CV#jVyo<ba%uoU<nVCr0=2Uig4H~
zv@gmP#0u#7Np210OfVhrjGLZPPv))?p~%VB8yuF4<I{qpPu*BPUM)mRpt4~{nX|bZ
zW`t3Vt?BL{Zwzn1?b*x?OTza&BL-P(r_BCZ1DU8bB9c$yP&V^Q7HpMjm35zs+@+!(
z34rwIoc9xGzv5&t9`2-;eB#tldc~ScSAT(OM{ze1+0ZUYp{S}wm9%@g$5ieV^>-_T
zBi=h8I;&s?Tol@BaRppPxZ6_Bx3w2Rc;S`fxL-pK**P`zGL4>DDVWeblo@$DoLADG
zl#JgH+)u+G7(R%~*$1m{Q&))99r1G<98ixjQBoG7DVGM#5XY0We$6dr8PFsvm#X7Y
zC|4kI*(LEO-7?Gt(UEPYP=DK5&zi8Rb2`GfL>#xw2;*cp)U|q1_nbXQ%|up}@>v1V
z%H?8k5tF<tbb>qo7`)au8t$d;EevEvv-&l#Kgcu`vOQBpih;aSqVimg;IDzxY?%x#
zGA=K-|COJ;mU$#cMvul<(ZcM}%o5rVV1E&!hT!YyEpY7ycrW`?PNIs%7mR>n<FB_u
z!#tr?63o%GnucA3a(+}24O-<T;9VK4!EUDE<8X+wv4M&GByJ)DRlu_xorf&!>ze5N
zpU!Sx5+~D%qU8sqLpDyw<Q$^q4Eca7rIv8~r(sD08%H&g+_EgcBD8guHHv4V%YZNB
zh2;%v5*AZH+LKCr(Qt6sZf!krpk0x@o!wGwT><Hx^GkYK@skLm4vJ)3N#tUJ%3@YB
z!Q+q3$wd7Gwk%-^`*uETkLklwN+Mh-r3uu0x~E4|3|Xj48`7_PTbC9y!D34@RZU|=
z^jqug5yh~z@4$C;t})>K!0AwU=E@%+p=tM2Df?er@;v%weZUdN2-ZS6nQ5o@I>b3U
z1wLYPv93RUa$}dR80poBM^$ZJyV(^tQf=bCNO&Tw<S)3wiFa2qZLD^kx`UC7crX@#
z2$rABKp1cg+hOk)!tO?`OWOKN6g%2zS{+8kN;SJs^7v$5M{=!)e+wFE3e>Cwr(4_q
zeCbSzi}ccxItMs(>WqeeN|i-<D)Q5x|G^f4cJ+z16?7jlX1f*O{lbNgxBffjqnanX
zWmPsI@P1lDOSbzn_m402h54F82jODbi1@S(L78(ph;#5K#=MyL;7J04$3QOt1$Fs=
z6fQ=o1)pb`bT=4U3HPVg0-yrr%rNKQW%`#Rz<xqfRw)J-i16pRGicJ*gB=Xy5E`Km
z>M?g_fyXFoEBf(_1K3uj*WU*h;86kvBG0clHP&_9MqI^w0xxP(!d^8N`_Dq@>i?55
z50g6dU<ocfL`2Rd19)_+ri)n<?7CesA#%Q)5lRZW;kt@`x$(jb7{Y+kNE!95xSprE
z3C(xsYd9-yX;ULoo6c(n*Un<`9SByaY&8P;KHr^xQBM8E*M))6k56S>=U$#+oZ28#
z-#Aw`R8}yD7oabJIo%7^bVxu-lFedbiRCR(q*MWwfLvb<)V*0Rdq(z1)dN7eAg^-?
z5~mnc%Yny~8vhneyF>cSECtZw=M_q19FK!jY;!7`kGQi&se8KA&_HA$fK>_n%XM!w
z$Xd<gB!UmUEQYk39QL&YM!YQ{uQT!2Au`dfcF?vulv#Eg_{7C?xJBbHN*?KVdnH^G
zw*aF`K%~OCaG#|O;*RnT|GEb-u>&F*4{}l*p-*!(#QXB=ZK~n5&b$&1dgP~qR-hIw
z3BCIr@R^%{(6oR!z~Jee3ITIVz<UE>YKl10Phw}WDABs*v|4VdSEn2jN9)F-8eI2^
z!Q!h%ZG^x9+RS(nw3+qy0al@b(}8DgqBv~=flUNKTilU^a7;-5AbIx(_%kYxft!QW
z0mS|r)UHxcxG_~ptH}NN`xZB)YT=qnO3j%F8(r*%6FEhM0HdohyXCV~I_QKG34Pa_
z%xO)GZ8~V26{yxDw)hmUrF)dE8$OQ?*;}9(@<b(|HuIY|ATpU8L1I%7v1bEK2U0Pw
z{COuiV((d*K>KQ;m5!UUo!@y*CuXKNOY6%zcjCYzK4nE2Zr5lpfUcO(QmN#r<#pd%
zBSz>1bXwWjAiWWN<Iprm{8UHVZIL~DdzJ_sLm(L0>f}L$hFaDx2sGL;eayYgE>%kf
z%c>{C*F^3c{Igp-_x(F|Np>}oqr>pB(6_b-ff)Dp<TSr=D<2*UkQ(9t6kAl8jJ=u}
zmhZIY0_&LmswGy&c&Dw}b8|H3L5U6MXeY7}F8TH`>U?IJ7p(Vv_fJ(roGF8HeYe66
zx<&}beH;MP8o>Rm=4c&J!Lf}&dCmcwa^xz-EVejF$(r=h5+D7&Z>k0uTyVpqZx}yE
z-tA}m-1M+p8Z94%&wwptssdedOl#4pE@AYdjtV0T^v9-SO2A4V$8Mlj-Au!K;FDq9
z7A*&)h1>U7*##C{oXF+gIe2B2V;>Es=FNX$Gjm2J@kqLUQ^CVYnN4lYPGdb*wXFlp
zR2MvgHktVE9PjQWi?CfkqgW_24=%_lw9b&9B^f@2=*FLtRle*-FN>C$*aZg4?V5RH
zwuLv_u)`+(lPQrx*N8k-q-9$^27Mqco3j5Tg#(N@uC&4`m=P6=vSRC1Tpvk`HIJBQ
z8I*f&L~+ObBQ}Vn--5~oxlijc|0@WJYEIB+Md?vW&{Dry@Zz<MTtTUexYe@P50OwO
z5Vazy-P(Fm<+U<w&GJC|Flk&#XyDq?pUXL}v-cochyMx{(N`ID*MfV`)GFM!Lz^c8
zAsG=+mXn#AJ7%-DUN(Ptwb+7)Vn_8xVbC0x-V7@K_{L^D@pHrofVYRVFoXTCyhq=%
zn+?EUm{Tio-bfnhw5F78!xj?H4AM_q#iZXH0W(A$xroF&U}^}L&U9Oyw{^sOw04M5
zRriHj8UcfZYinT1=XVpfs_$JTs=jKl_dJXdrx~S$Dwv%aGRI0P?*+L(rt>7}uR8Hx
zL5S9%f}2cZ^@dVD>ZbF)4h651=ThRwAAHNFEXNB`*^aC#%Us;n=-ZK}EQK@kHa0@N
zN0DES>O<)XK&*WALBK{|+x>qd(v#VG_jE_Xl768TcSdS7QuApk1ADL61Xh2ag{=WJ
zTllLO)7?Il#jp2UGacLx7?;b}Z4~xv`@WosEYBF_;beOCMKaL!w<1I@M7q)e1WSMu
z&-;)Ly~ce`chA5q{#Lnv`gZB)WtwgsiO8kN@yAC7TqMZO#jEXku5GM}*oW2)4*z1E
z_rhqAcnXsYs5%g{Bj;GoVnxnKppn{5LatrFx6hYR^9s|xG}a4VcX?K5)KHE~z}0;E
zLP&|u@A4lqIQK|?n3N&nr%GQwS`$1t{B#OWA4UE#&pJ}NK_|HbY5QrWz7VBR5p&>q
zB^HAfzakYor6Ls?6mEaj&u*-dzt>Ou0-~(SD>jS}j}uOq#G5uugn}JEws-NS`Jxsx
zI7s@koMz&t+i@wN|N0;O(*><E0!-1#mlHmZDIJ2dg6A^5e;%m;)yTq3?Q%H$3`4={
zxw6RJ@U3t5imK&RiQ?ET^|6c`BuU2mPy>%)CY6`aH3BS7-tDyMK)A|5Tl;vJz57b2
zN;j0ac#!S*qj0i`(QH7-O%LO2;&a@frRLBVcbS{$c9k`ubOK4etAX*(QEiBnyam0$
z+8Fb8Lgm)^q=!?`an^Sv57ebu^?UP*ad>@dq7fb;GoR<}J&G9J;9^=`Y5on$35V^F
z7Qi|Xjy@ABInjQv3alvRv<>*-%>Djd5W#lmC>|9ib^H}yLcb&Lj>1cZ_NfAU;tmqi
zsySp!O>ln}RjzbUNnER561nU$BDgUm{jEQ%u{FN~q+c9UI;70SjA32n6EXr+idg1i
z09wAud{%q#Nn!j3s4po9hz?H8%$JKQx8f0~Dr*~)*p;sLjz4g@+v`Emj@DdfULhC^
z28LAGE?l|-Lj)UZ<{dUN+%$ol2&PXD!#xXe`qYqwJA#%A&0}@RAlnn8ts87Y?08ch
zmcitxGQ@hpG$!58x0VG+)hC<*S_ZnK^O~yqubArbF_d3umx2F{zvoyssu*mn9Jb$1
zRVOT{0pkdT4)eSHKNxsb6|+Nh8LG3VbU-%UV#`^Ck;y!%c}s6F^>rqhR1?wvfM0(v
zll(?WPw<UwuNCm<0*s6yn5PojU?QDgPmfczENzP$TdM(6_WQ<Z;!pdzg%C(&UTjfc
zfpUq(%-MZDUiaq{Vm!lpS&{oas}?#7bGS3q&Xd^Byv-8(%)9SL72zxqC(|h)8M>rS
zCLfZ!yGhV4O&j;RrVwwy%5!1u{%5u_iCMTN85*H+jfhHlA|ZSz)&CSAVchw2B_|$W
zv~Vhnf8Kt(1Rc<VATsn`K`^t4vfY{$W41(-gn?B#$uK5|DcERlCjRxEPk2^oqj$1Z
z(4_)F)~@_M0S(pf>aid7ZadhUE~7$V9NF5#Qc(F@HOvupT>~XkL}@-OH9^Hzx=fr9
z<i*bEF3$|NN_n8ghDdy?32EMarAJW>rO7g{@`b4XS1CdZ@BABEiE00V4`c<f-X<bV
zKZQgFW4GTG)m;$SY;qlv&(W=fG2+W-ik#;crBViAswm~yGgG~{ZK3ch($+Ll<$nMw
zU2-Mcj$S6=bd|UwyR{EWB8VwtO8{Rv@fAag+H8V*YjOl)5C+5H^thWJr}8rd6Po+7
zrgKbO9EFCu|J>+%D7N$#09A71d~y_u224dQ=hpZgZyE70UsBspt&2Xl`~{yZBB*y{
z&3e?M={LoD&BbZObcdC<l<%02j;0SY&=%sDD+D*mM&bhelr6<>zno_Q4#0N)Qp`CY
zElRk4k6Y&KLbkQpGYjIXCl=`Obqt64q=72SgLb|RHjVQsDUUNoSL)W42BJ$?6sdO+
z1d1pWjNuv!zqM?U`#fs0Vqh>#W(nU$c(hb&%c(?=U)GF8wXe#&=1|8NM6J8(^y6sJ
zk_EFqk@0(6dj(S>+q~l1J1SI{X^%TDUO-4)TR8UByjytU*XCuxR6^8kD6Lbn&9<$x
zE+CHPAMJ}@9`=_dX_#8~(~#rX!abOse1Pf+Bi^oeU`~#>e5YZBkQ{9YaFnAgJkcxF
zR<*t~rEqm3j}%9xbP{d1f<>-}5*|?Ip0D7v2$7RUz>rQ@<T4c|id6h46lp+#&M3jY
zm|5U67e3~G#6NC`FczK0y#U2rLLyLat~R_HdA`7k&$0a2PSOY5NA8{hcoLP$4yssa
zH#U?n)`t0%-74K^sm)eP+Q0z5#Fn7RY$7BJDw#^c+|B~A@&NtWitI&wB0f?mtv~JO
zOI1F8{tnjx9naK~;EKnwYJ>fbl2a{oZmRhEnc>sOVhhBMq5Jw!ZUHvwx#fiKs3$-F
zzX?35E$=dj;dr&FmQkU)H<NheV2;Vcg-POToY5THrhy`plb&=MZo@Gr01XQ6nzi!T
zbgCuR9ojMU9Z$i1<9H^hV$N-qWC%Y?ET3y^C=Cfd6@FS1m7w-l79x{;{k@e2vWntL
zq(xj@%>IUU$``^Ty9!3o_OELWkn5;$se1WJf)m%c^T*%J8u^$hcdG$rv&`xD%yi}w
z`K9X1n2!FzXJmNZ(hqJu9I=NG^3BV`YnuR;!y`c3^Xh3AhhL239nB?F5DNFlT9X8H
zW@KdE227bxDVFLD8GjY^c4_bR1Z?AMUClR$D99WLrDc-)`sU(K$D-i6nMmLudfZzz
zadopL$o~>7y_2|^M@#{+Ux}72t+l}1>7s$d4V?Tl6fb<M>*lwn49e6vVD{B4IgKlx
z%F`0{kYc<d%s}a&Xq_aALFu2XHRs)w<I@eD#R5ZzSY?OFg!T?K?3|)T`B!rl5}G$D
z;QX!fexKrjzEl?7@H(?lc6iVXza4`k5blb%0L%+lWq)90fMww)Sa@nfo$WOOtL1~2
z=0NE2TOs{E*oAO`Smdkvx=xP}n`s@&(-<M-2I|%CWP9zIy{aaX2cExzN6h4}(?It%
zv!%iw#$rn)W1)Y0%T4kJUQ|EX<{-cCxe>V;P3Tz;83mTveLEmnxmbOmQn^t&Of^Y5
z$=;hAD6jtNxe1?vhPiDeH=wR}m&9}84&2X)9}j`#PNVi>xN)RnDe*ILOrXR8DlqS!
zWp{r;29th+ErBp-n1@W@HO5-lp)-0_iUF+luoDyd1j-dow1aqkE06@o6DNm+vS+*_
zt0$EeyjpExhU(bS3>~XIxDC4b(LX6b6E~G%lnsK?k?OOo()}sQXs{3kqH%{giK`ki
zVnNyPW%UaUdPWG59ywYo)F^RiuSx!NIl~wk)PtsXG`(7`z&&*I$67h>!^{sNJ_AmX
zqp)f3P1HWVa2FVbaAbs@I-o139|`xJ1&MH1vRRLh^c7d~mv4rNxfGj^g`kDtD^?Tu
z3c3eIx87r0)T=d-<T>5*3B1KEBPxH)|HR&f=xf!`FR<FH$|NlLX{`ppqyp5SUq}Rf
z%w2B}SFTblWDLnR1-BiSeq0JItUDe5*S!@1O!X|Yzf9Aw^&8~6rtY8&U?*$;DU}mw
zgkBiVzw$^&K15|#0h+?(-&k~n(Fxt{dVmzH1;5B=I|Uytd_P8~PVgQ>2;#iOs-sqQ
z?2r8Qg!yj7H36Ym7@0pdLO#)0=lO2T$&O&Rs~h=jEbd-*#+`&h_7fx8t<1k0aeZ=h
zpf{{yUN`Hzjd%xNd3>+c6J7YCS(14X*|6EKvaSle!E5+yiQ)vqb5*0U9})_R130(k
zg2O3LLJLsoGilPIzXS4SHL+p?6}?=gX?c(F-pg@*AiN$M1}E>EYZ86jthu@`LKN*n
zt<`|iVSTjg8-u2LKv~6noNrIeP-FW|7`Kfmd#R`MsDH=yl*$6LzLYB*NLr^iifR=>
zU&z~tr3GZ<yVT}#@+itxeY~kc8OtVESN2DB$|aUlLLvj(u-X$99xP@*SS2{h)vO}m
zQoU2Om!;-z%q?_1Wyv$KIR*ndo4AZlML}JS$UYjN?;Bekl-97n?hJ0Eq?epV+tdWh
znY%?XRmF1h%_5wNL#W=>e_0}Ap%EgG?3EhoLq=ih+GE$rc&R5V2-&-1;#K=R(h#WS
z=v~y~@S~||SP(<{ZRvaBra1G8X!b9X%<5vR@JvL;YN78uyP8{X7qK}4rzPs%BE2jv
z8y-T8HCE#75-PBZuZ0q-)jc7m{(uWqH&!GWE%#&kvNhJ)8`47$8l*cI2i|R=+#aYC
z-S8;rnuiaHt<<;z3LSN`CRoI&l@**RBG|<@4EWlw;$qAd0Z(i3*1j#b&B}WEoH-(f
zU$H^1dOc#_XU$_4wJB3<cV`~rS}6y4-UvDMJdW};{tVSA99S9(5pEvbaQD9K-<AR3
zZ;zef0eqKQmJhKKb}?$+c)G-gbSfZcK?1=b4WtATt!44q$eBK(pRtSs7>h0HSso(s
z8uS+tdvkGO@$0i3Ys3tqA1NdUDT@A)()cWfOh0lz)8YCjGSS~B1zjMaOS1?S5T1|C
z5k!(tdpbqdc3Jb{ti&6k{i|Y|{a6!lru(P#d$?s5+u>JtXdl+KNMW3sKg4$b47wI5
zJJV*RWnWh#!L*j0Ld%p5ymX?%iH6b7%uHjMXcb;9zTE5c7IbA2a8ScQ$!4ipsjto}
zl7YL(GXV){N`0<rVH7~3nX{GG6s%1KXJkT#S0+cg)~@l}mm*C;sy@E!`oS|*J!JlZ
zWL{C1pa{R6atmNNJPEpt%B3_j?G@-{sK%D6u4jqS*`D%SJ~s|zgp(~4f^?+!@k@=&
z6oVp$hw?A@8php~=;yquOT%EE$B|Lp_T*`s`Z)CEwgH=ryh_=gx>g9SKJPTZ)MHd2
z+NSoTA<dtyhICHhE`-qVCObaXDqMC0xz0HxI7=H4K;7TLlz2>nAi)Hh6yS0(Ew7tG
zWZAj1BdxuB!lCM=)4Q|XcIECQ|1HA-mMSB;9=dwtRj;7kwKSx-)^&<b2bUMEe)Hus
z7^undK?6!EOTT<!D)sOYNzrgYOjeub_9Re-E<igQ1fs`~t&fgyH;M`ydK73k#Zj`U
zgt3FAcFnn(cze~}5B(WnRT&N6wA%&B9|<<WY^)I01zmCR6|;Qq)mZ-9ucTfjBAIZa
zbiZ+?8zFwdOXI>t@d%Ic>Giz<`bAqz!?tP_fUIyiCRY^}mCS174Wb7$cW*YTm)rG)
zh*uy7ioc43?FVle?ZU3}a{qu+GPQD$xQJPj3eqr9n;%dVn^3RSws~Y>)I-b5iECd5
zOMz0F`MKD>`I3MkNsK;5gzB%M*X!07LDV>-U}e0Zgv+{j7?m|JnPJN^H?MK<rj}o~
z(-B0SoASsEkM^-p81)|tJvd7xUEg~Fn{-^p@C1{%an2jcL=|~Lx2BteldiS4-{F`h
zRMF(-1ya8&5zfI6@ry*6m3;eH<ubRb;in;~QwO9~&Q9e(%yz3l^VK*!=h1WWdVJUj
zv6@ef|1n;d(80F(_r`U1?kKs08uY=GfwG_mtIXFCfAadcz3r^eq;uk8BLHv%mMIc`
zQd0I8nD`Y5)o?ASJwq>z^O<}Vcm@bsn6dNrC6Nn5It}f;*7W8)%O!vQh7p|2l@hJ7
zJ<A{mDgq~6f;9sboulW$;9ylC_%~D?%=)-~5a711L}zPF#Ls8kDWB?q6l~3mIpUfV
zMe^J1N9s{)z`g<x<I<%ob1M!IZU%*D`1mqzsZqk^g$RLoQ!y2R13R4jW2jZUSK+k<
z+SPgaivr5+w+6Q^thbsv8#WyEg%(L^4p$?%-1*uDwhF+s28yq+D~yf<-YK_l7lKqb
za2u1?yMqyQfzD&{xKah=-0vupEL>1YS(7I6Lgrf0#A4DcYzc(Vm~+Ppac`A+DaF~`
zds6oT9KM`ha8M*I4u?>)f>{9xRGpA$X2WW=Ym|?eg|*x(Vj-r6zUGD#DY@SuAjwUM
zvW5=7BGt%({kUKZ-i}^Uk^InxQ5(1KP#rSZVPkJI-e!IxCH!9*aln>@+IZb-E_d53
z^hr5Ni`>T}H4L;qU@OUKibtA+r`y`nX1_0~bmr&#>jNfiNz+|^RUis}^W_cip%&gm
z#Q_A5(_ewgGi3Dhzn&+cJ%C^_LF50}DFJl2F_O!cfZn|0wid>8UMD&TQN`)VAOlxU
z?~q6{ew=5^@ScC0RvQg$hH`XSgG;yms;BeH_AV)2XBjR!pp?>SZF69(!H`;6YkG}5
zpjU|n_DqQy|HesMgmJ{u%ciEyc~(GNv{F~|q!hj)pGGx*MzEiCatpT@FJXtI7K>oI
zQ8TWk&feSXO%uI*VLs?y^(TL4^}fw=Fos<nsao@M8>C1fH!{i6JP`rj$db4?(NqV1
znbGlLWI07Jr!}=6*wW=A-YHCt3fyGdTZ=HZx~t*wE<g)8Sh$-JD^~c1;hM(qCh)P0
z`A|7Ewj{?86$TKm!&#sYrsUiF(!6SBr<4luuN+qp4@Ue6_KM^mckWM-nXEa+6;0FL
zJFGj;54YlB3iV!-#4iUomWawiQ;F^&IOU{)KY!~(FDv8W#+U?>qJUhmaXN#+>F(7k
zj2C{Y7;s0;JI|#~6D4<r6CsK58WeK5IG5gIay>kI%6=pTKcn?$ai+1@pb7pJV6mcH
zuQ$309@2gWz+|o%$1olZzaG(cx}{Ro(^XIP&)(578PU{TKkfzd-)Zj7RyB#!i77P7
zffSje0>a9JFm=s77xnt%{H3baR9WQM%9T2SurY4Q0ALmFj#cKkeBc8Tl+N*C?5p$0
z0^8+4@2U<?HwfRZK`g4#k>ruI$%Va(rD^_d4#5(s9(uR)3JYroE-VE@oqO6um<qPl
zaaiR-*3HZT68Toz+0oQSWJ59S;aq$3dSeu!xOxU;uyE#3RlVc)=Y8goww9f$m?wEm
zzJ5(&4jIRx^70QqZMBe+?7%ay&QqxDMJ|jba2xROYI_5e^`eTaaH9RYo(voev+8Ta
z>b=n|!S;Y#a-KW>=E!R^B!NBtwQo*(z4Tkz7HPph-i7!(Xs(+Q{9OHLmV?x7P5c2n
zWw}p$MnPv1P+m6dpaA|jVVIgnm-(2xAq&Dy3d>sWyTWmvz^3nSscQIhG9(2o$4KIC
z1A9^AYR8Y17H4I)@^H&V&T^SbHuTUjy#oc7@PL_-2?|m^@K`P-6m7^sR5E<DQidk=
z{mGD^l1!lF*TWYGic4qs_lTwwtKT5QDoPe>UZ{f6o;w&_F@|4h``!$DgumyiVKqSI
zVD=`WWQtlaNYorR=Ih_EX{z>J+ai5elf96fngRi8KBF-rPWQ<11Tc`=*9P0i-gs}T
z+<db@CpFueDcU|A8RtmMPuG>L?qw#C#TuV4;RB+}%C;|dhTjs_ZlluZm>D{MaNWK^
zIxw;_4RG{`3X-G@KbMg5j?t&Mf;q@`P9w@VX)%%34c=_y8p$s8(k3<d?6{~qB1;Qg
zKN13eb2!mV&g#LK7B&x2;l3e3bK*IBJ};0!etdqp#5o4uHouIhJ9PhT>e)`eyl72v
zlWOcze!2_Gql+gb@RI74s`=O&BQzL@1VEvV4xg8l!I1r1G92;s`n{oNJgxwE`PbhT
zshvi}92|Rwg|iS3dK@+n^R$~u^rRPKA5OQ6OF8IYinf~iYMBt=@<v=cCyzIK%>8uh
zVe+zhRl-w=s%0;gRr>2n^WANJHWs6u@~3CeyX5!|d5Sb*(@b{PH@WQHvt&FOqP;|^
z7Q=9RV%=<m(`dxn`bhpKvV)~Ud3+|DbR%IkZ*$MkG;LC`X9zHflHBUkb{MJyECX#G
zuDi4(=WbS@hfQ)@;(|~~Ma!CSE9$dMw!Nn~W6%ZOs6yPo-R1jw<A_G3PtDszWX4*i
z8pVq01WJ_dV4{22B**#54Ti##cort*Pps(FIL>-B11%c(t7R)!Y|iNgn$oN!a{<PO
zjx{i}x67m5yGvzCOG%ic8FL&@=dnu+Ah6?uuva!HkoMLXgZ+V1ss6pv4R&ATR8%Vx
zXA?JWTa^v7Lsnw~2K*i`9CWy`vtVA6tRS9ZqY9DVHG#O4%Ono4rQ(>XIsim2fAC7}
zp4PCIEiCkzDNHD35HhL|eIaA{TdWr8^f@)0EQpX4*%&A*Sh`~}V3LNZ!6Tq8r%OlA
z*=doWPUY1=3sa(P0|BpRFh#*qyG<Wt*1e&@EOpEIcr2WXGMAe2#?UYX9uMd#CVvPT
zFsb4JnFWI*!XXZw?%g1X%A<Yvkh95l_Fj})J_`C7vzrFli$s>$6Z-PVO;8p4BR=*H
zO|Omi-=Y>D3sc9;mKo#0Mkq}@W`AHW{YwJ!omNsM&~}0f+F;HwfdwThIvNq1nha}@
zBP7{sZ{iU>SJOx7_Y_8Mn)WQQAf|TBTxAqGW6JWJO{6oZ%VM|ZDY7D+k+r^X>v&Og
z%S14SfQgeKQ8Jn^=mOU8Ogk67Fs}xJ`@L>Q0(gQwE<f08M*&RsLTdb&sSU?*Dvb2F
zA_n9r|0Pmm;Qh@?8p74eT<Z|=1aqUG?mBibE@HOtjJ=0az^V?YkeiPKbe@B+T+f2c
z`b1gCaX%|m*@=<AIMr~{8g1%X$S!}=Y?C`bEjdjh2od^bj)>++VbIxU*A1k7NrI{4
z9ghNZo;kHbVb!phPSrqmeyMWDh`<t;I7;ejzP|Rt;PYpKpOZo85ej=b5AObIm5`Z1
zd%%ll=A#ipt4(IP0mdyzkJ>2>5PrMQS(x$rW=tRE?6V=A$hus!n1q3T)mWg6@D#lt
zuj=EcS@|72mO<8#-0&+954Ahr(#>aT8q<ciduXPe(TmmNbWu0!pqU+Eax_jpyNag%
z{T3ec1vpu4h7KP`gvPWd<CbO$qHg{htI}z4w>G5(fdFH57MkP#hK9A6n}(fPEnkE5
zED|4ssIAm*jEbQnDc^W!Y73BNIeu8UE?hs1#|7XMXkCPon#aGDBg9tgkjOnUQzhZB
z4v#I>TaEy4p$D|hQ~(ypl)1LL0J?IC-*PBk3adBl2LWLcwNJ75$vS^I_7y6!5)5;0
zA)%SRhpZnBrhU(l@L{(XX99(~&|gx1=XwA)v+kf!#Vf=Inc{HE@MMZqi~)bUg0ANQ
zX+rz~peU0z&TauHmkU44VU={NUUn>}(;*!DNki>kjWdzS_t*Xg(Nx4tTf^(RQ?)ed
zhhuoLG(gMg6}q}lIykFj|GE`{d<vrqQzb={O@aaqfuNbeo8YLU4boiNnAugo04~5$
zkV~x0+#Fm(+uED6Y(1V$$YZcvbKvW=y>Ma<dhclG#Q=!mGV&K^(Sjql!zBsCI@~Q5
z{vhQqE4b^RSqdGbmJ>S|Fo*6rGojTyPOXGp`lA%t>Wz~VQPvf8p3oeYl#3lBzn~{K
wq!Wm?SBiEfGsYz0cJ9O8LwVVr8Pu!GU@e9c8_p&9&IUEZ&NXTn_ORBvNs!XetN;K2

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_16x32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_16x32.v
new file mode 100644
index 0000000000000000000000000000000000000000..ca6c9e5ae7223bf7088e1ed4387509032b963ca8
GIT binary patch
literal 9720
zcmV<UB?sDz6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%liu!Im$yU{hyO}C^vnfMCrKI>+TORgkhsODaf;u+aASr^N<*mWM}I;*Zv
z8273q-%UW+0KL!Oy|b7jj+eMUoSC%~cdz{waS|)K`CHHGDD)99b<R+}CUB^HMuafU
zifc@Iux+1@45EVi63R_1B!2r8;O`Df<KNVSeO|k~jwT-gzMBkPeTuf74YP0h0g0yI
zQXt#1fYEsQo%k#&UpDgi(h#%f;4v}%L;T@>TCp6NlZz}B(-wy}%N6`B3`c8INfbIT
zG#OI4PNy*}z%p;QIc51LMy%%jSc5AZUo7{1nU@F0->-`9FjLN$uE6RV;fL)ND#}ak
zGlBlCMy0~Pu4g~h>ZR&F6UH>^0Em^=1CPV?f3#~m5ijHZE;*v8ZFFtqW!%)GR%ME3
zCuRscjK8&Y&vJz+KN`D4dh@N*j|V<Fnjbk1375S#H*cgnmy`Npb3k*gK+&miwnhmp
zG8+AZ0t!)HFuVe=Qetu~m_{yBq7Xj=7o}Rs&|!^(jpFPV`KuF*m(FEFC~D$f{W>HR
zlZbURS}U~A)-cY2E$P_dJCYns>)?6;A{-L_v|ky~Wi;!dwY6cTW*_mswZa4hiQqTd
zjo4U_<*R<U?1)>p*o;Yn=>4Eo*(~j{%3R?htjz2<{!WUZcD7e3#7~h27V>%;r~Ky+
z_@L^Nd!5-j2Oa&wLIfm7O0SJFQ{Z!GYSOiOj#?>tv^}$BBYyoN#H^&oiY5UTJut!Y
z#<5*Dtn8UKRW44R6e<l|^<11%4Po7ZR*d@UZ<S$Yun12_>?ew0d4QgcNlvpoP38oQ
zb{hKM)b!ZpdNGFkZZxLG-bCWGp`XMh8-Hs7&*!q{x`fXYU=A>@%cxJF+Plz|Xh9qN
zN}Fb*D{U5GUShle3Cb<Dt_v0SA7rn}poIMoIcHP;7bB-g1b^ozeq$#(cvl(uHT!q^
zmQ~;dy1DSu>~q?*^<`)2(k!IPzqX&1n01=fcJtN$lA4mlSV+J3p@L%ZMepU~b@-a8
zJE^?Pz9Nu26a+_0*&x6M+@8jeWwj4Yh83{ez#2-Xb3f~|2@_IJDO}tW0O7jGiSj6m
zv&uFd2SlCwuEAh~g1=oHFzmO>bVj%=m8oUsp6$z@Nun+daq*woqK5i(a4t(|Q4B>9
zN&Ra{*dORF;2}}xi#B-|%p?D-Y7_^(MTt_%s`PeGjHT5=q(YyzVikv^{e=|KIry12
z^&rmCmA@c->Fb2G$NVsVj{svVYh1q^!DO8<fV*Ec2paOB?Dw?-0j~osJ3Yq&9j$b5
zpdqw9uSugIF&Q~J=L+!IS_-(vxA8MP`-X@@ZPF8W4AM!`?^P#m#^yhJDHgr7d7d(a
z4ncd%oL5TdWSh0(@4UDIspHckO;hzPM`jD*L_!dVm>Dd!tPYH_*c|&IR#CBzvx{?k
ze@gRnh<v43IM3e)l6)La5}q(Uej@E5lIu$x#&qWR<1SP_;WGW0%TKR2j-Mmk+nxfM
z^zS<tm4wWPtH-gVRAh8JBp;c+`@_<$8<vlV+pM@0Gej7=fXRK$_G^|=2z~gE>cR>7
zk_xz{tw*%JSW9b_ij3Anz%$4)za<6r^@x*iLy%f9rW`w7iLIPB9Hg-M(J#ek8knK-
zi9@Tw7o}p%|EnFH*0+=YC?AwsX7|dgM1m(cVRK%JL~<#0VpCY<UR*PC+GDEw8JjRi
zSV`zLBM2|+z(?y6*_E{X>A9b}PGruW%*a5!FZ1K7SR@`~SSz;|!_#QtU}VEzMA2HV
zLu$qRq4Dj3u^oD^-tqD9mfPrL9W}HZxU;XE{Fi+8a6n0s)U!+tF;?00`Kv$8nu^5c
zwU_j*)N(SZ=+~dg_HNnYE-Wbsnwy!4H(R>*uxJF6ENwy{c?R>t*#q_rpSEDBBFpBP
zQthE?QIEE!r@HL6-CEQVS=9r*ySH`CfXVlj%I3W-RAX>(sg*m@;|PM!eBN&O8r#7Y
z5Ol}7MYa!-GJDcrG-QL1b@4D#kmJHp2n!1o;k4Grlsnv`jh5g1be@JEcfP7N#;dD`
zdJ@pA$^BtMIRi=EzE^9$Ys&_FlaLf}M*b?1ftRKstvFBn>vy^6c`Vv5xxPAPr8=`h
zl(;b=DvPh#v6>T&Nv({-*%+OBwXG~*Y^oE%l2dQL+@MQcFb*8c;LWIDi>jkU#s1b-
z+``eem!^R1Z-Q{VRz$n0E$^}FKC3eNt=)MzBxTx`a?4|~;@CiNe1F3Z23W@Nc-kwa
z<^(Xtx#W%6P1kh5!aT2OHT)KoI!d9fj`W6KA9_sQaE&L$$+T$viOS4{D~vdTEO*#r
zTn+N~r3dGM6kHIw8*?hfy?PzGU9o-9novE;s)$X03vxDmNGe?rk=fw6e^ZDwCl~?)
zt7JI+_cDLxRYCEb3Dz<bC6qQJ)6v<tXol?HeVdBNJRyRxanOIHo|t!oVRsUOj`PFw
zd0Nx)J}}sxtrq}cH8MD_#&8H^F^aR<q35p?K%oE1fLUU_i}Q6);WaxR&!bifL#Wk^
zt@@!(vtSyF<}lD|K&ik^E92i**#A<Uk6oR|RwVq;A&x#bb;RrNTuO+a$&^wSof8=)
zx><~{%HIrAw~-eClK`sG>ksYV6HUD8QUBC*{pzgS*LIQ5^4$BvcnK>$03vK$UB8%I
zR{>i~V#vcq4&rxo$c#rb--ulyMMR-OHDf2YCL*<a%0)_~_2nar6FgN`BS~Ss(p{L}
zhEBs{165#bjga73GoOzJT}}Ogt35Pa!&3fYdD?Zc(2463FRKfIy-TBxgQS=<5HvxQ
zs%nH+2??eDi$pS|4_((S3yKTr_sH(v1rf%fDiE1bt59wf9jnl5mN|m2{O{fBTdt=l
zfAT3Am-M7ML5E(3a1uF`QbS+7_$wR%qF3u*x^CFhmwB_KR5Y&c8BayB$dN4!<9aG;
z0szDP<iMLB-S6Jmt{v0NhsJIOV@<Sc(m&j($W725TfeyTm}1M?OL7C~`%tV3^@2DN
z))!wjl@M!fq!Y&ocO@}?VXh6)%2KO?03u1D`bLAwp2-%5G=~Aq){v%7tA{2*B3C1b
zrxNHruxt~YqGcDRxb$iMv2J>`OZk4A1X`zg=MqY%ilXE}qQDPPeyp<B<6T1U2_yN*
zZ-znUxL9uT?~TVWA)x-ZkxdpcW0MF%_GYUujFvP=D84hN8MNoC15Fag_COb$PvgPD
z3@c`Sxk;8WCt+q-Z~`ksKQYWlWMmYwzpBhn|3g!~##&<n8%=ceSkI#}m=XSJTmzt*
z6TyJ_hNtnu$Z8fHnarR0X}+n$8QM&dlxObPx<8MKLJ?h^GlU-(gKybx$<WIHW#5vO
z;VowOh1mr<K0xVSzIH1)fwMiWuZ?vr%)eZ`o0Wuv)OhTke^(Z16oEPq<vtOHNdE?^
zPLAOmJm-`3yYh-gYuLxYfi>ifGnLsClZGNVO)L!lv_G@iH2CcWfnX}$>id1cxLO(a
zY*I9wB{Vx%;K`9=2h}5(Y~(nV41p;0t^vaX<6Ld-Zice-R;ToMN(v9@*H<Y3O$c6M
zE;Aw<u4e>SxAF0Pj5Mxf8n(~uouotoTaqI8{3lFoRb_&ecfY@4R`T9(4fJQ&TNhxy
z;pCT<3HNGe!5bac-QMjA(K+2+KH4xF;aj1EzrARY%J}Nzf*f-CFI5J5h!vv;Y|A(E
z$CU5|cdFAVv&*g-M*#JB!H(AAs9)&JoO;PW&3TSHvDNtbT9D6^bFAvH<<9Xb<_1=*
z>i!<~2mNMFz1@pZAQY_w+OHm&qME`EH!dUR{5J7PfMfxt?CsiVcx3n@`-f)DSvuas
z<+`!elF6-~n*6A_yHK#Y#^n#-@#VjzyMbVXLzdWUZ!5+E=^+gHxD7`n;jNv0(>^o@
z!9Nk2Dd?6rT$uuA5C=O}3r2938^9Z<&Vb;R`)C6N&1^`}%zmJj;xm%;QVtPDxy=p=
zYd^&*LQ#sBLW@@orEi%dQ_95?9ak$kTShAD95(SBzBTgP=g!z24+5WPoWXEjmZ#D)
z<s5+ybx9nq*Ox9%Y621b_S9B#VQePCU?!#1D;uwZn_Y=A!kYPl$a@s$RWSXhE+Ad|
zXq~-T<;qP$dcf(*rDyz5GWE{b+i1IutW<sIu>ub;g6)DPhkv>K%^N&3tm@!-p$hmz
z1J^Oi1Kx#I*E{S9IBES8rzBEe|E&$Wuc3|*mO6GbvJlUdYNXt=3*&Epmj`^7&}axZ
zDl_4G7JAA^hLOGBtVl*Ft4+a4aK#p32VKYQ?xSC6ftEuL;Jiw`ayk(sNtu~9Jq_xD
z3qT)B2jtZOym~=#1}5nDs}w;`TMn>Xowk}LtH-eW=u;p52?|wbVs@@xB!Qf!Dz`GI
zV?dIcrX(10lJ!W${%s5Jj!+EtC<r23FAlc4@7eC#Q>!q9i{v@X8T}8FUVyP<km5l>
zfLxnj$1k7GHhx!6UVa%tk3jF%wXdJIa`s7{Gzo5?d9jYYvypdY9|szBqW|+CaSsjs
z0?|1!Rcw@T^YvSjhey`dL^UywM_tm}EUE({3Mx`5ut(&1)le@r$cVB%R*1DtMa5G)
z^7<)mk(G{0wm4fEeMucF^W+KDKH6k{#_aC&@lpOw!ttud5wx7z)7d-LG6`hT3%b)}
z{JHNJrK#3tmtOCi#sNM~x)5;Mg}kadSw?Gq_2E1Q$3r0L*={eAygg-Zc}~bD+Tws&
zYzF1p%9Rn^mHN=PyoAE+dN&j4&>7pUy|rMW^h!JwX8VevVx7uo8mR?PvJim(fH=nf
zx;u4|{tVpp%r0VyYo341MPse9<G<N=&#m2n1+YX-W@W1(v%V!42%;twCY{m)49rl9
z0dCRa%2kv|o_;grG8o=Xk`su3JsDsXIcgz9DTE=OT=_DVIld_&EG4st{UG4EOF^k@
zm(#9G#14$%q$rh4i?y4JbBIBAfm`XS;UlKiPE{$75xI8|YH_xMHdXuw+ZnNoLV1Ym
zJg79{2FcXMxw|t#N_(>bXFekWy4G1tImF<&6^!!~Xw5h<!D9Wqx9z|;OtO?`rJx-%
z(|R(AC&J#cscrWczaPBaBft#8tdh;^KvVTnGSHIT6>u7ci<`VkPf#7t;O7TF{`kOR
zlvkN7_;MJe>pBKOl1-mG2XJ)UcKfVw`i}{vBw-J^_~ip$L7CMJDvPW4*?l79R~HHr
zT{iHxGO)s@w6AF_xOZ~H5%LgqMXX(75ky<SgzZ`BA8IS~-Bi?N5Bs#vV<x=C$<FYh
zqR|GC?dVZ3O*%YPKLvLTq*C(#Vy#QbP2IG1Pf@wIyHNP0n4z!vHZ<h8qZWu;w-9uv
zQ~~7h{>KARVCen&ZIkJuqDisiWa3}F26H*MLqYF*VAx&nfS+eh8mcOV;WDSWyN!5C
zya~`TA=2IS&>$PskxJ3xDL)Hrs`3-eRwlgFH&fRN`52r|DUF=;oxo#04{^2IRTPJd
zZ`jv0l1QFob52+l@0<(xtX8hm4!m7nISx7Du^S*y^I0_k7}4istw>;7KBTXx5o5ii
z+-hJYF78f8pWiui`qL!awuAqLTuGnXp3-hIY<H!soSl$#G{A~is@rmO&=nS6b=YtH
zke6j_(QOL#iC{wxNfb@&s>Vh2WBgury9vf?m>ei5+5t9RanyKgl=|vtDTd1r8*!W_
z&`6}xkb0em+zYybQ~oT)o{eIcqIP%C&&24GCH2juk!A;}m$tREsCt9TK`Kf69KvX?
z`yDm?m8A>Wm`Az)(^t!zTs`f}Q`t^kzwZvoM^~Ja6YvCrIH~|-nJQM|9hA=CfJzG=
zxgB;FAqK{#7KPHcF9z~UWk;F<-L?;N!_s6YJ1_UTBSphWQC5{Y7E$Z*h?$5X#nI`%
zhUnYfPz(k%tbdLRq0_>+e)^YzgZ07V%D0*au|+NW96OD+FRpN)4<n*qV7Kqs_jn3^
zT(jgmx)HxysEN1Ke|RR~Y|un|C|LS{Xsm}jes}NX=Iz!<&jFbkbkL}$&}@MvLRBx|
ztG+<M-pv`)pp`B6`-S=*L+Ri_5nojD4ygS9g4)qE@x3+#xW;B|>VpwHZ1%f*{#mt>
zF;w?Gf+~9N_e!}D;b90_W8Ucgcw{r$DKC%LbiCO|k#N0YjuB6=97h&IHJ~VA>S`hZ
z&5YMamuX9R1J$6Gje@+~XeI{5OI~!T^8+BISEv!xwQ9QvHb-c|YLH4f08?k9I;S;g
zsqa<fEMkaTw4oN6=-pz(VI1jpGsxk=0hJl8lsagLj@rU~Eg<7$)EXsAdG<-Bp+tI=
zP7pEe{0IH{pW+Djzx-&hTv@ny_nS;c{URea##OLYI)Wl9^^xU6q^J0=H2l*vz5YLR
zFcT*kYIjy4ghY}Xq3FtFzoelwcxVX~8_KVt@fQkSP=RC*4i2NUB|*p(6*B8sVM6o#
za@&Ih@=HP)<|i){iYN^!P=(O1%UF1-<B(W_yR%9UpYVt!UcR&im9CCetBvh7)(8DX
zj5vLYNaW8W%!=CC$sp6c1H7QlgE_-?w%B0CPUq!bOIcsGgztnqctka72;Omn+wq%Q
zV7C|S&6>jioOQXs+C52IPCt&M<^&x$bP7T>!s%e*I%KX-t9}OsP>;6S1WQYMHX{ZA
z_zpR8C#SEU^j@XyFMv&@+T){J7)mS@*26oQ48?Zu>q#}*xmk0$8oCyI$p33&23VT{
zj2N<4GXs~VFUsbMb-p7pIWmry>1jcA0f$e89|gK{1V9eBg)t+k7D$K@axj^`t2kc4
zqCJwJ!A7i=q`$3R2S=?J6*DR@t#_pIOsY7Nx0@w8+B!uM6yuK&uMS5eS5yCkZSD!L
z0V7O?P$O0ys%rS<I{iUCJqP$n2JMK>AnsPYcuSTi&#5S0J2Q#_Gy-7^jVG!W{NgL-
zLmO?StpS}_%mH)V9-n7Xu6sxr1eol=CrIYq><db}Q|G)w;Z5^JUni@dm$K?c?du;x
zXtb#~(MOrsgQN@Qq|8-70C702KuDpvN;`^^0{Dh$M?&9+IZ8oy>=%0aUV2J0Ah|S7
zx0V>%RrmQ-iC8AOxr`cf%DBRw4)ZfNYJ?(fbf;oN@Z{Jzi`mF|InAJr@-knzxrQPh
zgA}zj{i4H!XUjssv`eyTY;nRs7=Luf-~FUQjeV@4#sR(5o)h=-aDpvPjBcb~-JmKQ
zEx!Vo&LT~kJn#g;cVLVj(KXIvFjWXupU`Fuz5=eVbGx_+5#=CR2YdY(1dLJDb)}mv
zx;{n^^(gemIBDn#K)=s=CN;vn8KYcci|-@S84NY`<?eeUgtIcxl&8|{01931$nIiF
zZl`&bQ>*b!Hp#~<an?qbnDMJ`!)}G7Vm4RQNhLTIpnWL>dC<y`G<br=h6dZEI1|J0
zH7*UG3@EY=J@+ABT1K3PpUWC3;*dM3C@l?>)9zy~!Nm#fRJls-6jc*5UibHLnc(!0
z(w^Up&jm=-KkUKkq6-|T!%Gvkd>;{q%#mN~T#f(yXMh!lS5c_!IwptWloBGB8fx9(
zy&taDa(25SuRqu)a@h#!oB`@*?4rE*45aNz=@2Z0hj}~z0K@7%+oKfJyU8*P97!%=
z#vQ#hfMWX@uuRETidLzY(|dNR!_FbokZm%PJzAhoHJE8`<_+r-j%oQEvXu@VjmYin
z+>AZtG2S6-Gj6;tcL5GG%=RCvifiZ7>Sw!{5uPEnxcM|i2xDWoi8Y{V6N;$d+mBUC
z=O?)i0hj=zE5hx!p30EQP-xo9`W#fKPLJ!2M6{w-Fx<B=I4CRYJKk^Sf3)(Z0i5}9
z1JVhm$Jl--*VA2sp!BW+xvWlEWyhhe2ioW2jHH~A$;^o1$SW{@EA$k)zP)GfnTWy(
zd~IS<eHjx24(_WnsN*F3FchB_7}xy`<#~G44^#}34kY-?JGKN#cUj3Qk2&OcPR5ku
zZ)EVrTDTwqRZAC_(}@;Ht_yV&+3a7f@PNN1VU8Fvkq-N4SE;=!xXuzVQpCH@<Rc)#
znTrwAr?JaV9768;f32dbKLkfRW6w@+CMS}(qtnR=Y|sLuSjuI-x^)RR+cXb%$j}%V
zs;f-m^W?R#u*N0)?Vtd%l}BQ&Y=x4kFag6DwKN<-#;GG#)5RR1<wiqU#2$qy3TkaZ
zq_K3%5R1HZPu_v%k^>BPHoOnS4*W+3m!{-DJda{!(AlGz+a!+7S8@j1puun!sgkF!
z4#~AjlhCi|$SJ!M>f9tx6dFi>2}@ZRtj!-*g+q%yHud;jnkf#8)G0wLU$ek8`e-`c
zlZe;f!{cpyKEB|E7*NX9N;_9TH}>jI)NwMt)1mgDfN)eyL@5~I0#AH}U)v;N#}V15
z5K=q&NLcBeuk0=YUiSFk9WZZtT3;i?%P9_?eNg~!+zCmP_A8+NS`vSL^iWp|vI*(a
z{Wu$V%4I%@GFbWrn_d-wU_XV+xT{09<{8gcE{!WfGOxBn4@R|w7?M;=U9e|l53$aq
zL&BDU3A&L6xN~87bwP(GcU0J!W~^1f!?GfACuYJ^-iAGC0J?C)pIW3V!lJJFNhZz9
zk`pnpj{h^HFSXU(iKWTWl8vINrF|UDHiBKEW!yT$^sIxKml7}<!<m`J|2MkCx-klb
zu;IF3RI&?+dedHH@#V`&rUe!efS-OGo1ug8dDj2&YJ9#$wKmgLD9L*WS>cVaQ--xe
zC<H}P^Wx!xp@id4ebt8?^pgav0rp8{ML)rsX9j`oqjrXX<epk%Gc-}p)L>D&^=A>6
zS-Q^7?qhK2hZlYsFjdQ*fn{QxTSm+a7jw2lQKb_;ope7@yJ^0FFRxnS-o4*t3&s$<
z7R8S_5MTUep6<>)mJJVXBN;%FY8NDl#Djf$xO5D`V9ewrhoS0f)y=3vb+ZVYk!5Rg
z2GV2sKzVVUbP$`v5#!xZVpD$BqaAv>Bwx|$m<dirUCg$%)XUgT_Qn*3-Oj<5z&Z0`
zU+Jy!ul%NUOlz>L=9-aNqjK|$mPD5vm8Xx$&J6JZ&!v0(ojj=_P;TV#cHLV8{!%~=
zm()3A6%OFPIT5wVLk6ag$IN!QVj*_aPZ-1<^Ocd5_0g~2fT16?YHhx<>+Nwd(U(3X
z>6#T*O7Jqsy%s;qqmZ_Fiq1wi4#}IKqLHB)T>7KwQxhKJAak%l8^nQ=&!Ob2({19k
zi78rx&Cwg^J@C%eE8JDIlaej4gl<fU=k35U_@_eBie@bi9ZT9pr^JcF%4zpu%n^G<
z6npuSFM$gKnUlMsbH=0);N&ux$8*HIKfz%duUdqQ)Vk?-ag0*y%|A@>#7Bz6jS4uI
zWcktb8A!i9^7;__1bj~uGx!#pND?U)lofHTe`G^4hFjrWj9r*{FR8D+IE;hZLGzOI
z@n}FGk}{>!Ft=7PVhClXkd+<oA=k5BBB3$}li+EU#R9Gmi%AQ3k3a7sYMLPL$BTQz
z7-TKR@{53k3xI_fZOhH?(S8B~C+oXLjx~$aO517cmpzgVH;(uXMIpDa@Fb$LPp}mO
zOPLy1M!n9*Ec80L&aZZ0JbGt_%mNdeW;&S?@3Oc0;(IY*v@V-@Hv$?9I;0+By#fa@
zE@^<%$#m5-9u{;D+n*st5wQH&%)_3%uNE*@nsJ%xuIMiPc4-zT&%3Knq^K>CYt5JX
ztmqgelzye@$(!*${`8H|SC(yAJ<`&CUq&eqHZHw=V;D^J3`bYrG0Uqij)^q+SOHoK
z+Lw%*7ZB3X%p(PkG{4-h1V0prmEO9IV;kiHH<N?uO+YP(TI~%RQb+a7IN_#i2BSzb
zdlE}l(}l%cK78mJ44g}Oy-RU5sc<{BeGjs*%rU80W@74y&`eV%^)=VqnLl`Ju}IPe
z5zx~)RT1xNV1Bg3OUWrFRr4X(4RFGimV~v7VqS`dH@^SOx>9fWHX@+$PI)jUMw7g3
zj)Ap}`Y;B78hEF1CDZMB8S<L>n*p-{gzli2Y78Hib1<YyA)>AO?JYrgkI}V$PyoD2
zbcD(#d8o>Y;YZo{IqA{T+QF<Mx&o-BvFpMf^>iKu*()~t6@@d}eIfc^IF==ziR#)<
zawGn2`>v?qBSUcuN2?~+I$vB6_4CRFjh}91*$0rD--Qv&XS<tE)h;aSv$#D9%s*3=
z%%!jU7e^(gX9OM;ZiYZ;=efOLK*|P%{Od=E+|bZD2wjCtr$<xT@t*d{c&lYc_Scxn
zDgUuGA{4%ZWMf&k<bkEye|XOci61>)cC`~RW&0#>O)}1lb{5SmAH&sDOuZDz%oh3w
zOSVV_Z=5RYjwnm^{Fj7Yf+l@-)9<N%gW^AWG6Ka+|5^ebX_iodXqlt;g~>%KXS^Y+
zVLd3G)>cARQqeD>$Fck4Ip%bR<5t`qAwN>*&3M-8#wRV;=mYt`S?4GsDr)2e{O-u$
zeK;-aVd)2S3h5F`t6E)|nhh<OJx7AZ6#khw_#+0Tr8-AK?z;?Kyl#~2T+&!Y^GxU?
zbwolLS3iK)?G2!YC*1M*>Uv660h%C9r;*wMn*sD27moVkGsx7)xFqdd7f8RQo_JQT
z#RNf+(BqDP@4+tKBswcCW22q)D*!LhNBmdYSa>sv+rZXFqrzJgF_o}-S@Cb77RtQT
zEt=ViJ>O~QZxje;mHk_)75cR@be#3u)n$6w3C<?b=miVu+OzNTv#YDS%CK|X%oR-I
zb1u$%D1hPwg*9v48YQ$9WQw@t%n@%gwv#CeY~Z&3Hhycd0P?T*H26SOCjs0721Ms9
zkPB0z5%<)1bKWh8RBxOsk?xRqm*jn#>z@Hi6Q=@Re@Fe?Hp`UNSZ@%~T5O!9f?)(E
z10way4anrOmRva}csa2$Ct&0m+#=Ae^cCOT652R<s6~j0#S{@4UT$>gk?W1mGj7=|
zprMtT)XQR1KOy<{gBVp;S&EqOen3HocM3dS^Ro4g1K)imF6a|kd0R+Qv<XnFAv87@
z>U;fmc6~ob4b>8jFi{^$>16WNBy_5roRzl)F*&rWk2L>x->!GI9Y}D_tM-hOOmb4A
zWzYhBgn>Mru`>!{%Y70Dor8ezr=&`CC56~5S{-HP8j}qf)o&4BKgHk2`y@FcDe%^R
z)L7PoT9+zWE>LcL^##Tx+l1-eBvWF;E<RE43D~1LKK_nI3zm+48)^HcE)ReFRqV!k
z;gft!hGy;8MqkA1bSsd!$Y{!Hj`7CFL@P?aQ(QMjn6o3vs8JF*2g`@Phlb*X8yJjc
zHiy<rre5w*a|b*^la54K2FiKT(Y-G^vIMhhtX=oIpf{dQTUcNb3cGCtayqlb@{tAL
zV!e(3`^Iy^GrDdrsB$*Tjd*N%%jRYmMw+I4!&Lx;TiaPyL{=m$0G@=>YNHnV<25ID
z>6XK9-I>^ENRRm40*$^Vg*1KqA-z%0EgANYhabl&1$9Zyg$-d?Yrm!$GAdFJoWJK(
z%(pEPoEB);+~OKMwnzmQPXoAy5m$3#@pmdX!YGG;k$;Z~dF8`Am}jC<#hAbY8U_|6
z7h;Q=nB~w^>xm6MCR8E!+;7p&VR;i<l%<;t+X7X`CX|voBKY6kT~&J3QFC@C)nj9C
zS{_4e6Cf-Ef?X5G=*@Np6ywo5q{kX#*La8r<yxZ!4(o1U>0SazZ&n5q+JegX(fY_Y
zTfo1Z*>;W#4{4S{lQb`h0i3@~W;p19iq<(&8~aHmFzL70qOUR9$pzCF^*xE5zNO$g
zO<z&z5uO;aO8C!rM|6eA{MI2E<?66#2m!{IURk}*GhwBv^`V$Jk`nc^@w7Odi-6pt
zwoFbG3QCU~Ic%xTaz+rLv-@!a6WAo=UH@&|4QSNyVOzO)Lz$`{eJB0y8_Gxnd?LEW
z$2c8pAQvycV`Q2b&>SovSE>j%xO(a<5}{qO1{2wKgC<**=NJ%$vg7|?J%kDb`SXPO
zr2T;0keQCIcoc!q>Swl0UEv(U1>TaX!Y?GiBfGVvN%fYLXk2Ku$qc{y<>=7{JmTOA
zVZ2lOKyFbNkbqk6L*~+kX*V!OO%Hm54G$Xn0(z@L79TA#43niwjyQ}I=cT{1S>1@v
z9+o8%j7I>(lq;Za{Pi!(I-~q7I9FnI&43(G$!#7LMc*{GF??e7zJ!vSL1aATY4y-=
z=auYf!S6n6snJq04efT&=nho<Z_8>w7C7730r(Z7hN#*y)^`s4MRXNLNsVB_rGG{>
z7MZE<_(P!`J1@Z?gG(E}+kb2YC@AzFbPr)&Pvk^|Eg?ZK#6m0ejX{c5s5;))V0^qb
z#oJ}V<s5P<kUq@#RBD(_M#H{LfY!_(Pv)}Cur7XC82#TjRwP`82ezmw>*R#*y7~`&
ziF=Q_^y+DgMhy+(ev_JI87|R5=*H264ht99Kjdv5J!f`@)?9S^T7I(<1bw<6F94Ym
z$po0*8~HnF_pP(C+y3jw8eJp0m1@7MyTncB&F>d0#(io><SAX0x~f6~-JPI4w#4g#
z+GhP<KXew&LC4|u{m6Kvb47vjD(f_pG%q?zPUMCcuKo<)L{~>SpKOdWnJhHGU__wg
GERF9BqV6pK

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_8x32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_dpram_8x32.v
new file mode 100644
index 0000000000000000000000000000000000000000..99cfe804d8d9c1f5b8a3073968ebacf8e2fdbd1d
GIT binary patch
literal 9712
zcmV<MB@fz*6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%liu!Im$yU{hyO}C^vnfMCrKI>+TORgkhsODaf;u+aASr^N<*mWM}I;*Zv
z8273q-%UW+0KL!Oy|b7jj+eMUoSC%~cdz{waS|)K`CHHGDD)99b<R+}CUB^HMuafU
zifc@Iux+1@45EVi63R_1B!2r8;O`Df<KNVSeO|k~jwT-gzMBkPeTuf74YP0h0g0yI
zQXt#1fYEsQo%k#&UpDgi(h##;1gU=(O58hGg|euZWE_VyK0j95@Qk<-i=vF$fnQ35
zvSZfU?WF;BM-Z=J=!Od@fq9?38{1FNJY`?94Ny}@Es_BLaKK?djmTUkP4<(o0aLTP
z&bYN>&~Vx5Si>YlpqGr?Fti0_KwiK7DjVt{;f&B7kV<#EJdKMyX*%hj{TIeYK3j=P
zH-8hSrrm-cKDJ*F><Fs8VY3&WELz74=P?}#EGtYt!BbJUXj|`m(<d7miHk98ROfM5
zt`J1i42Sfs{#J8XIgikk0}n=$&YG`5V6o@q7~{BLzo(aEp^EfxlqX^Dc+obNkRtDD
zM8m_mjenNv3*IgDekqtBKcG4cruQl3yH@Vz_+W-uh__xMn7!YcH}wi&Nqe@0dC<th
z-?Ll!bqw+f#5<_~g*CVxqe&eDogOS2F6#wCM_P8t2EBq~>Jwr6#6SUs>F(RlEUxR>
ziT|)cHu-WIhg6W)v1>h>mniyQ*$FZ()v`s7DUID#2|ZoP5;AEM3|*9ETJwaqznM!a
zj-pi|$)~DCF)g{pd~}%##Y2z&XFKH%b?u-}(YWBvGNz(z$cJgHOli{G34~nIjx2Y{
z?p_a&yts}x7c0}P=Ftq6bs13-KUEcO9(QsoO&OStiAB~p0U4n1AQ6CH+5gr36pF3@
zL>YurC38!d%JS~{lPT}nUfzK|WQRNpf#>x+%cva7N}XI8GwHMDD8q<VHYxr>l0ulV
z>0dj#6EDqzWZa7eI?6w~$tdHQEb`Ulnl`gEKI#rW>PuKVBx$vGfF>In$@VT%7_H^D
zE;HV|LrscOI6!SlYW&$Q(kSnz`!C9)&;Ew;Z7n@SNExKx3c(E&ABP@~)C!+29QK6u
zyIU3OrK~SCa=g(I-+7O*B0w*ij>6&vpWr2TV=8k|-R|iWpWP5s80O|jDOOlh1CU?>
zf2Z5wbL?1Kcc?%x_QqHb*Be_FPq-fWOLX~A>1WVtf0^3Q0R)6&n<$to?|v2V-mP^z
zgTL982m{JH2Z@h7Z4kdE!oNUhOcYdE{NN|f1#F}isCZpgTP93jb^;v_#~y$>V*1o=
z6DlejYrs=@^E1UIWR(m(vZ46IdyfLZcSMzUM1~;-E|vsw|NUZ(cR#Qrd0*5uSDD$a
zdzVcSY)c_DA5ups>Tb2RF#B2L>?HIBB=uLE9>$QHk#d5s;q5mB%x1~M&dp7Wc?eh=
zDMh_)qdD?A_}5Es4l0`46Gi!b=3xBs=EoL0S6*<`F^l&JTYV-5h)CWe=zq-|%Qp7r
zU{}DWoqHr6OzDGDXS!quNSl(c_gWprD3!2U6Epb+G*k-@T6|?6A8psMhzklic26le
zMbHX^d0o`>fGzGKaB-P>1KjYE>1U5&H2eCey&Jg<O3Qi|KW474YP?&3C-A;#t59S!
zS1QiLM)kiNUfiM&bMM8(c5&&6Xg8!Al8F?1q=5fJST|BK(;(sC{s6Jnc`$k)48KRS
z$13TYEjFE|WJ#(Xx9`$)y@GuRmRb6XbRk)^Bi^~Am2(9!a>f-i)6q6h`EA9P63hH7
z(U$E@Y4&^Z%1PDyo^OaPK`C9xWIx8@)7yFM3Bn8yK+WOFj9ysT;f?!n2~a6&V^WXV
z;GgyRYn9k{!kX=K1Sv-BKZR@}ceYG$vg}pv+CJ=-U>6q+DdtZ8T)Hl@UBqk!0KVa&
z1NiWA!0X`#l;)h9Tow@P^T_`mQw%?@Uno{Oz?-}Bmir<^8X>*w&t8}C5a)E++Q%1q
zKXhM{WZ3v-6IuH^tEsoec!e~-P)f5%jg2w!C{`<b#_rSd?xoWHA%@s8KI73~M1;h5
zk$=7hqx9hMmSuSj;)6+4qM(%flG8prqOhWqlf+N_OJL&*^BbVEg>k!K6#k1<jqEsN
z(4UVF0yi@pX02L&Ohme#<u1v2fT!0giM(KrP;->^)~xd<sOhQ3{x3iSHB-6kffZ3f
zcuP^0GxV2FSr^Mk>pl9Q=$bc(5fjz~CRs_wYq%c~LZ0Dd@Da|RYXMH>8dxFf&s^vW
zwDf%tmWaAOZlxR2xKs;vTLf>~naJykXjs@LG)LGph1?VSzZ?+I&T?b<rd!zvPRc=S
zdq~->oB7-R9Gz6HrnBl|Ngq|k&30e4+>lFQ?i0im{GUgY#~32ufE#zfnxNZJ0Rt3G
zEb;EUFg5GV%<}SHL8dGXRYebyUSm~bN=;y-$I)ev?m%)#Wrh+Jn0x@Q*ExhaU9lkV
zILK`72yuVgqo%3@%ult3*s7{9HFoO@lv`#yfhwwSsDBd9#Bl(0LTgctfl_KvXdz>t
z&1#ib7Eg1qP#QKu-^^7yGJKBJ;W4DmYWT5=uVd^S3rjVxEjhXC<_kaB-&k2#ip->B
zOPA>DM&0bUKg*CSWib?Ka!C&50a9m06&^t<Q0zvw!RJ}3mUTuP&Mr88zy=jAPmHn$
z7C;1wOQ$G%Dg0C__BLC>XzKa8D19-8#R;Vf<_=<CdEzlVny_GbLmN4<A~)|;da{wo
z&V!tgQ~3v_U3#>6q7a$liprv{v<k8L`*aS^`N)7Yo|G9px`qI1M6V+TAnmvr1}`|S
z`pjDL$777kisRUjGKn|yTS~w5QWrnJ&62F5xBuUUh#6G#pUc0AWV$yiLr;rkm9q1&
z;<~(o;kTR5_xMvd(0)eU*KnZ$mGuus;%6pf02(yoe0p0no#g&Q(6dWh=TX5kYjSB;
zuH53!kjo%G+w@oC59BOoaGP4;U>zP*N1~Gy$_9?y$nhDIV7b^!n}p%s?UA)<Wtp^j
zoiD@0wVr$bAvpP}OuYNw$UOWX-ez&dZ?eqb9A8}y|NN&E94<LjNosCScMOym;qE`O
zVz&^T|5WgvQtFdS<-M<DpSMXQh9vl09r%hJ=LwIIAlvC&CHn~g(sEr-Ohn6g?Vz-T
z_2_{`vg5!aAK0zmoT%Q>FKfdP{vCoSD&d5NO3uHaFYv)no23XD7m9F+)+^(6^3;Pz
zjT#g(+MUC*DUxgX3Z&9TYQ;MN8dM!CTpDnVp{JD!9@9gNLochnjNB_I9Ac1Pv;1Qk
zcz-zlaD@iII7VZV6P+MpMC*+RvhUbeH8x#$YUepAdea=hp;O#gX#=|D^=)m?JCwe*
zDA+}WGww?T4iR12L;(10s?i0h`7^nS9`os4W~OU8l}Rn7aPNwLP;fMjk2lolMb)bm
zoymzaFbBx}E(*NNCrMA_YhzLZc;}AQ)R$@FNB8la48c0ZyjwFlYr7z9Ei{hb+(*vp
z{Y`OS<n;T`4JC)bA&nbuTs#9I6blo?o1)m?*VaN^8sN~j;>7y+*wV@*)s-6`m@85m
zx&8E}1Odo#-MWq$%tHOYMPTWR)4+0<7WuztsO#_IOB(n)r?k5g>_~<jSd>VdYmfXS
zC;H(owV`eu##`X;4PS20oVN+GPNXwS0Dyxctew1FPf5`ywoFvWUWHPqa2pY9tsbEa
zLG0|~MN`yNHSlgz_pLRso#P()S{TZmDEB9@gsrw<FbhwSad})&)-oqck45o+Fgf~Z
zM)=g!shn)L#k=lWMZ#o&t9<|GbE=&8)lWwh4G%1Xw!;nMeV<3kSyEM^YAJx!*#MwT
zJg#Yu(m<(e>r>A7;T*F|Zhhxf9W`v2!a1b5`7hsw*Gw6Ve=_6c68s@x$GLp|Srps+
z&I}F2Z*kRq6TG#>2BOYGpQNAQznE%0JI_1WkA|i}$Gd&nFesUEBBS#X@%boFvZsW)
ze0vpUTsAcs;ridle6&<L7Or_re8ZF<D=!8+NT@d4ohQl4YY@GJg8bM%nYcH4x2sms
z(UL2+vi9GEDf{Zd@X;%WHl>aZovq7vj|>Gz&Cvgpt5SA2^z%FS6pUgKYAF}BUP3A`
zbuy^EPl7aYX@SnJSfiM5&-uQ3ry0L<%lxyJw)s62c3hqLhkCK=5GU|0oQ$ke5ckNP
zlNQMfUb8520Y`D(g0!1VI;0WSvCzOE@R$xui(7bI4i(5eF5n%rMc56Yp~o6gwUQZT
zmjy;j8$LknEyLju(RbzGWKT))nY9p$S0J%c*EjB0h3OGh!SkBsEZBnl&j0;b#p7X)
z?P~cD{N6em-lD)_wPtJeBzK*gcLSk8`{|I>gDVqhG%Ot6=gphxV1|U#fxGaKgLD&<
zkcO7EfCv&a(7uF<9yk|BrAThUdP1<y2#&YsD)c-4+wJ>vHi&+GlcLZ_dfF;4NZ~ef
zoRTlkYJ$l3dis9b+BdFLq&_Q+v7W>=^alJ@C3$%>^(ejumO^U}Zt4xMN~HLW_J`*N
zEm&!87Apf@@UmGWKbu95AM;CP2<hvH*5~)~S{3bsC_QAiF@Rge)ax&;G5?*(Gc~TI
z@Eh4F`;c){f3gHLRR|Tw`y4o*?;00rM%9}c)2#kwJEgS%{N9szk?^>Sh)#H?V#wtU
z>Pr2btA)08@=dV+m|Sa*Gwq3Z{6r<_I|kOqrXw3iQ+g6=V0Ex#4lQ#!?eN9QjKrf8
zp*<ZpYSGZl-hU*5hSL$Jsvd0(+A5(B<SQKuxvU*Wfz16-+X|l#BuAK=eh?SpX66@C
z6I_=Xvb*B4+QOr@oa5|_U5LTeKljg4h2G1!gV2_lc=Doh-PLysPM9Y0H2<3@E2gN2
zUy9E0*k!>a@SVZ*G~2~mFFbEB)Z)1zX0>Z|br$YJ37zU4L*oeIK!ts@6B5mEnQe;^
z9jTmX-8Ckqz9&?VGE}{+oMnGi3w%!-g(d%%CgW%$g_WD#U8hN6e9QZjDha>NL;=1f
z?DP^99mgwAw-JJ#@tbiER0lU7Z|fQ-+pll|bxU|zu{ewaarWs~Jt%6(XF!iWo9l>{
zw3b+z!L!s&v@c)MN;e)gfdhg8V>GGMO{R-+@>UoeI(>0O4+cx`OGgWEvGl}FuR*-v
zSo6Jqohf=ctXrHXn`I<Pd9E=)`YV4^`^nA>ecQuUGop4c9{1AAD!KK)+m<a|Y2Rs%
zf<85G0wpORa|O48VM#5V%WbC2R<!gUtWIJ;p+n`q35JPm2_-_ZhE@bOoAE%#Rz>D-
z5CsbOIvCw9pZP>{paDtzBw|2R{7aaFMG<9ts)`;Bm_|w0Q0T{Er66*QVxt7yx;|*^
zmr@7NnKJzVWxz@yR|zRg;RfgT-<!LSwm-VYFnVoNkK`Auzzv`z)4bBCWomMcB@-5r
zKV5|=dKD~O0s9y~{Upu<c>0==a6&y&<BIiwX{Q<}HhOHb^C91KzYnCgfUk~k3t;MG
z4n9hhXI~iuSb2)PYMAf?$XZCm;GJ<mZ4;Pqa`NVtv|WgZP3^WI1@YjyUWT<S88p2%
z9eidB@z!6b3+efb{kla0NO)zoZmqWuFH&vAPF$5in4|`LqxrH^)3O2ex{`)?rEX7R
zPzS(Y<+^#EQb~;gtP^ucRQCsB{O}^DngT)fC^vW<xPzP!U>3vzVhfqxLL!&G34$|r
zP)46h>bsXzKzrL^mXr6*86aooe^~Kq{4{^{+Wu|k9IRM$<jhROiPaxPFB)<VF}6wi
zU)@DyrWs_^)paH@vOWX&DjuV)2*a$E-1=S`;8p1~N7sQqOS)(8(!a)*^V397a7!nG
z?~FDPH$$VU>%i#pLhHY}o|Xe>N9aZ=`q_{lY9V4p16+j?UW0r-+s=UMt?b?9f7w?}
z+uW9Qy^uk{t!Xjt237S7FW{XOAhPX61QqnMH@=(;mRm7T?@$5CzaRjZts)U@B2v?s
zq@Dj7!ekNde1pabj`Tfec7kXGUi<(E6Yd<+xfI38QoBakf`jJxJ!%rG-L!s|SF!Xl
zM`xo3Mg%kY%Ou=m{@g;!adbF4-!Iif311eKXWnlS(xpsLg<=Iyw3t{E8i`FLUt5Oz
z3?9#=Ug9xtRZh+~w`sWphk&P5{R#~-Ox)Q?E+zQ5%$<gAlDu|$KnzQgSY~mzEY=D!
zSX90}4ugUeAc4fLq0KeyK_NU)a-%&-+Ljq$eIzElvr8tkfG>G3-%m351xunY!#f>}
zD&3w<fAdf;u~M35t5X*5E1V@@IVtS!*>8A_%Up9D_K>;KYp6FZ>Nx9oVDy4dyS>Y+
zd|A7xlDA_FX4sHD`S{NPL3}zM3Ok7APL7i>ibk~`_#KiOZExW!uRg;D>xjE;UHkBL
zUUft?6;anzUV{{1QD59hY-ecSB8A{R&l<P&8ZCKpbVvaTWk}b$2X=KS7Zs+g@7^+t
zFlN$;y&=R4mDMJrt5G*NeSR0g9L`~*n<GidTS?QPC`NHA)_Dr^3YXZKvk}yxXZPi&
zyg^4z^F#`C?)cxQHetHjoJip7pWBSjD_s}agRB;paVtTh${U>GN_LS+CJX)SOrV%K
z0)6eYowv@lQuS5D-kmST(UEfVn;0b2gY{rHNlA~(2#3InaN~hSYmK7PteP_qW7t}|
z5>jnCeUM!eMS7@ZKJBW=jY$uKNfatJ3nvV0NNg)f<L`RwK?8egW`gE?Jv7|Aa<Q97
z$)Npu$uTtTBr(il=taF^x$JoLxgT3#K9I9D-X{5CxD)fOU^tlOc<?W8R!B02_T*yl
zMRk<|7r4P^OtEKhZ_yeesx66KulT_Ct~JP)a&eVq+FS;;W_bbQfq0r@XD>6k2}u*$
z*qtRCle8^Jx=x=cIvq8ZoInnVgcijQ(Tk~gm>}u>#z!=xfK2r87OYrrrZ+fXoXs+U
z)WnJuNG&cYi6Oaq@$n{x6O;4B(kQ0x$B&coY1766woYPiqd?q&FoCf^A3Q)AxW_^<
zAL|}KJ_va44Bh!m`3RcV+^X`lY>rvK<G+w#Y`6g}y$_`Hz@Ff!)D_yK@mlg^Q0F0+
zD;(FkKAB*#g+L=aOmh7@vc?gKx!p7(rc#kK0!3oZ+TIDz0MT=msay!}Zg9Ti)SgJ}
z4#&&4$<ggSq{ZltH8yY3Ga1LU_tK&}?&&MREKI>6E;E!};40sBB`hpZhL~uGP6?32
zf+zFcvxM2}DgnNCg_3Uj<lzNW1^$jmX{ui2yRY-++-HS=SQy2})f!$*qsPPP#pK$)
z1Y5+o%1wzJQU?;XVX(AIK!cHfze=W|Tr<CXDGzrtqh07N28{@!b=Z-T8mW}K$Q78%
zbM(7f#2dbNFZMi)hW|bhqnABVb><z?JH8|~Ff{I@Am2Vwm*hc|{BfCa{6Oc?jGqKU
z?Xl35{#^6sN)wq_oO-ITss*4KulD>9?s0~}p|aSp%UCylFeM1Q%Z}Ve+ho1T?O!lq
za@}a~rTsY_gu86OL=X6}D__bO4DkhyE%&V1c$Q-%D9y(5#9!EzLMW#fNiF{?B(-en
zlHJh}5_7<=rI-(H^Fnmo4e_#r<O(>K8tN(F3AGz#2@|q(RjcWs;cIb*0LszuIYgd+
zgc~fyGki290dB|P2qB8a_P#e>7g);{wjdD@!B&~l&7t8+OPe7tyy4-BGMs@glZTVJ
z7|yf*i|H2#8<xPnF@m3c&jzaO`MTJc)sQuS*kE7S;O?G&AqNyF;`?i<5q170$;2uc
zKj1fR$HM97g^`Hi<baj=y!w&6cPyJ{t4&5kbsww(zw>GkM)xz2DC=bJKQ7{$c&Ub%
zC%y1V!UF+`l+^M~$&r%#D^&bfgM>|4FNT^PcXA3~Zd5Jlj`PWr4k@}3533>(<!W0S
zQKbNkq3v4YD&!GLxf~4~bEr|q5W!pK+ZTI(dee{~mJrbxREGw$f(EkqB?^0LiG7nZ
zef&ZTqxhMLcfD4QEuAwy8|~Lp@WU$~B6bm1r0?~{Ycj-nDWb0iVHmM%(vm1iXBK(X
zRnh5As7Z_~(Cv~wU|}5lr7znIL6aLB)d6`Al4A|rIW*L7{Nd7_j6@2v+Z$lXY6mw+
zJAp0w6a5@J(eeIO+5_RKo%!0#a{5o0Oo>+~ginZmDg53Zk=V=Q^G0~gwGZTMlhVnG
zj0A}okq#PzY#z4JT3=f$&vU+Z;(=l}k4`f+m_+`EL+BZfW{qHoL}B^XXTfuO8kl!T
zoQaQA6o14ks7lDuUqOD%7RjBLx4~`jX8~r-1<81IAbDydR$>fj)!R99fPn0q!vO2R
z<Esql#CHRV&xz4JQXy(3Bi-pPMt*8Md@~AsPaFY&41*uG+#}OrBQJ*hY(LRC{R=fc
zCp`mBcW%5bR5oO!!!jTY2|8qJk+jG%Cz@Hym^kxza7AP=<o%ommj&Xy%1~&T-9?Mj
zQ#0WKmQe>{Kq`l^-|hq$qcVMqbuokWX$7Nq5rb4C8|T6YJgOq*r^E0Ylg@s<!;6k;
z*9daa`#Uiul6L}_gf)}+Ca^!<oY{7KaBzC|WWo9LLl5dQ8~6x130pX=LVdtsn7~?~
zVAG>Hae^fyWdjck>PN}z#xcaafmGOxui}v_YHAdSv790Ek_^%;m*n+6W>k^hcnX_0
zvNxw~(v=0e2xP)>p43)#TdOXU>^*$SSE{$@jp-!$8k6HUQjQN@_)HWn6zH0+@X<t7
zK|Fh<O@K>c)L!d>FrK)}wL8ooXzP5jP1dg7EcK9d*-#2^#mJcb7RTq%(|UC-HB*an
z@#SR{F)IQ9q!!T5_+_)nR|eGTffY+YvMg{%mo@ds!=c3Hic}KKXSAlZcCz9awN|zz
zqe4NQ<5#}7^XXTFgb=j6`yZ&HCOgFaVp@n~RZ0Y$s=ZQ&BTP$YdR`VO<&-M&DA^D7
zq9IdsN@(&xQ!1B@I-P?+u51v1y7J{xuGOjFZ{Q<<hC@!b&*AXNVRx?hP|i?@0z<q`
z>sdvSR=Mgo-dfSO<amG@Y=oV5qz%X0ZAGBFGsVr@yh_Wpg7ZOF%uz3=k014CWkTGL
zzFS@A6|2jCze`l)<N)INB9PsTL>|3eCzZ-WEsU&oAY^d>Cm&au_h#@aSq}(|61op<
zPKxLT|8Ln-N$X(1FrpLckev7rv4U|Ei6(Gch@~zt<mXKTBWRxd-W9e`O@Zu3_aA>E
zJsk3B`4xW|St3GTphcS1A|rbFWv~$O%4K?k@y|DfRcKT;|4SVD@{%5BAes{GlR6sw
z%vK#!$aO!Sknb0PvI=GUlvIhDOK6vHbk{mwPcYRsatU`3*0}Sib%DvwkC`xm2{oDQ
z)LLB9Xu_b6UkghG5$x!<BBQ<V;Ap$AxDSz=z8_O+fD$nSq;MlI^<<xry0FUXHs{nz
zO0X}0BK|;}D9*bRkT|?G{!mN_lX!!fk>M8WwvE7z5sbYy6%<ik&ZaA6EOvqDdb}b^
ziK{9TEq{ot3;J)-x6dy$JjXsIYS?rYHCyF1FAlRe)cxsuOEhYU&k1stR39zWr*wMy
z-BIV95g~(Ro#`$ZHXS}RB-Kc8Z|~a)H3Cx{j$Js7Ra9`!?h&#wH?4=p_llZ(lx5OI
z`(A9tr;SR7vp(X8a_!i}6SM6a4n@}GMsG8ZzySi>&n*`Yt}ydCTKiTC?|?XDW-2xo
z9o$`y*vOwYu*F#QGC^MHzESrxADAc1zXJ^M57_aImJ$>PC~b;QRbW;Qg^^h-H^kp#
zfveGxQxk<$ZLH~#vz3#YK~S4Lebq~g5lUKws(jQaZ&3K4%*UTqp)U=AAfK_SUU!P`
znclsbG!7~JNIs}aBbnnC9an+}iA0ecP48F?v&TODTmOgYo^Q1|=I=6$Ofmq~jbF=_
zAFIGS*(2NLDu{7W|IinC1nX3d0&}6Q|5;fzTm3UGUPnL_5OW$TvpbFN+#7d$uSy3f
z&c>j}49BJlFbtH6*Fp8pcbX}751k4w^E|0zq|UJ4j1hLtUHc`&mz=7PyvFcBo%xU(
zW-BSZ3gWV_;|1eUdTRYD4=HMLj#(za3F>~Ithd4*qru{5e8g1ugHXc)OYG5k@yia<
zlVP2I$uDs*^a{P{ZI+ny2`%c&v1$Rz)`yr+C;b=L0?lJ@2IJ$2t=tnw%-Ba2MsuQx
ziLD3mE__}s!>kvT1VO#h{ySqcNdR@tl0ns`wb2cz4F5m%6?Mh4;7550Ifr+`wy56t
z-VQdZqX~?B+Rd44$fJE(3or@$<e&^IjmtTx)mqljOs^C-lTMazBsSJI;SBTewswft
zbTFh~U%v3(nb}_-T2FdeJITgCLS1~ZYOVq2%GdES5qSJ(6(<8Cu-@Av#cU5Si9rO4
zt-lQ;#+$<hV4To9F=(E=+3kC^TLC+PGR)<@;ih~TYXv`@d91ju64#(e^b|2?s@^xy
z)qEp@-a+Lrpz#o$5e8Tc)LP+Nk=?(ugRZ8+C#NT>$j4-t6igF#ynX80XjAu8sG{pi
z?c3M3?<T<`Ojoup9lD|<_#OzX_;i?&AiM-wz`Wk=Sh2WhkMlmI@UH5ixA4366<Zdr
z$fmR{F?Uj8WYxkN<2<<BZ1${PK?oa`TDO;{Z^R+=Fl;cB@E2`;Sd-<-^;zMg>+^>x
z9@wYTVw`%3jar9?AX{8w0klzIO8h&eCf+%O1kIF^%en7h_*j&Zw=fsYFs^xKcwo2%
z?As3&B2sD0;G~eSi`M8+#zKa5%0a?CGQ|yeNzDjqnQnQ0F{@g7yrz)9%`i7BetdA;
zw!rIp;>l`4#BE^)xy~jVkRF>tMIUS3I{$HaQr5D`Zl~YL#AJ$+Jjri^h6L2bCsk(S
zr7N^_9QoNYrf`)4KVxbYs-L-a`)aRQm@|ndDiK_m*6oYw`^@p{9M<;v--i)*y|Ghz
z-K^R*sKFk_$~lIt?&WZTGXk1zGJvf^gDtE^2XGNGG9_`fIwRpOF@!bxuFrBI$&dR{
zI<cMw$e>=K(0Me(!rV;ZUNtML6`H?BDe&l-#os|zFY#nME60eOBMWJ$S>2yy(_qyk
z8M9@#-atLi_LbQh7}H$eqbe_p=MV%>LS7w|N7=h<z7Kj!A;?yA14g&Y(Alc(+z}Wv
z2Y9_XM_q_P4YrV=AbjQ&YJ#I~d1{GDE-#clVToL*^^fa)go^J2k7D6ymW-R20-ta6
zbeQjx#2bj#zw**%8`&?_loB)ZaU$ub8O(G5((1dyziigjqWSF~#W4e#6>=*C1+?<?
zG(Y7-v39aEp#W;Zr6%M*<pAf9llzO&sid{;L1}Y<-B43KonXM{wf%P#v(2r5+lrmF
zUxp`R)d3bI+hexvee?-S&fHA%`3G(uqPl8E03ot=;;div3{dZjS*LgnzKYMfdLPBz
zmVV!Q+i5OlnFgfl6z8EXm?Ccqlvk1-s<9_%^7*5Os*px84iQHfm0oSQxRY*^-K2De
zghW29!B^p#HLEVNYvXqoj`F($5m0hJgLO5PU7wIAfUq5ui>g0KG`3ShXRC2g$QF~U
zhn)EXO%FIHYJ{yqTlBaef{vnFg_OEaQ_xria}C};Q))1(j=&Z*KPaEm{m^8O=zsg?
zdlYXRxN#JZh#EKpmx7(`UG+#3@5+nS3YKUWyqSTSd@7>Qa2i>hPcBm9>|B9R7En{u
zuqiS<=t@EjPn;f^$LIG@@j(cVV2E{L+ARxM+lslE*2@Spt?JPQdH+&$-;hs$(v(q2
zh92%-1G#m2A+9APSD0ZRFYSK7#xyA=_LbL=FE5dgMRx+5hy0cB>L70SfmPR{YJF{;
zqGz=1SSDDRU&EyglN)$H@pmIsBpo*OTAIHubTPJ3;;X{?{t6V7AmPMV+w#=}2z@Sq
z%;-06IC`$inoD&nT(4W%L^`p9>6#(mp1NW>U6b0cL0Eq$A?C5Uf$c(K-B^}hCMHyt
zYfnTiY&Cjr#lcK$loY^d{<yf`!hs2!BMY=75!<oc=_V$MCrAZvP3`IESeDF!UlZM^
z*8Ugsy+d~0^ekB$7bHMeqTVPltwP6DlIZ~lIK9et1FZqF8&O<rnI)JFohH^fH6@hK
ztB}u=DpW#$=bG_M+PrfAjj)V<-x(VyUj22i)?L=MR#bAhRR2^Ow8xsFd(_k->YOiW
z83j8EJjk8=gjG2bmDHl>TDP?xA0N5LqdZNnC4T+U&MZ}O5?n7><ODN3b*{N~!8;V_
zZPWZD*Eop1;ne2yF<_!jUTtGW5+J|vPPd*JX&oZ@cfV{dQN>u^$!HMB98;M?%eeEf
za|5&C7>5d?t02X0D}nX+iVUnrSac;}mVHo3vGzk*zZi}>K2%eGu-n*Th%xbNq*J?y
z%I-6P!}_1hPv{c_9$O`nG?l$JhWh&3=NAsky)sj1RAi^?=Z+yC?mz;3;BEesFN9~X
z6-XkTU^M?dqu~>2bqJq1#Lse3Vp%%w^OjEEjh=P25mCgy81+890^1S(8yq9f`f*O^
y)--R|{`HJUPq6@%;RhE@FV*XV(LWZaq%It$z9VS6gN+O%?`pIK6p^QKC25%{70xXH

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_enc8b10b.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_enc8b10b.v
new file mode 100644
index 0000000000000000000000000000000000000000..3b78095f535ffefa568da0c0201d2c8b55a47366
GIT binary patch
literal 12248
zcmV;}FDKB86Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2=r=b2mac#x7WvKnghg)G5$OeGs0x$)5
zXx+DHFLrK*3vT-ZsDslqOL-v?1TJ$7fJk{m#=}<T?P5V(8lOUg7p-678RU<Jm_aHw
zGR(h=9f#({RcmrB6yUbEc^8u5raI)GmZitbO7vuP^oV(QM*%KSg+`~;^`H7#E&;x3
zMsxy8&_m>scZYzvP(y#xj#v)|VX%s~qX{MrrAZ%(s2W<>SC_AIvvl4I1jV`A1*&NE
z2}s#X&bJJx-&Q`y2;9AwVOw~_6W~AdKoGLfEOOt_z*e@tvi(XZiXz%2p1V$v9{n(1
zk{-f7jm(Pnyc{RUz;BClzDp|LLUpQZN8xBfv(hM6X0{FYNupLPB86?#ywT6<*fU<O
z!7uuz135@eKa0p}>8N~{gh9>$I3l_`3T6F}WNYZ>fgq|G+R2yDOHxbzODRaGPkjj<
zgf&r-F}k7#z5nW5d+ZVmm~U?f!KmXBLt_YV5bGd4HTpwLtie}*z4xR&-8ArEQ(Scg
z%+W8KKbOMHB^yE5Wfm}Mfj3CljEc(yxoohk$q@aVPE7EH?FQ<c7~o};I2pl(>qL*s
zRs|aHxqFAyYH4OzVIBN|?+B>#^xURfL$T|lklmdl>JN*+hg39mDtRQ*R?TQ=Kh(2d
z`xU;pzxA&*srcg5X|9rT_ckU^K?g{`perB9Pnrqw;>ZnPK+dFeF}d9in3qF#=w|G{
zAjQs^n*>=@_E*-kbh}(QuVyJ*1MPO)RTN2gHfoibL2b`$V$125U-t;5(2q@;2Rei>
zj)h(Bhg<5>4&1GU5A(>z#5?Nxnt9Z&vV5Ncno5kIib<1yskx~t^0zQ!ubG#(uZ!^h
z;H>b<xQ)Xn#|P&(E%pP(FD0u@g$<tFMSF?;&VlJYio|SfMJp~$_cmu8boBu>;M9$)
z;NT~n(kTIk0~|Cxam|&TmT%JBWFR+Y!CkqOyqFXTFrq6dak5WiNZ#-5xeq~kAXP@p
zyI`Z8G^i%BB|$y%N9)!Tv=fcZa4%myY#<0nb@LFRz?QtD1*he>@^XdCUSHkepwX0u
z|KAPYg`>$5NQPXndlG%7GvlQ59tzIKC&18o9c4p+r09E~iR}y9t}CV?pOk$HnbP)6
zWg}i5hy3b`Ejh=rpJ9~)r8(ezd4j7(*q&-Q%*~$;24$*9QL3JEzu&KpWe(r>!L3jp
z4y2lgd2yD<m{bc}4+JH2V$*ZCvnyPgd%8sH^1mbD9j46He<so9E#_WJ6|?;86ROy&
z(Q&6qp~gjC<~Pl!Db1t6Y*$%t+>wVPvM7s-fS@Z?+J*;iONN=BXnP*hkaN>O^C1`T
z1F5wx9idNY!Q=>w*#{v*)to3qAFK%9LxQ2<ZTXN$HaT)P9CD_L&!F-5RC24#g8>9W
z4e0qXr_H+jax;Jz8Hi@(T*_eVd1cIK=m67beOv-=-jQXy0(A&dk^Q<>G@Ywl>#d$(
z*FLP;#~QumWCRLVoLsxoO)S4{eF(2$KP^H9U>Gu)g=;Cn0Jm-yLqOa%>qRSsQ;6qx
zDdN`!FPIOMNy5i5Qzs-{cxxTs&_$=KzU@*U+e7KPo`UGoQlz&&?X7mXkjr~Cg(_6G
z<yl$ue0{5`BVQ35GNVgat1e#HQng?Pp7e5wVOtT5*6%?%6ABL*O7uLhXG-!5u*J11
zsR)Hvfp=EB;aY<Gib@T>PVw^mxWzMqJ8Fom8V=2~YiM%^s%x7?L|ZD(Hrka=^1UXI
zc@fMn`_k?z1?f*&N)wQfZy#<QJD<=yBWDaGyrGqP3{&ho&nk|CrJENjuEB0u(_?O>
z{>_u%^5J@amH+e=MG*ax6M;c?KzC{s;7W5GQ|s>;ZVM8<D~&EP$97N!VeW~T8(7(T
zTOz-noW<~wE|(<vZ?1dw;-Kr^*hbpFY&!EUll7N4x}>bsi$5&bdGx^9!7JzmSYCjf
z5NsORBwf@9#`Pe%qq8bs{9Oibw6QQ;{cWGd+l9L`a;pUd4_;pO53IYM3#nBdip`?L
z<{zw67g`2QCgXZHo|7yJ)P=C<f6b8_o!BP9&jUy%djw~4QDV&@nm6rbdI@h$nJ$@N
zeVj^hLWi`bFuL9XOO^=xeBgfv5D6_nQymg(t^j1CaBOF$%;a^u>H552iuyCk07_>b
z$N-JZ*-JS7aZ(gO`q&^gHZf^8V3o@4iwOGemwy@Va-T|P)z7r9_*5NE*rs$Q=`SrI
z?vmRO`4^oBseGv2;hUBam^wgoP7>gH&TTrxE1CXM{11h8Up0Vd?OQnwk@9<WlUYJa
zuj6HJWKw#5u%T&~ms_;iJRMg5!4TS60}mYn!-X4QkQ@SiDnOiH;amh6G6AnSIG-uE
zsUU_(>f~3<)EdV};B?7OjBec2aixy=OvhWv$nQFb(O<tGm3l;VERpG4wVm3x=`aM7
z7RQX#f`9+Q|D_%qsyMbx8=44D@)W;!F5L|}|6Ok15WYYm0(}y(@fbq!HRSMnQ@;18
z19v`}ix9!N>R)yB9-UD4b-tl<t%Rd(d2}z63`tG+LBDkAm**Znhc^;ip`%;2L0Aop
zwOQ5obz?1sRrL(%^{}$H)l94Oeet@GY1n#-)HR<@!-h61Xx-SxeRTj0Q*2bJE<ld0
zMqI$8Ox=Z$Y7<`ZsP^A0>&B^tT0{Inys}*y-QBv^1EK;QsOl1btJcA8I1Tr>LNK(f
zsRI`*7g?Y;-qJ8GQFBwt=Epb`Re}g$zZ!JP(H%R%Vc;qIwyeoWVnyj+0nd43O@Ot9
zk505ku-2Bab*l(%DcOZ-D8G4P(0D)6(dkur?UG<}a1uH%9GNr#lA;oRV%{>Al*91e
zHCX8e5zq+b{KV@*)G{Y&RIm++ErDAI^w93z?SHQxhMXfdpm&oj==}0*<x9NiC}j3`
zYWM3Dvi?>&bXtR>zL#IiHQ$PLs#3aTCp?ndO{$^R{%b4dJLp;Kcag8-d4Iymr7}XE
zR?ar%+$OC?50G-jek$tKd@j%zIekkT<s!{3wS-ml_(+21s*U1zK$PDQ$jzzMq~Kq{
zzp<CUx`l6Hq1T`1?U}P}SQeckbuBB<H*wUE%qM-o*sfROd^i^dEIh<QC4PyLsgZ11
zrp+0GAy<p0s+e}I>q9nCCAAqnDmtns#1eX+ro{oYC=)yKEHicLj`*U}3WD>4bO;>k
zp|)wG3xiWoM?c)=FjXr?cRBXvUA9Iv{1Pq82<l3KvUL9XeP_m1=tulq^L^}JO4nKP
z!^PQutrOe;-0t04r6wvNE<uZ@5~LDUM$@dQvIgZ4^-rg3vii)q!$oy{1|@H}!;rB@
z!X~3$B9Qi#BTdJ^YL@x$!b<N3F&YtF*eOVZ9><5G1A4<lNL;pIBnGU+vDpUzLtnb7
zd6`#SX-hhk^Tn|weLZzktaG8oH+@iTJ+_E5YBHaNFZ81eR`spB_43`RcBAa--FO@Q
zLJ_71;~b~ewxV89+-L2p+Tq=X>4Q8!G7h?Yh?lk}X!JG$z{g3Vo$u=}e>ma1IyXgl
z?Ft*?Rvt`?@cOI!-#|M6i^Rt|!P&lDiIYr4mYL|;N_k9J$E?ql5)V#z+#q{Cv8rrT
zQv-!3!2;1O>KeD|VmhGxV!?wj8qd(zTwq<3Rs~h;6sbR6?iopvw2x^nT~!bR@x9ba
zRSZ-DG|L_qnZ@!+cbYrqQ4CFl?ifSMS8~-2!MQV?aczT$dt%I(%@e;C{{W&!Gfn@Y
z4)+pBUjSnmQ5hveEZZ#G&`G_Qleqb2t`CuyZ`LBOJDXLP!#dGqz>-$_86si1S-@qj
z8Aeok>2y0)N0Bu59BX@X+H`PcdkKB)yN}c4$#Jw{vnJ<bWos(jjP6Is+e%-g%UCdC
zKonlxI#rA;ZYvx-euO;oG(w|&24H9dc06jPoyylehI}YkY)13M<?%qFSxJk!ayX-Z
zOGwZ*(BgAkkSad0m4+`gHe`(Uxuils9SKD7d3QmqoU)Foq&jz6L(XY1DdGyw6!PQz
z8(k8MCn^mr{hT?smM4f^0WB;hoib0t`)Ie8_(HvGm49xzXN)6#)s41mA#qijTJ@l#
z4izAa<2w<@$*H`**kaePHlSm)vd%mLSbG9_K=hWCGZSc>!5z{A8L>gCMpp9*s~j;9
z()3vwjW#7lD48&zZd0xdyy(sU$y4;<Sfh*;OQ&^FX1Z7v<*uF!+rb<63$!Th5-5u6
zgTg=NppHSd0es#hIkVMq`?9+oS3cb@!!=gd>KP~)fprdiqR$RtYp$6(0uSu01d3{h
z<D(x*&czx7%*arQi@2XUSI*|~a6buS_#>A3<B}E221i$l24+g{jKW;8xJ308xhH;x
zc+n@BYPJ8L9Worw81rq>rfwVj@CaK62=i^CA65g1CzQU`z&H!@5<RJ=O=S3zn{08t
zjZTKT+r3czv8o<<B4L>wu}y`O!gsU87>UC59ya4vST;xbT<iQ~r^;d~5H{mLV4?s(
z^Sfq}Qz(bLgW-?+z1Q_~RoP9$2nUxD<;X7dEiwU=iT04JqF74~`a%TAxNEv15a_e6
z{@Ff@Ql~K6RSv061Ni=bCoJE^&83PQCT_{n{-~<jvhY4fr=UQ?Ep@Ty7<MX2nxYhN
zSS<4!bav&D`2=d~;*D;PQR@=`3>x&s@PKzTmkS5}0Lhv6<&7;)78399&|7;Mv~RY)
zj4*HuAa>p<1H4`lv8cGe*_8!+={D)4#QPg)A)Ldz2Lh|Mb0s{o>yy|11Fjq;!5lcx
z0wNNUSO-20;^nI1DXz}&BH}q2f>t=^YOAFFU-BXT<Bm#3M=k+tupGexh@?URQjjma
zorAngGTosN1WU2vt*Gn$k(4%4v9n@os#A`vK2n&7jKoZAT)c;qukc`botX_Jmk9H;
zgL~B!UdSbZ)zb%x{Ev)w_vm9#JwIo$t%lrQJjx$l_{-Wc_@KvYjJJ8d)pEcJd#SZ4
zjs370nbB)}_mf)j5NW7=hK=U2q?V+~+1Xmgx4jrAsEe#3gX8);oTh~yvqG)<XlwB<
zlc4_iBK`9IX%U;41XSqZR2d7Y*KU%$j+D%QEKe&UoH`o=!MW-0MToK?@4`yQv}Zt+
zNC&-dG!Hc1PWa=`JG$Mq7!)~_zG}34g!|;_FkHFBe|9Rj;HYeX_lWJAMc$|y+Bz60
zU5YD1KXB$gmq}L>6Scfbq04>23;#tnC^K*X6XfK=^sC~=+mJdAYA!9-$L`I#$eKF6
z?5!7+1BycoH*$C@98~;YTLLw$iWGw{z1U#0`nl3%PvroUHO4I1;0tksf;R&(Sb)~U
z?&$F=uSV7C&0WRzk5B)jCw~0IhZ!A`F!NmqE-NviK#ncv&LbeA=s;()156Dg#iu)~
zF#^7Fsq7dEROa@*W4d_h!%A2((cQXJMnqCMba}(IDOQk!mjPO}4$pHQfhSQ>4N6ZC
z8<4PrA9+2?9ai5+J^!rEQ!%*Lv&VDNHCe(J$HXBlDyS6<+Cu1*D&9D?OdI`PO7%yf
z0A?2DUu^v+rm4cX!yO7~uJg<8t2%CXLnb2O4jQm3v@+}Q(hN)cdOO`fTT%NrPBDUY
zb=UJVXX)m&ywL{`*n}=K`>w<{iI-WXbe2m*&iBlvWwVwJ9o65OrsTUYvHy6dfM2?N
zW_oH}1au%Ip+Gx|;4AKzc(|S_ZB)_JdCHaPiec~=y5%A`BB2Cw@rQHq9IbwQ?NT1<
zqPp=DZlrn<eMZ|q7ztwy%E|RIfN>;8bTwuV)IJ4USov^?^`7ir)pXy17>NG=-+$TY
zl7*nLu_ifgJ9CmHeF$2Zj5nC%gKhtSgNHMLw;0dqglUuRQ-Tw8Gs!M7k+ghPfxo}!
zj%_4I0KMXI4TY-?K(W-bsl&MD3=${~q##RphV3ekW#4SAHK{uC>P{0H&@b86&oL3H
zb3jJ;UFgBEHaDidgGFGx%M(NV{VW^ZH_&90{zO;+)PCQPGu;d}k9}eIfl*3S_{Yu~
zQsNxI_4z(ov}8u=yDOh}+quq#W)!$WkZq~3Do0j-s<02W(ADWG1=AkAX-{*qFhsF(
z3&+6bMB<c(aftxF;nvK5MxK%VtPhTSZ^Z3-@6a@iF#ZtMoa-2w?Lw(mwt%kO2e{9I
zo|c)P_AI3?KZ9Ta>2h>9J~vpROZ9c(twk(P5~cHIF6?%+Vo3KLTjElaboOM3hH(x$
z>tcG}y{3f52%iN0;t{VD50wug!se%cg^ph%E@5Jh$9SC+jB9861wlqlC?cL=G#Y?B
z`<n5`z8Gc7#l`)`_+^(l2jfBKBF@A&V979iLmKLi&lWK;K_S#Y>S<3K5#8m5Vt8!g
ze-$OGVW@~_t@UmGcJ#EiHaQERx;+&`6W(n9CTHg?t=~)%V-hf?n!y^T^L=R$0;}|O
z4`Qh*9+d1o+PMlxsDV3GK$e$!ezv}-<|kLsAGAF0C^2CKeUw<foD{6p{;3!-Y|@b0
zaG{z2v7YVw5HWjIIbZ$jb8la(iOqped5%Y?(U`TjT^&7)sc-%nLBzav^gE?fx9-I-
z!`VM#&`=pZg1@cv7jTiskyb|(pC{^<3c&P1*@*N92<poVkiufU(zCMTa=)n-o=t8+
zA)*^F$vMk@#erYJ9mZsLhX)DqA5T2l@}LUcKEdKMfyQ8+xr<aJr~4MBXai$l6cJA~
zMGc4mP43*nUbe`(bs-pk_8|2Lz`4?Rd69Zv;PN|0@d)aB{J}i8a?nenTSKPoYC@Tx
ziQT5Ly4FZXCOCQFA>m}imP2hY@yYo`w>q4Ng_tHH?8lxC4y^h`wQ#?CD_;p>16b?l
z#RNNcd|hlGq3=Kn^uwOFrH(t=cHTC@8cf99Ca~A2s*cDYf*m4^87Q0N&!Qv|A#yg6
z98fSs*UiuL=z+~DrhzE({Ti*7Uju>CtEsw=uDZi(w($%9d171}8`!jyaSKiOzzBr)
zh;?e*tFSl2H|g%-^Zm>}8-L*$omBL<=l-*ZD;S)U1NzFU(_e6=(uji)){vo8uKWc<
zJq3Mc+tOq<%xJ=jtheLyv-JbAkRL$Awxv0qAL5hULb<!jye$U?C7NdvRw|`vWdf1=
zvQ(FA3MQWU61FqTl;j=hnr6RxS|iXT&f#a~KJ@hslPFL*KaOyIf;SlL=~#h~$A(($
z{jTBh292)|%!u+!LmO;<u|IQ~M)}|a+T2dH9b-y6Y$Y#O#-cvb9OFuhHs(5hUa3L4
z@$OCdoLhjUgc|34YLca*(+26(S_hm*j`)~MNwm`xz7xWlY)Od&$7TRjw_|t8$(0gz
zf0P+}H4Lm2wvMNI%j2aQ*bq^NqPM&GV66Xyqv)2wRYOhfyc@(+e(aEk8nD^yeiJ}D
z5{IX4gp>OAVE-JwP}U+G`L4j&x)j>Y$t-a@KCD%3)LDJXp<WfcxOc{!z5zb!s1Oht
zLb^X$_=3tWB_Ii2J6rPP`oySzf2pAWvo#1t6ILdR_VVQ+`p$RzsWy9&oX5v_Bt><b
zFkg_HHs^tS+<8`DYr^%bI!$NN2V;Zl`c`fL&Tkm%{(YVUK-9R!==B<4s)8u|zF1|2
zXg(~Q<Z{)c(S|z&1iG4Y!M`*F^h;uDRF)&OIJJJ<E872jDJVj|z^Mkp3v`9kD30m{
zQW}xZtb(BMZf8c05ES(Fyya~N`r!zTz(X6jW?*Zu<vdz2hj>Z^i`~rp3<^E#X?viQ
z(iK!G0jrltNiGy%Zd3s7x|OR&9gWH1$(`(4;p+o<n4)Vx?4vEVanF_AGg0n<HE1i%
zIvXpg@P9pcDK)aP7Wh9*niM+zzWa&gV!ZGW(%f?_zSD_sYug|dl?SC-y52QRq`Tm{
zC&l{LE)%Rp>Sm2*Sb*tL$w+li`zo?7igmhB4tQqBKGys9oc;4;A)r5i%I1=wSusu_
zfj3*YqrJwnx&tyWkpd!<+{4MMaVL=GA(4I8*ezB(Zld@q(Ys$J&2h~ewJ2GB`!ykH
zth#fOFL=QBANy99h7|xkfkouiot?nv$UIxW-v=9Nc4D2Y{$e5vl=RrA<}C96LjTZ^
zydFpYaO+C3Ktf%B5;OEo%NPbtLCdA%BE9qog;TWNuLa%-<mnm_w8prieGmB?z2i}#
zhNV}9^%CwI_(gE*`#q|gL|1HNm<6klmZE+JehSYkyWGkxFv-#;(_|~(yedUCkk)Xd
znG{fsbS^-81^8d(z77kNIKMuELv>`{h|n^8C|D%Lvjvh@Z)sP!UefUuYx|_oB|sQ2
z5u2Pr`?I6fu7*a;bFjyya&gG1+b{j{5X0KJLK^b*<F*UCRp=@jr;HI^a^)R(hsi{{
zj9BE*%Bn+Ap3%SIzyQNcU;=myv}O~Telp52>1H}6D0Hd-;zx?j9nrqDG7G+&{5P7X
zKJ|3RbG|7#vKJL0xVp}IFOJ!6fdMW3gAI=9>-&&?1v=_9&SJ?hYW?T>Ly@tK7iZI2
zSv2F~(8i|%VphrmTt_)%+$pePu*WtdSs)GuHAO{Kz%eZ#DNxQBEi+V96Mo+x8S`M>
zR+vR*Zkf20WkQt80JB|>e^R^q*Ake4Jk@gPV}Y3f+@)xF2ATuxySp*-A+T9cGcM{J
z6VZq;9X~aG1Q|C0wu)d|HP_57b^HQA0`wNXK~8pVXfeQQZ_YeuSNZE{>0v)Gj+Bq%
zcnlsQ9RCOKL_$e69ie?AE*)x*q3KLxaqzcpjbx^9<@95SC1e?AjP$Y0#N<#->J+3c
zBtNhJdL+By>^SDOJwpjaI-f|~BSH%&wZR1gI490fea0tWFUwF8?@KBF^+u=+F#ZRF
z?K4Q=71CnxZSv*9_e?6H%`W#^@ny+ql=e6doI7qRR+qikb}Y}ft&_?b&>1Dblp1Xb
zzMKjX+><6Zi!ACjjQA}xAE`OVpVB#pNH;RqqlFC_g0Mo>t;H7Nk=Mio(g6C(T9O}}
z%=ko<>94E2)bxA%gjGv~lQc*sWY+q!{>$*B&ZJ3qR$oU_#{4KiD&RZVyB?B@QJwGS
z628mm&}`@_5zU6!&Ne*gF&NZXX_7eu5>V<QtEgS@-q~H7LdrY!)Yg)|<sF+wz)dLV
zQbg3H-nL@DHhMa_$}Oo*A5nK;+l^Z5DU?n_{NqYu3jTUb4q?b*&988A!XBYaG5~+Z
zc~!KW6a2rpm(vUxL6H+j(4<_)rIngb&$lxlY+I>Y2YRb-;y2sHN)OvB<q3w(Va{x*
z!#<*o{v5uHK8KivfUNGbvO%V?{(ZE~r}ERKx8ch&EVH*$`4|^rh5I~^Kb-I1Y!Xtz
zFU1~aB}^Lt7^N3tK?2j|z=J#>VzLj0s*H3jTiw`3>EDrrQSu>1(=enT9h)#HqufUJ
zGi9#&szTD!>vmkb5mc=_oS~W{WFIHpXj>78*<Id&Wh;=07h{$8E*AwHL;vXB513^l
zQ)$t6Ms-l8uEd?&30V-1#cE3Uyh2=gWU7GdUrP4Drl<=Y%OMxFjkLi3KUaP%=>p*m
zc>`{j(!!6~U2j=g48qK2(9LzaIFett<n3iRb7x#yfDnw(UoBjqLn77Zj;czADQ!IE
z?O0d1ej&C!h?B`VT+Eq{8pPga0leddXkK(b?JP%1akKSq`!Yh(ZVY#DzWZJXh%&Ve
zf@K?k@0vyaP~WZ_XVUV#m3C4!oTvyj_Dkj!01mdWN|*BonyD2?v$YL|X<B>rgsk0p
z`Rdh`uDpnqwOi|KC?X3wOE<ZkdLo+XY#+L5++S@znXf8=+jrUDsEMaH#?6~9kHc8t
zrq(1q+_k$jDSaVI95Sr{-y`EW)?lm4t*RXc2xpv3J~GP@gkr1~1|>MDQGr8M4BLG9
zA5_IY+hbmhFBqL^&bi#RH7SG8SnTtRqy`^zS;OW@vv9uu0#WTi(D@)87gKF9=M-5a
zyTUr><!BjDo|&Jg*_shNwkFuMWMO8165VPCZGyc-!&=la21;_W_8}gbI31d4@(aMD
zxRlW$`q7kLAb^SjHe_iS^f|Q8s`t#XGGB(z*d-b%QvFoowU|D&JOat<hp6AkJ^WIP
zq&l`Mm#EB>dF-tj2Oy6jg$@+*;(L{*Qypx570J8r-mXl|IB702i9$2m>al{omf9-f
z_=1rD{Z+IdD>5x6%BYh%sGnM9fN-UuE)fCQCo}O)SAm7O;Z8_FSC$DLfdzy>gQXXJ
zlQNsL$y6|ks@P4N+F7VSFXb}>IAnfveYhHWq=8uiy7<=qEJ~6qL||llm=Bpw8T%r|
z%3g>!3)+jk6)R5b1Nl8Br{)8PZ5jO;a}nlV27<6D{yA%00g}Y(RLo<}a;^|I2+`iB
zQ!jB#Ka<Bdb?_VB*k#vz>wRmk1;tZ;6SzSx{zh0iBR!U*gV=yjQy;hSmN@X0?rm&l
z*|gBk<zzBRnEEv~5%{(=eCA0UwkeQ7a^I)?P=AiitC=CImg9{O=#*Iq<#+`@d5EQD
z2dkM12KSZ~3odc_DwB{g<pRq=VIWYeA?7Ma#%Ewaqjfdeq*eNyp3IR-!n!&GvxKC{
zRsFpHF)`Jmeq8?X&xqhN8us?J<h?lp!}5m=YYQ;QD5L4jXfurOt};$G*XXL_kPht@
z-SGb5xV%Y;0FijwGXLfzP<yk8mQ_XH8)BRmZpyO0G1v}du&<fZ58Og(xw1bYM}2Y&
z;H`rxz|j4wRzZB1MG#p~O)Mi`-(t=GEZ86VKJEcfAM;Yl3`{7mO(yFL(M9EfTbO_Y
zHCSK%Xgg2Dd3W_-5}m8yI*l-4Sl;vs*Tr3PPEGb=@lYRrQe{G69B(Z|Nz;55cmDL{
z?W5d$CZ=SJq*>)|9glPsbSX5rYM%;8DElZ?q1bwl)02vTo8&~+2DML`aS_)u8|KxW
zj$&+o{c{qr*WP70Ihn+j&$XU6-OB56mH#9vmna#YE9|`NLh~AwRcpphT%alelrIY*
zTc}+X(_UgS;LFPam+rcXJenkMSN3hq^Srw?<r%o#2X@ax7r=@yh>mBnS*(wASih0+
zVmjUFI8bc9r`+~6yE@3c&g|Z>J0BcYAZ&q;m9XAWhL91Eo+RR%`r8qjXX$bJ2w9Hv
zl^@e;2E(`hU>T&1kUfOSqC^Yv?}uXJjmY=Q9bG;*D(iUG(!BS!O!}vcJ+f6EF$P7=
zPrujD$|pZW#hzq4ja%Rwq@Gj=!*fzx^>tICsNMf5+POK%8ed04xU|jT;~d^I6lUiS
zEHx$1OsEHogxWvDFXVQ@$l{LxZ#89RUG$wq!Y_b9QI&=L>d7!tRC^MXO>z<+53KPJ
zBeC>kUxGaloRl%rl$HkO-e}qYs>9(!N6TKhQjx?x>qj_t@$7PaK&(d#_Fo?{Bb3O<
z>PA|LE6u%dpGI8L?x&%6bSDwd-rO$qJ(G!9a!bJO9g+~E#<`A-4OxJMVnyCql;X1?
zoh<xmDCBCn$yZQ5SE+G@tvFZ<jf)C%^{rF8!EJl4?|fxJMPpKM90~T06>@Jj>FbG%
zesb(&{cdm(dy5~dLFtuDGU&0vp9+|4m;k4tJQV65PYMT0Ov_g|M!15Il0H>4d87@0
z+%3y5+rlMbMOQI>@m2vk9J|!NQjXzW{UJd`E+r=Qe9+JgJZlqhXnHG+Gf;f{wz_E-
zJDsIpK$r)CsH*~Kyp9DAo!B7AKn|4j5|Vp!prHFcfVtbsVMLwUV>5WNaa2t}8&U5u
zCy%qUBu-wwvpV6CAK*wHb!oNSX|}U>>^%Rue6+$pua?WqTLm+`OvSEuya|`-*KT~U
z$ocjYZa*~}LQ9-TXV&O#d(zkHPgY&j!p=b2?SL)7&WEX$VB8?Y$z3~x%qSjKG{U0P
zq52}P%80Hp(kLr_iQe~IPNX-W_E5stxrWOheMhHNd>4ACHU9%UCpltgdgmgu1xkNs
z>M}4@RCCLrz?a_(+FM^LA)5tgJf|VuK|dE<0AZKnV<fCc`pnduaiP~9V9+oaNK|O;
z=$L7vA(nt~DW)}I+CD$t@$sAq_E;hSbpN(i0iGWnsbL7ztj{LfQxGUY`gB@*I;zcP
z0k>2-HF_KP%^dE>q@+7kyH?#ABh_C^BVhHe`to^lx<ti4)#HL*2OZL;Rg|F#X5j9T
z&A<H-2L35({H_apeNWp{sxi_1D=?s|CJ$Zd79yC$3+*FC3Vf0)jkY&ZwjnY*V^_|v
zx&)w`x-V-c#IyY4xauyfTV}@y16DN42RwJzbm*oXAl&Ege(l<&+8K{7#D%$$79p6l
z8^ZO%W1aH-m#nC5%$O>F3A2VAw40Sk%tj-G!DBj+7ZSJSUs!c+jB-)e?sWCe#0UBT
z+ZmSVmC|xVncFA%;n!X&5vOOLkWyKbc)b0TIi=$1a$A8fuNnn%xES>1{Z)F@^$QR1
zQi3W;uP>5Few#oUlVL<vNW}7A{dK=VcGii;_)%QfX>+j@a1JtZa`X%F^|>0Q!B-?H
z!!hWPv2{B5#z+jp!BM<;Z$oTwJ0l)IyB?0pwJ?9Ly-QD_<O2*>sU^P{nujh5Uh@P8
zz~L9`P`v7zKj&03-3hb%acoEwZ@?Kw_zq{3FCC5u2WV4MPf3;--i_z8{*!Bg9zW`w
znt$=#iSlRk7YJLNO91ux0?AIf0jFUxQEsoMxZ>TvDyE*Ll@pog^*{aI+Z-dng>E+$
z_KU&cPSfe)P=Q|i_KB2h@b5cIWRyJH=AVgk0X87A>&iv^7HdQJ6Eca{70cI?Kao(O
zpnQa)fKQdbt<U}bL7`zM)EQA$v|h}+^9ppEtMp9lrC;Cl-b44I;L;^U6RE#j64b&8
z?A7FSw;@EUNnIC4@dZluf+IXL6COO=7m#5e{1(1e52dZGGJgpUJQIHFuy+qED6gJS
zkl8HFX|h-25dQS3i-SQe@Pyud(MlnGpThBUC1EOe1tSK{+YaabrJgU+rtSRG>{ix0
z^^t(NYSI*4oAJ7<c^KX2YCOsczI$pV>3O0|2h<NO{#aj7jNs3pLmzKwHeXi2%}Ei#
z9V@wTP9G6rBiqwhfeFdh2O`^nD^^lAgHGv#A5BjX(<EY5!6qOfG_<`H#Gw6K+zrAq
zGq5;vzfu$4(vAyj2kg~sN-Q@jK^H)|H>KK|hi3(zk~ezyOXQrZ4Pkcg2*7eB)&@o}
zKRSzH<Q=RyUBy#?*ob(dcQ+7y4Ty6u`k6_rwVFIoGn{Zw2CA11NdY7s?WLm6_8*QI
z$L&>b%<QFe1*{U_$FeCCXUpRm97V^w#|$?AbAp#{3df>_2m|^bn_cIRk=rNl+N8t!
z<;ax~U{=oDy!$B(j~>0<;~6rI4xsMD(DAr7(>z7FT9KD-8^#<mUGrfn9?*EWC*?Yy
zflF_=+hSQyQ?RFd=qrRK>XqrM6rn(u5Ng&Z5pG{*6gHyyd#I{f5E_WudXRm)3R<0L
zu-JSeWV;6wgmA;dZHM&P+gIf5FH}AD;6Ansf+pWbLnm!}`O2v<=Za4fo$mQ*`b_P=
zq9!w&_4sSa;&*h?wA3ZUC8-bR@q`?s21jOCm10;q8;0@6<7)Og^n?;!?Kzt4VODZ7
z^9Milx3w_P^(Cf$LyJn<j#iLwK3~EvmiMc4M+)ul4_mOfaM{}qR@(aU_6y?nfG5u`
z>({@3Nr+F<`qaYAB@Y~>n-kgjr_58^fs*er8dG3M^435JWIf3?*F8WtVACdHkxf<V
z8$kp1Y4h)r+|gvSob4jABcf-U?vRN6sojDK_#@|N`Bd@>-RkwEHMErm2V9Yb+#z8i
ziKb24v!PvH05&5`UT)z-96mG>Shtm4^9bz5%SqtAUVS&-e@>kY+Mz1&P8F-+x1{r)
zPM`wblS9G_-_|4#2V%{wS{%3`{>VSY*3_*%!eKC|M|6w2xGYwT<eDH`_~EY!J|a|@
z&K~&E*+cukIN)vcLOQt)bkQ+1K7}xIPL<{ik8b|jgDePUZ{?SQf>GKO1cgV<q}TG>
zwiFA{ydXTp%TvCq=4;4i!p{=wk&=2^_|H=oR4`0@XJeH?R-XzlWYp5UAaI)S)Sm-<
zYrdm{?2c1-btwsNuZ?)pj?zb}Ex~EV69%|_Xp4wqM$DJ2@$4L6ECMu!m(m!vQe)`0
z#2BLuX5C@P?Aajx3HKxoyDQ4Tl5$6o2!3+QB(>onqvh`93&Sy%l-S?sL&<{UAP~9`
zI1cbpllK#jehV#Mqj_9zFaC&ts$5F-Dcc$1!p!7jO4C?}dN=K<O*L8y^+)(9D&Am?
z40Dm0ECIZgIm29mD$w^h6N1-@p??)^bZwhu*(bFX(d>t7DGLACBsO&HmT2nPN7CFm
zhN)d92yy3jg1>QyY<V}Q<C3E?J*HwT1_d}fV)^R*%8e<Laq}A>6<a`CWyRHKk}6ld
z*;*PkR-e)vB^P|%6(50p@3$kCY_8SMQ&Ip~YPb!*P#23zK)3jU<S7EM$6CRfhyXzT
zKsq<3k2%JS9wOfut~IY*XmBTNCUKsKIPn2=8Q`(EToEIe*E#z#w892GS%bWxrJ~$i
z9G-{NA26Abw#cU}eDbqBqH;H4CnZv}S1QN4_z|`Xu%~TB9sZ5Mt9MO*R3F_-Vow!}
z8GfB0w?bBPFFVvOo=B|gnd8*`V<+1`-he|sfnMj*%_DGeOmouX6gnM-`<0S8Nu!?0
zWOCn+ub_K>tt{q5{lH~lpOb=TVd^zyAA_ImMbYJ6p}5li67M&spRm>Oke$&*rlOl$
z>=_@^N;lkjW5Nly;8DVS{k^Vl){NWE>S@Cf>cLMDNK{zp=Hxlj?HnvG5;ea}@IZ)7
z6(m6*+t%fB7o2XgW)WHZUOQ2nBAtVSefpyin^N>0)W$VC5!x(AQYx*$*#$hW$@rd3
zcOU8sjG$j+i=m}^S<{DRO2ZwTxaXfor%LG0DpcO%HF-YM&3#<Swjs686p8cgVHhb=
z@!?)R7Y}1taD!ZVp$FM_D_`hOaK@E$gso{H1nDFxY9<rAl8Zfi)`ll$+TKhjJrrVF
znM<p=>|4jwF@dqY*As4!EimJF{s?7#3s%FP9B}Auq(7X!NA63sT>6dQlMZz&AV)5%
zlw=&S<ke(<_fXtV>bs<mu>LZCyyw$SuXXM;>u)n0%Jca;l<F%Uprk!7Oir*Bj=#og
ze0~66S=(~PezxdFT3Wa!d`&c?@Sd^9JGvQ-X162C-h0Bv@%&`!B@`1ejP=<LLhYNL
zosO@C;IRY%f^i*-R^`QtkOrW1Nce{>(x)<6g%5<pJj`A8D+~_H?>cL8;MZK%oAe5z
z-Q($H*OOMMy*k7(V9*PO)_yW4KbA1;Pl4?P?3v&lc;iv2z!jGu(+(;vcAc3yeRwXH
zy_-E}*J+5ccKE;t4MUw5z6|RNDNOf2Besj!D2z8%jRE)2z094^o+6H?f?)*0R%F;@
zpc3M^choR*#&>ru?OeB>mmBAv6cY)>+2Bx05ukgadCC-`Qy}fJJNg*jm-S?FNX-HY
zE)7iqQ5J=eGF?pCo^nZCtDVf!(I#H5yS<-Jw8zNyf&rSyFII_{Rq-VVl-p9Uc_WWe
zV)>1M68g0z&EF9Qq-8*Nr_cDS2D|?{m)&?**u!F-P&G*bhZg!hf}UIQ_FQAkAA8C6
m_(<HBq>EZ(mA~}bqe3{b9M7A*j1KE8WhIOS0)9EeMs&~g-Qa-$

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_rx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_rx.v
new file mode 100644
index 0000000000000000000000000000000000000000..f284ea879571cbb46539551d2d48eb1276119585
GIT binary patch
literal 114552
zcmV(*K;FNL6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TFD{o+>Ul`}I
zdmU}se^~dwwi{5LTYO!52wdnN`Cy-dqMd>({{35UU;}PNM^PIjKzHaY#|=pH>ncB(
zC-V1Fp_v42N92)5ZK!0y%#)md!8YV`Lcnb<xlEVv1Qt(e+x)L0m#&jXa7T^L{FB+F
zpbpd2Y!*5Ldj#||;dn9+BKq(b*rMfmfP9QdvyQqRKd^$8Dd0&y8CTW*9&uW1NAIy@
zua9|vt^}%^#Yio5F|inqz?de3Tohg1Jb<~*Sp0uAECo(Ks-G15C!@~F2e0gz3BZNz
z*C|d+arMN^f8=BTuOrHiQ2rfX&hYYdrpX+~*ahz3TfpV;LZ$7qpd>Gm2J-Er#RE=&
z(3mq-AP?;DcpwqPMaVj{%f^FKy1*&8gm%*Q;1et(pQ$438c|V9#zl^FzV8)99B_v4
z&C|dzR%NpO5cZa$zip7lio`)w41*E=@W;JKiXUIvKs2nmEG+B_%Wk_QHs)Eq9;4wu
zrKzGaig?Gvq^{_iVN93@4|O_tyHCwhkqH`gTpfRdYD_6#XxrkE;VvX}e@MxU2N$@y
zCN79FefVj^FX9~BrufW5`pf8kESKGlH576BAJmjngQUTthJA_y?4oc<(vxP>dX>CU
zSBD~`tNgT<D91^U&&hh5C;KDG{-$;KtE4N5wj<_9#gYs~r7oqk+f^+iZm>I$g>z`h
zeSr-?1?`D0^)AWrQ(e5xm8wad)Z;DC7v3(p80a^U4h}BBp?pZVRu?~(97)O#!niy@
zFhVX^i1COglic|%d@r*$Obsu^i2R9M#kuraZ|%DPZWetkgsM?q!FcA>YnuEh4@8mq
zrAXLgpzSgyJ#fHNYN`>0g;WHArys^>U8};C_%#f>xQ}$27f6M4dpqWDy_qZ)++zR)
z8Z7Ha);T2>!13(3!QVIjA5cTw9ffY_3y~Y@VcfPSW#Ezk0q0++99G-cUq)?VRN5&U
z=E~>k6IxhU6QTy9!Nj|_6$2RIx5AB7pwN<He=`pOC@W*&uTp{k2^vD(YAY0elCIRa
zA6Be~@E5Ia`=9=c=Z5Y#wTLiKK%fV%>+}%}FzXH?tu!yi?*G&3@SQDBR}xJ3ILv~I
zQ*8D|`hlrV{sq*p2*<jzXlX7CImQfTYQUq28)O3{1%%_m8)S;?D6e(zk8-<3!%KTx
zRq3}*0(Dz-95)?{9q^d;jwMcHAh|~S)QR^N_dpCzPiPbBngj7Wk7rPOqvTZ!u7mn$
z^!+Bs@9;!yYag&DP`8<ME%4}8cuMJN{(f>WLH+D^8F{qe=L$0WrBRE@>7Xu~p^3~f
z6%1x;&nLj`S7Ip&sQ*K(g?)bzSyyRLE5z^RJl&97?csY?kof}-Bjj@?zSnbhwgBlA
z+TRs$gi4eLhnHkK4KDj22bU~XOX}b5wM$LT?t@G3SL#18(T3j#c7}e&2B9hjQx(cX
zuBU8Z19rk&@5{cdVWCR|a>9U#S0l0lKPZ$@yTh?jS2cJvcPM~WJmM|CSA+9Lj1cw!
z6BeQVMSiM`DXJH@X$82BQJ{3d4Od{-s85>&&4u4)4-9!?fXq%16=K-6tFA>d+a~WW
zu*xvlCXnc{$o|(z_#CkMUVExoyaWjnw3SkLgI_W86d|L~9_8WM`Hpvs5HsZ4u1!}x
z$qj?RxC;5t91H24+@{m8hY)a)v%qm04G>b&`qP`2c+yQ6_PYDaK-KBq0*a{(V?O+}
z``U5WK>t&__{<GSCLEXNm_SspQ@MTjka+e|Ud5ICN=<mYjbIjghc2;VSI<b`ZgOSv
z%{S6u0X36Xf2%$F??>?t#k{!<1BrmnD*>v!k2NvKdQA5x&~U1ol*riqg_^H4TyE8L
zP-=}xVG?iFh(7m!-9uTY<WiR_ld@L5z3F~MxWF06!xVuVaH&3T`72h6b+dhuRpQ2f
zE&%5fkbZ$CCjK(vuLxF_V`r@j1Su3uarPOL<8@mA@p4u4nwag~Gm!CeeV;`_k5b_Z
ze@AHZ8Al5%heNnozgfOH@vp|l(Hxh9c>tOa_g-Pfv#Vyuk9S7nvYy|dc_Wl5)>542
z!WbXT&~SV7Ik(kpCho{y+XM3BM?z{%NC^}o5XckKrl4n~{2TrXF?-TEWwiJqGp9F-
zVloi3T%*#@B;N${u1}iVD36vdXThv5?rMHW6ZhfP*G6(sDPJ-EC26IBzn)&8Q4~DY
zVmU!>oTql<XuT9}yLmss$4Zx~4SJ$lq$bN+`hRYlo$au#rJ;Q|ZdY(C&Hl<^)DxFT
zm6bdC%zi4pLhs2Mvq63JadSvuY)EzKH!_8yyTVAd#6ZM3ofbVi{j&q%1$yHjq6#P-
z3z$#m3LvIREUO0Jswwm_`5XM6wjSk3+uKC`K?xW1Nf6jVp8M+h2A5eTmE>aO^hbHy
zoIsbqs2WRu&*3oU%m5j^2*DwSJxD<yS9)P7Rt<!n4_N^6jYK#!$Cb-Ts{RQcT2Gx1
zcZIs}vkPFu_S-79Q3VbWL%s+&b5qlHoJmCUdic~v!Bycm864hz1QL>^&6fLQsDguF
zq=q=Znj{F7T84@AOsn7eq6aDNlz%MmEa)h7NV^-iA0<fkbHS3xlK)@B#yM#4M<h9K
zP}EvS+Y|jjjfa4+-be{ld+N<ZG4pQy*v#JeTNiRy^*LwSL<BKaGgxrY|FW_MS=8BM
zhvrcP(ZPyMov@#HE-HYruNH#@Nrg-1gqk2)b(_bAq@EsY4~*BW^Y^v5{$4Nz=ag)K
zlNaF9+P#^_Y5y!_TxRejhkLd+SkM=4So>snD39P-42`&mYI+l1wpD{j$!42$XVJ}%
z=>MvGGdX1ZvZ+VS#u20NW2-ss&JcU_j?aM7RIN5rq1vS*023R9-4-Y~o$5?{N*_|-
zo0kuRi&*k^_%M(K;^g*ac9HvK-%c0diUL~!NH|wmUo=Rj6mAIv&ozz%4%prnT~PGz
zFOmFNEXSkjqNPSn1kCBY{3McZ<n~Ryw7ZG2FZ+T{7PdH}K8hYod-^*DYwKad>^!Pe
zGlu0^m%^8#th=?@L(C0OoCP{zmR|ND#%+giV^rmX6bI^`CF_v^M2>ARZ?=Ox@SpA<
z9r8D0?eD5-Tu7^%j93cX;?3u%;esYU@Y#TQdk5cMnas1>T3N=D89Q{_Rjo-5@fj%i
zd()C1s7_QaG_hrrZ_K#CIF&%G1~y@Wei6*qNb5bX9p?c+awN*a=)3FR$wlb%*BI!N
z5Ad2xQ)(_z+kV$u8XKrkIIKuE4{6ojcWi+tz16EO4Q%nC$opHYkKG$$f5{oK{LoGh
zpSBV9il|CaeC@2&xnz!_v+|k^CkvFf+%z`@LL@OJk*ARm&3cQ#Yg!M3!<V4|<}dGs
zhcX9GH5ZF}PihOe(fm2Bdzc^d!q7oujvcS8omczF9IUs8R?h+WDXfJz%-FcRS0p2G
zau*)3r~zsc{3+qU=M%*+bDD;O2+UeR+{bi0=oeF08Rm^?eATayC*9ftM4D0rdChL8
zRQh`tdu}{)0;xH1gvZLx*+hl`ngF-g&HrEfI+$=#Ik8y*&7R!-E*-&?OlpTfgDXRj
z8dI|04b?wfI34Q2pxVK1GqY`_N#!ZCwf+%_W43Y-v;WPsBst{Ze|*WA4+kJ926X2m
zD13`cBrWY~Lx&w)p7`K#R?>q#+XD9wkcLykn9#@7Jlj0qsgWj)cwSM(i~<q-GWU!@
zHcT$R24wemBBvZx8>vXTg+HoLyOs^LvuiH&lz?~QH`vpTz>(LL|7Z37fwi)kbaN8Y
zGBr2)UhX=A?y&2Np#wB94?x0}IAW6Ueq%&}&;d)Pfxr&NJs^6zu}<&OpW&HBFe{tV
zRCg8C_WO#(a!}124d`~*mVuSwrYZ+mKv64H6<z(0VP5nmh<_k9qEKn2@VTP-VRW1~
zw3+^v3dB{I^P-r0_Pf|J=HRql&ZwesR7}6oBv}_aDRKOS&jcs4)}S8_cC!<KRlY3=
z_>$yjfb;vBwH8ET){bapc&mJ6lgRBpava7@c~8T=#aSRu%DO=?dCI@tt%|DT6)d!b
zHH(8Kw84I)%$So*d6rMpuO;gYe~nZ|zmhCV!f~C!vam%R0MeL>ay4*R?Yl@7IFho4
z{S6k+5j1WFRos8)45m){?~piXFm>%kXhmDzXWA1wOGpg|J2#!fV=Xsr$3(^{-`teW
zk+_)1AtV+tjXUP2u4G!@yBlHz47|Zzf~GvA7AL1(%+DYlo*}mFZ9m=Be<dG)qa1=|
z`J@rjviwZ8;~^ZihrCh_-f}k2XnHkZZr+Gf^`)G){-cgVHPX#QT*BQPm~|AA16P5(
z*S7618fkVMImCaO7pk(_%w0F_2^BNZ?|W?)6<R_|Hx0f}8CeExZPlpf4D^!83FMdB
zdcqi{562xt3~D6p_f~>WFJ(vL9jqGhEE(v98ftSWZ^}5qkC-*u`EP@#Qsk=*uIlV$
zV+YkLB*tcdb0A`}r^6<nE8BS%*02V>8i_ca{LbyLiQe`NB@qhrj}s?s{%^jpqOAuj
zzIH<dzwHN=*y1GIJh2K%M_p}-ynh6293pFR&=&L&{bcDWtf7<pJuW0|DTl>58Ae{s
zhX(xj=##8zaJI9IzB@OC`sBfX1+!s=iyIO8%ZM-L)m~xLiVcjy8bZ1NrQZKJv8*mv
zmEw>h$mPs@*6>WNZj6sN$XCtZa~X9QDcAB;+}ak;DXuGeElQ}@F8i>(`bAc=xqayI
z|18o)IBcpdKJ<_LD<)-aDPuW2ETBSl-p<|PpeDmX53Wgl1G4{C_HsUy-yMy**a1x1
z0=I_{q8$BENW5Yv@fZQLNzlS+l0M-ei<BQ{t0>b@?l3g%_rf16a?CAg<929UYQrpW
zt`oMGrwG9*Btq))c|2bJtA;;{hp3paV#(6oB0O?=#J*Bw@Wqx(--~9Qvm8~>K$mBt
zA;-s(*pStMdnmP#w;X}i7zR}x;=~a<Ea#ru16B>1WIHC#)g91If=CiMea%Hi%5|;s
z7d7w@yHlZqwIrDd>Tp@GhyDE!j$WmIqj<up*HAr;p{rcE=J&@seFYC#>Y?Ocb~yar
z$u5?WXIyrLm#-nI7<7zxPC^p%*>spRH($kEx)<QS9gHX>+QYH7$dIPZy+`5$@U|hP
zhn8<~*|?kx+ah*}wd5~t+Hjps7O6+)!q4{`D=bcBh21$?4BNE-nL?d&zi*%p7EGl2
zxUtI_9KQ&5zVuWf>v4}MPNPY0xg|`trJm<hyEV?ZumzNBD1a+q&XErxAtzik6iQVI
z3$-IB_H=w6O3ec3p8Od_JuL7N<<1jN%^0Rm<142udZ59K{1Y5&vT+5j2KB&w(DXT0
zqK{mJ)bkCC)y1=t4vkqps{Dhe$BP*S64`x{YMnKpE}uj|0i#NE;A!*)gE0X>z}h9&
zcBRJ^Gdo(Ml<)PiNkV|I(sdlF3c11eaUH@ox3$|T6h3s1#|OAe&LBxBEhrs=;>%in
zC!qt3$n~~0`}dI-<VA>k^59ugS-dX#lz5fSx<xWNZ8ZnF&l`B>lBIqp@@@fAE98Oc
zIX|d<c57mA%dA!_#h0bd3+J4Zd{3CQE=z+9c>aMi{n)o$S!k-i?iQG&w7&fv<S(OY
z>kRxOL&Dt!x6ZSPC8d^9c1P%Woj^kjn;fPwV&3DZwd2q@YtDuZ%7}u!B(s5oWZ!)D
z&y$&MbQ~n5uPzw*q3A>rCm~i@$zz=luct7@J_OoJiPw+POnB#KvL0lM0J#lzR~m;%
zax)C9o{M8+CW%c3H>o5vxgw~B-VfvmmE`O$0~#T!IS-)HpU5|<6=oGE>)BVZqq~q_
zw#}|sW%6zaVyZN?_ozUOhcpPj*gAgLw=RzP4uT47@Z&Z8>oUl-OIjac4@u+TXQdxZ
zDDb(Ye-FtwCUAHGAO>I<`BQhlY%?oKW$=$np(Ot2Q%>_oGvf&&9Y}+`E1vkT;r*--
zG53PY8yT{8Ed@$ep?K@_F1JVTgA0YbHZ?HU7l_6N*g=yP%*WlJGZ`=860FT_IQ_@J
zAKyv0%_>XTcQN=wvby7ssy12G={#};{~dgToJyNFY|(`|$2Km*mDt<8a<Z_N;92}k
zsI+y;awX)2l=~M$38TBTCguDcQI)s%Py9d}iFnr7bU*LXPf_-YR$2sSSrhgnx$vn~
z7l^xf%GY^w??*|MFCu~J{7tuzVla-}am%YKMmO@RAYB#wRUjuBVF#(TBa6bJUF4(G
z)>?+vlujB}pFtc9-;cV|&&6PCa`00(S84&WZuvs*YsQjsB7FH>>J7QAjEL%MlQ*np
zwlamq_$%&q#Hzms=Z_ka>Q>y&?u)Mjl^#!|bVpKQmIRi>WI6G5s99J`(0m%s2nfxy
z)s4F-S(LSy3gRBj^QpGIu+h%l&VzJ)b?bO@GTZLRg;xkZ-|KWkJNY)PdM>(EFI#_<
zUWNjV05%83rUyTzNZ#|~xcwWt>9)g^|D@YMFdzJ77(`;&#x(KPPH<dh!&#F~7q#-f
z15y$tzczemy(}1FX9GR%-dm`?kV!k+^n1^qqy9@P;~yJ4DS-0>JD1cAL{XWdh1|`0
zkfa{N6ho_+zUWETgOLTe%e{i(hd+bOKkO1&bu%?gw|A?ht5ehY7KN9y!8RU|ypLKe
zy{Au9K9D&j)SvHX`q_vc2{u2L*D-44Ztqyk4X-68CFQ9x%t%FVoyW@*U}6&Wm|Xoc
zE<<_s5bx-(lJ|cmf>^M^I-NMwH*1?b?#sZ1H>z3AM7u3X{mc6|z3dfR+NPWx$1jX{
zLs&V~Oz&ER<WVR&jT{<aJ1iWh?Qkv{;0v`;uW$@%2PQvp+W-Euu{<s`!3qoVON7X$
zEnCAi_?`D$s%(^d-G55|B$_c0iPCk@jDp9XL5Ml<Yzu$ZwYj8R@9L8qY7=n5uSb)c
zt^r>Six7TG{&$#ydKW+GNB!kL3yW*Dzv(B=2%2d}=0u1hE}0$~|0?WeKJ*!Q=quf>
zujG~zbB-D6hN*g0m+U=$j5kbAR!1kt<fD1S9FlmAlkohFE9@tnq%s<Sv1A2_d9n}s
z4t!hZW}j&OElA&z$`a`n<}5Qi;UsJ#toV?6b*|l{1w)h0&vAn#@j<~az3Isz$yBcJ
z#kv}9MT*X5u~ouo5^$vXCK?6ZezP+%#=($sUFmo^1$VCx*1wuEVwTKKPO@169}k>p
zMaN6%X0X^$Qgoo>QE*Gb$uYeKfG?AeHVXL(Dy+a)nh8gj4%ueu@`m*HTpcW02uio{
zy<u*1k!VhoI4)BXKU*hXhC2{0V+pX&KleU-Zz47jR39OMpa*ytiOZ;#$s2R)hv*jV
z843_%|J^{KT<SV!SUF%tQWGtK77u%WR@<exIT_Cx*A4@St7;N-%1U>w#}gbyDP5Q$
zZFW_#k6dC?WWfGPN>N+8-4GVB2-ON^f0ib1`e_N#k-d*8BB>_!6<aKaoeEQ)e<`N@
z1cD~H*`k{OG@q)u1GPDQE_T4HoF_hXz6>p1@b#as;fFRbKOB1!*wEr&d8T+@TzUC=
z1{-EDDwB+T4GY$)H#<6uC@8DC@et52S7~C(<4n`fnAxLS=>Tq3zfa<evk&7P1)<dC
z0TT=^Ch}=zKx$BA1X}J=jCi1H!lJ-i1Ph5{t9+v8{kz-W)8A4M`w@Jlo%NCOYM4d%
zI^1?<MW6nQ)pZw09zk}vS4Z(Db6yz5sHdz!Z)mm?Y7yH77tYhk5<xNleNfz9XCOz3
znMtki%<M1jL)B5*i{G3wGi8Lj5q}u(GdH>E2xF3#$&`Kvp`8Oq6Q=BD0$V$}Z*<{p
zHxTyUW23hiMVp7f@_def2Z%c+Tc4?p;8hBIwh4DlS-WiCQs%9_oCe(Wr5#^pcp&Z|
zdt6lp#9Rz%b6fTyy--ZcN`{6%rTSGb&SeJ`B>?kLB53D*mXhF=4d!SOnLG&Vd*Z{Z
z3tfgO9>2`e&_9NG%Ym!>Oh9fS4%_6$;6bZK&kCJabOm|*2Yd!KcEypgO0u)`d0BY!
zrIUaxW5A}su@p#L`=7s6`y3J`sJf%4Z)rpF0t2*{5HExOa(54g<tzIJgckmlpuQ$$
z%@m05)}@jmNkd+c>+Mk4Y$I|?*;P1vDPrV~;-2&GNy6}lXG5_LueBw;HfJ3x;#UR^
zH?JNf_*kVGzNjDp0#kJOeKU72ja4C(<3NQ$J~LYVZ^W9m{17QOqJn9TGMM#E=(+~d
zEFca_HsuzJ9B=I=@gC&k5RQ^9U*gX|SYnL0qY@#j80D&&B1$QchCJ{Yfyj3W4jiog
z%rIWVo-gFWw&wK87wnga&zE&Vm%p^EcPZeQziXlkh(})ZR8lYyAm4@lEU)0N=JUgr
z`tEe4zL;`O*gp7TR`dqY-`Fn)fi#AhNsscuLb@{xZAs9?syaPUm?a@{$^C%CWky{&
z5jnEa6`CMl(G{n7usvH#!oXh%)qWI&=*kYJXb4o0(R2k=5Wd~851M#0r6RyfT39)*
z(lb|N7AFxiP|W+ijO@4NVXP844k*R`lz!=K(NW&R99Q5)rc18E4O)*H8AHBs6^8g@
zGu3Hv6jUEGi;032_h52k6E*rc`dfH*NX!se>)bteYcJ9@>+dZ1P+5SZo89JZLB6Rk
zr)SKp^St$H_Guemyvx4h^^q(}=*rnsu0P=^(d0KBi)_Y3h=@yIPZ^$|5#(MIOW?{{
zlR7+%i-j~&c81~61}5htN@N|YNxFK{4}iwcFN)4dnfJ?O4p!vmE}B?;3;oR+dvKP=
z0F)hL+;T{C^eTJ5;vrX32BF7hTkveMDmZANyZ@URVlZwe&8Np{Te>}d&*QO}e?{@)
z%b6NK73)&G>j$Pe4@|?EudGN_`bg3QJZRNsj2ypDtGU3}0o^d~CT>}E!|ZHsGvuWN
zA*;ycGAo3a{24y|S~Y{2{Ze1POW?!M7fwxC>DhbGKS4;>c%d^qbY)e34~Bf7=O8rl
zv6!}28$#Ou<Q(-~RraFzoqu*kQFGs-pask8unMLPcYMJ2_G>TuuJws;INOhQKU;S7
zs4h6Lbl|RX)DvQ@Rw6bf+}2#57V*H;(4q2I-sA^7F?k{)UQk^)7vGDFRuPcbl-PxM
z{1*}XcDsn|Hi(kK<?^}nk{~tsbVr>inuV7##BbJ-v!qclc>{p!c`T=-ZI^T`mzp_m
zBmtBa9?tH0c=gsm4_HJw8Ao(!7<eS$ZtG|AOAU2Gkc)Y82#TXHW5&-ZHRVF{Vmmrd
z9{4T#`K`zM!Meu<UH~rW{y|6Mq<s3L!=Tf+?@EAjuQV$Or*jk+fdG$|o1B>bk&q%W
z3qd)o{4-E1U@)sbcH&mY@ZU_8C8*Dm9SpPr=1LqScdDoyxnyK|T7pYUG#jShq$@*u
z&-#3RP-9--Y-qk4+;S!R49cS3RpD|@={yNO6$H#5Lca7Q8|4o`(HdDG=o6Q#39v$g
z2LwHcpy*6=)0Nz-k|WH$5KxYs#u$MMh4?>F8$A&8Au$rUl%;nj!zOBCNsILglMznV
zj>#8EpX)W!=d<oPeS6qS)R{kw$;`W%7d<*R=A+xK6r4c25ZaAxR2(LDmlyYjFIw*K
zwsC+XXloIFx3f*?+@-}VK$&0nY26$E-16<XA40T#zwW`hW#n%K+8yB0XRnMlQS7ps
z=qQ<9PFaN|RDA*Y)m&cmMcAX|5L2GG3YT9787HpEJ^Sz?Zrl<m9j={vZD%+}x+LDw
zIZ&h_J6dWCOf7*vnBy`L2AJ5B1))gDUfoV+7-`1P6BM3u=}2s6IRT#XR1;ojwM^rb
zVaW{WvEEv}6SMwS{yp5WNIKA6({=LQLUukCN)~$BEy>hH+vS0(t$3|_fmw;&NG~KR
z8{pm<rsCZ)E|_-y2o=slG+=^pRtq*#3^2MqGJra~1Y)>V>DNGmAU_bN!D!YdxIY01
z^1+dyGIn4Sb|ylN&qf09t#fT}&2{pWOUrJA%kQmgkaV^siLljZcmaNVPq4(|b}~Wb
zZ3xna_dWcQ^P-Ju489<^!DXHURT}@%#q+yqpEafSH2Y61v>BdS3Cff7to5317Ck%5
zL>h^PeQ>lN(5~m;3ZRgsa-jec2Wx1>A1uc)2w$!o1%7SNdh1$MPkys<BaD~n3V&U|
z7<i2zQ)vg{%l!i$2L*RepbDHlrK;SmR(B@@_V@5S!*>424vO!<RO4?z&lDqc!HW!|
zyr<mUJ>&5pGL0NKK(vs0r%zd~Dg_(r-{_?+2n&!ks+84uu$0Lom-=JU?qaMSStZPl
zD{HVj^OE9=HS%&(YN=SQyw`8S!ArjTuY0|`eac8Rw=!+!NE`g(XJ*O=e_@BESIv0=
z4OnJ=&0p|I<-vR@VqcuTxtYL`w9vUEPsg4|*f`A~gj53uC9TwwC=sqF?_<(;JG?%`
zIk!&8Q#b5qzg!OSf-2@Hm3g*9_0{DQrx(0qeGni+Lq$;hGz+(#%p6TnbMV2mYO>F%
zees_Wql+9ib_?bL7~uz4w$Cz3Ex2ex%f5tL1+nS@v1|8?Y=S#qKl$LmA$4?;sVhzC
zDM!EhC`STos`gnR^?r&5DF?@<eqS&EWyM-thK3OmizsBL%Q0Hl07};A%-#-qiLzF)
zU_HOpH7m(G=xA@^cu9z8Hp8JE`7-k=@dmAW{UM%%c67}ckkk3Jx{yQGp`*VqjXd4r
zgnU0cnO_<O-)W}xKsT*+>YsQuLk_p+d+A6Z+*dOsumj3~*c-(gqn+zpI<%`p586$A
zj4KZ{cIXN({d=Mw<8j>vwdVErGBXrQwM2n%5FzKXKsbxRW^(f)&WfuVhIqT0{yX?N
zD}&lY)*%6a<b|!Qg5eAUjAWOZ&F6X$PNYNmrL*^CVbo7-<;Q#<NYfxvaLMr8NXw}J
zzvt)$fNuT+!g31hzu-p-g2R1UwuUoo-|((AgwO2*Qq<dO&jucrEGeS}HGsW<*Ssy`
ze9AJ57CJSam`Squ2|2IjD$OZ!$1{bp0ewZIw1Y(Q^k!>_dvc>&TAH6VeJ^pf>mY7D
zstMQeWFzvtm_DZYn(JW(7?HP7FKq{-I*U7>Fk13T36M_%77Gu^UO{U2y|qbCXAZyY
z*{wN|zoB@&!@(|~ixQB=WCd#quvN~6_ZYlrtncFXac^7%Og_X<XSzHnEJmnSKwXr0
zy?v@EmD!mG=ToTL>S1g{aGMmPGCR{Z>dy1lUCGICWA_QOe$%@RcISba$N5j}EaBqX
z_!pW9`<eO|7|al+6|eyomS|(xISw)-8M^#gq{K9UAfGBo)gpxUQ}iy7$}b}mlh?m8
zIJ1soMilSt$FY8)l3f}2)@6vq)r}t;^?cIiI-<CZzy`}9aJ|>AyQI<@Tx58MuS2Aa
zh{bAn4qBxe<)_*a?8l<Rw4<D|mY(EvQBr-aLI2|h7*EkOs3%)ir@Urk)=)bCHhfrE
z!JK3M)5){PFx@@I7)8>!fxmf<Gpm1y(1+_?(Vp-GA`(((8i^d~(8GAMd$S<}ZPmD-
z{+SYu79zGq24Rpii~Itpx%Ak@WRH5;g6Ri@gC;$&f`$2qmaS+y8^kQHrKRi@yd17?
z5kw*-LAu*poZ@%LFBvmv*0OtF363{kvsrslKx(Z3bbA^iv&%#p0yTyP&>_m)SgBBI
z*JZQIG`G~4h;~E4!16duQDsIDo2uk*-|-+aq9f!?gv-+1#5HF;nCP{0n>kObB|oBc
zN<-d|V?GyQZA)o;K-+qA=iB!G<Li@L#*c0rq#6FeKa(_le|2d6p#ls;68|;1^2%YG
z;-*1<kbuM4j_PI-<^081q{gJV1KS<Q3mWnh^{0%SNni&83I%s4_BUq5f?E}jM-r;4
za%b0|hGu2pfFX%<Yh{I8s10>;M39I%M%?Yv3O#oT`&a<nibYM*LDq-8=!G6#VJ=Sx
zqYvHX^tEli_)d_bl>eevh$eiMgvz*y{Kq>U;l}B=LC7t0ys6=`ZZ<o3^#eR|V~wvb
z{KzaF<}8uJiQn(XTU8qW;B|?D+A;<9QCRse(Wy8@&tBV*V7uc}1VzTr=!C$7k~3wv
zjeixHB&;V?@>Q$(5LeD}O=0*Ig2F9qUs#F5ph`c}Y4KP9vl8IsJESfTZ#1*WnR-Fr
zisIDfQ?=?ba0u-d(pr!Nto%B|%@pNHiK5~e`~&knXowhQr;k^pF99}NwicIehy!uv
ze+PkxZxaHoR&8PI^TRFOAZ^HVRq8J-pMQSI0n=U;l6G_D_#~`UTyQbS@R+}6;zS?<
zBV#>+V7_f5ykw{+7$`*2Hbb4fAS8OdF9v_nKM}apd&4|zg_?i@FS(-K)8#>ecNs7x
z&Br)agt!)1-`0M@VmELUUx!>(@Yop&W{45uzmFcPOV;lpXxNPzZbY|nD`l8iW)A2X
z%vT1>DDnGoIvh0H3*ABOkg84)RG9!S-xX_eKE+QRLEZvsBAOiJZ{pKgu_+8ymN{C&
zhn{AI5A=5*Nv}=yKte<})q#nY?8kxZC+2AixleU)c;SYO#9wyM!mQ#N0c7GBUM~Y!
zHo3$Fl$hd79i|`r1R}qcz%JZeb;wJvQ{)OHkmQ=-LPFBh+}gJ9<7)zI2(8==6@m!F
z0#HmKJw(GG38c=`vhl>n$@I!frVdp($-~>#Q!SHIB3*u_3Tjz}pw0e}v6Yuo^~L^p
zT8<w5BAY!i7p;>@ksXt^e#$zf)2zndv5kHYgpF>psB+}1<@~I;GU$f{3g!xi$TkQ4
z27VhW7K{6Qak@CDm;MAY)1l}=Pn74BXr}ZrtCdbu759LTy(}PU`3vI~TyYX&0)CKJ
zsHc>O{T#j$)bV%L^ZiTE1|tC$h{y>bgQck-K(xt((ON@`MwQmfw9FK2=0HHMu;Ht(
z!Vk&u@*{R5L$sjZ5@uQ=jDAPJL_{=*T{Sa{5(eHjUV=M4ZE76NH4QfFgJu}c&MM*t
zIkqZbd?dV*XcnH|f7f=BjYd;Jj!`p9S2rFf9i|<(M|6Mka_c$mm_cV<^ViGF|7IrZ
z=B;l#R>g&^AQlPq6MGh={TTUTrcw9#4Z5j0iW@$70^x6kwCQb!nv7jbb{`X5mi&ri
z^ETk#jgr>ZQS)_AV|X48F*|2@(pcH6HQRW1Q$8ycUZFZdr?;eDq`7jn7gL~A+}y7M
z)YOD=5s1e>rfBt&W0|giv77$vaP$(OAImZKn!009$`j&X(u{a<%6biRQ#@X0^tk{3
zt87ptwie>~ze*HKckz-(3o}Q@lvFP%z5&R%viG}xL)VL{f1>4l&A8KwI7FP<dH->%
zW^)yE=~9c*Gx$*}u&-G<)H)djwJcL8?`exADLvE6NvQwgnl<i=8x^DU{Y&JCq;F(1
z?1fwGx;|F8oDRriaB(ea-!>(ASlygkDTZ6JmZ3hdZI<u`4TDS@mLuS?U~Xx?edrX=
zg3FZyU?vQSeaDwlYT)2H2R=It!!je@b%Ho*cu83n|18+s^9jTW2?1}gxKGY@l&sRF
zj5QJx8s6u#()JdJ=C`UKA~iJN@=8U4-@P~2o1;&idGsEdJj1!!_9gHOLs9ZB5Fx@5
zoEqsGCPKvoYyOUz&#kx{qjqiOFv5|0#(0Ic&f3I(g#3!2J)FgfM-&4lJ&0^~)i<QJ
zWg#~fQyz-!d?a`B%A=@Kjf5&9JI%2Pr}lvJ(-Hi%+9!~&9(@nyS}7N@uPQK#esJ__
z*thpbB#LTq=#v{UL$5}lg2(||+&747RL~ii?Kb-;8<<y%(AjQ%jf<iQO(hSLN*a^+
zZJnxQ%5=g+O`77t%(apI1k=qKdZB91T>Fy1&&JMK%eXJ7%$dIgyiaYUmu1S|eaaZG
zs;GLQMOR-P?C(9~d_29GE!u!~aUp1q8pB9ro;fiK{s{&)=@PI$ImosTT>-5+m}wRi
zWJWn&FCx_;u8Zwz8?^D&QIoWB2xFBH{FN)=tTWN2)AAF$N<Y*F^us|v=J}aXmPeL7
zIE>s^lg(mq3(P&RE86Y6C4*GN$1FVH+mU!f%bb96Do~Tr5Uju>%r;J8eC>QsTYmgV
z5VX;zPM59FyrVu#%!;o1ks<o6W}9)(oMs;#e&4#&@F~eCQ?=ljZM1%|(rHl6>v_)*
zsWm#>sf9@=D&9zghO-$cqi!jh#z-egQ7fY0=~p?Gbgdtp;=j{gE!wrsQURqoPaG?#
zl5TE`Bo*h?tof_y4WCZm<KjUc()$kxBbauaZQ*1iIf#7~IYR*JukA@~!|fAb-Y$XX
zu@RXJDy@O-KNGeaQuoBZcqvZ`+Xz#CepN%e2LI?><a0si=Ij$zsS+Hg_+V$)C4Gs0
zvIp*Op4kcHYvs!pgTXT0S=T9TJIc=A|8OVcsI~R~kbnjRheDgkpp6oT5pV-rBE(6s
z69v1(cF)<&svtpt<2dnq_USA#%w!onAVg4i+Rv`{xy%SY2<$2?NWj(=(h219)6sGt
zEbxE$72tz9R_KPOn_s2Z5aoL)zeX6oM-$*z^GnTKytxlKoNwn#-Epjnmhc#r9j?nw
zd>ie`)7x~^zts4Mb1#)jM+Cqn8|lGP(Tqyw#oyo*u8}xg5Vqz61-lu)B%H~k&GAbp
zMr_0ZfyywG<H?#fnhSF-6@3}B`t}3H^pY2%S*G43jwu6$dNThQ#rt_%CqqX(_v68n
z7UYhV?Azh=BDJTpdfJzOl(zw=I}YX#d*iuoI=h!t0fu~NyKSAyiN#hw9vH0UJ8qpH
z#lAlK24N1*=zeq|PtmK>^slnhVKk~7*vEWcQx8g%4*+YWS*8y;ez2fN0g!jyg7pxr
z&|P;Nx{w!*%?O6`nq;MmEp2->zcK4#ru{|^;7~u4r1`hOmk%v)vi-pES)^>B(142K
zVZdJ2A|GsNiR{6k`Jxrd*ZQu)nRE>dzUCp!AB9ExAlK_Rtl~LPeE^Mvfsc2-X6iU?
z`5kea=vBv}{@a66DL6TZcc7pFAMx=b?@2J(vYUu8e$Ub^mJ$vWl}6*khk6Ig)$(Df
z^KXQYK7xTjDXUWbEtFErC67}3?4sanoGtn_c_FrdF%Jpfx$#fJa{4uwZkqC@iaGi*
z=)nX)LCAuYb>75EOp)>4=i#V%GJrcQ+At*w+>c-i24!ST`QbsdDL1!HC<vjBeZ!){
zZn|`@r!>E<H07vgbMt9kwu__XOW{Nh7rFVlr|@}tkBSaJ$b0eOfUJ)4%c2MLEs*PN
zTR=?r5Voo!@v_R_pJy>CU}aUiHuOTE>9@fc|JRz)@sAFc%A@i#VJ>_9QI5G0{}@r_
zS+#KSi@yYlhND%!Tr8YfpLx=Kj`%ykU%9JFXBDO+ONs!DHq*Rid>4}vzbRH$)YlY@
zxbcb``3wRKIsc}c{P+Bw=%J+wu>)zTUDc!FButhcJT5p;Z#fz{nSLiaynJg7TyHNE
zM9teGz3aXOBW<-;rZEIWIZ+!0)+r6ozZ^iEX6ssnn_=P$D0y<S-)cEDAAB?1sp4Br
zGGzrJQ3?l9OQbSFZ+sd8awgBO>my1J8+11RTrUJAk7Dix;<}nl@8$?pOo9!gvumLM
zj&UQBQ=YW31a7k>yV4azjB?3o*23ep-b=v!J>uTTk(5$Y2b^7V6SagO2v;acrI+5l
z8(J8ozfG1|HAu(c7O!aB>E~23%s0|3+FQ;?otbr@Y1X)cbBW|4M1HPco-cL%?V<=f
zMY{7@Zh+<G^?T4m6_w-Z#*1Zr6FT-&SyJ%T!Km3NU&4VY@smXPm>LS`JM&HT)30Ie
zj=TmAt)za>EZ>UMi9Cp6^jgM_-Ihi#qD7b9;y0u?@RQ*K<p0nA{zKmxQm!YPkCVYc
zF`yz=_hzIY(dRF$zI(8%WF4d*Ec<@<A%B@Y`9U{Nlg|U}KWV65iD`axTI^~KZ<EwR
zBxIxlaEq(h94j$36yGa@nH61X+@8B9?Hey-sV3(u^~lXq;HvZ4m3v+LIV^i#EtaC!
zWig-+8UD{Q>q`5&A5r@(b_*LrW|22$PTJ&rs1b$}qST}-@J)%S4xc*8bhNH}eaXeJ
z^bn=e`Ecb=O6?vv0m8&NIvOU5+97)(A-LkAGlXzJO;{`mMkwO*=*NN5tQ9oKF|B~v
z-?nX%5t*Yf`^_P8<)$?5cDzt8md`2APF+1)t6`<N-d{pRct5(jjSXy0`jx<8IVPon
z06+`cSPU6^f*&vln1Hh$?Fu*6>_?Yt-2yNY%Q1KU8MAvX5Ik8ddRAx<{R4l(ge8g*
z+pY||Z#GH~a{YMk2v3&{_aB!0sc9+SDut$zniYo)DCKwHp~GKyC`}T=9HjOqHxePl
z`RV3~ybViIW4jt`$aS`N4;VUP(B&g={c-G;>t!#KyhW3g0Fvm<eCG<o-k_j(VZH}9
zg^73z#Jz;hcF@gOT=&FJOZOmS@vl93Zo+}7OTvv~wC#&4Oh_Jb7|m!OTK-h4mfb<l
z3hanu>s*eau*sW9s5zoM1uPx5?Z=1-0-B}*?h8b0Av~a>WD_xV!eH1fSRe;knRMQ*
zl^+tlp@=176Ve3$qs@&)sba7LCWN{MNe;EL4&}WR@jhT%qReQ3XU95Dwd1Ha6fg(k
zzxKk~tEG)uorek?eCnU|!&W!(FKa!T<R8o*I+Q;i**GrKLb>tRA^8dpIo?`f_d4N)
zUrb}n8vZ;_giRy^7fFA>MIL%c^To?{zF@6(WAr(g4_Qog-rT#|?+cP=u{ZNc{#dh<
z&)9Zzg9^H?|3O^gps9wjlw=Rxomrb3>89!mJ+px-H+UgN3XR}~)?(5;Mk8Y8SjT1y
zG_dX^jd^VscU+o<-LNK4V8E%Xz561(;4rr>7daHj>paN~_wYWB=LZrLg%bPx{tzMX
z@dLwnkaanw3A->Vp1NPOwj|TdFVX7bpT{MYZCJNp#xVSI{&j|;9DOJWKNzVQKN|<^
zzHi>Ph$JJ+#(8i{iziMd+b_PZjwhqx`8M+NB(2%>5YCQ?JR2ZNjZdFk+u=Bh7Kj-=
zyH^>trZ^^wc_y1s2pbe*HjSGcr3&X(Zjf-?a)Dw^U(n^(_M+JUd&Erncr$)h>&diB
zWx6nYn6cBGBIFFhxNmt6O7pyrG;%*KEnSwQ0nSG|f-;HyN!&bO;cnaNN_3Rnhmc%_
zR?Cb_+vZO5Q_+Xz2*@{)!EbIeN=@nCO<$1D%PX}i4ig%oMfw)2XL>x(x&WxU;}Wdu
zc+}E4g;e*QEXFlm1qPdiswffQ6$m*VBW%Id^*<Vj4iSF1%wDpMjRYRuv#>5WT7xC|
zsdsIh1DDqspSuF}mcBcBC>Uv=Txa79lPaGhGGK&ywP}F{N+lO_IMF9r?9p<^0=8Eb
zF%L#t)UpWZ`OqAov~%_tx=p=h;fZv@*YKGFe!+~J)gY0ac3W~69=Tt9bcOY;zWqFS
zH$V`4x^gw@w8)3s%$4)91H|ZHC!5KA!nmyGGyG|6PPAh}Qg`}>s!7x{nX8VUbgj3p
z2RTWgC|>e(k2dX-w0}Ybh!GqPrNS4-Lc0f&LD8>Vn@J(j|E%_kvzpg`NsSXr)ox!W
z*$8$&Ln7fo^^}c*Tp4zY|FhY};7!Q;tya%JR1x7dKNJCX(l7l!*M!r?fJ^OdIg>-3
zCKoG6lbQgx!8?A9__R@)?l1>aJ|Z_(5+xkV44{z4@23}rvw_g?FPGtGA`==$pash-
zk+#x}3w=^B_ro1Pal4n5HT)r@=PieYztecnZ^pu<D47(+Lx@~%L82LfwSo4yPEc>W
zwO!1LO0=&)bLySR%FWh@4;ca-t<-CVaBj!-E559ZZhq*#?-*=Ow)&jUSaRH9!|WBr
zT6oOkdCGva=Bo@ZfN<-T5Csjehuo8fUhKizNz_$jkK0>59&uG2-Xh=hguAYTWL=NE
z+(0zYV03G>L#=q~DcKRpvXhimL1_MJ-2wK5g{N{l4=>Jc^yyKCOeo4Y$LsWpB+qB!
z4v6<#dpop0HGj62;POa!S|6)>%yopc3A3-`Ns*o><<?`tk9B48lhX>FAf@F+VyNUN
zy$QAtXYF=H{U6)5^_4n|%%X<zl8urcD#sl5I-=|FQcQD*W}QWMN+25@sW{lNEMk-%
zz({A>Pr{KuT|)bQS##Yz8ft?!e=_+ce6THhh(h>9&pObWh8h`iADnM^QwqkM?ELaL
z!h1;2+BvdkSFLySku7tIelCaZikI@qCVwgo!?=sYbsq1{WVhn!!SQYf`mm7+rw!=G
z70`bNv$+wj<D^br^Z-IC8~;9Is+4mseA<vB&!g#otOlqV+d?~2L70Nh99})IUG9D&
zdvO&kv5S@K=SYWaZ!lgx^XzVxtla)-Tz)ulctmr7F*h7XPYLhSD1dAN@W#Qy)?r{a
zybba?S_{){e<~vV#glWmKz>RisQ^yiP^qHBowMW?&xN=_O_nmc=GlE&_{2f2<(~#e
zc{p8>N)<}lpmB_c@=Z<f>sGjlr^Yqx3KX5XnsmB|WdRmH=Z_-zGT^1uUL>NlA16hh
zxa8-79-S1s+$m5af`aMrE8T6EXENIkhm5&-$5Nx`Hd{Ic@vIR#M$CX>=pg*Z^H{h1
zQ|xuntm2o3_yeng_*ieTz2(-dmV&g^U>R+1&0y}5eJJ0N(BNW`SF(Z_bdC`z;!|qh
zsUcjNP|@!x9K^1uF-TqW-+WO~StPR&E?wUfbQAA1BW-;e5|uO1`nVC7gGKLnK3K1!
z<llni0#MUa=;%)0$}Z4vhzswd_*%U{5Pa&H_BD!Sr8B6KrN|jQ1D0zJhaYTE6QO->
zHcmTBUosr*8|ubTO>Z|Ny`1pm{>(>QMF{9%0b(15DSg8D_^M*QM8xu%2O+`3Ny=RG
z4-6RN{7MbiQyOWrxrG(&%3)8TnHt>aw4Hl4M=6JtKllF0Fi+FbudBPAD2$C8?P60C
z4aCuO5*9Z3sxjqh0xKzZwnw<rTO;n-6N@V-rWq<?zSll{zU4h@)}cNwpluvFpqi&>
z%m@p_c(NDUDa569-W$hf%1fj%-+>_cRQxV?i8*yJtRB@_|B9A(z4k2Zc*U!cc0eO8
zJ^7I@t+_7Zelm^-Q*#_#YHLck&*mdxCJ|02B%4V!^FHobWMB9Ybac*((DsQQs4FgG
zV(1_~7N`WNzp#T~P+0rfB_A9uYjb+BLv7pHkOxn-x*dD2+S&7^=QKLhI#v|jU`Mti
zaxOKI>zni2zt-$m9*G4{i_d!}<nc{gtElpTt&r8lG|FzHCJELC2YEh+^3D@6%a)hT
z*?LZYR0p_<WM8nLuQ&gGs<<Z?g8gzueRDXTsRO7<XxbXxAbIN4e<8P|&OI~0r2x??
z!?T7v-IMks+CfC7VxPP|Q8&41XW85TM(b)0h{Y=P)w>`$A<PNtgv39QbQus&5Oc9(
zN+aMWW5!a(&%?Wag0Fv!s9Q#|*veBq1e6{#Vw7a#7!@ZcOkx6H_kGc+e=o52wNu)7
zy+b)R?xYu`5o;#!1avd;y+uT9u!v@gn<QR&<O$SAq|3V?c6VSg$y>e0GRW7<E3q#@
zDzj57Lr`o1^=WTL1OA<ZE7(x|g81;tIus3eHknjqmv?k|c3{9jkjE~1CB(L#UnsZ}
ze)A_lb`ECi8J~X;vA^woC<MyQYfklP(cL*n%dB{T318lpEA$dAHsfq9+~O4hdmEXS
zI?|3UZZz479xIYdJ3w@vs@Ca_izV+5>to*OYq@dkt}pF@OhD6{lZB|_g?{0+R}Ua^
zXjE(A%vL=3vbT8}xR3%pzu^GcK}GR|K&nK*MUH#}+qH(B!8JY9KKoOw+KY89F(e|*
z$W0k*T2=()`|frAy07gMuc)9oWX0yPX}q3Ff^=rG%1l~JLC&{m{gjX#F%fY=DxOiu
zmKG_zAqC=O2^vAMcVy0kns8e=?1B*6(ml8CMQW>g?Ok>J9;myX{IS$Dy~WLsoiXZD
z?C#rp($Dp@t%SV0VwdKeW;@ymK9hs>Z3|$jd5IOiKg&poAT;Ca7g#ZVEUK{l^sVSF
zNa)8dn>xAb^)vkPwuG*4SZc}h_@;?o@Lpp2R+9@`;*HwC=O@1YKt+Y5i7Sms9o8xH
z{k}BUGrYJ(yf7Q^U3N1_Kz(5`nHL5}P<6A$fqKYbHhm(=EJO9Kq6%bef>yv&-4o6+
zQ1sQ(gGq~^VZd%?d*|3UI-S(WJ9gwwFd6bhBSykCoNL1!=1pM4*a$zT>ypgI?D<7!
zS28;)(*FA<WE1j#<^AY90}5bC5y+L?4E&^LB$_@kpjAH3-)_4Wn)b@YaC!glfzm|0
z7uQ|YF6<vCL#IP)-#4Y;*y~FptvNebBz$wc;wRW{_dx*w=&xga>Zy|#kGnR)V~}$D
z*ke9)NU*-M(lGvcV-9w!1CQaSifz@!D=cRqHnLJ9rv$p&{%8&U8{lKyXZjid`xsRh
zL25JLRUGoJS{4f1cw+fPynEx+<EHrge49v1LU-<e0Hf-OT9=H^RJVx2V0l3WYp}b<
z{@`W<Y{naywc&c3YYhu;!0exe@n>lT$IZI@&1ddAs8X;`bIvX}q&(qCZ4MjXiFjn+
zZfwA$DOB`WGT?zseFyM7!MeK<0|A|VZVhEW6@WLx3M-E#C%2dw&zNZo`Uclqbdisz
zNc9o%3F|Zj^HpTq_kJ#btFXE}A!+Y_!MO66GGjzaUBoS3yvr+HU_*9$vwo{Y9S2?j
z;!-J|uZaDEZZ5(V3~Rr?1N8;<<b$xyeTXDcF10o_o~AnVg)Ab0xa7^%`HWwsuztRc
zGmak096BGgQ<{sH#(L3)?FynyVgMcrWw+eIlF^juA@FdcojL?g%Au|*CZ6Q)n~S=_
zy`sp|^wSg*bM+0bO;w1I#wqSD=B!8I0g@$yUCjgP{o}FHX7T%(Bm+1KSoqx^l0RfG
zD(2;?QiKK(FomMbH1TV5A+$dmfSxb*c_=(E9M00wgvDxN&yfT816=$HNzlLF7At^i
zVeA01gZB#Nsr-6hsxjUrHbp3h6uqXio361;H5rl}r|kT%cRfSp)-M~2jaq8SmAR3a
zSJl_62{u7N^s&`J{d{N5c;Z|-8s~9@Oc2WQMS}p?3WaMcl#bC!0JQ_-04_k$zqmIc
zOm)3Fi-~L&8XaYa-j4fkM^iRD=JHW{SbpMG7uIG{PX3Rp=oXNNrN(r=DyKQzBM^{n
zB~e&%M53`1^F-e{C1<&+z!-#AA}3iNCC}1O!N5*I>iu<nF5X|0S2$8~GTJ0Es_<G|
z_w?ANjL4zwKFmTlYSX)DF-w=Zl6KN#k?a|@`OvVrF^+KYhLNRp*gK-l2Y8QF7H)Tp
zq9tuFP>dWXB#EqTcj=gVsG^mFyf8r^XiUXm!EMP`hMsdg&WJLeX5^$^FP2>9m`r~5
zNSy+iy&I<zid?}Qe=ohp{TIw@W}X1Pc3<8S_ytG?h}VU=Omg}{Q*S%c$^ZEy0vT$_
zgLB)MS(_@er7=Rj!DIQA(JXFK2C0P)n_#RnW-!RvcRX+b^#Mqe!+puRwssIoHv#Cz
zKC7qkIvYk>?V|`DpL7kA?6ssF5RyDSgwbYMA*$Y>^m#vR#fWp9h)YVc5SO0e?seez
zOTG4V4f{`yHW@m1-6_`qU4_Joo{S3f3b@A?H+kYH6CQB?j(=t{O}=}_**S>n1)60V
z?9`R@-&utxkhdiT&Kzg0l!yojw|A}yA&}Z4Sb3}*#rUSwg!H}V*VMj;n;1%JHmbMp
zAk94pxs%%(`8ipaciiWRxo(m@2Lrp^&}tqnb1#^|0kZz;Chy<NZ-&p50mrs!bLzSg
z#9UvPF6;ZQM(NtuB43}Qh6>$*&ok6N<KKq83DsCXY?5<qU3-0wOeO|%zxuZ>@rmf5
zPBnDLe5N^x7poy$pcDFr=9uZ`TtAeHK^wfJ9Nl*}qhj^~^J}dG7o-U@6K;$b?+j;(
z_8BI~gCT;R=8}sDM(neIg6bsJb8%zL-}lkqpWgD6v^SKs;}q9!Z%0kM84!l_Oog$S
zFBDUDydA_;RQt5NBmk{KXYCD(qO1?XPGJ5a3T%tu-Yp&xHwM;M{LV7{F;F|l<ch9X
ziMjRk+^BHKSJlWR8TX7_pC!u5`@J4AM9RFL$OB`$*F=tEJ;Waa2NohmSw9q_!VwnA
zcn4oGITmU8!A6nokR>1yse-8=(s-ElqQP0%K#!J4mNpCQ%gC0kZa{*u!sM_WX{87D
z0dOb<2%P+-pjd6YSU60pT?c5WF!mk@`H<3TUl>*N?GJ{TSaXj#=BK*U@>j0%XVc(i
z7%Ng@pulqzBsBt&O_Fm4E>_#+W(a>a&pR5Bw`VBtPMr=uB-amCK9HlhY}o@kgSyT`
znnsv!RVu?!XfF3{?<5SLd%RS3GFyC!I+K(MSeNL*8Ru2C;qM%gLuh&3C*4wE{az5M
zF!B@b1DtKK@RtgU#u`bKzp3$p)rm9$5%K-;K%&Q3ytvim{u<;#1g8x{SILcW_R$^A
z$MFOK$#=W2H@TWq^J&bV>uKB3_H)hSA!G*)SiLre0ojDaP5#(d>iK7)oXekW03qSi
zx#~Yr@(`9}ovjr)$zD`Z)&{>tb#u)1PU%)6f-Job58TVH!DX9c36<5F)ESOqojcPC
zbW$H$uBaDx|MH?OV%HTK=}_jyLGNaUm3px5Mrg2Y{}#vgJt|c78P|(?Yxp%P&w+qa
z_2UzJZ$<tQ@BUXk0+~}FW*uZC&WzId)gj<C3N~n!$S5q!h!YvytL04JisbnQX!hKj
z65C;Bt5JPvN7em_?zg=Q{(`$bU5*C5H56Jad}j#m<^t8s2PErY$WXL_0aghdg`r@>
zJ>%yDdV9&`9iA)qq^}$bT!CDY-pc^i&~k}*iek~+FnH5>{3rijT-uPCX3cNoP|W>T
zztQS=Cm8G)@l<T^fJFEDqV=8wta_2dRLiR8wVU0KgIAzc6*z?S0)raKTMtNBBXFK-
zDdp7Yh}i4|F;!AiUrkOlf|fiOw~6@;EW-T7+2$-qaq_$;1fvaic%!quEFf5`p^?q+
zK5kg)D+qHPS=c~bHRU5_g-3Y8wq``w>|>AAr%OUVlL((mL@>XNO2Q6W&gX&<JncPX
z04gAPasAjQu5>M8NUo-3=T+E82Ov!4G}4SEGBwtv`PAR#_~$iq`74;?(YFgKdn5i)
z!sQ(7G<YIr@I#s6(<3xE*ep)sn2}{a2rc;lld1fD&7OHKIj?2yDt!l}!zpX95P<7|
zH=vV{YJzLmvHDm#gFIHB3woM8((x-uE0iNRu<vQ>oV32E{D_onHuJItAw=6)B2eef
zlF}8!751qz|548U@91818OPAhXWX#Qrz{k(YLC`&=q>3OyjdlWjOX(bebfIBBkw5i
z#nv)U-Z)$xYC}-;FQ?a@eC@sSM>0i=u@SF^{kB$=FjL9{W#wtXdOZd=rRM46u252~
z0Zs>2q<@AlCgLU|)f_#HO+beq0+Hv!<VKEjb-|f7KCm|zAd^~84)$ay7m)M{$o{~E
zWaua_ziu2^<&c?{Lr}oalUJGCio^!77Q8iW6mST#|C`XBV;3!{u5-URLOPwlI{FCH
zf9W+@q^X8hMI~K+G}$k(l}@Fc8<9NnGVT-~MdN9QmA&Yf>3z!L&=3l}Co6B0^u-iP
z(Aa-jC!|Z*8^OvSX^F!9l;CxLt|ENjT1I|c#4Ol8gu(AOEZD#SQ#XW+fu`ofsS~uC
z=M&LIx%A%Z#J54IGlIJS@1S+-eOR`lOTbj(bE5#)2E}$tji<O{BZ-o7pj)18I^5hn
zVQ3~63N1#H?!AN!aK|ghGFx^k*}2<#E~6p*_7(P>LN$@12E<N&8y35-#NS~0Af7!<
zSgMj+)3MA#dAfU-0+wM-p{&dLr@?&N6}b>9xD)n>ti;&Y0aS&uIW(Cd^&*fInN5N2
z^#{T^bcYijS{-cYG=vexzpk%)k!`KV#^Q}?aUY?EUv%J=3B^K1PwoAacCRJg9%4xX
z=_Fe@KT0&^L)HL2savAUChs4Le?QxU>BTU#<ZZ&G-?-UFq4P(T;|tNeHAoJcrGM6j
z=d#fegKhzDb{goZ^7;PP=E9wFpPDNbxtxlfG1Y7jYD1J=2v|Hai1#R~!wNZ*v@S;p
z(E`fjtLF&WLcL}mpy+&xfP(j%vSuzW*;?(torO4~&|qU-kilf@;QGQ@Q#2w+vn|SQ
zt^L!%Mx?`oDfa$(4}G;z)z~#4-7^bXO_3mcg5LA(knKZcVI0=8ep_%^Mqmc*Ho=!$
zxS(FZIc;LgrNheEfhVDrKbndKicXI#NHc=220~7xi6V3v%alJNfqL$HeHsr}^?txB
z)x@J4#o*GMRHQ^pQO_9l*6(P%OV>ByI0EVhC2_dY5<DO0-F2_SVF{im&*FidM#91;
z|30E|2!p3!P?%1W>~8BrpWaRQwRXNbnb6MDE9k^N0nF`@{7H=cllQ}UhXrArsdaP&
zhcSzR=e@Rqo}`_C9YDd_rog_x*4Mb$J8-O5eL&iZn*S?dA9`8~5qX=pqzu;#YBfBa
z{nT->%DDoTonfl&*r)lur3uSjp-s0!`!GcMm4oP01eC+Bc-7_oGXg{$Uyv^(e=)d`
zwSv+#V^le(-DjNss%a$zqsG&x3#vuRrP#>D%xM3|YKBZ-P>Rf=fDX^8@aEC;=bgP~
z-vjr`qRqL4Q#e!<TT#|`rKnal)E35ZElwJd8d+`qu3B686;d|=^0<rnq_4{Yc8mF<
ziBK~tUys$}6eslLI4btnqO@F(^#L*g{Kzmu6(o-p+VG%iBYwI@8Ny_hK-2KWd?9JF
zO%hMn52E#RM~fn_w->ID*hm?4mz;&H%zrI+Boe;Vqxcr+)nLMi^9mfEl>2^9*rbhU
z7CCuwc>v?U>r7q-)yGKN9Yn|#bqSuiW362r_Ai+gU?PR$@ZRa^Yi{)!<NHP_JILdU
zTe65B;+B(r4G7sO#G-1z(K7~MWWZwH)y@j1*e7<>Wh$s`a$^*M-q9z*PUi(^h%m-3
z`oJYnZs`90`2+;bgX5nOhfLco)C}hlVvqShbnpSpY~Wezi`q1z<m5ScCDaYw8*&;$
z<O{T1<LblfGV;Nmtty~c5zDU;*{Yd9POxUG138zoHmtdOFQnYo(KU4yqK)XI4JH-D
ze;6zi-!maDoUq<q6yasX2sB^$#hfwJ9_Y{Sk$mkyKjkBcTpWUv<-Mn;P=kf1JI!P#
zPCg^kdf3N*91B7WN=R~T*mBR&MePBA%v2ySi+&cn)QL0Tf;ji^lWXl=A<~HxKNeD>
zMjEe|hW;B?)J!=x54}0Lp6{}DN0@cGG{87G@l_UIwAa=RuM6&n9KzeVtK*mv^>>O@
zVwnT|1siP#i~0WK>vu`+p0^!plq$lC{eN}L#wh@)j04?Jpt7QI2_S?{<^@RDv6I-_
zJcD{P5jH-UqknNn#)Spx5@C>8z4-+OWaQ}&uFGaJ95XVR`Fqf+;$9pUT{m)AXsn=c
zPrm(=T@Gq}871MgN@a`C)r89C3Wt2K#r+#6?qLzpIHiYJO&+0lc%!qveMlMJ{3cgc
zYK)TMgD?gc08ex5J^2+XIlm>3bUCUd{LOA#>nf5i|EgwsFu8d7MD;3`3RCs`p%HY5
z`4z9KJ5%Tw2~Zpv)gM&|Dyd*B3`;>%yPJ$7pF2=%RB>yQ=vI$KPFY*zax3RCDt#e)
zcrQ5M!^4h#Z$5n+q;{{cn~q6EVeY)4DSnQc_`t^0Mlh7wyy0QA*p$Z+>vpS+9kBpW
z-^P%QW|l_NW?#qw|E!x|t#P5Tvzao|jWqZvN<j?YM3X^BdK%WvA&q;rlY5ILYZmZR
zN%C7kr|swIBR4aYYO)<xtJa;}Br$$`D^y94-Ryyh0C$;2!-`9=y@{qylkKT^--Jmn
zsXq}d%1FC&$XdsZ0R4X&XNMi-pm(5(WsTr)o}mP%V#)wUotR*5#sTiVbmJF%3UX#`
zo+)#K9H<YHO_;RFeQ_^oBu^ar*W`aoTG4PFSzbFxT0~a6?d$f_YnCSyYW0giCPSv`
z$%)HJ_}B>Ah)Tp2-Aq=i7;&VTR8%Fx8EdO=HYnsD<m}nLAM|8E0OtiAEMy)6ATM4-
zhAY_{8{zw}A4GhX&F>_?#Fm=O&%kCj7h>3ZA>F{f1y0kvub<*#dzpk+NH14YfBa5r
zXP$UZ7vU<Nh|GFwIR0>qGigfN-#SKl1(&SJc4XM#>37WZP^h@r69|s`vp`9K22aA(
z5;Q~iki<6Ln@Lx!KX<iq(Po}HaW?jEXdEVSttPpL!5X9mT0NbpN}-B;AYON(;l0sr
z<QE5bdUE~DY5ev^X5uA8|KzouMB?vH=4<QSBb#A$P}&OGq7ZmKZ3IuZ{+7Im??VmO
zh@_8s$^mbqX^NQRGuD5-bRgFN!n(AK0%Ar84?486WU(1=8d?WO;I`Vn&jR6tm_uyA
zKyd*ujQ#|c5u<V-h4p58Vh>h)W-C;DFQ@_izX)2p(4y#QGub6RaE`T>@+I+27BU!V
z$x)K}aa|0hHa#u`u!a&pejCM>Rh$#mI;o<^^2ikGWz9+zBlm2AtG0d0_9_>v5e>-N
znel`SBCvO$ip)<)tRAU$ZL_rN_!1ODVbm9(h0$bCX=|aUCd)wX(%e+pdcAtM`GSuR
z*p06z4|vLp!lG=KgO50`G|8)Ki2W0zKP{GK5c0<;iZ=SK2*$<IPWdx<X{OrdffX=G
z1X7-bQ%=|OPbjKuicjV_0voZJSYN`F{VW`^4j}%zC!EB%yu%x5k*S;2jIntR-jt&d
zvO@@&6igE@?Pc260lo*RJEVWf`yV|r@G(yQhYpSRy$K+ys`v&?W)`RAHk?N#EG$7q
z;Mudv<RfG%=)7Zr6((u0M}w0pI1ClF4EJOxR09dADKS5QkTttdpl@46WW@?<qK1Nq
zuhdWb%j##(uJ)c;d5<~%+9a?{1Ci3WX|skvKqtKPhj*FouxU!)cC5g*RpWWp)QM_#
za~ly_1JVEBXIYFPLOAPPUQ7CPI89P0*5v%f#=z1cePQ53aU=TM$+JED=r1>af*)1W
zou8!qL@o8#ciSCN1uguuo<$*LDZ;~`9%4%Y{sUr!c$D64@5e_imVGZppU%2T^2rD1
zbS`XLpa}wiTX8ViP{|v>96s^`%1~)0j(&?U1<AJEG`H+7`8D{|%a_M7Q>SL-HG52T
zYSvo|S-5ITSw6tFtW*C<pn}4)=5(tX8okzC8_fo-1jH9m;epOQyQQGG_g1f^T_)&<
zi3zDN7D_-2-cU;!q)`QbEV`QXqUfXMR$Ys~d-Xcw+-<t8PaEM1tphX=s)$eJwgu;`
zYZ2fAHV)kf>MLok1ZQ=x2S#0z+i5o$5aGEc-LGS$IpqFJ2NsnoojHg&Ng~a+Zs(?{
zD+;t)guox4U=VwA#o#FzqCJ&|3D(6}!p*I{M6JR8$C9+&2wDEZO9}*}*<L`oiZX^$
z@oBH3a@`*Ugh;YPkzQgN0&^R%4Ipwo12%Yg{c<y1Hc~jAq69s^w32<2`{Hm|b7AgC
zIMQ)D-*60SMW0|f@icXWy<n`nOYLn9g#1yBr)o3Y=-~>gOqm3+He$HzyrTuDM;{3Y
z)ZAFSQK;?f3=cvL;!ROk5$@$~jpoO~UBl(W2#I&j?Cv3d^{`t^8ww7b)_swBA1f2u
zVq0O|W^!JYQ+TC6SsM+w{s_3e_uWtKw7=2kTNt4)P;7L*)p4x}jz%aC)hWPYwg4`g
z*(l0Jzr=l9AqGW3Q`^lAVuS-%7+K{tpZry<mXV*N&RoA^1SL1ckLK0fi0OZ>-2P34
z*<D^#{o;ePzM&+F%XHvwTCLszMP5xM##`5!)<5UmG`xCHmS~rX5XYnUA*03=ercx@
z-f{nrKq`YAYT03}`O5EM70*``qC~2`!{!tUr^4NR)>MEX0>DXd_&d#f_FeN>E$sXr
zqqDGp*H@XzL7O{ljOmZe!X_*LX4)coDsp+3g>Vt?9l0$cAcCBF{K>NGHa)ui-5<l|
zR9kCpiCPDRK?3I5W*DzO4HHo)>q9|g^BOkFaAqh}f@8miu;V^`X}z>UfKeDNv$-b;
zD|PaOQ>p8#JsE8LKAls*8@nsk%gm;~RAvPL!KnmL*WEERFs?chaHpjfPxZcl6D_f`
zZ+NbyjHV}f^6v_FMJpNDoFF)N&}?hY65<89vMQLT-gZO1I>kt1zyA!=QHhctG8t5q
zdR|PI<=}gcp7E{YJGrt|NZr9&5M3#-A2Fl^m^h@cBAL}Cwa>H?aN7l8WR}k_9}K7Q
z2<d_C%J&deSxmZ}KFJ3kDb0cV9gyZDB}?5+9isC7Q<Gbczdj7kp{9t&O3gtAo^@sX
zkCiq=Ro5wg_Yxo-fE;k3qif=Dn87#&TZv-kK{8;wE%0SxUNsy3q~i!1TCoTevw8T@
zMpO|H^*-We9z$ww_+`jjM1`DWyC{6%zOKdW53FToVINd1pWYu}Z|u$Y!^Awj1vKS2
z#im{h$EI~NRzI7*<%?_HkZkSPzbUvl(DEXl-75Z>Oh6;&hgHhwsAQBuQQ$fwrJEFz
z2Go`bD>(sh-(Ga45>l{6-sPQju<Q$lpX*_Wu*=T}NZh^&i_<#d`+BphMu9^ba$}_V
zIc@rI?_cAH$U$ctUIu3JtML?`gjJoYNsXDDCjJSB`J=_!{d?WA(@!mkO5N=)6;yPY
zw|$Txv59P*oKeuY^lIcF?WWk<JI+BaB6kWkI^)sz9BVs0llkm$JVp4fd4ee7q{mYZ
z#?Eu2_pbqAZqOv4zb=-Flw7}|qCo07_&^gpa&Kzq4qV~7t#y@U2->L8Kg3~c1cJw9
zbPdJZSxj8x-@r?&4tn|iz<Gt3GyW~kRCW=h^Sc%03`pFq%vm#FlFOEn_A52~j>Z9T
z4t=dL$(%koF@!E~%NeJHb<c}i0<lU~mEBAb4wK`BWm3iTQukt6W}^}J{KDnhWbo0x
z0zVbJj1#gTukYP|R}3@5m%)3=>w|8LpZewaAA%oUEy7B3DDV9!LMy0W;iz|)?mLoB
zwM6yS0UE~3@N4lV^J<Kt1m!Sv>Bj7efLlbGSCSJKlyHh~8{ltPC-h?$|L=yw=x~c6
zj=^rnew@y9JXB1tQ50R^&DnR=x(_}N*S5f;o9+sCtlvN<4EyK=$gBCnK=(A4^mKBB
z<}AVwBP7do(r5nRgx1UXBO6~DW9_6ZAY6^!jo{G5Phnn@U!c+R%he=0#tSJ7h{I8!
z)<vugE~lpWlf8>WO+)s%%)I*S*fgfXK5K}+GfN}>d57#AB}kRzjgvwipGIK0WZ%un
z1ILRzztch0<A@G)$^u8n?pZ&+`Hiya+sq*zP21oDf!CRL*e!s%m<C0<zQuD$x|r_F
z7G@D;R1FJ=JJ}e1bGlbDM{2U@TbAlk7usjCc~P^MW>xb>b3P_GeCO^T0nb7W#MxVJ
zl|?YP^Q{3Ix~!~$uA5y!RM%dmtFboATQHJU>l6%=D<Mge$}P%@oheTJ+gU`Sc(JF8
z0l=q;5V6vOl3O;Z0?HHD^zhGjG-O=UU{+Z_-o&Mmue3e$Dq=%>@;hI7KD~CyG$JwP
z<#a57;H2slLI7irCEJ5+^^!m8iu~lvTsTGMbYr)ev#>F#a-)8tW+(#0?e-5c<Rk#x
zFK^m_5YtdUf{3){Lrx^h1!pyqi|7wv<U#~Qw$IBD0>7*pT{gFEcvQI>Jv&1|6bV8s
z#wwjIyy_?R|Cm!L@Im|I(SRK{q2npMGQ&7Xwsus5&%~1yWIAB45yko_WF#dmq(!tE
zu-c_uSMc7NxOQD{UV8UlxP{!P&4S~nDf2Z^*DPKapITl?CQQAPZjG|toOc*D`nr&C
z)xZHbo+(llPlIkLkBGX=(;&h#BP*Bc+(C`23Hq-`%p_8xKLy8@uTX6vCIW4WH+i6F
z91(@x5C<aRxidyUbRd<k%dzKpp1Gf+)GJfa0<{ZIbhJjdcUjTphX^EF+&#7%1A+J7
z^*Oq^gf>sjZbp)2v*@5Gr`Ncboax3&z5HL{W938mF){7r<T8TgYg<4|ckntQ%J(k9
z+Q(7UwtyFRWbw?N43(L7qe5KvP3S=yjqZX5B07#Hdcnd*=zd-QVxw`^zRN?=Q)QmD
z>PeoQY2o-|n_@Cz-KJ!ti5NVGLCcZ>bhij&`NB0<_^lY_iJ$n)&v_ry;LcQ)ZViVj
z{mW)cNRCcN6<ogP9Fr-0(KPRmpD>jv!EjisTu_?wMIskS?*%YdDg$^n@q#%DuAT2U
zXNsz9zzVj|4+^+1uUeXz!c2v%eob2*S`=0&zbj~L0>2Y~QSh$yFsl?RwKTGA=bM>9
zbP^>NX}YubW~H$1kc))+Tyg`ckq3R88SFjjQJmxY8^@gEy#5DHbB{>mn^Nr2v#dFb
zMJ0o8S`q7kub1{qn}?SuiR(w_3@nG9zK2-ItVxa|0gMQg%eD+$JoVN@L-zrYd0TA8
z>-q{Enva%fXYmpO{f|c-7Q8`JSB83;$8thBjh%{^t}iuwa8W<QE8jW0d2hMV3o*W`
zDPpRl+n6SsOFLz$(&-65_lSMJNo<PAiopnfu$Sgd+zVX~{U<zJz4Qy+AN6Y1(e-P;
zB+O;+$SxDGK6BQOJfEMDwrzoeXFPufo8HcE8*R0UnG~nnIH+6BT~7(tHc67MVPu9<
z{3`d&r!=+8eE~{gEb~^5Rj(d2MVa$4=h96jJ{(yOh~6ZtDj<>1zOYZM$+o4Akvo87
zkf2r-cnj8Xe{J`@=0bd!Ji|HNiXG34^^joWYTls>5>X8>pYD;o#Th3B5^odgWiG_j
z^T0?S_b{y@<8ajQ;n#gD+$jE}DvM1;zrw)3-FpsKUTS;DTG&(efES6z1zaU4F7tsL
zK)mWC7HGM_>>f#_#^GE$=3?MoBx-)uDldW|#e`76;Frr#rVBp0g>}1~Zv(oPQf;If
zQ^2futP3CqqdC;d18%H1!RjVKRn6ldHzH;UZ20|R5V_|Q1jWE>K5QP}U}WDxIKr*S
z%l;&n7abMx7w{#!<=GqtUG4U-Mcnh{T!`)S0F1guJEODq2jK``=7n{5j&;p92&i*(
zHpkCYQbE)1DUP``sF-%;9a~r16yBIoIAAs4flSGA<Us=2TVo^!R>#9|zbm;kOD}%T
z{`D{WA9ZEf6tp1Hr!=o`KeGy2Q>fuoru#bc71u-|0l7;KCJ1SoR9J*wSOAwwHP<Qg
znM@j)R^s@c#{ocS!t1wROnCMuklgO{{?Zhep!S~QoQPEzT}*q=vc~{SU7$l9{~7dw
zuz#Np%afTSkwt?cQs&(G>*pu%YJU*o@)jI+*&ha6MVM04Q#<SlfHs0*7&862+1?%7
zRB3=YU5hD@o@beBNihM7-{D3lzV9*p5SKj)#{wqf^R>F;P}{h9GVgW2NZf`!IjCvR
zOfd$a|E3I~{SmjqK@`du`u%A}ysKf9)Ij(yMhb8?z$6!yH6Uf0AKurbrEaavJ+KGg
zDEL!b#V<Te>aGwU=o$k~ZDA|JGn5R+ej<IL?{O!+VA-{D*@!TYfzebT&MzI!S(Vt;
zXx|*Thkm?I0hF+t&wO}mln@mF^X((w8sto_L%*frvxtdV0|^6wN{)7oobWUzSqYd^
z@Q0ZtFvOkB1WAb)`hR2o%5Urb-uuL20wP0;+(0g^GNfaNmT<;>PhULTZB&4$kjBcL
z20tcHs9rnQU^7Gy7{gKMhg#KX(cEuK*F$HkmO9@<@9t)*&uf*&_HQcKG!_Q3p$lM&
z88K?oPMQ&Su|Hik;Lrns`96Mq%(HdKnLV*b!8Bpl)E+jFa-bq*Xeg`*>4|QlnY&LS
zU6fOt7EAKukcxtW;z>o~z7n}maAqy^VRiXDcxp-(V(Fmi13v#;AC1t9dRLmr>YDl8
z@|>kYvJ5yF^ss{~jZv)073C4z88KC!TDu7qiMZcxN7MB+xhl6~VlWO=04ziNuxS-f
zpu$S432JOO^M*^Utm1lZlE*e_3ugB-BE$*`el(-0Z?n*If-;&IVY^XqJM8cQm3Z)i
zDrD8)L%$?0_f>#R7kLyO=vn{#|D2$a<JNGOZiRF|wMYKPfb%$&FOSEjhB}&jfJ&Re
zKj`%BwQN<HlCe1{p;Yp0iZ8Qx7*eYmL<LgQE^aS{mKQ?{j%1~Ug@txqC*YG^R0<aD
zp*w3_v9)0>QOf~kKxx4#c>f98cWSmV7(aTF-;Gd2Od09#tH2Vd<0(6EO=y}WKD9oI
z9~GBQzzE))*7x`KpAzw`g6Lc}jWkjxK!2jX!bMk8z{pOj=Y|mM#!&y_osS`EH|s`W
zcI4zcBE~C%k-r9tIJTEE9y_J>U^^)8QM?Zo$QrvHx*SJeR&7)Y%tQ4hwlLs<lN(nS
zRU1p)Mdp|@XS{b;0oA;M36|R+-y#|!aj7Phx^3npH@ioznM)<Y%ZuXE?ly`rOaW01
zVckxA1JRA$eEjvkbfHHCxAsH_c`b$GWGr#Z<Yy$J=1m`)6d|k^`BW`QmBF>Uvi3p)
zY3Rq%K5qug8&8Z8lyeU3!Bz>uxA5+m>oYafWGF2j7I9%|zP2%GmDjC~@~w@C=-*MV
z>iQ#eCA$E`_k~aLDQU)$Q3cJ@pDty7*)tdf;<jPqIPX^QJn{zbzAZfk0!y>KXD>l5
zh%x8`bwj@;U0iEKE^=1#<Vm0X;FX>!q(dN7)oZxdj_Gk5Sv-o%n<u$kPl}sB5235D
ztSkcnXwWVU`JZ3E^3#-s)VQ0v)T!7W&OW+@hWcm8C)ybG6R4?55<8vvSSvMICw5jH
zZ=U&f&@`Yv{<PPO-MtBM#m^f9!W(ett+{^%tpyDUK|o<Q(I4egi<K=p0|IgDu6j4J
zp<jCzYlOyWC}ww6>v+heb}q!}ixOyiZbZ3ieSC9l?0`|hLmDRp%?JdTp%QO`b=005
zTMttWt`b+<ApNsz#yAXWN+clfvJ>W(0CS*gs!&ebbDR$nme6YOKd;?5NYYyaaz(9A
zm~z68NaP<7m|4qct^Ggy9I6W<rP(@D-)$QaDTl7jzmue+Yx@FF`Zvs{bF*J*gKN>`
z>w=4R;k3c&CR$%O*Z20O_)6NgW%)`+p)aP$@FSem(1PhwTO{?KOz+yhKD(gqbW{`V
z+-z|~NY<*T%qKe=QdC~$A`ducOZ#{USw4q^G46~gM`&Z|h?XE~tmr?;%kJ$Iz9Eld
zY01e}rH)lQORGifg3SvH;>bG67>`Wf<k`d*!~_?5xJ?~eZBbV+?C53RIRtd$+mA7Z
zNEu!V5O6H9axuf#6|6weU2*ei)skxmv<O|Mi3N#zycQr?dD+x6S&()qd)#S+VyuHd
zq{+Ay`mqpy<XUXBanPDvjHsBEK$Vh+13R*re3U{iYo0RApqW<E-XE+us7l6>I*s)i
z>T_B##?5vBXGlxr&x|&xmZ%gu*R9yQ!_90^6<F@9p~iw0I*1%f9W^xW!M}0)>xv%x
zY@+5EM4RbG^jb>MfD_f*4wbj6`Xi{_fb+b()Jo^u+^!Nq;573gmy3E~UxUU7kf-E`
zMR>$?y8Kf_ZT=G+fv<k(<c&e_iZ#ENa)CQhTAr>J$4YI(1#fp&Q7o~}NFPuDz+L!f
ztL+#IsgXlcd&;*k#v>p|Po<E`Cam$Cl;@gNi5(`mUD^~-FV=yT{KGc%F9ais+N1c<
z3F|4Gd2#x0v9Ij(Z)MAQ+nf|r*_pO970_B#T7R`5Vz-XZ1jRbzFpBRDy?@YBcniPd
zkxmYZiha2a`k7O_Z-?Z#uKfG71)**JI4Frkclm?;1)Yb6WI|pnZi&WwM&scTjz60`
zI+F$ZMHZj~j&e09P=X0KHXO|hC3|w)r%ro|tZ$UbuZuP!iZ`E7U7&UFfA}I?!t9hh
z7~MsC*t{&2l^}d6qax=@fl*oiig!AB4aSng-tiaP&|CTa*#h<pvs`rskAu!Y&=lWC
zI|X;W?~_g2H}4vpl&oE6r32inn@gv~XOA7e#5mVyR03qwoczNo)pI}h=6Dchn3&|R
z^?+C-T|69C1Nlu(Qe8dLD&~ljY`=dsgn08Ws3x!;z!#6i8GjRq2udXe#^Zajer#Kt
z!U<hWFi@}qD*vfbiGPfXxtJfGDdI+2QxVm%@r(59V);;U{Bbp+2g(V;Gp2vTIclF7
zmcTh1Sv9u~)8wqTX{9k!?3?T~+GF;^lx~$%FC!Y3@lXyJ@#>PQbeyD1c&rWRrrFB7
zj>Ar@KApU~$`nnKJ0yomO#E8Ul9l(!<q@>w4#tk9rq1?y>OF>#<mS!%K@VC1aSWup
zDi!fWsTlA$g=*pe>|C(CiPsc5fGvBd8nWST9-oW8eV+9A@c)9J1dbW;71i-4GTHDu
zXuE%xqZmgH*0^|bH^&T$j0mQdHJwwTJVsnVZ_yVKe379KH^48AM`~jv5x`^Aq)R=9
z*Ka`gt)@0q&8<#Er6qB&xu<>3Ja2Dh2;hQjSA@yOH2suP&CKzmEE9$;;v`z5c?h9o
zc~}!W!rH|19}EyvdT6CpU)e5Eqe+bLJImLxTKhl5NW;AKQ8aLvofe&`Og5;MDdaO2
zJCxk6F5&pw06FN<&WxYS(nA<!$pd}D7Wr9u-g;>?>nhHuaUCeV5PSAs2o8oz?gEqv
z`?uIqL}QPJ8sqm?yG41o572B(|3mSB{^PG6!eHj<0&jHXyoel0SVmEEQC{JdMeu<<
zhV3(dR~0Utv{^-u9bpnk2k<Jp`Y+s?-ok!PBG0k>LbipNjZsCU@jR`*M#9*Ou4ML0
z&rCI%z4s$P8chde04mAwN6In(3JdCtKnOY-`m{YlD487*p_U6!JEYe=KF(z*JbHxr
z-m(rUddAKVEnE(|dac?U9@#ORx>Q@3`&|(SQqJ^6^e4kTn-DH=E1$69Eq}gfd#F(K
zQximxznRy4TWpEJm@f!Y-rBVHvh+jQ{j?qORZ#0pZp>t)h25pBzQgX>MEA<x==XF_
z{pyBeG4bI?_`dP?V80-Y&9It7krCdeMAdIGfeKR&_>2YOCO>6Lp$hM~5F%uEPas?v
z?{+js$&kz3gVT6q3fX30<7nwb2HL-+_WAj%c{>-3#H_n%E!r%`eR28L1F*TlcosQ~
zZ}(l91MQ$ZY&wZ(omX|eaae9CVarLw)gYrFVNnD@L!O&Wnzq0W9SY>tK!Ul^Z@+<v
z%*%Z{X?9I%A4^RaE(M3*377anF*i{jf0l>>Prddu<o37WO=*1k_Y{!e{>V*pEP8aB
zn=4_patz~|xZmFJ9Cg7*h_2%%QU%3cQC~tq!C?kDqeE0avRZjTS~;lywVXJ)G|g;?
zQ;=re?HiuAf+7KPIcQi`4lz1H8y}VJ12bIcwC_&vl~GlKoK9AAGLXiz3Pgu?nU{}l
z0SWE-qU-Ko!FWfPKxD-;hB6Lano{<WWv9yboG#!CDn$X?(bN9XM4MMapF1SH{zc<n
zu#1b1!Yv|2cK>`3*qxeMpns*Y<q06%2^jAhWMT!S=q{L!h^r<hEP_EHW->kz_lhgh
zOegex8YhM8SH)bHanvYtu^ZyG<VI@P8b<xr+!Hp*9aRMpxhYifC&YsN>8i|ms*HVY
z-O1cF#R7=7!oOpF_3Rtg4~F6-guABpnpTJ`FxNo#<*Ad3a7z0gquyyz#x?dF>E^xX
zSR$xji}icZ`TW-<`pO0XUE+R+PFZPrT%CU{h@3txS~absu3f(wkUfvFzK{#*^y=~R
z2WS5}&0_bV!Edu}=o&!l^hmGFvTI8v0Yqwm${xrl>W?T$=6y_82(d{mb5re2aYy1v
zhvAOgC7jj=b#E;mdI?(B@+W-+^POW{%}N~N5`l^4+&~3i*)q-`@C~&~4rm+bnCX94
zxJTHF34ZaA&X&K~LiUj3#~-48fhv3>bWbY<NM1W`uLIq|nPpS0_FWpFD1bm6n&b|&
z7MaYS;)DK6V6~;p>4c<;9<yJ^G;Q8|+}Br$k=x~W3Q^mu;d)gQ%6cK)6v2cB_T7Wm
zHpw0~a)DO*lC*su2~j{Z&?^<n!Hv3Hw1(m{kuIOwis8!`ItHXqB1O?}a9$VITO=t_
zxJX%WdL?_G^;kZQVdsOK8CD3^xq+oZd|pluJmQHbyxIeQ5O;wX>srdc3OGO~pQ9}x
zjrTsYk4(!A?O7>7q}{7x?`I<up1UzPX%qvbCnKNJCqK{F(t{3N@S@s>0P<v`L7TBr
z>Mg>FyID3tw91gZZ{^oRVhYRJeeeUG2VfRBJVA!j%t<<XDf7*VcJ$l|VV{!j*mfD;
zeul&meU)WG$Ses*<MhQr0ag648f9lwR)aQa<q7tom(w{fwlI<!f{rdk*)jj$|CAm7
zpr3N5NY_!BtkQV3lW2@dz$(9CvjpfCOkix5Yu!-&R>@m4yD@r)Ud9#?$pM<6alz(a
zlAGU>#Q!GZu3ad(bXh?%LS!O7lGb>bwMOsQ``Z|I<j$A(AidhmyKWpEdRn)z?%S3s
z{|WjvcM{*RuC*$1_9xWIT<$~GHCMGXE7vYuq~+GtV4Y%sN(`K!Q=yc=yuPTIay8LP
z?y{e%h0{kMqZSCn2`f-r{3QL@eo5`r!OMoNt8qM8BNEZx%W-}cVt6Ex&Xgs`T5H~q
zf%bu%@E`p6K$z48=tu;G<5QZ*a*=BjbTn<J`K(p&UIJJSJq{zq@*?iZtciST@N5co
zfbGeOKKWsCGF5O3cHWs2X#;-MOKo8Ho|N`gf`b6w5N)d6g2|;`Zy^)0;0a9a@}!7z
zo5)LweA!QvaCZpc&&`HP{yssyKD1MoWeaY~ju@|BR`nSWVlo_4+G(-bvauvpE;q3@
zB75l#YzO~gU91o4LqLYaR7Qp@>r5^XWI1CoTeh)T`jn4jnn5;l0_vY=_|Y)5w;&lu
z+V_szfv;unW#yR@ef9|cFLNAqdFvv`3S>;{%uZ3xI1E!_shsnE1SD)6PT3%cDfKPu
z5V@=0E~6p42c6?#^O?s;2lic(DUU?!fhH<Yd`FoZ>oQ+&(LJGQQ3AZA?(2`ig|~6$
zKTcRGpx0G-%jz=;qoVaTlZ7pTS;VjCc-xJQ;407!a#Y6IG0yeOR@4k5vd{a)aLaZo
z+aEN>3n&Bk!QDZRj?&)D;g67izR$b8+o?gt?UXdU^whdE^mWp8=L4e^X;ltwd4+vn
z_L5a6w6@en$Lil1KHW!&%0VfR?ZY7b5CiM@pjiVtL$mq7sWfv5N+{SyfeI$794ML;
z@^F~tG2RY3UO^|SI<jr<=d#LLcB}{Pj(+f{`?tot3$++%j%QBPvec)M(EP+FuHChE
zCTwGY7v}L;G_t*yUpO~{nQmwFu9kHvoFxaI-1Q@$QabHIrh(21=C_wA^sjbe6u=Ek
z7LVJQ;?OmhX(jIk`}-CNCHKXNrw(M<H!&JX$XB`2Uf<xv=q*`{in=xSF2?3|Nt(QY
zn0y8*(XV;5AA)N$)leP2G8|dOl+|Um@lk9vaR6L}iq6~8B|*D?M&Yt4%b8AX41nS2
zA7-2Q?6Lm^5(MOAA}@18zLOYwP@_`yp1v<DfYbaIN4he)*T(}KV^5;bG_i|14(`$n
z0W`uNvBGqxkZwa_X>_PiuI_kdhjtBvIS7rBO!!o8I%J$Sp7uf$H9E)`R<I1=s)Ua$
zd+jZYrNU!T$~OG!xrYS(GRH@)^|t>A9JJ%(y}QU*z>B-WN4bJ(v~ZgXEoH9s!%MSd
zW-&u%8&@F!0mO_TDID@%5wZ~OR*TUsR6EU%wutrMFoRjG_TG}`_!3}Z!K}ho5K3ZE
zoUXGaO0ET(^I`3#=#*NmB~^(h-t(R>t)=9VsuKcr6*noq5=lxT8*^(&4BrF0q4`W;
zdb^)3V~o!it!EEhbxNsn%@|KZLS9b=TR%8PwDGicV1QgwXvnKAvZs(9Z&F523gqWo
zjDih8reZrYN0mP*NiWdwWIVuUrxgi-kvVwm?{n0tm)E~NWd!{iRk4ANA9}Ku?ExZ%
zfRpI!af<3#41$ZcajGX~Zl5!$`dsIq<qo~GL+^LR=>$eAx!a{Rw55rnm#5kQAQn^o
zb(sQ+S1a9dCV}Mz9cx;4c@eW1&-M4s1jgkT?ysD8BvV&|GBh{H<i`n_U+dNZ_1n5&
zxGh+W5US6{TA#wYlcRr7E?Y?SR|YuZ*5%3%_YU>^mc|3@kz(^9&gx;$3QNfc1*D<w
z-L|(u)1uDi!7b%NBM<vxxCpQa2cU8Fd35E#iJ~5=m=kTFPIw^A_iLD1A@YF`-gP!V
z5i8R?1cC2G2Ig%eL5FV9mRTMWk&nHc3$<T99bmop24K2+;up^X^$N`fIO-L*B_8rY
zE=buW`8(~#a?1q<AOClNE}2B#MDtGji&<s7HL`OuA8hjLZ{k5#FMOAmd$PLuFlyUb
zF2)8g=jk1sdMgriVP`1wztQ(;u})Qgqlazo=r;(AzfB&)U}Z*=bvxrf;)sC_dc~o}
zb3*AZsG-*NaO(#Tx1(ISjWqPmt8<1|(QXn?h;$zHFZTTE*Mg!-1>(Y8jQ6(C+5hGR
z&(31{29C`;gV-1+^~-nv?vpQdR<2fl5uf3`G_1~EOLy8lt&{W4d%wq3e&wx<x`^?$
zWy?8Ci-BkW)8kWJ^^8*dbN9F0<ibes+LzFxnHCAx)@e=#VHWlO#orr*_?-_BA+ez&
zqb5OCiyss>Kx(+ROA6HOHdhBgaVw%nMs%dvzb6a1CL^dM?{)Lj!=)E-d3DxRYASOp
zGJ3S-`ioo6`|3aiKqIEtr8G2eLWfuicvD~*qGOoK*MVL9R!HYU@R+^IEz^TfDq6J0
zs#ZVd+<Ng+I6xsd3g7ETJX28+@ab9MF{^)b<g9lhY{WSpH`r&Fyli;l3<d-t(%$Fu
zgj0ms9)WO-_?zJ!)Y|7i3Ec&ntgSu>vNB!c>ATL=;5vg(uQXf(lYNZZ{kG@?v)z;E
zfRDD-jbeh|e%N~}5@Da8SM2o}zWxY$>5&m5-)@ssd|&a}WZqgAIC(Xckj$F4`SYQp
zi19BpG6cw4Lw(G9cn|mwwGy^I;H>1R2|~LKAXKGc*3l?rQNwv-L?Un~p-O>J63)8W
zeK{Uoz)9xGjMpx0eJh+}FwtGi0P=a>^&P&gL)%lgGWPm8mi7Aq@f{+@(r$O(7>fx$
z#aiQ&2FuinipICp^~q55VDmmeT{;($a?&SAtpBv3`yD#L?k<YGsJgwS1x9ET7c-)H
zTOPQ20)FKyzHw3;)nuFq`#la5k_ITdYt_{Iv;?qw7VZp6r?N(uCl(6eFHHLj)roiu
zU2LGYMk5OisuqQzD#qF8zEjud<j`X(kvJ1CqdR0~2u<-<=J5;f76WU>AQ=m8AcVAN
zXZ9pN{C#=$-+Kns|KhVsyb|#{pJ_`P2^7YK_b~3#YDe$CZHTPYXBHip2*J}#q=4i|
zsN0&F?)C?P)zn7=7Fjj?O(;>Ky?vV!x8r9%9<;<e{P}?*7{zi_jv}K4FCR+O;$kt}
zds6Mb6R4id?&)xmZ1JBNpF<%7+i$V$J&xAKcU(|2gyDNgtw3elzcc&p&N`CwU@s2A
z1km1eK;w9$cOJ#L&1-1=;wOuSUYBjd$7e>{1_0lJ&4)67{>Am=YLYs_N2;X?@d@Tl
z(1PEW*3$0-#7=XDJKb>u-yYTKaq*P6$_cg9v=dSuo74U=?HQI$MR`PVFq}G5FR7?f
zzx*HK>RAHELxFM$HDi#pyEi$T+@P{2Yb_7HDVzN7d4Gl4AOC<I$(*N<Q5>1f<s6`z
z?wjYhEK7t#NYpq5u}9O9UsvN`#hheeK;`5owIY4^D}tpRsI#o0|FYji&;qTK5Vsfm
zhr2gAAql5y!;TC8(H$__;8kSG>(D?Bhw5*GnOl-ik+;$-dX)mcZ$KF0G$$9I${>-@
z(^FyL(_Fhnb(%Wr=@(0jmQNrwL0~b%j#XTG;|f={$Jr3AoLu=HqsW4?dpFAzdV$dw
zw@l6LZgTnDT?(iS*MiOw^SiGy>8uB2mKpS@5WO^=MLF-!LaqoUIe+JrRJ*9ng><9n
zd`4V?MMjS<_sXW2dNg)v7`m?SPy0uVKmZ5LR-O9|bM!ck7F}A070CDwDd;$~)j0QB
z2AGGoE&0Ah@#k(SwM^@izNKNE!g)q>qQgB6?@kw>TXs2o8fU&jPiGDKjlNaSIO+9K
zfTb-8p9ta&s<H&{oyYOS_)P<fUnJ&LB(tmrC-w>8GUZ_yrNS>9#6{wEEfzDiQ$=xc
zjadDTe-GrI$##Wj0&tiOAEX9g935ZEk_r!^N&Q-K4z6iBmW*}Fr{Q9lrBfu&%`~<$
zW{wpJzYU>=`%9DuEXy6I9=d^vso+G>La7^OuDh|woH;J+$8N`emvqw;^YsfL!y&KX
zTcyX%6@-K*128RnCL*S<GENs!P%dQ76n#0hCTVF*OLYDXa~Fa$yo3Azp(x&LKx!J|
zWJf&?YH7TN!PI>m5HX1OdLLvLx(ErnK%1;T8%5vf&6>m?KxVJb&e)=FO;Lv))XCcp
zhISs^+nNAx3CD^f$TsR=Xe7NrB^C3_Hh4Em02i6<fS^{QCv+n(J;`wk<-UHrN&w@%
zH$~0p0q0y0Yb($2q=j__-1MtJ4XtK5h=42?1g~8byrG`H?7V-~dg7QM*XJiTuuBoR
zeel?;>(AM&hqzlMMTI#~f*EhZo`RKBvJRmZ1Cn+Dnyh?NiKx&O@3g3jC1>iFW9k4h
z{1t&vR=>OHYj<_3d_vn52H^*;MJfy+Ms&?ykT{cBt2r+?``nsePA|yu2Qz1+xBfxd
z9Sjg+VmyK+{iH|sQ@d~-YJ$w88R<+<q%i9IaE_;+@D(CAQYRE~wW6H?b_9L8`&I9|
z{skOoN}yy!F~bpCQW{|S*Q3x;`D^y6^pw$7x%RRv5{iD0UQ1=BXpAJ%svELO*+PyC
zd@>y>xZ7T;j_QQlVA>6`u<m!%_5)>pCyF{Qe?AEynUkHrhjo(U+Z_0utt|K8C#)jw
zm{noDhLtQWN*nm3X{L{<U3B2S3}-}6#tLa~il`><Qj$Afwxu1UwAfTb1LFhrRtY~#
z+!h^RjZl#Hi5mCw=3xK8aiybz#UiCWv0trr#07237(!P$CyNk5wEY2{$#>7N(DKH7
z=oWqBlwMb|Ya^*i-K4ftPEzu*N9Yd=BHJePr<^qi339PbcTy`^bKU+ss1k7`98dw&
zgx24-;x8-bd^Q)M#ziC=yqeHL6DvzHio^W(xQ$gVrQlHKz~+uBK?@|Y+F-+2Y#F+2
zNGT9b*iV|_)Jx!T*dM{KC28y?qu^GBY~ttN-Z15j96i;nXr?W{bVIl>?JiQ4;_u*)
zYJnKEV?=&li7s2%fRWp0sz!$Oclv~{7!4^5PVztOSirMB_e+^MWUV>%JnMJpj=nhr
zn?(I^Rx-e6ieij7W6w`Oj!D&|qU#!I$$~y8LtR?qJxeeXJLVPGFq{CL7cL|U85P7P
z;Jc++DOsu}qd>-M+Sq6b)9o4-FuPTs-5OO#PF7S(SF&%t&1yr(RN3(PppNacBlP*+
zJy^4tG4aU&C=%awxxh4Q^DMYDg3%<O^2wZ5p0O2&BKAg22rbB2Sz1q~IMFHExLSYQ
zXN!M#e1$mwY0vxDg48_|MH?`Oy*Qrw?dE)V9NVi}F~eljce+Wtxq`(hazf4#-Mn}q
z9EWwM5zN^fyTSG%#PR&H_oVvDPlxijOZIblR&Ot`%ZmQ~(f%dtT2yjpcOc=nc*Lp`
zaZm8l|M^dU>*9mMh(qERdYW&fUHCKU5-Yx(Rk{20V8;uZK<g(?hyo4&+hq5ib4N-$
zRO7f5RUw?#4Z(DyIKe(d<1zt6?wWNbVzB!KCmV~A+rJV<{Wg*dG?1Ta8wb0P6Y&=P
zqFI2)OEn#~2hkVgPMLN&()*>6kQ3f4Ss}p#EdSQPg7F<bDi!M#F=O^;2Q2n8xY8{s
z9T2vGHE%dv{oY?<Y_U~*n%LROcHM|9tVTM*#JnX66=m3<FT%cHlBBj0p5?{#@KDEn
z$R1K~><K}&dBh1|&>NVfTc1uW(#%6Rd{8vwaFB0Avdyv7dZR9a+Ckf-@(+@6ckK8W
zuART{DICJJVIE|$*_0gI{wT~lj<DB(SM^dul+u%4v~+yVmh{7uxw2CFsEuoWC1|=3
zI0XI{`o_JxojdL(23TgOCNUs;6i#^AyNP>@T5KRWA1d=9DDr>mFZ785=lKrJzF{Vh
zren!1P0z@mK$ul}#yz{F6z2kxFP~;>?hi)$G@6@^wuvl136{4iuNbYYLGKZOS|~28
z4}wrDFf6f{)x5%mO@@S@=dszCCsl9$O-7<TcO1-XCHJUTECPEZ`>zPb{ghCz1tssA
z8m2KPi?rd1Gkps>XVZp9!8J8os3416-k?iMMSrB1tXGs&QNdLKqhH1e1mGt$1eETo
z)=zitUtnq=AIx9un@b3}Vuo5Of+F9q&K4iY<*y|MwomuTm~9u(LFD06NDYnoINeZZ
zP@WLSsr&y7gXZ{}%u&7x)JdhPAr!e@{?F4__X4^p5<R0V%2%qzH$|7xX16TL^K6)h
zkcn6S(yct$Qy$Lqa-5cuc=Qq8g2I{MDcLBc0n!)&8@31O>mbG6<RkY9Rl({p>ovc|
zDJ2bW;0k@nTgE^GR|zCiM;L4jO4j|Zm|_qrGQ&rTr4(y7|7eUNrm5S5l(2ySML@d0
zc0T*LC;6ocO(1j1w}OSSbOtx+QqY1Fu^;83hfD|;vQjB}WVO;CoS3RoxN#<S$r$Dm
zqA7bkS$SgwdW0!ERCOtQ+-!rjj=AOvVvY1GvES)Q1S)MARh@qGH2kdK?#(=o)3>WQ
zPy&r-!4i?H3LO1z`d<@CRIOWASx@{gRkK<7`V_|K2V(+MnlSeIg({HC>k$)u+Trn(
zEww<Tk3m29Cq*5EMW|8t-++PVk`Y!AF*K%x#}Nn+eO+9b;pyz>qpTSF8ZugS4V(LI
zl~I|RPj_A9S{aabnz`ypxQikd<05@%2%aSQP6a^XAc$af+AL@fr2QglhyeE4&|{^O
zb3M$tHJmxZyE1;~>FUk;=AU4G8ozUt5Kwrrk%74oVt@13+Qd%-7{(HvdMWV?o;Ln%
z<bi%1qhwpE#e0<#?}h>*6CU!lQ;U>sh6mw}`c;FL0u;6#e!Yv~{#NI*H<_kEaETv7
zGF|8+)tH08&H=LQ_U%iIqlWa%e1{Vbry-UP5}4}>5+p9UPQt*y@9-g|PXL22TCQ3f
zjy18|K+V^yu>qE?<3~jSKaSMNQOl5J`CK#&NVFe;G=8y9%5kWq%Ci)65|n>@iYR}}
zs5UiXA~qyDLW{yQY`=HrJ4MC~9!pcy+b{8<mu1W6qcKS{M2_bZaEXznDyiK&3VHsT
z;v*vR1@OovJ5H(Sx@aGIvx1A~DwD#)x{vXN9YcwVJzA${DT){ZJe{T9nK-NVUtGGy
zQaI-FBxTiWq$0Z))2!h3aBIVfzc(EXlf5r41A4ou+eJ{SP!1X}%?!n1-S+VVGBMs~
z)wCybM9~b)NYsyi6+Xj^8^N?-nq7^$K0D+4K+!*-E)fEk*x`W0^4}ki4?(tu_sHbI
zQO&E*zY~@%=td--K8^!#0aHi@i~QvPk7Tg;g!1Z6og@njsT5r_e6a7aBE$`g5&*Dc
zI#GZTNc2%mb|5>kU%h%qgBq3$fW*~5z%xNJ1tiPVkP;5VB%T#<Q_^$T{|~*$wD8!0
zi0k&8M#M}>->+;QIZ7|v)vCpqN#}%3GHT0<!xLLzkrM#A1ssiqht(d!(<4$#nLjW>
z!(o9(l6rnt%T4e^`$;Y;I*(sVdb>A(tbCH<nn)2y#J&8u$-^E{)>!rplZ_%wjGsGv
zy_=9DS1#fIzKp`~@5%uJWUEi~IfA2I9^f|$_S*ExujKUe%t>{MGnJ-7r6xkp_P!ZH
zsRcTaQ8C~1EksoBwjf}-(J1hR!;YF;Y}4hStLDy7zdr0Sxt(Pd$U=^eA`?;nNrrjb
zt!fr7cL%A*Jy7JxJz7aXYVGEk*4ZBO8A0JwL1IBZ>i6=1Hmd$RSjEcOeVAO4(_Q(X
zzv^=)06-DC+BtON0`b#aX<Uref)1Tn$sB!;+N|_g8(y<AQJH1<24>{VhBHPOwCG(w
z1U7+OOmpR?Y)gfYRtV;5+D-lZ?1P~wdmTGmoX%)SHS%7;`>``#PU4+?0U;&0VmbyH
z8>HtqftXBr7^Viio;JV+CS)xBON#c67TWsJC+WX3ctc*Dlipi`R)+@$&#uq~9p|}o
zsZi`X3P(DBb#+O?ZB%$JiNOi$D5JOh{wbmf-CQdYZvyQx1cJILC^I|Xs#sXw{QvF~
z1%b^&O5_=E1cgR?v<$}TCb3f;G5Y%wQXFhf2+rcYR!GVfqnAIIjE`RH@7-`8S~+nN
zje+uJ`^TTmBZYo@k3UaJd<=+B(FrTsjI28ujNs`mN61_?>ZR?Q*`gghn-K4HW8|2m
z;IXEunsoPsH&zFqvN_WEW;*(+^lh1d2bU|~{S3{es`>{BL7p1K0&b{Gj5gr3g^p+g
zj~;HbZKJX`6Fx;E?1D3J8?VTZLaA&=Ez1-529@irbF-vb*K7uZ6Kc;dGvl~TgG*lf
zK0b?Yb4GXvLQQewj1f+UQuHVvZI#g!;i!5KqkcW)<#ExgCKym2J&tv88YXK$y@ogv
zBi&#zM!dL4!lDoZ+nAQ2PXLNyp6qAN#xF~)5B(>oH<~eJ4N4V)5Wn7Ak(O%47lE4n
zHB1415oT-CnU=(?Ph9pttKOBuG-iRoRS8~Ue(aH(bNV@XHQ&<yTo?vLfJ{DTd81fp
zEE3%~Ap^SjW__Wk#z>JyP{N|99{*S?O~1bVU^aY@Pav~>lu5+E{T0QHB3Y$=Lp7FZ
z5;$Zr`G0k~bJC6^SPSlSp3l^%MT5w)6R?GVB)~ds3hRt*@`^k$p6w#!emraCgR1@0
zPar!4;{MG6ScJ;#jOA>%>-#g!_gfg(Elx2vSSRETaTx^(vL-OM!lHfL^@I=D`7$AX
zoLVmJC4!PL8$-V@{(z>dd;=5b-VrOl@t6#3zrTVGZ18Q81N*bTUbV~xo~ql%wb<#m
z(=?0D->izL46iu#+d-lo5ga&V`y${H^_0-XZ@_+GO!Y+5YB}c&f!72TcTn-8EF4mI
zG?@EaXhEVY6b9@~_73yoXdMLi47u2+p@?VMa9g)?yp9Fh>Yu&Z?8ZJhSPyncjPEej
zVt_|9h<QMZUdF<_!926!07*316>73a=4f?tK*=-6C%Is8JY{?Nq58Z@X&IP{1od$V
zDf=o*DAz&Ca{o25XRq$8Lfqg9N-*^-_t~vvvI%x-WSF?jGIN%0_g>}*7B;)3So`HW
z<U)QvqAESL6qOQR8)%gU4BX!BX5eFCAxU59{_GFxgyo;mSz3+MUa)p-rUs(_UM6Qx
z?PK6wDKJHOE=?5+W=ES`DxdF_h^BGV!O1vP%lLLh-le9b5)u6Y-i+(vfZ6>xB|@eW
z?y0+$Yg_e6icuRu)!;!q0)s>8ga(3C7yn_!BmQYFmph#GN;CCzx>vFM3W&KLxm$Sd
zEp0`rM(_W~K;rp;qj8l=K9WOEe@T+r)!QBogZGL?L{g>~G-dYQ)%ne*go7~M*6)a{
z_i&oWNb+#p)Kj^g7r}&WT05Wf%2RGNQrUR)gden=Tg#Ydr&kWZJ(Ne0zUqfY16aUS
zs1KMWdsl#IS`XNlu)i%_*NdkfAaY-R8f6-|ZyR=<M!tKd1x!kpWjupaz~o#9d#XKE
z$wxW5^NkK@V5TkDA__G1hvlF0W|+kTQ6c?9eH(5fkQ(+(k4$%^{*#SrDpyK1cx+Vo
zw&?=CRr8sYGh|OlmGiU5Bf3SI0G+3+wh3ek88-csYHX7iBM3#2>-`j&gh!RydL5gS
zW<{*%-rv>*4SVe$BfsH&6hVe?vprL2{{ZiTXML(`vUg+Sa{}L{n`#}6ARm^z9&qBR
z<xv@rcQ8I)d`*l&I*w0HaQ7CCm`#o<qYRR_Go+ehU6`!cv@DEMNAw#-J$ix71PdA*
z3Ce+a@pSM9)AmVNpbQegmq&c(sEriCT@<QdThVpO2X%pbWxPUiN*otEoe1f0Y{=)t
zzgk;Vq>~j*x@5MbW$k&oMD2)m)`jGGE{};bUj+$x3mG1xD6Nxoy(w!xKDr$bu#^{U
zQ5cM<+Lr<mH93Wt`;SVABHq1OE$UpR4SG9?9<#C~V}~{T7ZF-kVwr|@yNneD(R7dR
zYR~NfDpNZYbU<a>XUBGqj{NR9V$P03#^lgyj%2C-{y6NuTE_Xq@&J_PdPff=%p1q{
zM9H8hcv)dx@JWC2A+BTeqBhI(n{MtU_*bXM6)a_=NvC-BD_VHFcNk-=GyfJ@6s%vc
zRW}W;=)O)BOYgKNVOH%H%FMZbo*+5{O+GTUav7kDG_y{?7_gkfh6&0>3!6vD-fR4l
zIh^Fy>ZGg#Bf|gw1vzm&b@4p9R@J3G>#1*d#I;DqgZD8lY37!zq~*Y1f*c+EnN`1y
zOgTNo#CN4K*P6r<aYFNR4#7Voi%~taj|=>B@Is>56Bv$u_F>JBP62bZz&*e%!#D(Q
zhEW%zos+Mj0<fvIlIY!^oSad%^-z)Nav7PkQCC8)v@*6R;)Yof{U5$X^LJ2R8u!H%
zaokHBC>zx@s)*=7Dmsklg6F`pjd;1cEYQ0SS#*?;$+Ia<zMxM`47SAq662ahu#ca-
zNm<>#sy0F2(H+}VA5xxh{SxS}LfIU??*rqykRoqtV3K<u>+>A@bVz7_W8sJ{;}%+O
zQoP)3_4Y1}ei69yYYU=u^|7!UkgF%Y_U4z9NGI-ztJ&G(%Mr6JiUxQ!&CTX1(mBJ0
z-I(FGPs*F=g|QCVpzkkt7v~_${Bzv@U1O{`-}^;XhZfJ;ORk|O2RbB!fO7#b6Hlw1
zDlTe-q#ufHttpR{gPh`s%(;ae!Eu2@(e(dQf_?}d!Ob4^xM4pD(0ewQP31&|uR5UT
zm~$Jaxvl86ZfoD*T4RpKn9-WXBvH27E!?Kcot^DFKl)T+E_r#rU}c4|c_S^s4C}dH
zrl<QEg??|*#5X9=n!h#e$`Q*k5cw!3HAyU<2Jg~-!MLuaB`ZEbSIFT3>)ODX>H8aY
z6fB~ce9zsLy9Bw_JVnN}Ux{Z+@$NomGx!C3l2S3`pM+&{z~4){EOpbyHT_6gy2%u`
zJt!&znURt%MUQ-5XpSzWT;Ul;4$IWao_RjsOx~oUbn$MAHVh?*f<T}E+Dn|1q#`bT
zJ2WfP7~}K;aKAzj``ED4zn#I=gmwaTU87k94Yjk65Yv6jHwJJz;3L^EPdxHai^?n9
zPJlqwDjzA{FdH(r!SE!c>*w_+xpx<Ed5~_px@fvt+|7g>=%S|UyP%Ia1Jsy)&c1-B
z*K2Qe($1NQy<O$H-B~IAZ4v_L#ILO%obiDht`aA!akfaBj^@D$QSHLLE+&^?%*1|z
zy-%=h%2q6)LnP@eSJx1*CUvo&!$QAi$0;AC0)P)m41ZqUSr^<5tE-s=Br{V?1PV%y
zNUXKN*Dic<T&s|5Qs={i)o*^irSX2GcADte()q;GSu4A}+BFoLp2kxXe{l$3!uw$B
zt#nwZZs=X7s3Mz_LIZ>-EbknQ9&Z9c<fW1O&aKb0lcXo{>u;=b*^1FJKTfT{>Y*FG
zaH(8q>KOnAK1@<~51QDE7P(U0Tapjz<*}5Ue|^MsYoqibF{mIM<YCJ`2x*IxoqiB|
z)>?|KI$;_IAQyt~`tsDmYvmHNR6)2BbEO#Xo`JEk)f8QP!(fs>G4|K|VOr0I%597d
z?K(&6Y4~XV?-^R5X7pozSEfW+OAlJ$z(Wl;bx)gGY5o_@(`$9n$K6`)Uw=qf{O2Xl
zZf$I`6~tI*W_&Da^RPy#5m|oLDLkl_3mDS=94_5d|E6`=*Qf?5w%r#@kvUk3tgUOe
zh;d#>3}Q7I;|9x4WBvK>;YX>d!h|mv^A_~ZByg(eKG0QoCs`RGF4~{C+i!%3)(qKb
zbOr(m<rV-P<7Krr1pU{!WCa8@MK6#ci12i$A%0BWoSGjJ{+SD}tU{g*CEh%R;OhDY
z7C9!O)NjY?Is(A?nD33G>x9k5l)(}~X$QPP7_cMp9GpfpBWmYTLw8MpDhhtP>P>&t
z<v5kuUf2jHJBxv3h(GQ#toVpalIn$TH~puvn_`N800dBjp3P0L-A+G7?1Yp)fcpY!
zGa+JpG8<bv4CpZm*OG{FjfD5`KEV^?a$!S&S0r$j^!UZ|qp2XnS)W=#0@RUuOhB@Q
zWuk2-28G-Zx&)_bybXBc)psx-Sf_>`oZ{%;Uqe?3Q*>al)yN-r%E=jq>>Ltj{r1=x
zNs&8<$nY)v|J_8awRNi>a9s6)B3N%^AMtd&^#2psm!pEk5Beas2%IMHTdP5g-ZD}o
zcR2l%{!Xdu(4S9fuJjQC>-r)!eJ#{zSs;D3HVhmV@4<f|n@6Rmu2)v+<5Z#?$y83A
z9%M64C#RKC-Y$#;jDC=wi5C67aOAv$NN-D%+7NoH?(Z0+aU>{R>P5#MJ_cdip4V)s
zI*A?#a8&wuY3=JNN!T0wXQ=$Wgvo+7Y@I6G=TZ#sxJM@F_V5H$z*A&g0ODhH72^rW
z{5JgY8X9G_zs=hHrm`vBJ!%;wq0!S;b|u(>1&}OwkfjV1X}e11Lxmp=hrlYU>7NRA
zxliqk3%er+X8cV#(9Xx__ArLc;(itgm(6gY05P=(DvGr6s=N+POxnf$wL0;*<@U`(
zf)DkX_4zyAdgmZd&iLTT52SbI1LrY-k)`b`!)4z#yC$^cGh+G#7Ftl-mx|b<JQg@Z
zb%1_cD!>;U0(_SpmJk%7-OIRof{b!QOm7O}2Cq#n5p*hvZ)1;v!ItI9|DsM)PSs0n
zmP9L`F(N7XJZh?L)B>r>@FlYfp5(6UoJp2sws4m)yU_FmyUVxy3vSBd0tmfEOQ)*G
zac?V=LN&~d<KIE%%whOF=~8rGo8k<vUOO=<_CRlj+>EOV9sSinYcpW47ac}F4Kv0_
zw#1(My1b;$5jIL`YDPZ~##bs!y*vbLo)+RQNK;qGeU0Q~kZgzP8OpeMXe<spiM&xp
zet33&nXBo2$<r+4s07nrxRkpCULwF1O}K)i@bzjpoXR=mqm)r8jd2~q13V3^E(-!c
zN=?JIrk~`019_#mTh`X6+pzOT8pAp#1XT83FVQ--KNbp!nDqimA^T6y;Nbmxx3IO4
z6Bd&V>i&1bF;Gdc*)h5)5SI@_XH#9ldZCoydFK#}@uR`S$VPZCC8Lxz9Z_kFp1_G+
zYHlpwve{2tXap0RpC^#b_!aN<i>waOZr_PH>p-cE*6t$n+xn}ea&^?x;k^kzB3_XG
z_KDk_PFleX?u&=c*ZL3Z{a(WW0vt86y_DafKQ*^ObKktNuBh^E-F&oK+>dqb=X*va
zwPYWmY8_tdTr&G^OYdARBBg}+TU-@j!T#DfHOt5|(r$JLbK+h+4FJ#LPZg4kN))t+
zFH+o8Pa%R5#EE=<Dw7I_$93!Xd!~%n+E!zw#G&5WxX5%9q7iA7cB59N@S&lXH!7$C
zPoYxysMDpMF=-cGuT1Hdqz>;w7d`>cdjU$a>g+m5j1E_wP3~lthr8;QAT8f^j)u{R
z0kAP}TSLXzLfz~jE75Drn{^o@2nUE*0q3NkooC#<EtT<?O-%P$C_XH<HXV5M8oxAE
z3dvc_l47wY;5<E5s;F0;hE%QJLRf?dzTF&ye(*o&vicp}B!YEECoCAQIAdG$NsW#d
zA)Zs0Ewq)VdCd>nQ(lAfTo~VY!kjy;EPqEJ1re#cRuTX7hp;zaFAk=g)Zf88mfX_;
zHn)K88##|Y0uRJsC|<^kHLh1MVl-&6a)yZf*gHOlL+TGHqvprlIz)`KLEj;>FXk7+
zbIn2=soh8#q(Tgl2%6*omrT&S>Vt89taUok2#}&M;%6}X!-6=FIVp}Q3NCBUeu7)$
z)bup#tRIf{Yhy>Vv9j9J7{zi~03Fo)%iu0v2E6OsGI4f$`!2NJh_mI@1Rs#S>H2#B
z`^4^g1>X6`wNHG=>9lJ4Awo)SgH%xkUA?ev_Ezz;fENI|#oX&u9b#kyluMwvM^4Q%
zUnacYH42X?_rc}f^2z{<Y)9sp?dKi<l21fXmMjEE#4!PKkupMbJ1ZIdK5GiHI{x-3
zU-j=r0z(#m!L0q8Q6Umsu*D_UJH$xf^qTe=33?^-JzZ`dId8mP(;|?4j7kr5qt^%=
zg1T*UgIO3Q0tbJXtqGK+wUlq_LN$2fuGHA!$b}X4J+_du-rdrM%9v}1eh%bmY*u=k
z$%X^<rYM*CXO!VnH+2ADCvh?BB?+<+f-MP}rPK`Xq*JRGmsqoQkDCL08Dd~22$fg-
zEQoD^)z95r{%bakdRfrvDZ^01GSjhkKc17@<Q5*soh|>=iOdJi;)KkHh(jjY{>dF+
zKk?1y5%4zU-h*G~zXmt1r&qcd-?)VFD8NW)`g3!q%iFJm#?HML4A;nCKHj2Ag2-sA
z-g?&0Nd3i2^4)q$n6-YI-5z@vZPNM#Gvo%+aJQ{tnzRxjHTO(2rktx={iws<CH9NS
zPaJvwTLoooSskp6@r!k)!i}<eJ=JjWJ6zb;9h2z}-yiYrmKye82>)ZIpNIB#3_Ryr
zxT-v;;QSftFK4NCT@Z5+*KI<()}39t6_v2~xO@)bPj}Dj&B2@fS+Gx&)L03(XM1Dk
z*-Dmyj%fUj;+QlEvlm@g2Jk`h6!y60ZSd}qsj{i<a~{PN8rwYnk=;9@vn#?mS>_W>
z{t$d*7%H^Q8=+(he+fV;{=SjWJ}ScbuMslb2+tx1K)8A*^FzIAT*i)mqrpNOQ}PoK
z5#rP_LVEe#I3X$Hh@tT5Sg_-TjH77b|GnCr`6Onbh>|cJiPuF_#n_h!K2V<*fl5A}
zA2UY0v{cE-KZgg%SsRvY8QU8}V3EUslUj`-&r+uK42ZTbo6i~Fik<_YkDZ6VM{J>R
zDY<a+K+$k$#Jl!Xixk;+?_9K#lD1xR7$P$(>`+bTHGdoY*;t0A^|ye)2WHY9JE+$i
zKIGfkH!z!*Q%~8)8%@I~pEiYQ3Afvd-Y4)%9N$te&kIs^lO&hQz86(RPWvM1)d7}m
z`g?qL<PEU`Q){s(mzAX~ly)e}=jA;l7LLPgbbWXA%o1~vR^x@iuaZJIJ7ncs4Ymms
z4>E?LFq6Aol)SC8jOI#A(U=+oXwL*yjB0Obrv1)4k2RL2WT}J)8P|(j<^VJaQFZEm
zi8dSOW-?T@S@asQKt-tc<6Z}gUZ1TA&IUx;$x#8WM5m`A8b7`!{RBLjx%Ylj;E{FB
z;foTCsc90BQ^XK}Wf=KaVEza%V)kA=Dlf8Y;Af%`d4*-7{3XBO$mSs0UBZE+8z)e&
zs`|g7sJEqRNseV8*@~sO@<y-lEF=SDPEDyjuLOq_BjIv8Qde^P!gavrLT!T;?00Ha
zc90F#-tXbdR@q+mG5}t*dkEFBpUH2w3FHW-BYnmQm3F~%er2AFyxMp5!fnSbs7O@=
zt(HbJu(YU=V^^(@_-M$x?`{4g<}nac9(%}MvgL2bvANb-JkbQn!c~z{`_8MBD;6J5
ztkI+v%KYc&UploqfCPD{`j<Qoi524FaFCuAP?=N3Z}w?n7-XNS1_Nn8xenGsEu!QX
z`YEzA^(mA-aEH-!fmTg~GWG%uNxYKB(K3vt<qO@Gh6DuTy$>p|{W0{Q#E^Tcg7}n+
zMIpDW+Xs1d@U=%dLNJwhiO^^#X;Ctk7R6W*XxuOS2K3E!=bF;BJ-KW<d1xSo1(@!g
zIIYK3y}MbSN2%GCoyFPqnd&<g4bv&dmjN0Aj%Yxg9I)bEZ}C2|B(Q8F4DaY!E{aqF
z)!6G5FDT}vBW@y<V3}xhOFstuD8DsM+tS%3=wMOCCmT>zO{0B7Ah|d+%}|EQyYWX`
zxB<#+BJcW;M0-&jGB$boAA{YDeEmtwmU&zT8+O6C?8aoj7~Igc%&DlZ?Pc3O*DqR!
zPg8Fxex3D#-`q`EDlujE#ZMK@pDE}`#0_v*xB~X{C%4Ft&KcID6(Z4tC9(mW<s}QL
z8mvL=FDT_4Ef(4JC}MUC`q&96mhG9r-|e|uyTd%P;`gjT<YAwH6*NUsFSEE#>Bi)3
zu?b*1STN@!R^k*3JJ$vlRXUE4$}tMdgGP)N=wC>F^aTa8D;4rHln2iG3!VYu<_%^F
zmi`-wJD2<JgBC}a7{~Y(Qb7*Wtu&PnOQKVZZ7^Puhs}5UftrR9pLEpF@{Jh2oUQFE
zlUfORzVK9sC%HuS`c~(}K0OBDT^8=D=;$;^n>F5`ec0x<nZkR8=a@$nbTw7_90(&M
zL%NQ<=Ck}yt*A@AEY<A<E@S*}l3rsDQoTS6l0!;e`7L3)P>(KIZOc9SjsC_b6ZW*u
zFV=BMgMYSEYU_<5&pUlGPx@OaW(5!(DMfG84?fXuW8xclwJ@MqDRmoouxnfTds9!t
z5QFS<+kz-3nw32xh`@3$RS$6BW&fa{+T3~nHJsRY0t6jmY85X)YsX)({KdLW_eJSR
z<J?*i`c`WE^_YK=PjlEW{kx#jf%t6bzVj<>rs6hor^aJWdG&|$@=JO1u2b+hX%qD)
zp!NzcL(|$q2(ChFQnbxAq-GqrZUy~{gX?mTvgH`v*PXr5-JHF9)r!PZFPB$MXqhhK
zq2#UxqI50!V3!0R+79UjRq@>77TnPgD03G-t&CJ_Wkg%_#84lUi6ZEEb2MwqRE=<&
z^}r6dQ1Y1f$~1XoKUl^PJ8!k=jNU)sHqy5Xi^`qy$X1>QgOv(&uMoA=*1Jzr28<Ck
z6-IR>Q4{C(^&f+(3>e@X%`)^^5JQbHtdK9V=hgAEDHnC)xPP<nm7(lW8Jyorfk(H=
zW@_@`!Neb0@2(PZ;VT2~DKr85F>!d76cEXshYht=t&EE?@%agTs(h4?_mXs3YK}zs
ztKf$tt+!*VUugk{+F-{Fh+5~0dzup2&%TCXQ$#8>TMi;e7QDl9Rc#OgV-tFvo7uxp
zL-iV@T-tmK8bl^n!>^%tlu8CAkNbn?#PJb;=Z`V2JP_*T-BOkhhg(QQ^e^}m2bpEN
zKCcb!h^T^}A(D5V(Z3DEKO#8&UIg7`fc_Glx}X;>R$rT5`s35$haC+*M9a=)ck*n8
zQqX;1EpQ%)0BSMu^_%;0g=mZ~_~H|_`p&yBjj{3d9yKZgAZAJm8q7$~0UM+a0ccT-
z>3Dn?^<8!xhl5;N2Ndehaw}!9%BuiVe71i2&vMABOwhSIObjw)eGICOyiR$P_cV=;
zN^uOvvKeQZLS#5S-#Mjq$<)f(;iIYv`s;G$kl5!rYWPx7G;~8YghaH2M@te{c(!1&
zR@rQ-?&vJtROpSAmujAEZbt$AxSF;Nw7M5desLrG%lNI-uUwnE@=5bi%Ag(AAu&RH
zIKQQox_jQf@6A~?HBw7vU9_I#f71A-Gd__GdRN(sxy#59a%)yX%ksLKJpf5r-1jh2
zu@;~QV{OC~Q5TPDBymT64bLo&X>=Zw+q9ZMhwI}*>6ky*$&99RpFfFT>^Xo??KAp0
zzHq*G+v+Ve>XmJ8c9{@8G{8r`>80hGl4_(mzRqx$e@9w=!}WCvvN9=bQ??|kth>FG
z1PXrYJ(IZA6tCmswr7jFDBzzSTq-PVozAl1YAw?{9pd}>>*I2>g9t*ZsfL3a&|uv7
zpPTTpYW#Ikj(~OJN@yCE9a6<t_HsHim7G+Tr*rWM7V$C*PtLk(zc#d;`Q!nhU;DHf
zRUXiu9Lu^DVTV54TSg0!dMpWN*WX%C)V*RQ8Ab*K!sk>()dJcvCHqZj=UxB)5vQp?
zk0yRCZmD=SpA2@+(|tU<6m$A_Rt2`-{3zld6qm*y7N+%~UhFdl4*~p6SQ47j9GDBs
zbB=8^;Uh*OT&a#DA*WPXw#oH^d7wW2su&8Gk4HZD8GV1ks?O1~#HnF+k3b|(QK3k;
zT!i%3uAb>H07757$;eI0KKlgRg<)GK?09wjDyLF@ex^_3{P_pg2*t8@|5OWi8NXuT
zH-XY9-N~AI#c|2hIMV0yFch!A-;j+?JB>m#h8Gj;^}ZkbXm69OQ8d|^&G2z=a`5iU
z9)tv95ZdZ?hDY8%h%L99h@dgdP!y!6uPc4Nf2#X4FP%Y>KMu?OA#9^cFs|E5uTW6F
zSI`|oE=;%B4dxZRw(*E6ff{BljYlI`S|1i!6^$m_E;{L8S@c{8M_QepaPy+WgGSUe
zd>uR6m`fQWDoMjKE~ewD9H{U0HEIG&6gO1x`I?X69dBB?8-tsIxo1~k>(A!Wp;hm<
zyzsrlByo9an~(n%VbB;Ykic1A46K}f9hZm#xT4<*f2q(%)5)keH%1;r96ivh{Ab-(
z!G@nUvXCMCPk^Xp9-*-dkTZYonZ4^{^i}W~^qGNQXCsfy&a9WAOb86*;P(U*XDuNr
z3%44S?9eZ=er#WyviTupT>=z$e(l6s<ShxHSeDm0<4G$IT|W(cT>k{5h}D|;7q`7c
zpC2b3+`+~JfH%caYeed@p+<Y6ssS*Cj)cQ$Ikz3*d=hHAiKJj`ETYDhx?f>4k}m5q
zKEYyRk#90{<MKx%9)b3$3h86(ql7yLkw{oQE=y3B*bQF-Ut@5W_bIC%>?!^6N%w^0
zVK!)Vj~LY78$>g6^hwd%|I~f-$Tb>RZj#$Gmc<IgNe?~8TNXaxE~uIVKm%qrKGbxB
zS!$KHb|N%{v{9B$HJi@$M^_Rp1^w=~id|t<9l~j@q|HV3gjo{3mJy?`SmZi^8a}x<
z9E7}dx#=JIt_UJT;>7?Vc6P*>l#jLabpR-|cn4_P&liNAjSkgwOM*|t5P2-*pmT0*
zyl=K+Mc9Jqmbx$`ml|nP&Ja4l=1Cva$?v{OF((JD4bQb>hIKs;cQo~s8;#FDc>qr;
zn=efQ4u#_w-Q#{x>2TObB|>zHN7dRp*~d$AVaqi(GtL^TMGs*@r02usIV@<N0^BHp
z;I>BSv~IeL@Ho3@mqD*RlhQbZH>)w%7y&z~TN79ZYUePIHp`98W**P2U0AC8008YF
z5b$`8Cm{EZ;UJw8@qvA?l2Nh7fc+dNK0JAK(91s;ssPD6DUe#z$@PW!g9w%4<|kN@
zPoaWpMYVX;`G0^#!{m*AbgwGZO~I}`>@*I!4$8TeS&&+}iQzTZSaI;w>inC>TSDxL
zbymR6F(ItJ*(FEPFxKDA-;sF(#Z;3kupvTqROJ{MLM&|K=xU9=#NHW@$~-r>zkp~*
zuXaqaMv(#h&G)h~vd5saKBoe;c{$E{7Gzl3!giLyV%a=!E#GH&$KS+Y6Eu2Qc>C^k
zwsbe}ECvv@M?~If3Pa&Q4+Crg>6D|^$3u8g5@luS$(5HZ7Sx1R02EL!K~KJgrF)RS
zda1<=wdWz^z)VNre=db}Dw|i<bq0RN&L&D)o_!(z3$ZCQs6f|i;LHdRklF17G2vp^
z`k^IkKkH8OuBYJ8#g!wn|D!_>jmX7z=7={5SFdZfw_G0ERrgbApRMYxhcwydDElAx
z2!6K)jjFuU)a*;|kGbW(yzrk{+RYoX9FCk8Bu(77MU^<_qk^sE0wgLbpoe36S2Nc>
z-W&J)_5udS&XWC>@a}i7@=7teXzfj-?IhMKL897fd<wR(Iwmh#2iHm45AGLeB6hpE
zE0MlKK%C(c%=UP5eFPBaEa9|x!U8d3ITJ|@C}yU+AFKm1d}uYXZv`l2zdKSCHo_Kn
zLSCo_RXB|go7Ju|td9r&9|A6P5hTwg;sdSaLpD4hivro3d&pOMo}?d>kz(0-BARHf
zS)qbCYhuF|+<7-NzrK`!FMB+;SfM|7m&BRz+pQLjOfYIn`~OYRV*<R)Y{}W1U!bHQ
z^>5-P=v@7tV^&8+EYQNq+V94enO`GeCRZ!2nEA5iDCzAKSCme<W+VylVel)dp{18$
zR}QHJbEtFV2-Y#a6_fYvqV1)2HL#Q1I^q;~?7)k*7fM8tt#}Hw#Rk9LuTHnVKAY3v
zHLl=?=G>I0$R}${p+ZfPfXIf9&a6@5|7|puSm>x$VUd{z1WXLJR-AIqi-x61ZLQ#F
zHtZl-QorX_vCkTK(4XKZ#To5VdgMFRH}d~``sgasO`C64qpjgty2j->d+lTrw|u{9
z1p6{6@(%H~b7l=RU+Z1rV6T~uE3jPA`q<$p67Q>~W2B%ma;<#cy(lIsj5Z$aTdOpA
zr^uIZ<_a&(C3)g3xJtDe)w7dRFLo62i*1aeD~~bu+|`2i^%~Va5Wm+d*m#DqgG|$X
zpRDQ+FEqlhj)rf*ZoHpvbP*ZxV5ri`a$H?!1~5w4I*>`F6k~F&l~%`>xEuowE%7}8
zsRVi0&*bzUUd3T7fhy4CzxaJKO24uUQ}<|ezvVqlqyb~^^Hnu&l);TB56)H8Q{CHi
zZ9-CvE6OA_!}eo;K(7>BNXPvHcmxUy+vQuQ(wO{y_GTT1LSa0~d^Se*k;j*a<G!)z
zpN;lW?puvV(?IRRn^ed=@+dlnpOa09j1&G9x!M%J3~j~yQ$r)Ru(A$s-MT6Ef$grP
z<U{3V93i#tG!_bZUx1bu53ccg7zi#smyWZa`nVnGWXfQzg625|i$HSjCBN606T2zU
z+?VlVK!KJIP!GuiGBY)5O{dQKG?-75>0%<`HBCP~!vIVT;WbVf0u)pQ1j-qbD|b}b
z3vLHAhXvhL45T}-)D$-aw2mf>81%#R73Tsjyk#q1Khdg!V%@&m5j<4)MN{FJ$fd%T
zO$#Pzg#(%(|H4o4#dhvN9ApZNa!ACJbv>rDG{=t7{_QZcUQd~x)UVQxR!mvFR&>4j
zhbxD7ZpW`Uv(phFemZ3CreckxqUo=g>jky!@F6v6)j&8=(3dq9zm8VpHje`oHx(K<
zIA&xpKG6H%d69m9=s{YXRb7Y}9wC!I0wShQG=`MBn`dgL`07)mikToF)Cz$Zuic2c
z-{ihF%;->hPLNw{RO_&ch=*`W65GujY8xc|7Uv6{hpK=qZ?^sW0Gz#$iXK4}cSsvs
z`}2RmXakyJ<M~<Oc9YjzxhLMC;?}=_tZ-|YZEy4;php!aU-<=BU6a?LDI>6}zVzLn
zO0D#6+yOg)`~KC*YS79kD;o~=K!`n?GBBAEs#Qok7N7D_rJ<k3%8n3Mc}+sfnt;1L
z&rWsXzXl&7#+CzamjYWz=7H#coGbf>3&bsI(hJ8rW4<U2FZH>g79kc7pM>XoBG4Gl
z{q)C>8_E#brSsCw$@Gu41&>!FvvJ`cs7FKOBX0ar(Lv8xFfa9dWxYK+%z_Nkno1D<
z*&|v=+#fEH!K+Vcw1j%-GDeVarVz{KYKVW{hHCIkD`21Kzfss8e-##c25sA{nKoSl
z@#Zop7(fi0%8X#v%UV71YpKv6aooy&ZED1T&>#CA^4Xref4dyFK%Z42at``hfht*>
z8SB(P;bp+Yv4}`Yf}Oyz8vT4EIbP)Pfku7KHMZt39+?AoAH#RWfihnHJb*mzmb4c>
zpUlSurDnc}ghgo+W;y0|FdgrVZSi+%xhv$)?hPQTpq)`n7>PkWg@z=?`FUnrwAzsD
zHj(M<^QseQ`l;a8Op3J?rt}AZI}M_x$gG-Q*!2zPKU0Xk&Bl(-eA}{=EuweHWkv}!
zU}+4viGLX=fZ8M&#$ZGx>k1L1u3DHU$>Oho6mJn?l=OU%HXUUaxX<p?H=Lt8md#P9
zLma5`YP|nE4NP`nC>v&00B3}znDtT$`KQ7C^dD&xSfd=YqQf6<9A+O**U7I<*LnGX
z(6T+ctR0Nx??I(#6UOO__*^c{0n?Y~RH1?50_y+k(iBQTJ%H91&k9y_HlmShum`{n
zDxJ;oykh$TXqCEQvm%~^W}}m9=C}-KmzKBD?;kilo`~M;GcjbWeRfsmlQXC6%F$(t
z220xsGL#PCh?tUV)2X%%q5@2rs`1*Ep3J}=ZDN`ngMhK@wB_^?H2P!d7-4^^*n}dE
z<CYJ{VUzmS41O7tIBl7A%b`me_Ls)Mu4<|!0=K?R1_(jRQv?ZgnBMB>1Ed0Oyx;K1
ziOClwhm44*;h*P9s$Gp}f2hh&Mrm@id#60vu~;2++Nf$589_P_V1^?P$}0hyiGV`w
z-tjV?1P?y6X0H$$N8@;ppq?+bpe%5fQGSvY6o*=f9Zvs)Y%0Leu7Y_+S~h4>VK1i%
zv=-s>!@e+LZttBISdX^JUFY~oUoKCAQxJdPmtIJJw>FF}v=wi<=n-9~GJI&J@Im|h
zY%nG-L^_RS$8)Phe46r}c_Qw&$55+k{|S+=8lAC{#-{HyBq^ZFnaL}=l#3<J-3W#7
zdS2_|AUOGG4y2UEmdmFg9*`L!d20e$;5m*>#FngU0H&XGKl}3gh}E~t&mkhP>>y~d
z9w4Y(N6?h?m?OVs^POMz9@H$vY9(}tZFA+L+u{xIR5+R_1VX{P$LswkkfMBM17-_{
zJ#g3+4=Dk2ua4Ilq<~>ZTiWJf(!ggu*TJN(j<`sNqDw)?ERKBJ=7|V`GawA}%IwQ_
zuS#UJD^tk(hz75_A_ST6E5f%qo#K!#NA|uyxW3DNMuw`RwPF53xq!3W_P7-f!7Hrg
zyz``%>alkY0Z+!>1nv$16MtyN|4fxQR{DjczjTlm4}#37do$S3dls%cryG8{B7Ht0
ze3E_$Y0eezuAzz4K~0h|9^X%{3w&`-KRyM?UtJIH-w4Ak={V+^<{%JBft-aal_?=T
zgSGtW@!rZ8oyGfSi;yOWw=oOP@odvuwTYt<OP4`^nDzRpkngh&1hVI$mp+`=UuJM?
z%HgDRx)4WaSp>4NrcEsJK0+x;O|BJQu~`Uba*;Q^W8wHL?sznTR4y%m(vqXgaWKcS
zVpE6xivF^z&_{zlzGgqJ{zdZuP|Q%9W+&<m311`<$I%;x0&qmmyoJbs($KfTTK9`N
zj|PsGU*N|gZo(ar;TWs1<j}N-aR<}p#9s1Ewz6vWjpn!8?OE@20!^gGpdR4XcnIo|
z0rAs<5jU<wm^FDVVhms0m#V`ZQ@d6YIK)p7)Q#<P$fmr}2xFm@@m)KIq<N(e?*>Gl
zq_pF80&oTLpQ#+?bH(sKvel8X+}E>KWoxtmOl|SmRGH+C0fy#dEu1Sw0Z+aLeb6?&
z;}=Q0d!~Pt@NiZw;P9#J7@a2@4DF{ArzHV(vLlT95uG#c5KgEny_Fnk(^JCK-?=vr
z88Tm-4+2YQk)}%q<UzR=+z)T>q=bbkL^yP7Wv-okq|O>3RcJxP&XH?7#GW=wCqSbr
zUmv?bz8>R4Nw}~j<2avz4N^ts6Hof|qQQfs>u0=uUnT{U!R*mtU-wjKZ}JP0?q~o$
zw+ZjGex^K$fFm1g%cP-m)G(5L-zcO2j{y%iF#0TD=*6_Kf$dLb;HzqWCQZpt2#5$X
zp)4gfVr+ezM8O<vjIW(q;Cw{F4WQ8_)1*;32|5<cmip6zNd9;9a1=g;R~K3xUD+yn
zaXX+!0aPmuzycblhlVj~Q1EHPjftx!cDtUm=CMRtSQVNjVW$5wJ~UgF%4N{NJsobZ
zX%E62wwhDpjhQVL>Jvd4XvQ_zDV<4|Xk6uHO{bU!_NH(&11Uz=k20i>jdiw;zuaqq
z$Ea0{FR-0%v3?YbjH+gdy<^jvIH;Q0TQ~}f?=|y})g*g>56ZKr<{0uQ4O21~u{rm=
z@dF=rMe@1ZI9DfLR;k#%Rd=s|AH~q(bEh&<n_{oseT4^PXQS6=)_yrRS8jE^P2?7s
za7P1U`WD?{v)*?xqil+bLhY%_`>IO#XoyPD#SGj?irqyB$|4FH2enukg9h&fSLOub
z$t8*5edE^j9kWnGHM2Go<ZJH}o$mp+#7c<yn{SG;I905vU!|JHJZ@B-g2^IlRNQ=X
zjVJnMgMJsRf%LdC|A96qtMR?(nYRcGawGFES<k$U*GEuRqSEblHG1}c1F3h9vf+y^
zZe@Z;nu3qq#TJtNF-XxN!v>2mo%r7!0pyyO`ZqL(*>f%f%6W}>ONe0%_mj6m@*i&2
zZ4JIs(C1?gmRE%rAf+ZI8qy@vVQ@1(np;rOq7J<IdrL|+fEp1f3Ov_G<Zcs5f>jqd
zX#~J$vUZ7hC4Q5SWu8L{4tIk^3?c?6*tB!{mUj8o*IuyB9#oa(m#WwIV0xDx!`79I
zj)Kw^$z%7LT%Pum-1^1!r7z`jN#ePS1(S-Qu)}}GK}DEK`<9n6I)s<p!8E!SeUO^L
zT=VPbI9Yu{=uVC4LM8<P*(JL|zMO<aw^lt=xJb5BIJ@`bu4E(#XIaE=Ux6IQh2Q(>
z1HCQ(4`iz{AtAVXEQpl$-`Kj@U5OYf{1@Z%$YU2E15uLlPepqQ%sN4)igCpBk7WVz
z&k?nhyl6nNem#;_R0Y~pjRR2t;ao5nl2>d!B@V#ThX@%bc3$k7R7Buvs$~qP3y-l~
zNdpE+&a=A&4qYe!8{^XVxKDYKeUf2(Ax(UWCP9YssdoQdngwWwLD*ngdf_0!u!480
z8cdKtx&!Y8l1>((Q5pdAH^%}i7t9kdU{Y&>&cgOnW+}?ED7S!k(7)jkO$XT@CobPc
zha73|K1?I#p;&>z`N}r!!$qo0*qQv@Sa<m6N@i=zV^5lM#mX{dzz#ByHE2!?e;CBc
zQt6kL8x?o2;UKpd8SnYQCKfFGgC>5!&}P(ArSIJX$b=IHs#<ZjpJe_zGI$Ua5uYf^
z-)=K<)F%wMEN5VP1Fqv;(nWywTKwzk)8#&nN&mF7F2fs@f4_%#;34EW9Ri9XYBYL|
zpRi#W(0})ycOqv~P#SNIZ@aQb(NOF02eMh!e-BBsqV?X}>6JM(pU5k>xgR1EMadjw
zQf=Dg5I#YAhqkM*II7J|;*{|<e#?stL92tv>z5m!u$`S!)j|XhyHc;zxL)k0tEK|Q
z*57r#>~4H!+avRA%JOwn|7a%GwN%7>6r5{N7IsI(EM9T|C3IQ$3x5mQG@!yXbPZrK
zLjjT0@`g~YWb%Q)BB>r*$~2bkj~jOiq<^c?5JNpSqArkI0|u^L#(P9}NGLc(hgz5%
zE{JEXwZ>Lr*mDm7Lb~bqe(Pu*A6)5)*_4d%Xc{C9tS)#ZR&zFH+N6RACvjurTtB71
zwVI~c%}7WcyE^x3VM5x%R%SE;*kM2~8<6%Y{le7av}BqQC7(V~k&zPmnj*4+B5l2N
z*L;CDy{w1%RT6Kq<q5xBXOl4&s-tFT#+Rco-S4;8{F}Ft=!MjNA>^dfhbG)N#e{nd
z#ynZDXxzBE%$Xx?6=2!rD2;w42^pUC%aIBVbJdRlSum$e1~3>Cs8kFm@Z9NaTZ9G5
zP*4RtYY*OfY~xu}gPR)At?>~-3zND66_lJlaO4j#qt2aBNts~$oF_BaoZt53)pOb+
z%>E!!C&>BDx>z+~Xj)5U!b?b!252)>zUk~{*LKLGajh}W7Cj3<))p|Y$6(8s>~WOD
z$lO2R-M-+nd{Ej)XhN#JcNi2teEvcp2>-dvl#$h)HI&fY5kNYQjhFJY<ZL=no@E3B
z*0dFq{dhpBxe&UwTqxC8KC0vs7Yt`EDuk+E_}^>Ij>O(xXDB%u5-QRWp_00h2!bKa
zo|HJG`NIuONy_Z_ju7Cj#iQ9_Wz_9@9;HMEAmeK&YD`fb9p7aOz@R%z%JJLozNSua
zV1d#9LJ-wd`#5`$()i-`vu+a1x{}M}(LkABjfwy=If4DtUdVy;BZS-T-YoqL2xWg(
z^;=CEI1vv|ga|JBq$$$rwz+HbmDd{1i5c8nih*!5?h_+atmhcXnF$6Q^o7^PBsfE*
zuahuEJ(?#+r1gAu&`AbVLZ+Mo%pN~LiN8QpAz>BxFf6L*Faoft2+BFq7J4=@yazH3
zBZaX*$&q9soXb8tSA|{x!wrLOud2b2Bp0_LPgS{#MXABm;bDoHQXn_vI?a-u>bhsn
zqgju-EJ1C~DhS}UW17n`R~MYtCbtrh(b!lNsT9h$BwRWLSJ){1cT{htpaGI5uv8SC
zuZ13tq(|s;W`Ex(^stQ$JV;@Dcpb<{M>!eAGXHSCc>F0=psv#C#q0AWzn+cg?lCB>
zIlX&b)<|_1OD-eRmjA}>)~+JP{1WCe(Asg9+HUaQ54G$^OSn<(O-i3L@~!G}^YQq>
zogXHneBSXtmr`cwrlbWYzy>HBJ@d!i$e9P?fES?wv<$;Ez!>~g{dUq<9?)dxtg5@K
zCS-M7$mxsYTGb<6hqN%^PQUBUJ@{P8=(7ZQ<SawMLcW-F@2q+qmZy;5_C_tfL1Y7X
z85!yF>j6;zuT4e5y<Y$la18Jc)$m|yV<ax`z|z`JhY!-ka1G7iWZiTM0h%>ksSw@W
z$wQ=EdbQg9x~c@$3vx(;K?t}^GAvZ=hflRlLyVS<8`)F=!hhY>ZWCRk(;u>KNH^C5
z3p?ZBf6+NX)6Dnr0`23YNp3;v+~M`phs-ouk4fn5Y7!J@91fshZyF83v(!@HJB(aQ
zV%^9Ah=esi1U`~2G2d&4dQK|^%sMn}7i~w0?#~8=as<Mm<k-)<v)a37aD^)sZ!M}+
zdUN0elgXh=Kh6A+DjW=h0)#6PRv;R`v{&xsAjUU(&$Mw-^L&5JuH>p}T%1_M%0bX0
z%JDumG^>MCcwEAB1gbgIz>$v8hkz(!SLbYl#v2&B<ZTeT(3?^C-NbByTSgSd$#w7e
z9`xf8bJ!L~u|vyb-)e?-oH_z>p;_|dQVW20KeWsTqUsHfbkp#Oz-J;ol%*@ce^hHL
z8e9C+JDE5;U294;U40lN|HlHl@EhGe5cGyJrwS_twt~^KUlTvfm9dbqMeGneC*0_M
z=IzZts;P5DcpF!`42;WOFD~@E&O_JbBY*JOs(0|4N`Yv6Mn447L+yB*leS20J7^S9
z$P!(~DVYvD>O{wF7LUqB8<88H_Kb20$ds|U!S@{(^vFt))|n<|*DwiH`|Px~R&Q4R
zf(q8GN)<c<zb>_!dsZDRS%r8&!4u&xu>9eFc|=Bi_3#CgSVy^{fU)dvL-l$`%y-@@
zi3G_J_YmG$Pm7eQ^_H+|iGdSa-~a}v29vN@ag9pEkUsTA)s%tVNw?jnAhQ8)Nq|r|
z<0&v{`#kerr*4wpLYwND&#YG0gx`b-n%45qAJCFK4mhQS+c%fLEK-`{R&8Eg7oXT9
zY!yofwXOM6uW7`kph42%-OpJxC8Nz}sm~4+w7`@-_3Ux!zv8k+Iur2=&2EPZwKr8(
z$$!lZ0QkYwk%h$&aDIvtcPmU@nQG=<u+FSz1&16e#^{!3v$&MoW1#AtV;>`s3drSc
zfpC?UO!MpAIgO;%VT~X;kvj!{!luiYeGx4M9tvBK$||?I6%s9y5zcy*SLhb1Do<%e
zEJTki1bGHe#bMaUB}~mi*L*Jc*4A+SD@U}5Fl8QpzwWYScbL`xW)zOLceqyoPq3@^
z1YF-$!0Tt2z(T?DuB_W{ui1Eyd&%%&N>>9{gXROYUXF`@Ri&k$Ow;r~&jmTDU11*S
z)JCL!+UUaJmw^B8&Qi)q!?p*ZT~sm=Sv_bGp)Ln_<JMj*RyO=77k+P2KCkO#4AKjn
zs6sV+ze?1o#L{MEhc18tFWSYfz|{l|m0^;0{EL3R<o3bgr?;TgsDN-^r`7b#)e*s$
z5P^WrOeE)=<q1}ooaujqKftR*r@B*KH;qRxzPfVm3lDqsbV%^QjTAL?FaA2unASMw
z)<nDSLyfAn7@(ko8S<13I6Xx80H!L$3DQusz~Oz=HPhZRS_@iB^8@Twoiwbno<n}A
zjyDbEPtry|Eq@^$1qJ-b6vZ27&{N$rJ#NL7h#F7JJ;Ro@Vp-qRXp0wl=W$*cqu`u9
zV1^w;<9DQdxna;yUl=0ij+qX`oXccG+U60<SM!|%98jkf?FO{hoJDmG{>`K#^?Vr-
zQWHsa<r%Zbs0UI>T(2JAD!lp<cJKS55{ZuNbc{v0rJolcOSuo7DONm|utU3tbkK0C
z(v&!I?-{F*Ac`OMOy&sPHgfy5^N<=~Ygx5|cphPzYK2)VT}b*K&F|xpF=nVocZgpD
z5>MVp9L55Gz2<nwEGGevPbTh^9M5L>1D)fC5}Xee>+J0V#!Os=Vj=>5);QPou13UE
zo&uFCk%bRWOi`+7_d61Aj`3>~TU=L$m_anv7+Qw_4Pm$z)Mc}?bIRouuCDaP=lF+l
zLcMKgAh<V1H8PS$MMW5>f$Vb5+5kmBy1%*jBf_C-NK_-95%(Sx7`~bL-_9w<5yJdl
zMRAtybO%SFi=`(!##~~AsYXyR;EqorPBwW<=WzLSgho08oX(5JAb4<iG*)ffdov*U
zUyN82;@;|hb;wJup$-kqyIH`V5pr|B9&(=64OZ=B#i^<Z$ewvqP+$5#>x14OQ}!*P
z;!ns6Za1Mk<)9{{qs|NbLh@|Rt|%k{HtG~4?KWY=57JMTcL$e5GEGGV0cas4oPJ_P
zcPsTvO!>11(Ro>^$g#KY=0z-4UOM-N-17}8J@nUv$f%D|#Oy+{oVrooNrmZX1nUO;
z5BYD4fOQ3zt&jfvz*WE=wxfpo^W2A>l?zz5p=l0rB@+@+aNj8yuJBBNlxY2XWw0B;
zq~W-sDGM{)F6loixk|o-aGZ&(E4p~5Vo|zH%=T3XgeefXx;c6qXxXZecqWF^#T4cr
zjCmBqy)ALhwzgr(r+8O6K}>N6C$S##m{RN;881rE$|PQMxAda83K(#NIOc{a!xWZ0
zMh=i}z*-$uZB>C8pB9~1K;3|7U!FkTec*vI=tMYl?De-V0RZa&?@+HLN_8`C?+Q#%
z6F@Gql@m4FA>moe4N{2vfiGpNS#MeFJ7!QrCpT*`AuD@=ROr(PIvoU+)kcWlHMU)t
zzD6w>@PO909q1^kat5X4`cJ^3VD?6VA_+3n`ekHl(?(@WBqb9udAaL5R?W~;C&pxs
zWpaWNVIoR|zpDe~B2qhB;>xl&%@ytvh7{=}O^d=^;y&|jY79sdn4a6fnjI*BM)mt$
z?$B?@=kbMxyHu!)x1H8CYP1l4;~xtqVa0Z*9NPMPJ-hq9{{Do~-Spp-mt>r_G6pK~
zOzSJnriw#SDFIQMXhJP1%;H*_o+TM@Z`1blPJp}N&zdCN=bH9EG6l!}S5%S`{43lD
zeTwWW%}Wky1db)OZ6&oP5|fC?-?ml%?@Pm1am)-rxImb3)l2n}W?{~VN$i0D|0fK)
z&8R-(hQBGeWWnyDo}XJas(lL$#+es%AMqeF4PgAAMtsE1qno+0%6FG_J2s@_|C1}t
zs-mV+)n1v1g(m{R7T(-Ui7BCLBD4KgMp%()lZii};-<37EW7Qs2GB5WBe3O9YEr%M
zB=qeFFND%Bqp(hbBUShiWJI)wFdfk!qxnp80<WE1Ku)EAg&iMl^;NY)%aum_=0$pl
z+j;d6<A$61=)dEuZ~`D#4n1b*0b(VRHt20XH2+Y3)}@LGHx2E+Y)(<a55v4Bsi*L|
zMfB8$bVXA)U#Cs8a5!kyl4ri>d-^f(W0YizLx*r@E7LM)rwW}??5jhm)E?UkU|xU%
z?)C{?dfuVzdt5+Jr2m^ATt6kRSYLuA^Q+A2oS(iI2*`NWTHZ%uT|P0M*n79}oSuq`
z5{EW+fxa#x;Uan~&y;b>oFRB-=z2B$;x_5H-DVICiJ>!=p(il0X58AF^@he0=y8{q
zq|tKp$lNXxpI6@=-tk;ss$80YDk+=Y003Shq$57JL~hfBT^zp)4Yug*7AI){4EhqQ
zq1AP2g{(F}Q6C{X3XA9F<YkL=M!Ytw$OR9>nFul`qbLX*%*BZ<IyaG*5Rnt)W+?`+
z&;5DyFT%dSion8LQ2OUSQ%@!%!rQS8_}luo$3n}Hu#~5)&#~}mnPEc9fPIS<o~cz|
z&J^~*gZ3%^>H`s3hOh_I>PU(5sf8>@d7Kt^bIVj<0ZY?ft!rRoS`<tyBf2RJ4N3C6
zN&yf(*iDr}+zc*=o3h)46(YUOy4g7o9w#I7wsag>v^iX;%)9|mH=sP<;cNb@V}A4v
zoo~v7;-trPg7|pMMLd>)s)Dbpe4fgzV5}}~<ejsl^09=aJi!_b{C_^0ejXz}Ot@`M
z4V|`Tv}_)>&BE!BQkCKg<nZL?Iefgqwy|4r-Vv`de=jn=Bi>Eg!w`BP{a>-mdG~F4
z%YZV&&&5c86S2B;o9Kx(LYOcVq-((T01-d&&~*0OcgJH-gE@%n*h9a9bvDn+?$9Cs
z>ptTVKY{#IcjSx9M>X>gOu=crTPS;g1>OyL&0xQ0Rb3k|9gzMb2N!@sy9>W)ULG?0
zK@@GbAMHgP^lnD)Fmw;huq2fcCWNW?#nw!fi7}aQTozyS71_IIowIP7TJvJ82Qfpd
z!HxW(O`{K<0<)KmM4S;yXx>TZ?Y-u379WI-8`Z49&$2%Iu#9zG7;m9F+t3IVih%YZ
z->WGReJeJ9SPUjpwh3A1P^v(#s<aAy`u&oTRf6Y~$G_sSSs~tp>mFjYw;gKHFwuD&
zPtt@KXKD?D$Ypk1%N3n){XCWmjBiN>m!C|_)oV8LNPZvR;JBA9-xspd#_I~W?_yxG
z7o!>s3CW~ug>Dq!x_ESuhlZSzqD-gQZ5ds$k-EowSlp%ZpedQZr+5{3w*ISVm2&&B
zbsle>8>rQ#e8D89k3f!8pYnvNi{%lcyQ^LTWcC4}4B0tmZ;^{*7;ENCy49~Fx>;eE
zdhJ<6FUMMZ8{v17OXTB0(FyR1s)FdC%ZW>2>JQUmdPDefvq%T);eP3QUtrJMn87VJ
zH#1NB)U)Lg5QXJPa4UHgdKJ|*7m^h+x}x>ym-YJYL>_uHp$fq;_3T_YMPd!%q!)pK
zapSquK471XXRqO1@=!*Iia&Ov(8|hjDzIpSv;T;76yIsowhOwqTWvc{7Wo~COD}^x
zx-daRGPqu_8@O;q#}u48j!1=>UdrXvLk_F+<on?-#HMWh3<QRr&s7wyZ<mpy?5!Jz
zGZuJ%dk`QUyr)se%sB{6S*+}435M|uC09>&X>CKA7((-)iiaVXSdNrAO>GiKcjlFL
z&#5VMzf#k^mPnX@s=$JbUTevvNug-FVoA3FWs2#LgBvQ{?9FiS=;W7dK%E=3EIEqJ
zPncZTzH^pQ*@|%12TKTRfGPsZ90f2~fvYc}6PL4A*n~ZA&Rbb(H(l4vCcO5yQmkfs
z;eyt7rO#R!7<?IcIAnL|#@9%1KT<gW{H2mvcZ>L%RPm)qI3{*Phm2Nze(ozBl%Maj
zW^uA%O;IKa{b5Uelf<xpW3HqGR)M|qv{}JPdEvr97^8wpuvVc~_hR~gl$4QslYA2~
zQuER|s0HwMTP8uVz_QTdj^dMc*xV!ZMg%X%v>aAJ7BcTWKu)|-U146A@B=;(N?Q{A
zkNA-Z5A7Z@E^E#c@ht^oz9(vl-x6hA9E=8SF;m|ZSr7e`c)ta5k{`B42P32@a3B=T
zKg=n<>jdM9Lz0k>_s#<?yH6`1^Fg6&R}59qePix%87`)*Ze*$0t<os#I*Hg6<-$v&
zjxEugAt?|s>}6+Bh4<bj9&)rs=O0STd0&W0PrK@vaY6pFmzO8_j`mQ-0$R}Ve0eI(
zlIsxiHE)Gx2Ge{iQr3{_yd?_<Nx{&xxDKYQXY2Hjh>}5h>z|}v6dZg`J7DIEBL%^j
z2*beBXN*V6Q%Zl~+KTw-zZpzn7?r#INkR8%-({M$H4nh~GnubyBQg6})*}Nu8jaSf
z^3G-7m*Mf+Oe>@R2=JIKt_u71Od&cS^Qzu)jL*%Ort=z?N{bZ^J6@Ol$AeWEbID)4
zGenM&ib33=*+t4~suqb~di<=v-U;?Ayfd1sES&{)R6v}>8*<bpd9JOOH{Rlc7zn}w
z*842&onhc2TzOHay_G=>a`5ICQ3==Ym`#o;b+>R{-(z#mS;1O)$zz#Pt^dp%H>%{p
z+sZQq+uXvX&?vegasGCk$_|T`QZl{mEq4)~H&hg=!Mlh{I>n^YC)`{84qn7##rj1t
zWTl6_|C~^aGzZZ=WnYS6Lv6KI1vu&<t(+F^;F{a*-C|YEPe1?9S&{s5zB9i#de03c
zKqNUXYC%4NZ()EOy0pEC_ohvH9(~qaFE;iPVuus33}I@_`-4(A8O`BWfDpQE1H!+7
zTxNV5T6>K~Tpt{GAM)^P>iS|#K3igdUaH1FRv@7YxKg2%ra!8%`@HEkCDH`rlO70B
za*U%O!4HY|8QU_{>5#uxXr(g)t^QbwHgEqc64FmIskGGh%Q(7dEl_jFk00uC01`?l
z-Hxzv!_(t&lJ^sOLrJ3f9g26$tFNIMwy+}Hy8VP2MY1PB8xsQ`o`JyQ!}HnJisS>M
zVBqm>fOwPaJH#=NNe2D+kR;nY=X;adJ>+WpwR)~e?&)R*X;-{w+RxdS`$MB$2pO>>
zHYucHi=r4GIU1sSi3|8|lz^G+%2Gl^ZoE3(9dKowRC6E!KJvM|ajFZ>UyO=KJbVV}
z&5S%Vv+6hZjAPv>aL}meWg_jhmfuDn5w^Or2c+eHOZ>r>ItQaMZHoFg9zb24I7=$)
zTLn6^tOVc4GX3BM#9h=DiWvpWS5=SIc)xd)8nUW_bG#P9FrPP5kzdfwojBz{!RZ&e
z58@BrqBIER6E9xGfWP88$`(eX&Y*#qj<)-1pl8x;>_l28NcCgO@0t^r!EZBUESB|<
z9KQaoN>k9}6vO>J?^3y$gBwO|olsm;u$x*5B-s^>A@40BRks~6Tq1mcD2VIs=JbmV
zvA~t~pP_|Sgp9XrTRMrmO-B}4Ur72_&3gNGc?JpXv%!HhK+AhWtTxV*6f5_}acj94
zYomH`eAyuPn^C}05V{oPP#Mn>Y~a{T^SHT^EfEy%l+<Q4%F-AYQ$>M0&Aq|U=l|gz
zO3)-Wv)D71=n2C_%ur>GL54%x^j4KwlcwcnJNUNOP$q$j<(dF;=a{Wk>qm$0GPHwW
z?qkyA%B&+KZx}$BA8r3}-<_NHkGxo7*gr|QB&tZDS@0JyXjcsIE#Kgf=kH$V-Q}RE
zCQD`35qYNS?_DkH7YhYj|LPh)WS<E4?8=u)0LdU&Jhkgdn4QmmY^F+)Lr+NI!ZU84
zxm{gtgA-`_pQ}y$9p2O*J*F5J&dR_M60oP{wreiBbZ7lo7f4j;LbvFP_c7+{niX<V
z)nD*)LJ_46(t!R=)C#<>SM^n1`II70L)Wd?IEb0UscR3(GblkO^%4)jdxNcdhBa_i
z7oLYO29VZ0sR-|c?7o@5Ym~MUx2M0b!=YcD&-QP<T@9|%5FsI<ps&h<plh9Jxk9-u
zy4uw=i%f)Gg))0Arytu#j)vXr6xZs@ELXwwsm6ML5NwA(al)H&hD;ZvwkXB%J!?EK
z0n>>ZmIZ_%F;Aa!1H8>y(dM?=*I=?EL?0UMO~SBKMds_6;H<Ry{3zHoX^Z8Ipz@KT
zZ_Pd{SNo>eqIvfEW9Nve?5}Htqr!pyXNwTKPYO>4m2lGL)FXpt7EPF>*wT$6>-(0O
zeVrcz4~iX~HH6zp+YZJUgq)TOaJAXf?0%yb+I`9dv>)l)54KaFfWn{WVr~GH?X}gK
zkBf5r49j&gqyM_-cDvQCU!0uA3>st(6Ge3X2n9{R=n;P;tzMy-R#HlV`lAnZRwcWw
zt#d+Om!}kH;qhtFz$~$d<{Exh>;eM}Ur_@nV!^(4Q>(0P)vfUBs+mGMcH@e@kdx+d
zpcav{8u$Iq5<ks)zB>8tY#tU<oG+tAei)NO6+bvM2xKi%I$RMLNd>}hb0fDi-TK9m
zN^VQK4k~wbDo-Zho7cg@-jR3??x3Tc=PC7?Rxwzbh*kPAIsAR!K8Pa~$Dblnai{@I
zH4*~TdepvTe0;6O5lo3pIcj~&PdRBQc4F@cUHKJZZ%Nzy>H&iXJ(!F7FZy};s&dee
zDqE>BlKf*=K$^d|T}TJ$0kPgHe9o~dopazLY}H?s9m7Ze{K)k_8T!H=(1(K$+`<0*
z<6Nh5-9FI1lg!V01^53}&*=LVr{Np0wT8RJNmHpm9GT;4^plYya=ep<_u5v#pR*&{
za!pOd1V91_=U9sWyh*DvxE1$9@Q%_~n8EVu<<Wvpy}lT4vxxl_*5-XC|2)<!67-3q
z-T|YN4;9kD9-c#6W=zMVJDCn?c|juXN%x)HJO%6y-A)a~36a@vBZanjS4{*g*MvEb
zEA8TGA(TTdi%mL+G$+u2!De-vwzxv|36F&RMk7!wslQ1KiV1p`owd;#cj%@P6*9lp
z>bWOL){XbFc=4eMPH%ha=Tb#4Pb?Uscrb!MjSlQJ(WtC1eV;4920jYX(0;@Pyh^;8
zy~R$aObk^C%0-woY7?gJ65x+Q5-v*NzBoB8yzEu2=F!yyh>9x@8?<`(qV8ZbJLOub
ziuq~nUw4MPKmM7ddfZ6rp*i2z%;7X9`7XhB63WCG|2m5Xg84mg+HC1>d$}{tVsyu-
z=4~NUI@PAwA+$f;I`#kOn5@chmXY?{*95u}TLA0S8wP+u;ay3P@oLQ*UuI2%c~;Tf
z0aOLoNo-=1>w0Gk&IZkAQ)Lfg(_G2=w5)HqhMDI~9uLWTx90gQB(#GuoRtw0<BNo2
z5lZ&NIyNMI+aHAT1HIgl;#9b3(9F(|uA6Rqxa{hUXP3Yd-FSqrYA4@SdY9uom*>dL
zHr5qbo3i~#@6-C1&aUk~$~V8U#zT(+|DAe;ED6U%6WN0A%&CYXqJ^q2LJHS?z+wum
z`BHc@*&eN8RV0S7pfSdn!emA+`Z0C1&{<?$4ENc!ow66U<R{Y3H&+ltFi6Z+t=X+A
z8}$p#afQ&SJK`yq&<_}$$b{Um8^5A}QOg;oE8O>Qx?R9wf!Nk;P0ffm0+GSR{K>;7
zyKJ{rFgf0-@uJ-N(ueMXsHsiUxvb<$Q4AMv&~!BV!$G8~_s=1;+EBetqg9-31SGgm
z3m>Pn+E}ot<B(Q04Z~86#ZRTlx>~VhjjbnEk;f(x2N@SZjhjaI0DsIE5oMJ&Z|k%h
z9tlq$pakDO_~yorDZ}#uDGoCiIeLfuJ!FjmE&0l1$q=ld`f~pMkF-wKuj8&j=Jhzj
zhD2~|^9_j7!%I_)7SdEBG?}bQH!dtI+GUkWwDCqrT*5t*>*^g@Q~<qz4N6X%0|rZ~
z<Vc+brtPJluZb8Nn6lx^+ln9e-vy@lkg#V4UV;COqkIrcCV0bT1HUGFiNG=3s>bwy
zqd@V&w8yc~bHy$gJP@q#9)BxQ=@65{${}^4ujN{D`yScUg&=(_xEP4%=HJ6NSEPvk
zd7gryB?iz{F+q_D`}&0=oRzI~7dkyFHDyRRnhmCqRtv<L79pHaltsCa^RZ@bm<;L?
zAX?})eh4F@T&M$xYXZa*R$Y)fXEN#HqAB^4{}K{@UyU24mn+PcTwrqm{xkL&-KAS=
z-6#`R1NQ$~2<7GBIuI{uvE(94<Y<vqw@}+yl#;`@@O3=p;+<0HfR(KF%cUWK>JklK
zt~xiGCk1F@WlC2A3$tW4r@BuVvAN*zQ*@`uq6Yc@i#pgOZbG1%AU-5Dx#$>xI-7aw
z-<1&I<BD#_0rZ)+nAruuy$U49Pnz}eteblicYbVjn(rj@U-KNl$8ACR0NAYN?S^H)
z&Is)nC-o!>hEs8#?uS%_P&5|O^sA|K$Fj)UZ_rR^qb<>7aQll|VIi-v9(zQRGyuDZ
zXx4EH_C!8=K7m*vMtX*J$De60HrV<?D@1=H!$Kuzv!lKytCl4WG|lX9y@3sTc5MB&
zQ#Q+ib<o*p<}YQG4VUtRzGUP&bNwHrt7+Ym|JcBRh=RVxZ)^_>9u)|}#+-aoX{E_!
zKj|5j=wHAZk_^pg684Mi_2WR-)3hp;)?ywc=b^sI{!%Bx(WRt&2WVX>qE$A7VAg&T
z0QF~622ADAuCmAw$D|s=hbs}L6CgFRzkWUXY{a8|t_Ku`_ycq&GA@T?W?5;%l+8*6
zX%6oV{*+0uNi$Ht<E1@J&A7Jj+Q|MT&N_9hp~3b_@$jsCd2A*~AT~Vr=)mB<d2U9s
zs{E?f<IBOvbEMiyY-^&iGD9t32?;^qBHE@+(OEFXQCSRuFWU~sLos0Y8@CZ%z=OmO
zO(K+-#&20l-P4SM2)LK6CTh9T$V@r4X4wrd9n5S9#nNxBtcBCc<lzRaZVaj0Dq*AB
z$bDO45^&54PpCh@LZu24J-HsP#7Z>r1hR?_D}(<oF^XQ)Qx*slfl}FGun4^3r(*5p
zY?O2eFjla+?;SFM{&l5DqU0o2nF2y>`UmJhLoPX+{LN$S%Rs)h7$cUv-^=~PH!<Fh
z*4-Iu5U(U(ZK-m1fywp1BOVl71`#nEbm?emq8Vaz#!W`ZLN9%V1(trJ3SMTA&hl_k
zlW^{sA?w0@HPMOFjt`q~YARvSHe~3}!$Hi{g=q*_27<d9R9UB%J$lT^KbqNql@Sok
ztihqcV|}0X*a%;DlInVUP_W`5@Wrk(BVa6{nG3%d=8co^*m7=V*@=;Igf{(~l!a?u
zII^QfZc!R#&$ii65%AhP;PU>^q8`<`=Py+i7&7y?vrfKw@xU70_TGrZs8;kJcd~ms
z`Bv*bVM3n1PwF;dD~_cE#pWuE=d5PvEpzO}{#GjA!_0mATUqID3SpVxi1&pW-``TI
zK@<hy&RzCxGyEv{?^0e<yNGgPh1RUpyUg1Hv8-^*^m{|Niin>(F5#)7y9vLCg_EJY
zP#Y7(q&}8<xmcsRs|MQ+D?(&uz;R;iqfQXI+6Owfo709Dg@APejC+SmWPNy)5CKQ3
z?RW!MfPMo4f7`%u5Kcz`UA);2|8^*sM)6GF?R$hNPXDz5F0vgkz#Ch@$cv2Jp%O;R
zR!+Pas3Sf8_B`JLlnCDO3`Q&Di-ru{(QG+W2~oBmp3vFY3P{R2@EO+ZHwrAFrDF-t
z&WMQK4%^G0sohi^CeV%aY6&x49c6@0)@ji7K{#7nR|vwd<mFGA8;P5Tu`&MNOe4K`
zSzy0ji{}8oR=&MdCy65$h-VL+b|ATgy{6$ByK7c0{ZsxEWv>UnAz+%G;n-hz09fz|
zS`)$-^!Fe&rItHLEzeWAX+)AhH{5*LEd?)*ma6&|;HZ!~_e<Io{90~OV39|K^#<|i
z=azkpOu%NqO_<Ngjkh<94tAZgzNE8pJy;PKm!cYxpKm->x5><Gw)4`K+f1@e@+7f*
ze^IoB8grxvO+w?@!#e)E(M}{$2DlfSj+nAm;6f-jGlT`oIHa#TH`Z3+fc-%}9<5kg
z6Ljym7l&m}QUcI={4>cywW;_K-#Z<&l`uih;sPo8Hj$qf0m$a!U}I&{L-?jzE#;w1
zZ8P@vKq6U;)9)h}x*z6PCN3XybycLKXGL;Qo<ZkrfO*m-IJ^S#C}iX*lte@7-{&u?
zkl{X2%9J)yM;+gIx<Pef9E6CGKcaX^a~zna4ok<h1u23jRGReF=5>r<^vUCXwl>gm
zWNGyu&%xWhT^!f!?6Yv?4o0B&M@b4qT)|xoSmYMwqm25v^~F@p#uK@0rj_>+A6L*$
z-iAH8=(cGR%%{@bAs`UPrO{EzY<40Bz(!!7z9J%N3TtICxdZT!AdT4;KW5-5dN$P*
zJYy_YC-$H_H064h%Dl#H{N;bgxA0Yv7Oin5&9vjYORvF+V!}W5n46puTQ&}R6KRD8
zySLn5OepPt>xc$7;1i9k`l1<gh`MHKhd0Qy*lW!y;jE<T+UB0<-Bff{KR89)d+LAS
z;zRNM-adi6`TGKQ4q93UD7q1$1&;q4N~Iw)$|4O737qWNzU;7kgm~h3cOeNw`UHv0
zf+Ow8Qu8iHj@g9e&Ku_Tlu1~>1<aZ$tI&ho9S4YcVTxnT;iS|=KHeXb9Ki<Rm!nG0
z+FberZE_Rjo>fU;82vVN3+C^Xx=UPj*4L;vD!*F10MY}Fl@-n<Rdq@Hfe;V0yH8`N
zftw+bGQS4G4~5^WVn)3Yc#1`&?s<@}Y5IpM=@I>6jr-Z6(IAJqR5ZZtznZ3$CA2zo
zPCjDJlQ?{}Twoq~AOSAIxep&X^JFuzR-_stjnTC?xJBXqxk(!rJGc&TLr|BOv<@UV
z>$NBS9ft=6s-5P0MmN>Gh`G>AqxJPp6judks2l1Xfa$)JX+HI;eMZJk0j2ntwf#*W
zZk*Q_==*VvuUaRempGDCO1Hpa`39%(015q@fd3^LzT+WMD(oOZNXg5bpZ!Uw_3_It
zZ@?L%`!3EtI`!<455;yv)iLG_Iy7X+6W2$T+uMQPP)J}>m74t^eeWY=Au}%vi7OjN
z0C30SqogatXAW;>hLM2<J>%2@9ge2<zrke2X~GW4rSQ*Sz~r-Dkt6;aKA^+fxx?J5
zJc+!d+)p|VI+z?K6@V!a`3LgD?{7Gk53RLJ5fvuRvN5#I!sH=`-R&z`u3wNvu3vIq
zd@_N6Qq~$U|0ID5=UXRMmsn6%c7Wwp(8WC!9;CPpV=uICHqB{|dN6`c`viOzo6#WS
zaHxC<{emcp$QF;f4YL%*>qVl{(!2;~@kg*3BHF_7;qD+y^`uhdwZ|na5}?J;9R3~m
zoGnz<F2A<FRJ+)#;Gb?_fCeg3L^-kTG*p=Yv&Ld_V3F`;+L*h~x)i)SCC;OUp5w|E
zXVAJn^kmu`dkFj33Q6&ebv#g9p{LHf1eUChk-u|>8Mjb7J>{&zyloC<xcA|NT~KxI
zGW{Mi=~0m-V&Ff=b;2irhE2#svEt1&M`%=|$@U3Mqu{4a;NF;JP_|}-5XAvVG__rE
zmiU)*aY<3GG6`!@1TReo9zw;^lbHKPGJWfcnX!~EGNqG8;DJ<^9%K3*FNM9qnr{RQ
zBL$d12Q8a`=V#Y2GaUoT-MjGGjxj4(^VC)cv<SZFwatuj{GRkSf6LUz-PmM9wB;Sd
zKlAX@V%Z2`^xZS8s6B9}Rr(W}+yj@9rCkI@-x-<yoq^V>>{jaH5Tg}CbFt&<1AS~`
z`kc=o5fUM&q*hPRN5^UTMyo&3LVau_w^@)wVB#W{%F4ic9^a@C`PlKbJ0PIQ{y|GA
z`J~r1Y1W3pjR3vl9|w>6NZ7#ItGsbtRXrv4`3&lfNuog^vfb~ZRAE5OOAt}6b?PQK
z2xd5Fh^7P;Y~WnykCGCrBF17?7DzZV_<WQYmBOL-7IU%%@wkdiovD4R;o|_`VmuX*
zON*{p#x~{XEH#mJIo((Am)$eY<WBCfH{7R%{wsBFCR;`dei^KT6jOi)2o*Kj5;ps3
z_6LrtU78+xegO3A8~?-OicVfu7%`Rpr97bd*gghJ%4)ZLB1W#@t|$0o+h1)`3FJ%)
z6dR-55e)IGNwM;$`*PH)3#*V=nJSfb-YJU>R&`e1gARH>6dqxtW*Un$m;_o$*47_M
z{NyQbsGAdcbJCm?Oo7rfUp&pQ-URvj6TF?ssgqX8K~=Fq3S^g~$9r(m8r-4D?B60d
zw5(fqs;1ImSRrTwrpkn4kW=xt;0;GLEzmBU=*dbSSJ?f^AGhyfkTYq}Y9FSu^_C!o
zJ#!v6Eu4|4Iz_vGev`SQR!$vkZ!)M#WEV?fNaRjJXK!h~V^l-hXi*S1uuO-*IZ2H^
zxnb5DAa2RVJ`dtiP99vF#jOsJGLMFlkQ=4%Ow<hzX;J*q$#`0%!{41cWn8mfYz!0?
zUPBhk(OlO@Y!)R3g3}{z<T9P{?EaFh^%X>RZr-pwH~~+H$mPzMZQ82p7>xAUWUI?i
zcUC%2_7$oSQ3sk?a*A;<d>$j)FydCR`|&05W42Yxlm+e%ImR<{=Y>b3t;hOCS;mt2
z?xzJyiO7bhab$wQD;P!!57WhDBQ78KpP=DUXAp)72G!oXL+?_^7M7nRMNc{0YuewC
zFJE%ZIfBy{At3qHoin}+CxBmkMlO(rJ>S0HzD{TKdoV$GdhwCZ){>XX`favZyh*k>
zjQO5Ag}z(Zl|K~QA6sZZ#gwipzMn>!Ftw2V+jk%I^dFY#n7+qEmboN64g;GMCL7Eu
z8V+38TT;um^(EQ`oj=hbJR~Kx`3*3FQ`3I!5!Q2?`d#xKkrnuiRytU=i)z!8*<idu
zK*zT3LU!RHY*@M0(|CBLLvkZM|NS&$Nk!)}vFz*K{i@mX0jm4miUfyx%I@dU`}5Tv
z?fvc@g1ZYmMqbn8kHks~7GGk8nw5ZmnP#iQUn5VoYwXwqGRGx>G4%`u9K3TmEcFMr
zyA3qZo|u%ad8kn{^TB3F(?#Sf(U+W~kR8A4Nis$&b+sAj>j305LxU6gHIs<w#Mn>;
zrYx#vUZO{A%`R$})$U_4L*V*!Wz>S8nQIijsMvBJnlN5R2ih;|`2W8|cmrc_!?v){
z?GeClp9nJMyqCN((hJvn$iFMhtd3NW{yHk%9G=O9#ZJ{LpQ{Ik5zbQJ;~`4Hfu);^
z4Y4<Y=>u^D{mbx$<B98p<P5VU>?N>vUR-!F%_QaC@d=D~(&Ss`_l)v8J!aH{q0V*}
z-_2`-y^=bVg0t2aew>_xQyE|_gYLBy{Oz0mpU&kn^8V)iNiOdf?sgB>Z3&_a&_xMv
zy6|UfHx`k8FA2cYurG63SRT!y-rb@+%cPwEeqZIud<=hFPF@qRMlI0X41qNWCik2i
zD4)z%f$OE(Shfp0Z3h!Fr40Th)W{h)8eX)pRy4ZH4`8p5@M#XK?f}rXr5?l&&miK*
z!{Yb+viThAV=`TSm$8^T4HQ*eb@W&!MBC`S{B!2PEcHZkOEePa@7o>*oRS=S7)}q&
zE2{83?4pfsXo$<$8VH39X^|7<^Ff_KyU+%1+GWA9-{CuVo%zF^A@n>R=})dvw$$$L
zz$N=OK?q&t9a@+x)Z+UJR@qiB7S?y_b}Io_my;C1J!IPCf72VhLyx4+o<)wDINaLQ
z9%lKHMN$Ek_$Sl>c9~imr?%ow>R#$_bn-<7Rr!!mKeUQA`LXi*ELB%J0XQ3A#_rfh
zonJXb_Qw`289u&Pg;^rFHGrC?eS5JcGR!05Pe~b0$Zg^5AG|bxIQi&q{5!vD?HuDN
zk{QNU*co`a9YQv4Ji>WyJk`L1I@7!lKF)5;?)7)C)^3svG<2!#uIQLmA=>L*{|I~I
zJ9re)o;lc3Qi1`FP^f$itdnci6WcZmq`NPgn=2@>F4A9Cb;11`S~mnsun8uB+;_se
zUeDF<l@tB8@BcW$l-aIfUxnBYGfkG+9*IwY&n0|<qIQSx>J!>PIfj@^7fTGfAMp;G
zfI)HX@g;FUIEj42CaVP=j{G_a3gqm1q$n*=M+v7@KAhG}81QJAg^AdZ><5Nv*I~yJ
zY}+-}I!-~l?{BX=YFtx9Io<A64I#mEh=|jA79RA@BJ+>y=~xTq+F|omh+fV1?OdKo
z9kq)0LwWe22Se-Q^5XH$gy*y6MM-!9`7-Q08Raooj3zXsc1g~*G)XCShEd%%MCm}o
za*Nrch3{)RI#fM?LicaDb1jznFO&8qQ-?`idtJ7~o85EfcYy%a5wf;##Y|P+MAFif
zpLJdI=hh{tlPuVFq$^V=%tE+dr{jCl)?$A%o}h1(U3*(#w;ODrWZG?>Zus~{zj7-;
zH&vsRN^`zLTO^jQj`Ofa)G#~^UE40Sid@Bf7vbG{8Y2qt6ke@qvrSC}p&yL@&gtjA
zpjWvPWBrrjn9t`QR4PK|OONh}WKERKs;YQh>q3Q0Vi7y7(@H&{AM2{PxFb4>8bsVs
z9PWR5?{nNC)czZJ-k$sV8Gn@^D4QF)<w7g$>@QHODgjK#f(8iq@isHd{nDPr0`I6y
zC>nVWK&kytqc|jPu5AgtUQbw>^n4vF-=k7hGHrf(u+?vd*CMw^CwAuNifsWc;n`7p
zjwvXIL|5dmL9$wG^-HG=VN&8QQO^El_v(xN8kahxfYya;TiEg84nLUqK4X)rM>9hL
zHK`R|DTEHOi-O-RGGD*p!G1!PHAKzJ=gl*k{nYqNNW-XC$>ACSIN0?=s|M7w9(H$6
zL3;gC^v9F@hodN^Go}LW&RI9SuY|{8<VR#$5*DlPR}`OLJ!_uLPE-k_=QX`DJnbp2
zJZv@Q?oUJcKuH;Ff*Sw!dJviuiZ14N15Q`sos-qe?T~fmRKy6|l{SA+hx*0ZITBqY
zy2kUP#w2-&W*{*8o-5yEGH6Mju&k|NEj)%z(xkUa1fdy_z~!SDN*{aq*SMRCG~Dp~
zmK{#a@@tBisB5MZmq5o^F&e*zF|$MP=8t!}sk<1DZ&l)q7GwT}?NS1Dt4TQm@VpN(
z0rL=Wf<y<t6Y!-E%W;6n!b4ZWmI$R1a|{2eQlMEwDtH42hlXY*^w#6=-J%8zRl?*B
z-9YDUjQ%X-7ya!#jT`T81<H2W*LO;U>(`r4EyrnfyZ@olZ-jc4uhK$gt3sb@I%-G8
zqz}2pqTJKl66@NG^kloHeM#Q|gR%<5*(qW(U{WC-lg|SeG$C&c1oADExs)Yq2N^G8
zN_FAo>@{OQz9zR^M5=@JnQ1sz#EY_YO!8c%J)bNpJyEa*fs92269g(RUBIob0nNda
zAtcAir1%U;I+*yzi#_`g;^8$Lx>X$QZPhiIVYvSv_z533A3U?$YBGkSEE5>6V&b-g
zW}(hp3CBJdb(ZI9D^|*^stUGZP1^+ViV{$7X{fGDTWl8KI{r^;hYJNhP;a-MFvyET
zrYX?XD8ho~jli>CWCeC-o#4Gl=fWrC01kwdYUtkl9MyqmMOgOIdN02?w@&PC%$cG=
zi^$vNoE`I7wg7C^fE2^P>c2fGMk}Huf^}g)cPP_!U?#MTTz`Ww$Ic={O+3Em#MQ~P
zhdX^PV_$jx<oS)@bG#na`487UNGeRL60$<y%L^?rt@ao2v`)_2(^aX38(enVfAKHj
z{y-vFGQy6!Bi}^S&mv-Gx$S?}<t_+3)TtV027t4Pu2K5S877xY6-u<qe^<r7MSa*N
zrOHWBF%v~`Js>-YOf|CqtQQZ@r8MT>5C0pNR5VfexDadpK}*!bMP7pAFxC)Tp3e(M
zOQ}h&%uS8qghduDTKpw6Qbo9TxS1c{&f3F~t5Jvozgwv*JeM{Z>!*_Vk~kSC7^mT(
z0_1Bg@F48?$*u^>+gnz5kcxbtfz@g6xM3SWuxA;Ep-ZzU`EvKh0R(#b62j>)T-Lh!
z;o+q&cy=MqoMNl=37D|1meUjScJD<s?fr^r#Q>p;Mx)w5EV1T3(`2*N|1p+ZiPoYn
zuV+JhyAQS+XFXf>wPAOS`P;B^_}O=48v{9~NR`Xp@sks4Z=_d$u?H-YEb7x|snf28
z*^IaZ8d0t3f;-OG7{SG4eKTwjL>br6{jO9G4q8}TB_VpJ^*$24qH72Hx<}npjFoXh
z!0ps~!%UhjDXF+@(hW2G4gqocY=p`1($)3N5gK3(w2HrhqMN7mrcKeqFnL2-016g8
zbdu2LBuF|u%)pu{OZESI2GPUf8PQRvTGS5W?S+&1T&zI7nMWp&Z^}8Lk)iirk4&>l
zG<#~5Ztv~VQ~x0ZhFZXroI>#s+!lvN4+rP$;&cuoBG`7B!Y@EV1wK?zHoG+w&NrK*
zo>U!Wav>sk5KBqgy=1HnxXBey9NXvsCk{AW=r|WJNC6;z`j{DTUe%L1Swr>Nji=$k
ze3hjr(S-#o_D@%yEEgp!3U(ILYg1Lo{W?@~)N%Nud$$gd?e^w4`QAXdn5%fb(M)Y~
zK&)Cxf|G<j6DkrDQVa2Gr3R|+tjbPd&wJA{?_}?KR#>Z>DjvW#!SWkBl1Qjmmxb#w
z^a~*3&wTb1yLtq43+48`2M1rz%iovabAW3;=>!s{{SSqHCvLA8X^IapbFLdX3KW4B
zKE<eTsq$r*uSod6pl~O5GR#DL<q$s^UwboprIp9&@UuKY%w~wu+^R6W`HUp`B*rh`
z?U1xu!%o=er{i#WXYzt@U7))$0LN`vh#T1W+CXn)+7J%|j7ClhmDf(gZ8m8qr5`#C
zo~%+ktT^kmHcC9W#l-&X^Tk^mGcPs|fSPrG!@FmG?x1qs2?wC=R+PWnw~~TBQxWCh
ztS|c`Mqk|&2)<5gZj2-1oR*`VO+E7XZv!&PE~<jPU(#BS@h}3@;^<XQ0GN5sdqny7
z5_I3^5jZJ#*}H_d7TQAoqJ(M~1gmZ3oEafWZC=<?ud6=j>gn<;n~yByRIC??pY_D7
zQqhj5@U4XpQ`trA=IB%EX2*t+wAUHG%<UlnBZ!xYw%8aIlxUh_cprWyl*sL*M2IsJ
z=aE@5gchq5#>~?yowdS)x1x*TN%IXtolh>8X?~j<dhYKeyC_G}URRS?V-iyGVQ4G3
za=z_wg3YJEPr)k?w4>3Gv|c(8rY&_oD~3C}b19zlZ0{TYRw0aZ40~!acRA1K1QK&Y
zQ@~HNp)T*Fr;}88v5-L8q<3~i5^Y*`4PQ%~L?1H>M;a?-2@!?(u({PZV<e3Rfdu0S
zdV-=On%gzTozK)k>Ps^RsxPjJ3h{843;b(#L=gqcj=%86FE12H+BA~`MBSsRLxIxq
z|0i`@9RnA>3!<<q01Al|UVd8_a@)$q_^U$wuHa+?&QrO=rO5REK-b)}#WgeH3yZ>r
ze>PCOV{b@{%fNH4O(<<%%@u{+d{K4!=z`785@Ub8ADp-O*5SEbh{0l@nVw=Zn(kM~
z;&S8OML|u=e4NO-f_(EzjDFIi10izXBJEhYTJ)})#%?0r8=T57B%USQ`0HG`leWdr
zzKD{MWOn(3vS0a~L)Yzv{z5DnX1q9Nb!hDxidR}KgeVjJXM*b#K2aPV6C8xRR%mSE
zf3t#>2o)p|!R00&jy4N-MIO-N04fkjlacd+FVks6WHtV{y8&^v%kMgPO#g7kDLauc
zb>N!J)>@T7lzc9!lOu$3Q7pZ|l<Y?X<AFK?_iEd#TAc0%rATj;y(TFjCN`*yD2ms9
z$l^*A0K;SQgtZCeiZaaJv7u3|N1jC3M`3Q_+5l2k`Y0JKJTqS@=;AyQpj8#!a!3gD
zv4uuq@^p1W)Rdeo<&+A6HVu)FN5Bnl?mWwy*OV4xR#bs%k2r2nVU#f1Vn>vcUCFcr
zw37jX?9N-86udU{Kkcap<-GnbbvP5!ReMEKQlYe4ZjZ7|U7~Q_LS{oeu#q80@OQvR
zzon_LwIZXlmEETVz1Gz>QKtQR^LD*4TRG1x=}i6`az;HeU3d|6MPbA-ys!20m@UA9
z<AE4cHCnNPWJ{(vV1byTvFKY^_CViX6oY_;)%ze}1AQ?t+z}rmnI^9$yvZ)8N2uWL
z1-)qyTm5L&)joej@?z7koY&tt;I6Q(3QTJZ0BGizF}B(<DyPrM;1+-^`zy<!VUK-c
zFAYgW)l~F0J9f`_U>(!#yA_>V+B_w;a<TtICY-2mnWTq^5TOA31jcTt(uZ$ltDvj6
z*kFlZddk~>g;2Ro)z~^sNNmxB$+w=Hve>r(^tJ4Bqm#T1aEK8CqF>|4X;rT12Ur=g
z0vYywe=_l31{vE0%pQ@oDtfbC@}>GJ=5sdmi<qK@bAC4jleqchWJ~2fbmuXSExBPL
z6IxXc+bZB#L#-n%p-k7+abG{(YQ-YZsi)ZrL+w{y=WajW)rg#Jn*H=2*0A+66d$Q-
zh$U!t+NwnnThTv}cLf#!)3w!w=Ycp;E$d^U)iU_vCX<2^nm!HS8QT9|hi{Y0IDfmv
zF5e^5Ra=A{YZSonF8LmJ4jE0AcBVwW(VzF_nxjzodSr?1L5wMRT=bG0ZZ08!Q=J!~
zyaX6Ty^@<<Put=8Zl{NB2CJ^ZGXm(J7f7CH2L9l*VxoB5e?eAUKrLv5v2Fu1WKPyX
zC|Q?X=u?jlTr-Q)jQ)Ez*@XyXO%m6a4xomclPbTcTP!-BRx~e=)v6i)#LxPs$DsU*
zJ!SKO6{)|#J#k9Sr*e*-btnt<zFMtC%l>q6HdV{nGq<HeSO#JY<2MtjeiaDOm=KM_
zDfF8@cMQd2c_5;l`t6QZIC9Z_S!5pBv(uNTmY#cvgBFnOQQpqmrSBzcgSnmcVi7MY
z^R?}QNy?FPK*FJlTtUK?b~3)LOUv^%2j&XFPNruI7<k8cuF4evQ3EeFtCgTbsk|^O
zbL<EWcdWI7eWS1%@rzwSE7yG1^DmE@ZZr{uiIX}N%fC_F8ZX(d5sB2g9^BGp=6?+2
z<?Ga`&EKXU!vKQOpmtf6cRJM~^6u|3KU{RPQ>zD$T3THLY~J(vgM`TwDP($eVNBma
z5R7sEBBiNECaeJYS-Fh&;7^*N*#usbL)6ol+&wkvJ$$OSWyO=TqXs{1Z3OPOb5+d1
zn(1?M*rH$cw%<@kM-vJk#>B<O7uhVA^K16Q;jf@P)`{D)A5M2r{kAmDnE2Dys6%@y
z)SO@5fCUorehX#3);EX~;yBYMz7XauqkM~S+LD^AQxz@#o|xpCD)528sK=I9kSyrC
zu*P-qt2LA{kZOu6-G%ru$o2{NCQJ7*h-R=fnPNY_Rd5IMB+|-4%m&)W0)^4*C)h3v
zs<6i<^(Wq}KeoRU9u2#)`!;l(gc#bs@1168-@z|2b5IM~A$$TbJIv>m9xR8kChiEy
zsJ`4jQJQs*equyhNJjusJnIYHP%puQ&`PW-@=fy*7H{-(|Dh}4g3ReV0Um03f>gJQ
zg=b)lxKk2<>U*(@K=3DRe-mz#8?MexQW>q)P^e%11!W2Okz&U~DcE0l>&c<r4ituk
z-UqP_1%nV8C{7F0%ZxaYxWt%iVl2R4QUC^n@oLV8aX;}eHeJtEKhwY6hU~kumxu7r
zlKGs*5wTR~)~xTwRaEsk^BOvOsTqosXmV9g7U?MQRs;hijLzb2`UTml=|&Shm6JtU
zv6o1umnybggYZBdL8pR0?`WEYgJNQ4Ms%$VCDz*o_2hMQkUQoSwlWJORW#R9LH@F#
z()0QT!+iYT(o8u9$q+@ZEAvb|<hGbHu}mmxS(IY~lN1;&PaYaNC316|ZlX}_czt&)
zPcghv4@6sFAkSvdVZ0U_x)o||qlY6I0&^oYouzZtUgb=wOYgTD2`Rna!^!q{z9Z0Y
zRHU5!Gy$V|viW7TdAt$a1ewOczZOpw)65`~*OJ!Of2b@9;>0CltFj}3Fi;CotBl)T
zoM@O_$sG<tLo&=*r=V=9T(Ey^YXJAauh_kP4TyNkUIC{OQ)D{&9;IbD;rdf;B?JYo
zZT~B_bV$Qk(9)QhU)}&0P3IC>?*!dk*bg5m5G#1!jS@i08TYd`)jQm8L1?D{p{+II
z`?z^`Y7yw@&l$`{8%IB?KMew6zX|RQQoMOwGx_^SH8g7S<v$88#<v^Vqjw^Udnu84
zqxq6C_)BBps}gtqqqR63G6VNplf&%GtwOuGs3Wc}&`T^f^(9F?ZH4>!D&fSJ(RWe1
zLhM@w=CJnJit~uUGIAHw&l^;qRhLYhN?O#ey~~pG=!M5h+P@lusL|>#(RQEz=6li>
zf={SWS?UsNT8K!=?GK2iu@EyvxT(EXUQ%>%u&X-9Ou<{Y{D_IyV3~RUx6no{b5dwt
zkIIkXOxc)s_3_58_Dd1b<0%iwJVeHO=XZibNEB&3_nAd~1s+n(@0)(kfca!fTxm@O
zjKzm(^CG4d`#*nlgZ)QW5YNgqBS-J*_3;1%vU$!=+DRMxRA$3YJhNrA<FG1+n-DsF
z4(bmsCjKvelhJUX^mDk3^spm*1!1JP;dpYe!$G~oFLJ2R?7CNI!@R+vvyJBPx(;)|
zjCmr%;=g#Hc3AN2FYZt?n_-3jO)!*yIjAbG`6q#(;Vm<j0Q>)`2H1`_+u+a*5gBcb
zD&kJ>r&Nwd`(>W&v9i0OX>8dzbI;V~ZUb{;qcQgsdC^2o)N&%aKF*r#taX}E1&b6~
z;3EZiSl_x`#)dnVbo+5KMicfC?)xgwAE=PPjG%4~lmlWvJabFuV%3=li#}UV8gFUF
zF)==DRi{gYY&x;~SJdnE9_r?vp_Lq^*=D$n&s1Tec!g;yb~YzAq4aG<C5H+ngi=GF
zs9br;BD00|8@YnQJeSku3PO4WkXZ}Bq>N%Xo)XVSql2g>sUtobqtG6^UR?u-Fg6jp
zk9Zd&n+h*eEzoWNxjU~bvz+7;(<BnuXZ}FF>@C9R)os}8+k?fJU{_%pDu<;?wQVkM
zR+#DTffM7gJug4~b^X!+f6WU6SBK&)IXu{<S|}2EI;0tS2qEzN=RUbs0qX!#R>SEY
zo2p$D8yJyoOEID=@=h_j=ZG<!b?zpmBid^`(mi-SJXSnxdvnxsk>50Qcg-)b@$)$D
ztTS^mPPnMk%jkTzkI>sFwCN!FQ|}>SyF~$Ucx<$U3BoZlD6(YQKAycN?x9*;Bsddp
z+*NA#C=<2*eTRzUWh@}9FHIX-;~c^`A6lmGXfmxasj+Z56)!m$Vw@EdLOGin|4BoI
zV_knF?as;9jcxrBFS)Y!BC9xF6cmi|h2t-fsy6R;r`Qs}YDbq7n<V#<<!Z}mxF4S_
zgN4b$=ByjAsRiduEM}Jje;0~JKlkZKmk3GIOy2@RLZi`Ey~jE+*V$13FGtdmm*8I4
zth>CX00_kaCb*@1yhLQy3_|$cuj>{nR^}n*#&TpZ<nk#2kwIh(Uu&J)6mSm5@@U1n
z&P)FO9|HZT`Q|+s8T7zogi(hWf&v(UC1EX4CPFYU8<^g>ND26(Mig?1l_JZ((oShU
zWw8ycY+DXHin1+ZV!4j*i-8qRD|>GoAs)6xT?WY*F%L=bu2CwFEz57H?{wPdd0bfq
zc1Rd5`PRL}V(QC84U|3Ez$TY9p%0ACCz>wRjfNwA%V?8Sg8h9h%ON%q0Wi_94fj`6
zyX+@`FVZsED>{=8$!!}y*J+_|pt+VaN#yNM6G0+K<C|=?!Gg#D!#gsmtxS@9G-|Ny
zygA><-Kpp<-cVXj$;st>SK4I(3{q;(Gf;pXYG!vmJWpUw#RIBC3?l4O7{73)qOAL$
zd7(`YYfJO+(NCH@tM$UzdzY<kH=Aun8Af~CCS2zip7(9?Ca4N?ix5+^9d$5FsGTpa
z7BP26y==q+R4xz#;&sLUF^1Hl#5+JgrI2nG0qy>30-y{TdCo3=Mnf^W@gCJ@VBJ_5
zKAHqMq2ra=;*@Q~JO=Ug&!9JcJuWf|E%5Rs_HnFGOIn^-o@dW~5p3w}HeQo#b44aK
zxV>DW$xj~9`>kobWoUj;^oCRR%NLvgz_f@pKNBwjVjc|Ja$BfO4B_tT@!2VHL@0Pw
zZdI0Tp+LI7zhrX-LyrO<o}@s>so%-=UR33=$-g9Mx<w%r3CSp9z)?`(|66>Rm)@86
z587}nQ<s#ax#VOl2FsFD-Z5H+OTnpFmn1O5h5}}#a!j^xUBu|-<FF)hztV8`|B0)Z
zTH7R8hLnb1zd?z@&pe@Vi{~KFbIp(+c9<Ck8LS&G8Z|+7)BoR+Sy)b03T%HIObN82
zKu`dK$`-J;=FyPV7{-D)14>I0?e?Y${+TU&t~GM8VD1G?=vPCm*raIoyv%;U(y?a*
zX%w&k{`9X)zzY+vNQ{ac{yzbz>Q1n_13{ozMN?sURjOb*v|qGp-iKbQPj{c=na^`5
zokEt`;S}er$T25fiYTV;`bk;*4Nc^Hd9sapro%baemcLZ_>w2u@uclh&fiw^PTMBH
z+r-k%sdE(ch1fQ!p_Dqm##A=wVv?Z9r@eC79<E6k@F_@aS}gQ9JAQ9k@iLuilvzgA
z)C2vtJ>xPrDkA~yAu@ty>ne1Amw{aMd@=~&ehP5|Fhb6vQn0#Ufxz$N{G%`4D`Q6m
z(<*#PQGGg!gpETi%_<;EjC~Q?VJ?Yu%7N`x#F7j1)XEwZ<MjY+@!cO4OX)lSH9*S0
zn&JyRvpSbhXx^}|9Xc+Br5XI%jaMV-#o+2d;P%tPShL%`K8eGpt1rPFiKd}$I3fA?
z)3?OVgMD>Y@dYux%g%|pi)o7Q4R6K1u*+qqJjetoYO7g^*GyZ`_M=u;3&Pc5L_9-z
z-#rrn;BbwYuu7kO$>-vTiD~*`eZ*?n;}LBDb$U=jxY!*HlX1};sl)|$!Pv_HrMzg~
zCjMvO)q5~Sq37UA?Jg&KJV8>3K*@Q;a+}z5wG{3Ec5Db90~<*?j~Yg_e@!@PT03o_
zuH{Z^9!D*t)DSZyh5+R1Nbhuph_pGEYj)go(J+p4M+3)q7~eI$FpR#brIr)12J$+r
zG|L;#Z8ryP6xM5v)J_&1-cK(R<UZBqEAd~72SY`Y03_!@Aasu1O*#pGlOmPQl<-BM
z*Lm08zI<OYL}aQ#ir1#g`8ZqI4oNXaSS2kwbt&hge<imdJ!5Z#0}3I-knig-TCzlO
zQ>f!$rYRsGRRBKOFC!zh$Uf1xibS{NO>}N-6z=&kNB}B+sc%_Oxpfvjl!;-S*~FeK
z%(kqvT!qAyvegQw!plR+4_#+v_PV@*8lLV%N&e%O)j*KM1R12ubNM3@A1!3_@NATQ
zlE2s9aymKyUha?&{zSF`Wh~<2dzri=SX|>{>n&4q9Zx}P67tDWx9ar3ht=*f8$OgK
zRFSf(^^|OR_v9ctE-4sGp^T4J%*4%<yC<5~9{=V1U=63ihU-hs$OvCI6uD51Podfc
zNxB7*SqxS>sChv#6P00g=DQM5)htOf7|8ph9nodsS@!AUlcb|f4DzuOy&e9khwGT?
z#1CX?FxQQ7q}X?t$`CE&{2@qkn%!S<(bRPyG18M5+sB3GZ|vGw&QCcdD*@d!gkRB?
z&Al&tu5oaw;X?NML-iD+Hcn_!YZD;0XC_biLBF>0ddIbYT(0yY=+DHU-ED*Q^a<~T
zjiWJ7-W?<BBKE+;lP2UK&5K@)@gj*2%q$jtf)V>-Rz3e5!IZjxlwX>s`&>1w5263c
zBI&1DWN&02Yr)>w+5e%gAaAd0``^T&Wb>O-r+PXux4kN>5J`|<3-UtTW>G9B11N|X
zk48TiwuUbsC|ea4&cY3o0)qhDN;Tvq8fn%0n73yB;K3S*Mu9~G31`qYzNvICXbvLc
zrCF>Ry=R<=M*m3%Amliu$fAtnlLU2H7TrxM+<Tk-UXV$AgQ471>vcm*F&TENX?y5=
zEOf^VTdT?F(g43&0RCdMo0CpY9V}1}nJ3BD*J)ZbV5<BG!0k|bPNPxt`g&AN=IlsF
zZ7WT8A&0P<tUA?#!8^-f)?RG!p=>ya*bmSs!|eEGij4JBaDGGm-V}Mz*k5PVAX7YE
zehn%reR3#_1(#xoNyU(g6I;Qm|9Hpmvn^@f)HXZPQDwL3sTu~fp`w-mI<i$75gm2C
z#~Kkoj8%0ZH}|5>IaU=mgCRdXoW>bPaw^0a?MLJBD)TUp<IY}5Y7m!_moinj&Z<&x
z;yNFB_e}6&aAn>2QG%CpI@<N^+8?S0S!eZicz31h!qD2WvCB@fXq7)uOfgYwlg5_r
z0{$_vF1Z`l<28iL(Jw$R%HcHkIw<rAXe>D<D1y7$c<By%S6ijn8kjtZqUI4d7k_7i
zv9ZtJH?pw6rz}ZUnMRoT?|A9ImVJj8tUZQCG^b=BCRw<Td{WY%D%e#-tiRBQTPi7w
zK$OMVlvPy%XFT)PVI(_N*S6AKfGFbpKdTm`khFsXXF67yJb27@s^X#Axo^dh!Yp$|
zCCF+fir)pS>XI}YLHmhGQ44DU8W>=3|K4kGL84qVbYjLma>4qSJ{HV3qvuY^p;e@g
zJ<C#k&v1Rc-6%RNoEQO45^bC6aP%goL{C^J9EyyOv_G70_KUK7b+>ByX9Z>y$rD+g
z4o1TNp+X(Kr;~tE&_yUQF$k85l=8b@pk5KFX|*&}3n45^)joo(Kyo>a4WW0h0~!mQ
zq|K(xWpkqTh)E;*gpRO;Ad`72?~x6;x{@ITQi9<yhg7CCTC@XUc{3<qXCMo-AR!|n
zVNYw<ivq1mo_U?p>|ln#m(eKLzlJ2aoKVPCKjp}RGVEn8$og2s<5kMyB87ejec&!k
zhaZl1Bj`zNPVEINlq_0dDqk6ns_9@jv!`9a;g%#T9VNmvq?pip51Z(UvbnTjw?Y)w
zJzRTbRIi^`xZ%jVq)KD${WnIiPe#jvz49c+18wZX!NP+cMLv=U$wnaBk~%Ijk<O{I
z<Q&;2d{}{qNFCjdR&ZKQ?3?G}zU3YLOr|v$2>smRGt5c`&={bj_<Gb$;y^-&EG$}A
z+$YF$`oL!n7RH+M4u#Zsn{K&!;Lx_%`=uAx4e#$&y+Vy(_~?Ck4ncBK-wbnu9$yLP
z>AP_dLW2p)GiYGWt>}(Qbz>p>K-Un^0tYVY0Qj2T2Nwbrql1N4PtF6BI?b1sQ4r<m
zjm~4|D1Snm>%cDp(|)y-4(lfYoF|a)XQ1yy5baJI;6!hex`*$byWJ9QLUlME6HJ8-
zLkwE9l68xosxSn5fcG9uy;&Md2Qc@;Ty<T(&1Vn2tEW!A&68S^)ga!2z7OqTD0BHZ
z9mUg+C8z$Axii4UszF0GJiAt6ffftAi2=RvgFZ389s?Qa5I;qP{sEQ#C22d^Id$%8
zZ>of5O#?Ov%s8JX02>tStqxseMMqw$P@RK;_GR!VI_p2o>yt)iqAvzhY;W;<^n&#i
zx`+c}s`S+}7|ShHtd}G<eMr}%canCx(&}W<dzYfGj^Up}4+CPp0M3olAkvS#juxBf
zLYE}5&`vI85#mgB0)VmJEF6w9Nx&XvI(brBT?U^gEBx@-bmkl0cTPe;LnQ)1GUD5;
z$G5wO=bn?h;J{TKz=S3JL1w?Ym!>#K`8-qJPqx?_{630GPH{9}=#DfIIA(@1IS5^X
zi~bJmHdB7I9rMqwzJU(P(5ys)AGJSVLMp-c*APXMDqKBQanqs{To<VHJYI@Z?s{#U
zyR`ZL14|!5zoc>bG{b^2#dU+cNF^QM4RN>RD@CfcWKIg{mfAZal5T?x-E>*+04=tP
zMlhup@LuC{ylo07_fL?kwlSp|n1hI>s7Qw<EE=#t8t#k8<JouKT{RF=TI@$SkZ2p5
zKB`Tl$Dj-|IfK{Q$hB<|%@v9PMZs-(kgskJYny<DSxRB9DqGL2J3`3Go3VQk#}10a
zxs_$(Ji%?={9Qjrn~y#m&H)Qn8Z|IMFnD?{^T3ECmgzkHvo1;gA5@cS=#8`Th}{~&
zAZEl;8pQv*QnjXMJMhw8CkGF?6Rch1s?I^uw>^zaF!gi0+m+*P)z!R)Zmy%=eEGc9
zct=)pGFM1mooXE^d845f8ZCMjg2dSiGoVevd9vFMg?uN4IE#}o>M0I#&$PRG_MJQi
z;%Jzx0e^r(z6GH4feTk-hr3<Tm*@e+*Z#TzgwG1+Fg3)(Qi3&V#S{*7IMOwG<l56a
zz-{jf{ZezlMUAC@(Yag^Vhy)me|}Pvd7O&WHy|frgx3Cze^#6L7=8bNmRPA)4TxFW
z%;=Bzgg1Ah?if;xAzVp6Cl*^{lEq}z^YnBbD|vIibuwMLrGU#IqT7a6WCD}x?FJkj
z7FZ*>PbfrWN&V(1cWm3Oew<kk!fMnX9YWMbA$x{)lD>0%9Do`J{Lsa=sExFwQar2D
zHrNt7GD6dCb86pigLV=-dAS_laN53pVqB6rra>5xx{DdV2tf`Dq4cbT`cP^!<LQ@>
za<t@^X@vV2Aj6Utw*@y97uyn(h6BM~yHYLaG4;FUQY@pI1^2Mp5Vs)`k^@M;?VYV=
zI#ZaHq3Ezl`UcFT-OLS47qXWqE403zsao?u1KVcU+sKI~&VDeo2#G{SZF>#==IK3<
zz52hAk4RG{@Rs^EbK%lzI<>T|d7Dgy;l|X|Qa~hcIIE*a!vWCZSndTXfG0l9Y_;LJ
zlQdqg_lN_o4`1NEH=k73W0W!Mx+z;L=-8yec$CoYwhAyGT9k*I4UVrWIOLeLK8!A5
zi)Q-zRb{B5^YBHSNmWZ~Bzr!+4Ix&gw7dE*cX-1Ct_seo<+bkpgmot%gi@JrA)>+1
zHwTms5=hr2Qa%ctqqbjJz++EV7g}Y|#78Z_+UX#(wqIMHqZL$)LTs(r>r@TAnz2=R
zH7W;K4z5>O$4YDUKiB9D$EKc4Z`V)q=0$F$QrW%ug-Qg4$24ida0N_eX9g(5K7&Gh
zH7iptK?%+=Hw#vAi8d7ZdmW3{q%*m}Z$1cK?y!V-moL0DrTQ<3s{%skAF))Kh36eT
z8ySdVsN=gRS6hsI7>Pz~a#=URSZ*egnJT7PmvxK#-_AdAJY8mEmgMT7aRaKHs@GJL
z5(s|qttQ96+NQ!M@{8CPGAIha!ieYa#`jj)R!#<%ZgvrNIf?U>kyd2NLt?Z@rTX;s
z5Fk<@;k+$Lqq^TdlUCSoEKPb%y_eAu#~KG=A;0e43V)UUP9yUapw7Q^1d`*yBF2vx
zXS^Ewy(I_@z?4=O5;j8M-mz=JC7Vp*+fhd)`yuBUQf2K6)RPRRv4QXL>MJP|$gbIi
zvN)qP>QTPsn3<09!N*Y<q8d%#DeF(NljOYD!OL<9TUT+$W-isZas-&BZ-|~R=2Pcb
zp2ce3s}7f|UTfVGEX_uyI)(jG&7_{kkkk$g9~vcCb6-HN8O~X!;3E2-6pkV@Xytr2
zPIOP_MpFC}AwCBUE@Ik8UM01``%)X{3JDIVvmQPpxpq?GB*bb9v|GORYY5DEzZ;^{
z>%O@Lkgzh2zR$8uulYwp+DjQ(7$FckZ%GJGs5CGHt@!WIiCDT#8<o&q{twm?r5DH;
z%TvSmzMb4~LY>iI?##h^M=xP1xHK$*$}pj|y`3`J_uhYZePClZqBU-4tJ;CsC(nd-
zHG1k_lk6hI4@$dj9fJ_9Jzbt~`5sdleeGe1%)}PYFM;)=h1<5MOWgX*0n+E}*SXAQ
zLxmya3f8bgTgA~Vg5)m5QgUu#0}U`4&a9-YVe{1@@ccn|*Hy`7rl60QS3Ubc7oV~<
z*eS-+MnTFqBTH`@9EzZz$WXYEXHo`;2od@1wdI|}B3A}B@2y{%hf6B|c`v**Y&z)_
z!_tgGl#eCTFJ}2r_IO6_q%L2u5n*~mNjV<1Z90=344Xpddt6jl7_7Q7M~TY}e;lJA
z!ZOhpSp%}?J<73BU{S$W?rFPD<h4jMzb9-)(^5tm)|$9G3%0|U)Pj6V&xz0&fH;+W
zhkESnk~<vqWSO4}Bw+{O#k$C>dI`lMO9q#5!!JvcJb-1ojd4t2MLlcs_yD^2&mC>-
zYth745?Z?LviWC4B<ib1Mym$+pg^&YC{6rf-8bi35y~9&rhJi-LDr3$L%BhZXO0=4
zT2@-)WW}Jf7J~yrp0KqI(^zjnu+)?e!=?Rnl41dM#&zd{^s*z}O1~mlay4}^Zf5ql
zW*VE;Ty`SMnYtV6g`1^kTa9S<hf!a#&TRMpMMmChAK?Q<PHXVZM{-*!>u>L2qsjNx
zXPGtn;qQo^_t!id077x%B&uovk`cY?63^#@w_|5hgh#KxK+%xgSSj>v<%CuuBTc8^
z)q~be?;c{=<HFduO^Kp3dBm_Ots$v?f8_%WDSY`{HE}T!<`!a!HYZ9jPa6yEa3n;1
z`-kyNQuD%Yj7qP6)6y61>C(!+9lB7SZL1S+!Dbz<JCxQuDa`Ey%`b(borOzCbRGXa
z8!NpYA5v&9JPR`RG^ao|f&541PoFS~+TjM#y%F@p&9NOZbL2xC<`!9wxkE8FDIqzS
zJ}F;+M2MhJL=%HVyHJTc5Dy31O%{x!(ql@1RiAkz1=zs;k(8TtQ#;qUSmyIk{3hvW
zp3C5gj{1TML^wl`kb=iKbJ(wTQtAw(p<Z^fnIGxLlahUM(<Tm6KOU@g*Dz#xEFe_}
zyN(?P@??;*ALvBGMbIKNXu29AWJVt2jHc%~C9F67Jv_S2S{o}<=22ta2cl@Ct4GWo
zAYh(|td*ic@)D8ZrionM#W8Lwd8eLV`5K?tl-#hvNc6=DN?g28T}+zu5wCKor4ops
zp3E0CK9F}5?7c{b=8!q_in1Rt><A0Do^SJ!AqCX{NX!)hO)OlCm0gbK#apq<9ikUS
za4#*n6tp<9@%E;Vye*ye1w+-BlxS23Z8|Pu+5URF#rKMlR&O~!HvDKJB`r<(!APu@
zN@?VO3u)w%tU>Yw=JHdA8W=jtW?R!~ggazcr99D>`sE8wQ3}9Bs}?6H?Lxgb<SuL5
z57B97hox1559lJ3Ct&T@0o-8@5`zA+xxjT-v<l+iv%RBY*8|vGSw~-bct)u(Wi(Z(
zFSRHP#>LeZdq=gCn~LlWQR(04#t$LHOZgi#x7JWWm&~5#a2s^Bggk+LznTbBPeFCW
z8GIC|eW8_Q+i{(rdPh}lzdv?}<?h)q<i_m6m_q3Fp8r%jLReTd{?hmPg|HD=3fvBx
zpnHXvzdG7@Ry+)gruKpI<^xQ%4UIjE0iPb&w;@CWvW4+E?0!<$p{!B-7P422nb5?c
zrljD440nE|+o|){G?L;{^Whzg&6p+F%n9{s=3v5XrV9Y*r}+H{PbifBcr=Q<?wYlE
zn<M}$xCjKV$Mb7~t8*M2wY&36brCY+$p=?^)DI$ANu&e|x&3oej1Pu}K$47|&}WsY
zN?*%)fqGUL5IJ~Jz&pPNY{%=|w4B(XZs5*=3%F;{LmN%Ys9YED>it9Uy!k%-L#i$D
z!o$Gaa<BtudaFd9FH!}S{P5DyQ`Plz<>0IB&2s1VWUjQ~to9g_sGpHLz#h+=$wfeV
z)L|OUVDR~*S1p`za?QcX-~&sf9qg6>ZCI(&0Ct>*%#XSqMnJ*cCmdzr202nZA!%vg
zI6FZxLz^6M@_QK(Wp8y~G!Lyz_z(m~s60_i|Cl#Bl;-xHAIxI-^;_@UrT|R-zNt?x
zXloMGobSw-?P3m26D(Z$1hh}inzIxT5y-dqGU95zLh`$(mk+|m*lE=Zg_qwy0|>>P
z5IFTA6?D8UN8tufk#X?box{zTP8Z9_1t|;>UJ|@@cWyxYM&lQij6PC_=*)Jpjdk@l
zs~LLq$L$8D7Ye;w2lHc{p1oI`{5Sxvl8POQC83q-N|240Cv*HrX%I4ro6}1EIJJ}%
z%(8d$O5M2%WO~Nt$Y3_>^G2GARpOpX`_Hwi!3x#mjA|n6T+tx&ivpRp7P=|u1mFO|
zCEf0jV%H1%P@WRwXdni{NEO(Y=w|j`lH1Uv2&IvX0p0dtRz`FFN`wt6hf!Fc2<iAQ
z!C2_Yd+dT9Ye1+4KZU={4{lPNG$`7OGkRbtl|6%<;%!db|5$;QBH%$tK2RF90XAc$
zJad^4O9M|4{&Gpy5RlqtG@;#D9+=q#Y`VPZf(|lv@<GT%9L?EQ%ZN_c!qXXA`339h
zgDH$(%qRD6*-l<Q(oQOHQ6LuVr_nAuBdi^_=lq&S(f4Yz{7O90XNf2}Mi8Y<JHqCl
z7nWg9dQaYfaKgkbeo7wbN3f~G>9cKoRzV^>4`Q5s+m=xT@htBNn`weacS`y#il+&%
z<8jf#ZWlG<dCnzc5jffgL(5-j(0cU`>J4))*qbC9-#LX&J8}{R?xG(*g!kv$>5C<=
zj)^gSgkJ|pe6dkDx_JKdh-rnpKCE5f5d$E#?M+f85B1eq#&aI4s2I<6ZjrqS`v8w0
zuF7adX1qfiHkN&zk?7G1wvNuli%oCSdr+%Y)Tbazv-Y1ZKP)r`vkMjF$w5To72Rr-
zjSP`eRs~9{AJLbOuZ0?-XpobY<TI76-*kd>iid4Pn)Y7iXnv#FSdScM5L?Yf%3$#U
zPGOg*BgZPU6-&e6kYL=qmzNC~Gf>a~X+s_iurQ0|Y?j6l)OHh1pORpSg#n$Dm4w%Y
z|FwlsE#n+0HSK+aLN-be!|cGzBv@UK9IX9bzSlV>a3lQ0|NFEqjJ%W!7!1Jdn1IO)
zALnI5_P?XN=fqvdbp{;R**(!Rd;M=I?8<t0$~zrX23oFbd!;mo7;(X?ofEHzn_n4L
zSWy6N%hDzl8NRvX2N72K&J2cWcDJeNg~os%rGFaa5rWLeTisNMB<!ch3#!uRy85LO
zw-=eY4Qqu{2iA!>53$EQ!V}Vz?nVr|K)r-4<qlCo0})@}h|U6piRJWCOs2~@LFQO*
z3W_b*kCP}V2t(JY^Gs7^BxDz>_k`8PJGb`nt;_PfXcs;r_cS!YN3l=c919wX`rstE
z3AZT|j~Zst0&UR1^)apk&^8DH`rr~V>L~O)<~>fX8iF%i0iFLm5`1>029^|M(~H34
zclK@>E+aqg%E3yNxS+jYYi#~C7ds&0BYwKvh`D>s7Y<QJ`Ceiw7dL;+Z?ynr#ojVb
zA2!mY6eApR4&h5Q?w$5RabRi-ee$`)WUr<;POLnp`ba#N$NY+^rLT{=pX*oQm;BW&
zJE|)bHq%9y+NmOUYvSq<bim!yG7hJnORvC}6$FN#xyQeV9cX3eM<(FsimeGvN8|}i
zV<!dqTgMot6c>wqlA5xe+(M&&ZxDz_?!+A<q;r@4H~=!gQol?`g8EiTWvtuqeDSZR
zju3lo?Ke;5c>PWj>1#}3&Af^l#Z{;z#_yHp2H5&cqYxfS<K=Gmj$C#6eM8TKyLtt-
zZeFo+?`F}4T4V(j1qDZ#z`D{jr+wtKTt=N=2=^uJi8VAp%6`xec2UlZ?FOe9a#l(Y
zd`X}XQf06M<_v`b=y1wSOIlzrkhQjz8fB`K&N`)Jvc1fq;)YY!#T`XN1^u@Z3w23F
z7@R=(fS1h?DdBMe#Rw~WZ7oDO7YZMg2Y<tE@-HmP{T6POgKKNa@a({vRN-I!x2Pt5
zrlM;#ccWEeck)a+58v}V4^T~lCS(CfFBy82C2QGBk4F?{ATvT1=AC`E*<1caO<s}6
zYy(OvRpcZ@T)4wwDj4tGy*G)g@G7i~XTQcPJ`_v><t;Z50$e)ku1b*p;1Q#fF*TlK
zQLCdHS*1~?IylFIO*#P_q-QhcaUAemTX`}EX_)rg(k@AXVcSRq2-Zq`@T_Li&7#g!
zaQ$d^D?O$*R+<C`9J9jx2Q%vd!7@7C0Y7i@(gPxcbb7LWt(Y#jY~o!BRoA^49v`%K
zC^_mH-0LIR(9X98)c$8SVK<GG3<97Vx08=+afbTxY6xeqL<3RtHvZ<4fYU1rz0K{;
zfA&P_gC!E|{waBp1U6p=n?l2`xJGPQNc#+J(q&=d+Ozin)ng6H3do}+QR&xFC<clt
zc8NaVsmnvcVynP|6odXT0PxgP3?CYrO$#J@(OLs4q?z|aT~p5x7$qBzwGo?E4v04G
zO-`~Y(qk~2%s9d@nT3OYtEhaN^@!M!YwHIDB2o^e&wK64NUqjJxYvbWG&A*8SdPA*
z`)>s=eR)9Fz$XUhZh02c(SL7qlM3+Ls^ujt^d2Z=R5sO5AXH`48^luyI3gM;R^V>5
z%d?m9%jo^6=z=;Og-{Yd(4p?%?4Uzr8<Z`rn?i*-OYI{QlGjt0shybSVv$PjDHVFY
zwq{A5?Ack3%??99cZIDNS`7#sQt@eFJS*lz1_6H9@=JninyU)6Pfk>In0_!IeZ-Uc
z7vTnK5?a6*PZ5xCCL!9P*_m`qwFluS@FR5iC4Iq9wR*@v9D{(lMFBz_TOJW|w(t5I
zdy$A!rOHTa<+kG)0%Erd$_vOg_1ZrSm9Nz}UV2;USXtx9nP!vpo8;rE!oR%^7+IR7
z^!WV&5fPfu^q`bwyl^vJo49l3#|i{04w$XbrOU3|=q-d_M)=oZP6Exz*5-;(0lWHN
zE%Ja}in)g!CGP|B4cH^qRW4TorvQTUhH8T(U=uz*-BvdG#u?$-gRfaL0&#b;98jf<
zzh{l0NDBQwV`a}-K9pbeGXzR3wQcjfu8yLM+_TBBCo~<;aIQ4kA-H0$6=y&gxux2!
zD+|&-;#P~+;VCC7fDp*2kNKcib_)Tn+uIgZJz_*>JnCs5gx8nlzw!cLQNboVdlWfv
zb}?4j5$gMZW9mw5(y9V64TlLGwT7q(hN`B9C`F<5R;-d#r}Uu%Zi33fW>*7vM=y_c
zKP{6_)F#U<(u8V*NV8mf3F}3Fw{mf3J8v6ipQIPgVkLw3Q^+DxK?uPXGz?kTiKKr_
zHFeZSIYAUc0RT_;+{^X$tK{EqC4&WM-(-r&rv_BNLxfP3Kvlvyn7Yw~@$t2zHVUbG
zlSk^7HUivK)}F`lNuC6KEA{i9F;l~!!%(3?69{xEcVx0pKUgOs1Gpov+0PXe?<5=Z
z+md{ZHTsO*J_sV79V%Kh1NA1NtX<T|%TXXr&Xe7f9~AKqU>FN`%4zx@tjTD%A<sv}
zT{2xeVRYY-rUt3(A#{^b708SbmOmYBsb(G8RV0tCJ=y|#{kU)d9#5q(L{BT=F&n!q
znK~Ssd41G9>c2;F6v?O9CG*Tyc=KANny^j!IY>nhkfz7~1>BKB3plZHD>7&s@0e%^
zQYBfD{fEbn9W>eg?9t!f0uEXk@?^yW1V(2*bWP$C7Z+(tVU{ll30W5OekOd;Fln~I
zp{oecjCA_dVA|rvINPZ5^%vw7*cPgmxf%WkpKI*gvfl)3wGdM$OPG=aRGif|gill%
zgEg{Y<MtFaCW$fx6!gPQ^AA2XyHe&rRtJy0McRq}`(ZQ)CF}kx5CAWzHZXi|IrLYw
zMoy;=dtXh|K>p<YeGpY)4x(@0HoPw{G^r;vm<Lz2J^r6hE64rDC42UFx`WDkwS3yb
z&xYXkT7#kT<d#L)>s9y$_*$S`$21qiunSVusFA~Ql-Y#Rjd#}j#<zGbjZISpg7lBY
zNX{H`g<$h-Od2q;=@)*$0#cg}nx^qN4%n(8*OF`#(ZJAmBQlq^Z|og(o8(t;wGfBa
z#|<OecNfqX1ulU6FWkYaqJlWn%^=Bi^2(~onaUgCyi?K;WV#?n0kpF!0+pqtL?ETR
z`O#u|F}n+Y{68LoJ@=kNMGM+Wf+*%^Rx*ARt&<?MpNBZyKWrqC!N{aazn{P;3pz$M
zvGwfiU^}50&!l=@C#Uf4lrnzsXFbrk`jx@@sO6MN4y0hpNrUmI2!$>yH5#e9)ro#2
zqAA?x2nVzKx`z@hO=~@$1l4%+CSKdTr?U7T<w=!$7g#_nRN~e1%PEwYYVPM_mnqqB
zl%)E}H2It|tBsfJ`T9U578`e}0cCzPC5e&AX30<YXu3(cnYa8i7Jh~%LNeiT#P71b
zW?=FQ6OB|RN3U$lR>3;u;5R6P{D48pYYnDZzwExiz<#~_q82lXT&ooO4G}X(BHRls
zaOzvYVr0F+oD`;)*!-2j#7i#LFuqs!ty@=0)46OHSmiRgJOM(jTAkX9uaKH@D#{##
zKq1&aaR^+huakS7=i6Ah;5$r6k#dNWeW}xR0oqYaPCWuVK(8ntJy8V>5EjiFkAgui
z$kiIQY!Xd`(Ivukp1)-BtNsQL1*P}|_=@MfJRKfEN-PCuZ&8lEE;tnr6bx^gBO#4F
zcdNBRrv!}DZ0Y)$Ah!q~{AmvW4A^}0sI3o<Iu^#OGuR3SkTNl<uB?BP9*dT`prZUB
zKwN}&y-b-9i(a=5q7#Sejp+I~pV7#Zhfz8fGe)UhWIsZ-N~cSRQ!rO2@&MTY$uWyO
z$W~PT3)yPmWhH{^vPlxna2EG7(?AE3dpFOtRl7~VT(<PTfl7^_5)(9o^7uNUq8PO~
z{R}a0hO*QRhLXNrS(L){{cY9FBy58FU70JpJJ%_OvXWBpftjHnP@+3u;{m`LNC~(9
zbx5}MGH^A93Gd{}O-Tsf@z549(fF93=AMgmGA)^rUsR4k;`^)g@68~`46)l7p&7^x
zgQ}J#+G~V*QrpyZPI1dgAqW001yNbd`?1ttxFJiSBc#SxBO}(d9Uo9M49*lWcMWrQ
zmg6#spJ{Hq+H}^coJi~}$ExGv-E?rF#4~sf@C=x$gDai2qNJ}3IY(Rt&HiR&P;Zf7
zu9^ahb1Ohggf+Zz3~6?akX?+3Ebo^Y;ef!w&E)YMWzx8)2l!!e>!b?G+u55e5GTm^
zQ(v)K*XLs^J8ii_e&Ok{FubpC;leT}7cGTG3nFhEQo{wXVVf*eJZPoy8wEOwvj!9d
zT$XIz+7kvN4oRaZ%{>)N^27<&?wlot>hGW$%b<m7b4s6G-do?kJg`LA(9hdP&27}O
z0<_QXHI9<nbLL}C{H7iea~c4p(Jk@dROSpfq^c@VBh*8VUSvg=j2LE0RbX0iPM7x3
zYB1V7nsRwqFk@0?`u!=m;w4s85fK7n5b3TZI7AsHZA$tF?UR^hw@AIUj*J5(4zxZN
z<=kd7GA1X1*konN7>~#=t3mL{fDcVq7IR)|%b2?+pIaHRW6{^WfB@2b<#F<mYqYT%
z;P2)$64G=e>&NN_6g(*r;HKK!1H<KHHkxTa0ZUfP!UO_WP*OW)^<=jNdc1w!2EeD&
zH)U?oXvTJH{@Evo%r6l5IA1a*M&G|$=*x}eHxjwl{;x`V;nDGSNf)1mBh*p!U1_Lq
zBiHa5VGua_H?0Aed5gR9SZ+C&)guBsEPOM-%=Rh0u^jxFfRr^gP3iHbeAd<>WIr(+
z4vc6ZG#*V}1h_R`v4aT2+1>!4lz-_FWlkeMj>~}G>f&BGo39*oHs>LMRHyT$4--~P
zU-btPDn)l4$<${#66DrG<T&OSWc68WW=`Dnfb)d7VErS~uT@~Aj9z~rF{?wT*ERax
zjoBzbF&UiCQO$5?&t!2_!J0S_C%~Eq%IDvavdE>xu|wwIc&Za=L$)_?5pm<~d4b^!
zK9Fyq_~BlAd9peX53y<E-|UyuF|x^Dd19wZf@vlvW$_+z^<Q=~YSJ4zIX?~n8UKW{
za8m2*o1j1L-C3uQJk*RUSpd3t`4+-OOumtBBrBDD9e#Ksd%wkzhj7z-tY4t^>k4oy
zNyeZ;CgmFmr1*|DhFsAp_8alNScei(y9HHM1DN!<-Liq46xIFK2_Idby=YH|l^I^E
zVTSNxQhgYfOa-ccBIwg3aP@v@3N1F2X(&rLpVTsFBTUZ}(Ue!vXzk}}0O^L#P*a$U
zVWs84!M7exTdWl;N}21S;h(-Q=$H+<s!{ncsJanLAaT2$9p~WGxbxqJ#N+UQSU+Gs
zGW4v6jS@~Cmx!+P=kQ2JkMzu;puvl^tTd4_3-ekNY8ccA!XPKMWL58hej?&$YUBVt
zS2<X5G#wMWeZ3T|%B;jBV7hACRAA;JD3Eh2t{5C0gfaFHIj$upu#ivp*-CFolvFjI
zh>{_+({ZdG%@M*n0zm%8f_LgT3})^H8RD!KnZicsxV_T~=iKedimJu!cw@>uf7T{C
zPNg%@f*vdKEz7j&{gC#F(QX5A5E%oMq=1f%FscV~1=L1Zoc#>y)OSDA3x!7`AM5I~
zS^@1s$S!&=HTLQ6_b!bdD*~Q*B3r*5gxl-P$kHc7a@dB(m(1Z?)V7YfkH_*5869H(
zVoRq6K{FlyOsbgbyUwc_e@CNQyl{e{%W(k9=se|eXrR#v9nYpBVB#-2?|Hj=pR;iZ
zcmvc*ij63&JB1R!zEf^(u(vEW6D_ji0QpKJJ|wtq{>!v}XebpsgO>SWiZ2{R_#xla
zi29~~owyJ|pJ9Hg&LTzgZ<_8Iurk$B7CjBt{Y<zCy;5wayfK|HeVhhH_Bp%%k?u`Q
zPb2|`$zE)k<)yJrrCFK_>1ii<cxoBA1>kq`^PqWl;-gBEh|<Xu9nM9rEqi2M$c!fI
z<fWH}@s4<Umyg)RBw&EWvZv-xO@YAC`3t1*z->0^)2-n>-<TXdTA4dZBNs+6mNQ}1
zMvidL-usxylb(SLJcwJ8q&6UTIWqZ$v(>ufEY7$(?}27x9gM=6MMVlEITa1vt=24{
zp>=cj7w5y4%Zjt;X{=gHY!>C(H(CnvOO6nhbf-u_dmdTZl!fX%#-#@h8A2Dw8;@Hb
zWVbA&nEEeV9Nn3U-PpXWu&>geApZl$0ch*#cDqQ>_STiqSxUAgot>`rznCdu`s(d%
zB@-xIlemgz)Pjm_&($Az?$bc3T?}1&^T5k0^f}gruJPNxAfS1930y~Cb&`7zx{{#S
zhIwzm!ESJaLeN>~HrnKVe;UytoP%+fCdYiHDGK5F0T;nqGn%KZhE^{6cT-OYXd*9i
zmO0COsyxA>ENNzRKS2$%b+(ry$Wjqt0-3SmR%%Lzk#iAf>!Qbr@6Fh&Leml<d)7N3
z^u%=Cp)GxAeQ%z;_-D1HlO-DjNT-lGsUB!!#(8;G1OkByF~NGOx<EsOw&mn^OMze5
z$>FjODuvpS3l{Z$Y0>a-ch@P=^-@Qauz}_L2TPdZv>XucRIWhIgUo`I=!y_x?xbKE
zl35#^(nkLHtR6HLcV#SAvL%C9F<}<5CX7QlKm9($SzaH}ztQ40XZkR;@I|ZWBzqXd
z0KDIome_EYnzMG3dYu`?ut7*&x<9TgGD<r)=p54e*NqL-(z^@#-1Vm7aI`$)q=E?w
zb8|~vc>ZJ9j#UJ}CQ@|3p@rb>ex_q(HZR(!7MJou8cp-DkB~Q#@LT9GBzMWXBI!5}
za)`q8*lax%y#C2h|A}qTG(qwB$F@0gCy)Rzp|)qvEWlx=fc7xvmNrE??155xNn~((
zmTk>!)Y4PScfFhxPkPDLpo>8af}f|UatdU13%S0%^4wM?pf|AZr|ahtS`8H40l3Q}
zYdPSSpK7dT{~QEMp_acoQKjrIZMtL8W_nsQw!4$Mv|jIwGadRUE`dzNLXCIiNp1d9
z9UG|<kn@8|v>OY_i@xp#i_cajLI#nv9e8g|U|ka%VzcoxqZvR?8xjHpP?+%iAj5o<
z^s0ob0t&y6PZ8hC$|?#RnwQZnOqmr*#%%)H#>FZhq0v&o9Q`neeX*u5yO|M!h9VA-
zQB*qL_e2=Pht-lOf80sI*Xn(PcV6QY56G6Pb%xqwCD<Y}s4r?cbZ(agqECzYl*A=J
zaa|A6bdoVC#k}cV(YbCB-?XA=vZ0D6Cu9e9gd8p8vyXM{4B|BaS%V?~O?GL}lxR2#
zUm3i6Ws$O8fr5Gab(O-|Jx?<JAe;O}XW612Ure5xUs1qv+eennlGC&V#?z4KK4VwK
z)$dy>_%0Q}<z59|-2`ve3PAwyk{kBN0uFG1&z<LRp&ewkX%qKwf7^HSB2{yeb{&x8
zEEpuyNVO~Ur~8goq7M?=@~<4VZHBbrv%|@Eyu=!*kHA@NDM1Q>7MN5LW1bqf{yfe9
zb_}IBK^1-Di*#^)EiI-N6-L6nki|J#n*P?A^J}*U4y&RK^g>n)_b~_DII-*015sN)
zZFL@!X*G4hT3b8Qs2?hu!}4~5XD+Way_Y$Qi`=?R4b-78&B(-3)8qd`a^73IyWR1=
zo6(Qe^iKk}!AB>9qD9L*ajP>caI0nV32-~y7gcCgp<x>y(nC=b?ucDiwtU#nm1$a?
z(f4n%j?NyKR;&E<2eNnHF)_=m=WwE1a>RJcAn{601~jKOgIpce@|{twz9gIK?A^=4
zm~b}VErfDAhm=UP8?$FP$}Q<DDHtdmJr~r+x5oC%OW8=0O9!hs6)#>q<cOE1Ey}LC
z!%9RU6yc!ug2`fM4Pt6s=NQ6K%}=1~3seWRuVpPq8kf9;+K70KuX`6l1r;WtFl|g_
zW;?$ZA6-M6uT66oJtQ1W!DoAX80jT5-#So@?PaND8F-Ju2<&G5rd=Cc-yMW)JC=0m
zt>2RB$Cn#Az+3dnQ~o%a3?F~_009jM=cZqQ<gh&^qB)Y~wTK)^QHJ!l4HZgBfV{?@
z*O3=^(Xkot3AHX$`{Vq5t&LPqib6>t!Lh1BqWc;E9|yBALlPix^I$>N>Ho@~9dXkA
z?2s?qq88|t2wwd;oVHM%&QT`>0_f2GgWGd!C1=XN9)QUj^tysdEgStIi+3aT^0l!D
z#8aph8@Oi7qm#_v9k)qSD)L0uv}Ab$DjG+EW7queHrr+p-Q*9L{u>q8pe5DB&YM@$
z#gYeZnig%bF(S}G7O5AgtjiRN?h(Jas=A=uV**k&BkD1#;^^KpZxoBR13<d`P(PNc
z#n8(tJv?imRgo~xofuIrj(gh!u|8vSK-R$9h6;_n^;<nBED=JKb=flDX}>mvdFcQO
z9GI!GR2Qvdz}v5V<%OT(iE@C|cUtrZNcM`U_u|F78YXeaTa@V|EJ$TQlMYq{ZGqee
zC^@cvXL<s$ZPrMIV&P5xSH8w|&0MC%yEovCL<#jAAH_^liadg9Z~VMvy2<#hD+R4v
z>%P28ti)%Xo|WMcBUKRC)s0?)T138rt<VkVEeVA~U>7HabQ1kZPtf422bqw=rK~a)
zY0sCk?RwrTn9hDe$2)45|3Vs+h5uW&*i4(Y!3B(T_eHN^>l$}%Y7cA6txfXZ5Hbyj
znyX1XRP$W2TVdB>`E%vv?XauM-;_*u$3%pXw4|;Wan*Ol*aDF*L8hmsJoH<Ns*Z*`
zb@6HtLxkI9Lyg_%bxIj~I{s;{W#(p!FeD(c&e5&W>>ErH1N_&YDfoWz+*Ei;C6xqL
z8?`9IJy@Rap#)f(QMc(;&d&`;;FuFF2HZ-g=#>X``rdSz7qMnQF*^+IttzRA4Sx)l
zTBHFRCR7+{{LnW5%T9WXU)v`$I&ZO}*nc7g&MkmumLgPQ$O?=^T!p5t)u59{AeiTl
z5VUsA*)&3CeIJ{;#`4dp&jl>g(;Ued!F}<6EaG=?E<{d&gsB^7R(4tXp4B%~<#?Cu
zl0x8IMST4JOhNgEoJ-+W$YIpY>i(^y-JG+gy?Lip@kE=pW__zT5-=dkF-%@W8<Hy}
zLx(Ot;gmu=X7&`tH-C@_y+iVi%q%Z}05Ep<`l1!H&Rk6^(SGT1g-^vp^EOo1n&xGZ
zub3|9s<-kj5VB3=eIpHIcf?`H=$5JqD4c<5dbO0{T?k-(<JETZLQLba0CdD2e%s$T
zbGk80GQQk?Nx0l&bg`&@i-!b=N_yvQF1@Y=z0bRv!#uISHN3^;IX*C}!AszreiKv0
z;t<26?W&!y6g&by%aV5#p0)aX1um!s2m(RFeSowAF6b{sPuF)l0cxXScb6H|8gGX!
zFmoU<G2(l@L$Jq&QYzK6PX%qJa^;c7`g=0zVDi&UeZM@;ki>MXqZHcEC_Zf52@NKD
z6c^vUWjbqL(kGR$?yjd;TZ$`d*&^V-Y~}_`ew^=eZg<NN{>KB#h1ut|`?UF9!*9WS
zyvE;nPZu5gJrl;}U|ef6Id6F7JFj))_l-JLNv+%XN)<*tOmF#}_~SLvssv~!19K87
z>yylDfPTtBUyUx9SwQ#&dANePXFN4nguBCU-@d70#9tvx)xQmsIbbegooM0q3vCJ+
zU8a(?NHzP*=+f_8)zR3k(>_Oo&Z%#Lm5B1GF16viu<N79!8m^4Ve4N^zytw**Dm4#
zw}d8D--<#U%V&(h{6O-B7bFLK%kvcTgIFH|(CfV+JfPOfI|L}*^(^mjJiR{rq1g6P
zTy1zCj4)&^XjR4KE(m7PKR4FkC|y&3$_0%mTpDY#y~5?UKBoAzg;vhJ4JBgDJd*Ud
z4vfGJDh3R{8o!kN$;RYsi?axwf3vs?_os)7#H>mAINo@Y-uS&8aT0Yp2`h+Ry1#9d
z#IF5m<OroSSFP<F2;*|LPh-ztO^!IcBen}vk^ydsfFP|yrlD_%a7p1@qk1nTH8zCL
zqTGp2^KEpBaNF9pCkf=U@zzb=D}=k>@mk3*=Xz3$s_9@CeUU1jOu}s~mo`9c(!J~0
zaQRwOy?((~q&mL8@i#fu&$zA!jR8&`=ylk+Z}Dcs#c{@bk+_*J40oQ`H6ZDZtHs%5
z1)HhsT2!q4!-Dcg2;OAWS^@qPa3CsXbWc<Z7r*z|-p`=`sTl?I<^n(ptoDaIlgf-(
zof6df1Z6jTq`xZsb$QcwOCm9!p4@(zXJQeP#AzI%auEj8ZPJM>TTlJ8LJ^8<c^Jrq
z6`%NGhrV@g%!_K7rRMMTDn56>YRrXW7zBsbEi`?dU+a8vzqZ42#2gi$_2ALVu@*g7
zhItO?ClAiE{d^JWmJw3=z`sZ@PAP+>$W_~Ix)(_XhXe<BQ~Pi)nb9&b#|hk|=*_ed
zGQ^$`Yf=+JtW^7dKg1dq6TcAw&lMI}24KyCFX*8y`PV-J%SG*j^=*q-S(A)XLb0H1
zm}JTXmE{E|H1sy-rw9NNpTk1ks6nru-g@gz6eiy4{(9l^s-!_v-Pim1qxb4dD9P?z
zEvL~}5iOMD0P-i~H;kBuAB`f#Me$w~t8qM@A^9RTLB!@^-`YNSmbo)d2hrDC70Kg5
zL;_OXnUjjems@7yx)%o^S&jc!mRzJ{B8NPO_IazUYRA@jrZnlSx~LW=^1ZknO{7PI
zH26l10I^tWE#n3hu)nvTWapjGe)b(&RlKIHn8k}^h?!%<!l-7I(F$7^iGypyo$nkW
zrBi-tW}8C(>5ltV!K4rI-H`7!>3i`QB$7~eGeOXsYKVyizYG4R;-_P*9Xi-RL}<sW
z%RZZgXUnox8~e^-PhKMm@iw`G>M6Ka`#}zh^ATnFjF%9f=}xy)7fH3>-u#-q{rndp
zH{6NcbI&4T?LX&RL2<~_yLlft+ABiAmdp86$YFKXB@cY#G^!q5*QS5r6Z#(0;-mgR
zr2ufpuRJEis#2?&yTEK49US=?;A%L(`YW8V<oravCVE^zdm{k%={liq3+Xk~sj29}
zf0lXT6({68vi5nlvaBA<QS~a5YLDNp^XUnRQz)sH(pLQ=7MKaWRb4=65Kp=<>e$C0
z@sS|3Xq-_zN?HlX7(_UkeAw+%?(gHyL=|rdIHFhZuuhwWCkW{vp*d9Wg&<7`fP;&B
zBGHv^BZH{Qoz@1S-cutK)kCGZRqEO0BbKq-<sWERW#M!oWCss@Eo#T&1L_$LcW!iO
zON^xV6D$pvlA*DY0Tcru{Iw9=nD|d49|XEBK?@fvJcS5m4cJM2PUQD1E0wFlgv;GT
z;$*9gp>|YyirY#o0=0(q-@J^1w6X%BS9Eu_>8&}P+w|(#xnUnK>ALO13DgGyiY}B<
zU0I2?2#jD-(}E`I0#N;n^B~e|6l(1K$3T-dHB7qISpL(vn`V4hPdM@e>NvG4rjFUC
zw(y}-`17tE?6#;gAu6ja`v)z&*w8zt+PQ(JcC2ycsj_<q+bEM{dt&tR)r`)g1UchK
zts;guVoFgf_Uvf*!>=a{mXb*20afjEev0@tjZLzPLMFgcTB#Yif&rvpS%%v%u$25d
ztlrG}0IgXxlb!+fG6-wE(!sQheEoJEoiXTKke$^jb{azWx?XL}uoZJYHJk1c+Fujd
zUu{T<<xCdl{x{)l2NjR}9P5nh&U91$>`CTx|GdG|<i#epW3PMWs*9Vq;TveeVvv0O
zJSj)ur9;V4SO-Bh;$e`tNXICEMw1^2>zmL^ZG$pMXX7*Y=i;IYPuLs(1;n8b#7zi#
z!%0FK$j%0amjh@bQ{WzLIKOK}=5-4Tw8ehkxxX;m4_5%>0~fbnjj>pwaHfj*z<D<k
z49Nw^oC#VPkS4LxAQ3MDK%tBVf|3qmXSx6@7G%E$6~$$@GnU2<tFD!uf_xi`tZ0|q
z*WEcbO`CJ)KP(pfHzoHH@XSm|x>|aq*5W;=?uzZ;Bd)3Q{z2oN=$CD!#Zx{F`@i#c
zQJ=A8t4RtLw0y$RJG5?Z3}$Yn`JhFd5LJpfjL(D}j|su$z@5Gd<;9P|fpGsfVaP^t
zc6UGuE<A^Iwq;Y{l}d6SAp9LE6zooj?`JPhE+g=x8_Pk{w0{;xyacIaf3y@`@TS5t
z^j%dB-{0D@vhqaH8t|D?HsD+=VJQLIl=>7dqt6qe0g7+rf-ZJE>X4qoZqga2pK1Ay
zDc&U5i@l1!1Kj~~vhIH-e6GZ-?bs--J<JO?-vX1CzLE^$@Z*;fCuy>sj;5sYym?(C
z#*XQyEkW|ODEj+;RBOLuoT}O8K$LK*yu|cW7SyJDimUOH7{0`{p^xz<KI0xtJO2m<
z#@!)anuM*zhngn_BHL`JnIw_ecczM6;ba8=u^AqJP!%`2=qg&&RdL7S10VjMwv1O=
zNF|By07WvBZaC1!;yC%LOslvD?-4+JGMMh@+i%wMt%G@-Xcf$<SIPaK%$A*`T2JtU
ztL<OPzC)PGD)SV~4f2TfMVr8~GKg-inN*0#q`ic3&BXM+7m*UlKS;sfZo*+aY>tL7
z>Mg#wu826qRx0AQPU}u=6b#jSDW@0&&K<nda(vITpJWR6n3uL_ikA_BBKZ?3-25j{
zRTmxknd{@wc1^!ZEf4{haZf5uztb)P8Kz#P#$hv4(ipe4H@MyApdlUc9%BfF_1#~e
zNtHF0ARVxxV8B#tb<ad}dd>To_G@zqovwyuYBJyhI?CjFeK|5L2+dE-vMaieCu@~1
zrGUmtgM;}-k0os_WJd&`ae0)~zr{G*u3f}8oPjBYP|mDqFNPkz{{ppF$|u#7)j#cW
zN09$#UgI}z`q6V8dgs97j|S?g-3ZL$u2)o+rNF)tq-X<>41`Uf#EZ^NI$=4Efy(g+
z1Ts<j0CU+pZ~6Fl`lFJDQ?+X9*x2a!fF`7Z_3odXV%K=;O(b#U_rA9~G>)2grXEj$
zZ^s4YudE5RTrIVk#?k?f$}!|B%x=dYtVkSQL%n(|);PtNTYKUvwykeTJi&*odfCFC
z7_VaZr_2)+kQ#j33-P3mszkRvCVhG(K=dX6p1N57+8h3TiRGS@Y8<SfSIx(b6Z87$
zITar%Sbmem)q*Xeg1xP5Af%a64)z@WGlUW0VqpdmpwC~Wz(>i}r7#kU5Ea=c0>_}k
zAd)L-yxV&U5Y2TPKjxfT7I}u8_)9OzakkDM%IF&8G+*7l?s7FSW3_thcojF!u-oVm
zdD?lIFge54q2!%ZyJf{8*Zi=0-IKZ~xwHOC`pWZv2lF{`Q6Xs!tABDDS8NeSBgH2|
zFiAe>BHw9mr%7^UcERjs3=ZcS4Y-oDDSE5(jEKgeHU$%(hbw$rvamjX6_*%OVuM@S
zQy$Hbr`e8S-8x7e+yFBS%rW~GruYbm!t~%-0NxBFEB`*jSeKP@cs238b~D>I2ZWq#
zWVel-lss2SvFW2qV)*v$EHZ2DbePRagAkqYP`{Jg*hq(kSn^pEMyn=8PriujsS?oy
z#UdY1Tk90zTob2OrfW^%4OM#Y=`;E#zcW0CN-L}mtEzDx_o1Qhe*(=*KbuUv2N6YZ
z27=JMAHtWk^CT<Wb{Uz=H5yPae^^14*4e;vZOI7eQj+rRa&AbB$Zen5y5r?*?m>yH
z8SUT}5Ed#<OMz`GXt<5)t~yXT^i%Evo&Kc*ItQWYi5^7{2J^}LT2!w_41(a(AKRXc
zJ@pUf_zK*f%^_MeiCMCa`>Q1{EJXP~L_eD6Yg9R^Qx7Lys<geAKjv_+CRMSe?PGeL
z*#mLhMV(^okA7;s+!Am>UAW?L#QsP?H&%))n+ojXL)i>G>U&8gaRj!QVSgtU&HcsE
zFS~Qqu>eg#vcJ;OP(=djbbeo5n#7i!x3)L@%hW2#sx6i5BUg`x@MAG`OgJ-nOZF#_
z7Q8C$i|17ISUMfFWi*0)^#RAw@Xn~RVHdP5k1&&ITOcThPrOF|gW5$|5Mu^fgaKDU
zCJA4~UOTTLeXm0*yu*&5>Pj|EL2PV-eshUJbiM&vvnV9UI3EYzxO{+~XeZfD2xZm#
z?UsXPi&5!v-}1bbS+2KIrTWCq^QIo*nTX)>d#g9hJJw=-^6p3O_}O~S=@=E5#)IMO
z)&X+N<0!SOSj}C3lh)2ZeSQ?xr{``(BvQ_rx#-I!cWD-#k;}rMVZ3EkmXAGl?$L{$
zf{kA!dB0gL&k!$FMeq21LVxUD71Jz0yy2OumaG~|1vr%E*@Czkvwhpo0BT|OJLwF=
z$0(EKm^T-7*7~2i8wohBK+{-_D!c!kigQg7;>tZuy8Jpm-6%j@%$1phbV3YGkEl+4
z&FiLuLT^E%J=-+w&t9vJw@H>E&`7i5h->=^7y$-y46?59fSAp~BIJR{_TZez<J+{k
zy#TvU=1f5UiFd&27t-Ip+~-MlW7z2Q>TlNs0&-ahgoSJFg3TlRd)_2HVG@vz8=uj7
z?TW%+cy>|inND)CvC&5OgGzu}BErgu-Zk@A{?|PHbeP#U0W4&-@Vsn$Cj{Ya-gW4w
zbQKP(Bd;W13FJn!!@cUHryl<c&Yg{5U`xUI{s(oYX1oF}4OcOeLvS4HLqqKkgMj8H
z+C#E+si&#pUQkJ%f{GSNX%Oyu&Fe}`e!Fo<ivdO_&fA$xavpVD8PBOxok&G-WFEM?
zlX|76KqRf(a{r;8ZwEBO9xwH)#Ys7)Psr2rVq>bs4v&#>5q>~Z>ea5<k@7uI&&<|S
zDTweE44oTj*WXv5{r^OtH%kOTo-0}PGEH2kkaZhxH`v2z`}^=TwQ&6$U!vwnMxP(>
zkHSxBQTS=AnHYEkok#=cmMy;lOo|`h#v~P|><7rD9va1KrZ%%HcqyJdl8N4|EPANX
zJw_s;04EDHX^oO)hS)GDwjL1pQw^2&V9{A5N;XO0#yy~bWHK_B442V=GUm`%>8Q_Y
zpRtY#8Sf8AGQzfugiLjhcA&_Iu&j2)BhoMJexmX-@EJqbngIDJUKNE(QZrz<_~82Q
zwaA^<WNbt2v$P8>|C(VZayXq@*jZKffA{hBDlrm8I?(0!zg%&V@^Kj3L*zPmujWv~
zfU%B(X+41gReA(h)>5_$fJ`G9%16q;3i3wIHr&+{Sb3G7?IxqPoX@+E3(QH6xHG66
zswl>5=*lz@(kgfRS~)_~#C!HSiv$v^3QZDgfP~#`iV2l2G)O63aI-4sMn5YM#j>~&
zTJhdos&9#7MV^C*<Zn(;lae&p7;N;~hQ*|dMA(Oj(FcRY9+8P4o_yE)i{o`+>e)LY
z;m2uW6cGlY1G@6_!gujUUfzde%e~e$xs^W?)d6#4SLS>n_2E?AGvM1*zgVY3Q;6bQ
zzUUff1KepaNT0MVk1u+^$s7S3cB7P%YMjk5zyMUg1iq|0AGv;v=PJ_4krRcfs8#tj
zrMocCWM{@!s$o{jD>`g}smk9DzF<i@%V6fZyR?FmYqRxGsBQq1awlCU;-3@G8kl|k
z#R>Kstw5T&AhTY*vhZ_kLOvBBfd79#+Y1TP8ZK8cYg6l<E%>(z_c<S$QLW63&1?(w
z5zgU5BIkJbBNhyU90#QNOZmIVR`h@N+r0K<ufvZgJL&rwYa=Z(xqE{Hkna}MGUnVk
zC{bPY^l&sZnB_WsLFTY(v7X-`8Vr&b&MRbqQcN5(iMKdpgn&C1#08lb5xG7L0M4*$
zLmi<bv7;uThWHrUDRcvPe1jSZv0}rO5D@!zY|_N%8V5XE4!7Lkw58HKx}5dy@$g5d
z9=Qwq?>I<fbrE<4fkQ4d4FiMa=123g`KV_F2rX39BqFXmLXYu3ZT?5cIv4@P!25z6
zE^~Yq&Z+7f-$QMZ(c1|K2ay9#yY>a&adjADjntDYJ!1VLX@StaG-`NBbxm%V8&@oW
z6MlkB!sW4%xa%QPHQhiHccTG#kN{QpQVPC@7BjPmM?W3t6k4F(5H8uNkZFmH!K%Qi
zU_akRkiEC26R(}qQyvH|UfyTRrXWZQ_}`yb5+oZgON*ZjF-p|B#e^?vc%~WxTR-WR
zBgk2!WXxnExm3&-cQ)_w<pG^3x45>>KJ8zWk9}3W74xJ|w7Wl+MS~kIq&#o)D3Lrp
zTD7}QU!<+hDB;SteVF+ZVAk;_W5*X5|HF(FSPE#PYN=dpWu4w+H5T4X1#@1wP2?dR
zGRX4<RPFGt3l_`dn8&i%1-xv{dchM&h~Q8P+oJK>!Bp2sBR#y5+f}(*Ja^578!ltq
zqu_RYw&8AtfkL8Bt+A}b`B{nlsnV(PpdZjGequa#;=uc0@SL9&O?A(WQ^Zm#+82!k
zK|Dq^k*H8$2{A>7{qBG0F1s1={{z>SvzXMMXUmu049@1$SNnktb0^S46)Ny&DLqLP
zjXlHy@W;Zs5!N?x9lQeK1Gi3l>bWCZ=#}8;Q4pq+G+IBSflBq9ihk6;4W*AEl$D3(
zXWUFzP=;Q}Ci$5Y?w6Bwp=YN+#&Lx`A0c|Z9N18G>P*Pj*4|H<IA7<?nt-NRL{^Q2
zloh>miSus6^C$>V5Z?*)8asUAG8}FUCPP(+W|kvATQ)9@L0&d>8@ug%t>QC`?LvHq
z9#vK*LBI&u*Fqz(PS0dLhR(-hTM@~EvI4JgC*{L=Md;$QOfDY({L92SSD25=VQZl|
zFFFjw!E6~4lW087mo7WI_gtg`phsFc4;2PjhC`aNp+vf^7?QM&MsL4b^xUTgP|FQ3
z0(20|D&KH{8Qj=Jf;i>NLk2F_Cg6Ovp-c{<Q7q2X$5j(-kBLJ^%H*q2QJOq@lVlXV
z{BJv22%z9nB&GV&%HyKRik>EfJwz}fw6D%jJlOVFR+m#j4I$`ybny>?=pQdiINmW!
z@xet;ICq%^<imeqNnCoacd?E{y+P+=8g{V#<VqE_2GZF*(O8B=`QzPS>uqVwJ}zaV
zX>Ljaf4rU)9mXq#-r0g?KO#CQE$|3lmEFC*xsPFILNkK`>(=Z@XdXp`mcJNL8leai
zTd5yCX-na4<;_ZcTcZA&$TB6!+M<9VK<@mtNJyIi9@wdvV#utgr9M;|bvCuzDLmzF
zmjdl^K_ZUoCodx92F1jWNNtpOd1j)-D103VA#{&}hzZ4LjYU>sdvd8y)bQ<ne9L5F
zf#JmB!aEDc`LJjkVWZYCcqd}L3Df8#0RJW(RIfeK5PD($oXjKi5o*#$xDuj6WXYao
zrQrn+EKuUPh|RX$VF}g&jgou#P%Y(^WVA0ZARlSy5V<9o8Hy!AEpvAc)Ij!5aiYMt
z*QeH;xM|VTPi{vq&(cDW{h~F{XEA4%rf<I*{qw!D492}<{|al-vwU0vIrFJXw$w%f
z)WFOx+n~})3mXRJd?iASMArOa#PY@DA{u4^6I!TVu{EGULjAl$NvWN<(gu;enHE-x
zt5*0xhP^jOuj&Xm4@Obmi1WzDc=%8E3@b(o#uId+t|=$nM!m%+{p&jK@<9FtW8Uxu
zY>s(+<jMoNUm~KOE3(6#hl*xJf7V#!Y#-(|P{(wa$Y|Ykg;JE65A(p>;&!*$AVXIL
zz`*OI5w}(Q)3H4;dyovDqH*AsYxMuSm>gI?$*_)ho6}b_EGy+K@;Uf^F{~~OSug`f
z!X^X2e&y(#q3tt(?Ih3H)Q*7|Scrik4>x0BAK2z`N|qgMAeMM)esgtT{QACn0EIMj
z#>C0g>SdE*)D4sCr7MMfh_~r@Rq5abbPgSkxl3}OsxRZum7K@9xk$*IpO3R+HTWtV
z@lVuoCE5~fONxhUj*i!))aP6K8sPARrwZcW#}3fzbODv#aIW*C-6ex1yDTh6B-(iO
z(2UvVdS6<^Umk+dEsCp--T>`ebe`jL^L8>7dx#F5<FyBboT@98u7isH;$*dIC%ca!
zW%dNWdu~OM35l!$E{Jgj;_7N=WsGsuq%L5n9aWU9TVFo*tGXBBHs(aFn~c7xtUbAF
zH^V)0dCaS+N@Xk!nJZ#3^`3LBA8RQcWmY{c6k$$9FQ3vitNmz{5;vwudH~bzMcK{i
zc?UEhiLv{=;5Bbc{{Q1Yoy|32C!Exy2DlQvvQjHszsKc*gN+f`f(kn9C2Kf)M+j28
zcu}7O4K`cavj3-<;e^*@Sf0BF&l6-PLCmB=*OOzG=TRq0#wFEmMX%jJQG>hB#Ww<?
zw#!9|V+_)>ext-)rR*Xf+oMN$lH%1DzO@*q?4gM+{`M4mL7=By>NPTwD0;F?ZhhA`
zrT3x{%Lv+Ql!PNxLvixK#dnI6Bm^I`Ly2ctAoScTTj`p^g_VEq-|lBzd(sI=&H(lm
zSDNU>QeQBf$V{Pjj7S}A;!i;PN9t`=OsWj=>P7&s^lb!5doy=)uZuZpw60hJI7GO|
z7%@+GVKRC3r}15*a{uz)lF|CA>cbgr@mp5GJelB_i0t-Yp6px9p6=FGfcycw7@&dK
z>XyS7fHk-B=?A0lx~G(A*_)jMSR%$$Lxjz<ddXJfl$qKhb|U;^^obT#C_4ve%n<Z|
zn#7r~N_q_;yE^6x9dn?*+x4l#n1;JcCI-)>ZpRH+Rsp|tNkp%8>2dukPG*e;UDSuK
zIM{&|zO3NlkJJ*W3fCSS`Fzi4>w~}QhCjrIAz}Rxw@{-=JlA?2BIiOTTfxE{kxg60
zm)d8X8NPNAgv>^R-5YSLSk30KXX&x>=HA6+oqVcQOw@~|xvtUOxZ(EA<20P0H=3F?
z&dB+NS3k0;|D9zf#+eebWpFX|zxo%KFk`UM4sFY1cG|a??OEZn-nvflm`v<Zp^<X9
zpi88Q@iX3Sfc(o?D38jriDM#aZjqe~D-`!_Y+1^r`Cgn}Rs;>3&&2zfJ%W0g46t5i
zt;D;%<;w?<TtH%gvA;FbDo?b{{DrgQUF=5-*$!?I-p&-!yz9`MbTg<lhJ^8hs=NsW
zY~y-PyxgD3+wu=VL9wc}jeX(${uup_)l_7lPu=cL)weJzDi6l#@=CNpZp;3mgl^dt
zz2d5YR3a22;Sw0VTfghA%a`B(9$@VKb+JHPKJ6vY`SggkXQaVXl!cUCKQ*KJ=y3Gr
zyO{H<__6?Wn|;hh*KdsVH=5whDTHLcaKjOa{>7fako-Bq6{0LmwJ0YYz9Df+VaA;0
zxafNQ)mB$W-7_eSdx5f-PLHMNGE!CLCJfA65jm@7-}QfJJ1#NLO+U`tfo-Y$kh1ZL
zY;>Ea0GgyQT0fpXd3w>BUnNKqx>y_%1j)<`dp*pw?(1`0K;AZ)s>TiBt7q;z+wuS;
zB3CiZe!WvUe({GLn?-|54#=PPzKCV6vd}No5*G@4?lj!!uwBl8Cr%E7)ZjWXC9+-i
zBr6vFxZU8zks7}Z%-@`OpZU3#t%4BJ5Ey8aZ_Y~xRMsc1v+djV)~(;lK=!&~Ii0R2
z9MSVYIu%Jc9q-1HJq}Y_AH(bzHfZhQa6k`45C~jROy^vc#PFCoXVT@hSC&~ff%DDb
ztqMJ8^FG|<C1a{^!9j&1gT~s%DM7cpU|zrLq8d_Jy?j@g#54|59flb2yH=ue-VZ6#
ziOg%8@9WKTgoi*v2z!{;d?UwNaJ}T(vIMJRWlz_48MBu-&|)5|Gqx$j-i&$&>Z>$k
z%W+A<7`>9@v?VM=h{bGx)t(=CN1Y63CY*dVntaCe(a+^#xWj2HxdRvGRB0S@!f~M&
z)O@2GD<pThKacTp;Q1HwWL-q+8pnCn1Y~Xw9bFrg#3+&-`jPat-bILGIR1SlA;N@5
zVQtoNxoxuVc9#k~_LlgOGWA&zEs3zj&U}HbFar#=<LWpj(I@WNG*UKjk=#yr)i#1`
zoC4_Eh|rYI&?#H@IsRFB`5bY9_PV5=Z_<3QD(;Su#w}dsrj}cC(1}Z)&OyF)?E4Ii
z0QGnnBmHqDA*rvhKQ=tj>5qdwiGC<zHa{6hbGCiZ*2$6N=1XIve;d%!9V2w9L{eGH
ze|8JgIHwBWxZWrM#&iQ(HOO=YfDyGPg#pKQTHhjXBgL)r#?Iz+{q}$(t67c0B+o6v
z4ZTP=Hq@dZcpsppk!}zK_Jk`)X1Nk*Rx1;}_~VS4yNzd>YHzNQH=M_fu4mvgmx$&q
zQR`$4U5VUM%48?o+%`*HkYAg(YRcrB@dMNPlTp$K<gj+Kt0eiw2Hn*3-D6s<*BYm9
z9UbwTLYnrbFIDCc;do8$3v^XlNvQuwhFta$)()kZALq~E!wIo$$4Bt1qB*v16B#N}
zveE0nIn4S@e7HtWZi&%*i5Uo+Os(bNWXyif`C6}^kXphY*giIFvCEs_{jezQp@$!u
z6?|}SmY=o*NHMB{uJwMs)P1X5%0+i83r<R>jj7+XnXUBN`coIWZ2G4oU9`7k%*$~^
zhFrF<Gscm+63YHPcyNFsI@!3+YEpd_<Dl(st9(ZGa-t}2Y(M9B97zM(5bFA(@IRQU
zM>X1$Amtk5<7&4q#I0)e3Z&W9JB{xZZ0jT(Y#0tPgkJ}~nsB+X2DxOJ1J_TgM%yuR
zuA~~u#v<-cj}GZ2fg>-RI0mr0MSG`#fopVn)k>yWB_G#v`J!?Dp=N=}p{+Ca*ZY|L
zcMw`OcE1_uWLm`Fk`aXwNI~O4xlgQ7M{a^39<NKr4iWq;)=t+JZmNwNcygG!Yxg{F
zHV_}vZss0#>W(K=79wlWE?dw0xAZxb27di_{mix5z9*wBcAsM4@L+W)n6mpv>ohVl
zL7XT;nRM!sD$cxxt1?S&p;?2bFJ;B6gk1JZ&7m$u6KF5+>qpx4rJSi1>NNky)e@M^
zqRfj#A@;E~<92viye=O1V;YHWSkD3sJH<4o1EIfmZk|KcRdU*Ozd@0Z<OdervKa*m
zx3O-^#F$&4B!nbKHtB)14t0{=)96mrUwFC6VxuUkq4@W_I9MMO(99DcGB|>S1Aoxc
z2|&^O$Hu=$6}zbz_<T$z0v1Tg{2pdTt~<C&J~B}f5BrT-6^L=#%59|Y7VHv?bBj%E
zFLE*1WBCf6h`N2bTcaqZq^h~i?&FcYyb3M7`N<vPy^u>URYv-Spc5b^g!=-znPag2
zu#Q0nFO8f>?O@%SH;CSgdT_Dc(6j!dn!OVM*#Oz-<f@+YNLPGta6ucUNPM?q4}pZL
zwzpARyy#bJEKZj9E))sw`JWtHr0b6WCfxroK9;<sk%OLJ+J8B*VX()=Ygvd|%OdA0
zc+I`Aorhccz&DxGnMq~CjPUZ^1efVgPqwCd{$Ff;9RMxf7NFij4eyo*3?oQS{aue_
zxXh{V;p4{5SRp|k%(k<cd+=npV#1AAx_{Cw&V(G9YDrU!p&651EA5Z8y~P*<mu{oF
z@dMKGA93G@o+FF_k`A4cGEu$V;fS{aEAHH0tzFtwhV-;+U;R9)%n==x%2nS6spy`i
z*0SsT=hZeW4e5sl0-_;!8Z$yD`M@VCRn+V(WCKS0i*yAE@i`ufgV?$u%@`n<og1yT
z#Tm$t_hqEJ*}=}eF-0)!c8J>r!D|@(hm#z1FXylLcksN?xaODm%?s$BG}UoC73O}q
zZ+vScbnlS&a^1kg?c6hg>^pIPsjN}joJTRLE-O5uvd?-TA!<MOn&b+!XCim=>Mw^$
zuc$Ie0O(YD$0}!g1#3!8g$t0wtoZk+>(&E+XoBq2x@Z%B5?+zgG+TvgzkmVr@;#HJ
zY=f0AB>#FGd?RzZB^M|U@u91nNl5vuy&4GaB)K*o;D3Y-9Cyh!c0!zM12vG$z7#(&
zil1}pk~YMy0~~T3_ew9J9^qIs&$4Lvy~Hw)r{8|$W(Jy7*tRi-D?10AbbE=46P&71
zT~Vv-nb+jE0o_%F9dW@rKOOHIwlB?RKPgBTXi#2b&K4I~hhS*)qyp<Cp(G|zHiIta
zf<@pTso-wn)t4Q-T!Je@S&kAP;@mZ*>DYOeR3+<5eo>uMJqDPd=_x$n|G4qv1Eso5
z=|!j8aEU$C;#``CtJYerKmI}h!(%f2W@3iqa^lm8Hrqp^EtoO~GamlNFejGg>}>m+
zUlM<PGQ@{ngKr~_$(G=`;6(XKv^EA&e~3SV_lBcrdr6~tZtSPrA``H&W+gcpEVkU?
z*<sDoyqTo=jVQ57v8%dugZp=*d@e5^k<Pb=$k%}esgV&QS|(7JJu$)7j=~zAb)1f^
z=869Vx-=M7MmX2B4+gZHeg1@3%~IgB1?OOwB9C@}oT|8E#4d6jEDl;?zLW_LH1y=V
z*Wf?tYl_h$i(g!*p`g<Wb|SVu-{(Y9hntCSG~&U)f!O!Op(D_)+^Mfuv!&Su(9^9e
zOg;69X`(1D+dn<F$_z~Q6IP~(#{3Pj(Tf{c_~6}kjxr<<3&x$%m3q=1g>6j8W&18@
z0GoGXnZ`wP^d*WxT3tMRxL^e$SXLP)mnc5075hok5RWF&Hxiy7tOJ;(OhBrJ42m3*
z<yirgH8Jd%ZL2ETP1?_IS<Pyr<1o=rY$Qggkno4N%U9oS0^HX;`35Fb-83s~bbcKT
z#HFp%0cnW5<{+g{YdG|<)>8mzDdiVF7!mthAekYY7hqywn6{+H=3R~N1Hz}T`;AD*
zHr|tH4V}qKiCyflei&d{y$p_EwJUsrR0NA68=YlAUg*ObXrO+lk>)b2ItL-c<q3HN
zZcRSr$R#_RHq#k$M>K|_-3LNU!7k%K0Hv1cF8Lv2hX~97%B~0WpzlT2Vq7FuL(I06
zj{p6K1It>WGz5r}YSZb*%Ijy5?yXe)f?oDAO;w@dC_<rJbgCp0bksxC9e}*TX-O7o
zC!W3(d=W#O7$!FN-KhdkU0-Qp1R3APkM;vG=)~4XRBoRpmku@`IQSeBc0aV-i9tT(
z$VesGFae|g`Y#}pj+}_%2KV}m@^6+fx{e*?9#S+(szDMFXa|V_OKJ-OfU9C10+TYl
zc{kaZjLDHrDwA6wD7QBpcL=f)8JP1Dr^vt-Ml17vXHbOj|6yXs@vZEvzjOk;NAPl_
z6sev2k6VqycRD~g68DQ6IIe(rxCy{O(k%lrA_+@xxB$k^*h4Se%LwVCMug%?qJHTT
z?fkTjz66wx^$>}bTwa@l)<|wa^NoYI&{NGAS5I|&E6jcvC9!$eSw{{&&9M3fL!1@q
znQ@Ex4%Ooe9j)$6=<D4}?k6a=WtM1{#7dxdGsF=@tx-P9j6l5@nWcO8f#kq6pVH`9
zoI^rEushXPFtH}Ezx-82TFAZb?RkOpz;ZuJI8Y+*9|Ho?40WId(GF8GK+P5~F(3D~
z<j<pevuZ#qj2innTz=J#M=W-$r963HMJGT$9Ja*1No;5SK<C~z4<(g7zVP|+j<eJ2
z`$w&hG+r4OQ&SaMZrr3SK;N4<ilL0oa<Bk1pGpzqgSle~1{*#?Mpzrm4kQsUF<m}!
zI;@60ujc@}z4h@z6ix=)%nW*X8)K#^<+bg(Rdr)`I;Mta{bF@{4bH~DDO~x7XBS=_
zVGSlz#|)n?KUyMd@|za1qy_ms$fnD~vds7US^!>R$A{~4wuKI0_hQ0<Q1-&kbh*+w
z9(w$WwwwK+N!p`6;>Uy7wXV1WLa))($EUryj&MH=<Mz()gb{E2oI(NKk@MV}Ib9+d
zpI}d!{^-ZJUO=u?!h}~>nf=EP=n3yDjhljs>g;Vgi1RdX(MkU=f2P=w^YJ<}^6JC>
z2yMjwt4DyFj-(*C;!~ve!M10h`Vtw3<lK}VMGyh@+Um*g*X>;^E@`OZFzHQm@KCVB
ztExa@$rzEte0HzbsoBB6BzAy;QYQ@`b)Lw9dbVS;?!4x^$6ShPdagC2G2JI8f|6Sg
z;fo6yiuhg*N+9(;9ehPb%4$DB+6;yOiI%$xqMV#&^|5b?IFbJYnJLKAUm}qzM>Z54
z9(>YE<Li<Hfr<cv{Rl{|G%u1#ugI-Ww{6izIlPVqERaYaC*GyA{&2NoeTE0N;bbhV
zQMq6evEfTzj^tv%054<EQhpq(hF)WZDJYe?_!<BaT6Wqhj=ReWoU#>}_^frB$oy(h
z90yIHycUC~9GSR`a0G~@yjHB+2w(=BZ@SzW7iY|1)<3QvW%G(;Ubi}<q^j~6Nu_#l
zpA16ry`=5YDbGVV()dP7)pStH;`#}(-w+={3diF&wC5h$o=#C77|p@r9Jne`qKNxJ
zRb=Qvwv15x+vH!nlCRT4fDm;xZsf2HVe7<Ea#nQ(jR1$w(<W}}UA){wuD<2JL?M^X
z@(ex?;_6#9X+s^^vYH`0znN)xoT^;CzF%57hXRhZlEl`%lEOKB_Q+aZ98d5I!NZd;
zthEKI)cnOG4lr8iQ`Me1lq1zA<t&R{mb>*VyrsKhA{s@F>Nf312IFW$5=f{idh7zh
zt?-(RkjPRN1=>uOljyfz!ts1Imx%n}R7#5CTU};-hnupv`>00d6bUrkf3^6E;vhBP
zfJfUa1)XX4(Wu{WmYya%9qmFa{*Tb)Ak&DL2A<v5aZ>p{7c>YHmFL4}eN^4P4Z#=K
zPbUfJN0WRI<7K+ulcWY2mF~%%OzAnSB0<S;_J5iFd<MQ1$ynQ{nK91e7U<O6Mhw{Q
z41mO7+HE5(B3AeDBeDEg@t|#BSG7QZ(*k#wtN3-~@`#KTIWBdDq5ti)2ibl5<PhK4
z(^|wkN#h>I=a%T$O-U!|0!W+Tw92#xOryPQz2GW(iVY|8Z_S8MlyvO?v<P74?C#-{
zHXEd{w939bRu%3B@1&$ZPI%s>u7|pJ!dSUXbq#yDJ!{;)!js{fovj2eO%1gR5BkTU
z2MaQ6@(_X#WP-~Nf*F`qMn<EH=a~LglZ>JuW@}7fhGgs{^95oq)2G^fNZcz;O`4|M
z3@&~)QFmSkN3(<=3|_`u_2HR|pd5l}R*bY^?A`m4xmh^)A-Nvco2T2PVyBgnk;sm#
zSpi}iGOP{Fm@|MX0HL##52%sZr8o2a;2(6<L6@kJTZJ~PFKm5-!=h2p{%Q~T@xHvi
zRn5*9N;8WP!|HBl&>EweW(3msPl#KhA9Yf%=f%BKP>@g>J3YOEf45=|3qn=TenIw_
zSL$xw9^Fc=yLdjeq&$ja@H<Xr{TtWi$)^5+UntXfVU?X8W^C@m+{;G9wFH`fdYR4#
z3rG~egs>s(e#edSU0CH(b(l&m=2(XdnRy{U0;~Oy7@S<`-9waaAl2l)GZd2Lk}s0$
z1Nr`*R9o9FzGGy@G&FO^bT?G1mQN+-)+w-`xjorcL7tR_s1<=5L%ORT38#2+FUd|Y
zC9Az_Fr8`wRFSdsByYx)qu0rk8jwY6R{i#R+yB+;fY<6y*1E;8wky*j?|!9T{54O~
zwFM=X>AAOH-9a6){=6+9E}Cgyp>1CnM40NLGM%`piQ6mU97EI%@BYoWnNA89Fb`?R
z;+Xz2gK0I9i}O83P$)xYv=mvB)ytc8ok&5SNSN~(zQX$!wlNObI-K>Cu-{583l=7t
zk4UT$i3Wekp77TO<s|(x_ybZMwX3#p20<*3!F43OwgEa9U}NSnEzwWp*oPG1?(O+*
zYo(%SYDsMZA+@P=o3Za$wCl8E-}%YO>s_E|Qg8!Z6;z&tvS$HMv2t*IkvYtVCZkDD
z{tXKtdmRvj$B_JP@tg0}bJbvW4xA!S6H$w0)?Fu&z6yF|D&Oxl_S@zjhT_1wWjf1Z
ztdqz^7{0BQLhDcwMPSH}%}(_0NXgh)y{8|0r=mJ$7GiM*p+u@(>FFbwn>Wo&*=-{Z
ziC70w07mQ308{(gpLAyaTA-5ra#v#TXVkcen2?P~r`-`Tj87&X?)4#UmM`RJ$EF;8
z+q-Gyu7ZthFAvVoG-(z4!9aBIlU?}p2k6pI;Fc2bGyiLFK0B>K0{*n=@uc`GruhmK
zftje?*0}<L7t93K>6*h?*UB1PQ4k2C)S8rw&#;2De3n6Fx>q##1N5+?v!#~p(|P>0
zvB;e@g(s(T-E1V3j%&<w5m<EgP#RxsWlno>fOlnFoTA~p0f<=seVU6hZj${3kU_RI
zJS{rY+ax32d72h5q-A=t_SiIdtG5tJyD80!K!Z0DRzgXNfRZ(eAy-h{I?Ivr@(sIj
zXmc)@ZPV?v#aFFet(5YoI~o|W03{Pb$o+}a^?8mSsaE--77uYGRkjJm+|-w^eZ~6R
zhQMF+Qvm^r3GzbFc{tZSbA$RS-C3MQVIIT!MC&|mF3>5gIKx7{@mTi8QH7a*s#M8v
z<^d_F#m6OR)cV(RBAk-ECq{3+*7T+$w|1TluTo<!n-Rv;hG#g`3iH!rzUkeYz8_`?
z(ADw7@9#{W70ZY4RnaYQ3YUHBUn<_*jvxLD4$pztax8bAbq%ztzd^`}DUJDwQgF<G
zd7=cwrO(@m{_uO7t-z}H*}SQ-eJVYir>tt~|A@MHk`t#_$0%q#GTN$Km>S+Xp*GNn
z2(zc68YS=|RL#o7VI`apglU?VVW^P|<?s|9W(hsmD0;>gg5sr5n>-;0X7h+9T;~+-
zS#`3dnZ+WR;kfcDgK~MuAl~V-AE>2Fdm~30BlE?a(qXZ}6Y_UA4i&O+uxXX!6)vj|
zfPI<1goFPp&&n#L$&7<swJ%}3&T2@+_RI;pUn=zA_jnl%POdfV<8n^<nc1$0(~P#7
z!mD2Uewkd9A9?`nzFsuX@%e$XMKNm`Mwte|{;RZ#<f#XHDSuQ@%^=Qe&%Hg*?Ja-H
zS%joZ%!eJStJv#dZj+mADYx~|x53^oM-lFMtZ8@3`zn}s!DE292o8c-2CWRXbh}4M
zD){M@9U*hWnO}jpsxhne=hX*WKFrM?<my&nFj69GGMaj;C7RQ(=|!l-?Q3>*8_&5l
zZk@8{&Sk51tW+JynyGCO3iJ79*K$4u{1v|=*E_vNl4(K~Ac$GjxrXAuj6^Dm;&JE1
zMmBoYvcy{BQkabUhXt18Q5HX5c8(5=VB~_Q2x;#p!SR)`_QX40Ht;Dk7=~Cus!!6t
zXXxV|Ng(@_Rm+*t*$$K1s%^}H7z>tZg$naEFBMOLcm<5g6$$Iz$l2(|tw-nWi1oC_
zlJDRIVjDA5kGJ*2uZ0xi70%$peJM(>2Ki*25pgJMdGf(sI6joH)x~g)3n>^%tll-J
zB=j@JAP8J#LT$%eviCr@c&NwAO~!s~h(vSPTEDBXzg^XzOdN=PqE{<>d}>W{?i4DZ
z0#Wx#5)a?4!6iC*#9$;4#%bQJVzIezSIB`Dh{;i!bukWm)&pGiHd_}Ub5b-j9|z2o
zMW(tXCqvrON`=02z|@&k_L5AtZJ6nmXUKK1Pqyf1U82`>Yu6^|b5H1j(y>0fw|D#T
zaQBb5xWD#H6vi@czv(ga&XiT@-r+BGL4nsT1hB;2sq@FeroLXU&<?lRSF4sahl|&^
z!Z?&vaV)Ro(k8CBjx@}*gdX)+Uq{kh6Rn+Ky>v5`O=Z(GU7Xb{yZ~DiyYXrl@V(yv
zQ$%nM-U?jLOU^E<0opV^v5X0OCtD--V1t49!Q0U(^oMiRq*J>D%tjIM{c9rv@A*5C
zy(3;*(|8(F(qf>6nh4Nj;&fg4Nt_mm6PG<_p!#b%WHxnAtH5LHT;MQ!V^$f^_BX2V
zw1S;EdXzTI)-&RQ4Onl|4NG*Vjlx>sb3%I?1wEc_6&p;dsF8JvuOGZROs=fd+^^nV
z3MET*Y=4|krT^TDn^>kPlY6F9Oalnzg%9jrRHHotec^IRH5-I|#wKnjT>p?@)&Pf|
z<V?1I2EimKEjQ07Ea!H1q=<V?MU_I+U%fV)Drn?t5d<JFXdlC5X$UC5L_~`29PBo|
z0nL|et^}*=TG$80by=m?9SM+Fv+1Ga?BX@$khw3R?zLp7y2+&|gaDuMD&=uJ3+bxs
zVu-_a04azcT+p5lLv`;#pzDdA1&uV*&zf=In|-C5tT<`X7*Xc{gFo*t+q#8$N$M*s
zY#V9~qQ!~R<sO}?MPdYjW)A8&OBJqDMH-Q~$y|$hHZtf`Jd+%tV*9eGT<)O;^BK!;
zXkdiKBcYY^ntqkxl+CG!4DYi^z4Z;|7`mH`T4zA@d0SK&oq&j594GR`pRIIn5wEcA
zKM)Gcoy@`HmSGE>Sb}0(^$!5TzsYxAPYJpSSzv4VN8MH{ng4okQUTQ$_9$oRaD5l6
z<%;xR(M{}9CFNVB5!yI-+R)y+bR?fEbZVzrR{L*>@%la1i`1G$9+sf8{xZ0a#KKPJ
zz#<Gsm31md)NCCGt|*OT<##(_jLwJe13(kkM52H(cJv<o|2Gihg$5qIIkC+K!%`sr
zdEC>zpB6+b`Isaz%&qnSfIHk3pbV5N25-}XOvWV=A7GA76?_+!<k`ho+g+a*J>O*s
zYwgsu^XPZc;qRqWQq2I?5z^97d%uBxMXzY5AG->(K`y{$D{x9rED_4RauSz2y?~;=
zd~bm<ZdVP5>*BN@g&z8T9Dz<T4)5S`C_`wpf_(yPAz`E*`hXkpz0zG~FY-Cdfpq$=
zb1O>D$=p-Z+$aoee&SLmvQIoK)SGxXQkssITjqG9g{S6>(L^TdiX2w;x^q9F^hDH|
zTd%GbA)&-=N5nCo>FF1OsEYpZ_L*NqE-+g}WpN?TSE%JZ&;UMD8QNO7d^Sa4&(9~}
zPU}~~DB0EYD<$l_>-PiWvj%TDXU>t&r8moG@Mw(Z0qhL~MwxP#SM2s7USjrXhe2$z
zBA&uoYEr5A-$X(Qk?Hbb^?JjIzfpz-GTfiP462o90-+3-D*z%xn`wl4v0NAB{8}Q5
z--kb1SKsx2g=`4`XgOuc;oJ+Aem2KiSwB%1(;9-EvItRTl9}5!u<o^D*U7)TBRyIB
zWuJ?i`(J(7ML{)w@9gh>c0*@&qgLGY4&=AZRbRa7S`BEu2qj%my(?-PX}3Y{FVB5c
z1&BH#)@lvTm7kg`XdrTop~k_6gb;NlPB|(AK@@ByFX(arEQ;A#DaaQ7KQ@WtjPnkd
zUf$Z?E?0FgB^5sMztmmO>sxL&Zttd_+Q4@Pd&9F7O_<kl(rGV-ou-yyZJD~E{!z;R
z-wyva_O;f-bu7xWKM<xIE}F?=4;A@`>5l*Ri~-`Uxq_C5bq)YTe%NHux`4wRGv_+0
zB=Y5lvtK<6n;aTuu8h&EWq`ZZ{MAq3k8My<CKr-&1z75<L8wYkYAKCdyHdIe#<*ls
z2L!;{OM0p^oawS-9(+s1`lmshnz(4OjXybfW${9#)Q${3XC`ad-eTQ#J3`~Y!@4P9
z%{eH&i~Dem@*{N@3%fNk;Jq}~w}N(uHl?^lgWpj=B`K%{fXN8|XX9Z{E4Z{MdfkS;
z#^rdVEfGSgghY4iE}E@1n}B&XN=(jUnf(Nr@3XS{U~95?`l8Ucmgba%QWx%$fG-7?
zTJapTH-pG`7ykzZ&#75>YUB&-eX`h7|9XSyuzz>UCx86sjo63hu62Y_uQ9-stzNJb
z;RUJ+C>=@tRr$&TD=3A#ZefCC%F+n|xFK+W*P6dS|Fm=rnKAoc`;gTQb469O2L2bT
zyxrvu;TY`(6w)Q>9bP`hko^w5>jE`rNYietLYpC|*(x0W^axG~p3b7Y!M+bbQ$?sL
zD=l!P7dt=6Up>H?8OYbABQL9n0k;4DeX3%_3vRJ|p^9WA3SiXxCV{Al{@}f1voi7t
z%WOFUc6W=GRozj0n`+1BZ!<Mf;IL=)3~9(Wx5`7nj+*sIGty>*X5q;rX%XJ{cxUGl
zBdbDRK*Px+FBre->b6o>KfhSCCue0D=_*O`h$c3a=`v|+k4DIhdRo`Ex}Sj?j&h&1
zDU8w6zH^288`vY<9%=|oFXKG1KzejAo#aD%Yl@LT(j|nh^4yPd*)Ml}Z1<o9Jt<*#
zHE>7dr!^wa^F@O~pr|gbTO<v@e9>_LI2wa8AIS|V6y~}dF@Db3D~ST@tMioT_t4`>
z+07{#g_}~U9WDFblb|!I70pm8E+m_#NrIsie@wgvJ&3|BA+FaP?I;vT!yStvGG4K&
z=_*jKb22^~KTsd%OXk42NQPcTd}?L1&DZTNE6<L?yNxuW=TXWk9W!$Y?``=|{fd7U
zCfd8$UI>RW!U&{6@qdt1aF=3gU$rQu=1o9$WK$uBK?PCv^NB_d9w#&PDSM0f`s}7e
zv2(TJ;m=<R;`W{Clltt)3{%{nF`jN3)8fW(Jubz7NXeq9y4G-QxUG^SP3)xZ>Q5y?
zqeP%p$X}wd6tKm6!n8KNMLXH>X{8rrIudLXML#!(%5ZcaWw6D-m<g0bJvCygsl)et
z$8j5YMsjDyN*xCmlB&;HvHy9?Zj@bF3L8oyWwo3iy~vs_KOAfSGf!g?+55;j3yUQj
zjRaAws9394acZZTlBs%~o8K+DR}--yo+5msL2kb{)=+Vkon3Dah@gOpxFx?CM~0qJ
zeiUv-C&nelz5~!D-;lrTO>*1zyE#8lULi$ZL6#h-sQRR4kut=qKkj;mc$Hb}T)i6@
z*Dyhl5hZo=UteXc?AaoUsOK&$spIFB$&!N?;mG6x`yop^_WuT<b9yB!UbFby*L#*T
zuqxU`Bf<DE@*h<|?V_Vw)*wrS)+R9%;l@V|lRRvn8c6nC`M`6h!0romD@wy|;bHnP
z+Xo452R1I!aRGp7Q{$|hD432|EZ((nwqL|GlrU~HKZF;_clIs0i2P$!-v{l*68Xw=
zU;~^co*egowa{-DPw4tCH&!N9Wdx|j)*1pT*5KjT<=+zmznc_43xDrj(IHW_+Loex
zCnh;}_hr}3>tUUz0;`Vqf~g^%kLXH`>=&`7U5uO+c~GTx$-ca2|K>KT>oO|0N9h&;
zaYZ&Mdc`%P2CmdV9r*?u6mqI1hk$&(>CqttMWLt=oRVgDH_YQ#Pg%_%v)WPp8i*Ad
zmbMx?1<QhooZ`|@KF&VSMKmz(Tn(F_>1h0c!$6xWu5JOLTTH!B1_Fe7Wo;XvqHs53
zpu}`YV25MceRM@w^_rv)&}%l96a5cIkRumY*N8c+C2Z1JdW&Vm5jQE3-u?(s2Xt@5
zD~3hb^v5?oDPV-=8Cf(JYp~3<y!bg|=%wA0n@Tcm53x;j8eTP9D$1IcEq!zf_(DWW
zkfyHHt)xowG0PheK7A))U*hNkC4fuVWk3yJb3y%;oP!($<X8%Ys&7<7G}a4zWUDdO
zIU6ZRnxNxG-FKR@r4b7je7{TYgjOjlL$qQ*EhB}?ycr>H!+qV+T<t46j&j>N<UI2{
zFmA%)mqJUOj+o4*N2VdUqJu*A^SpumC(^E!tInq_r6{4pZ5Y47Umnp_t((|n|KuD|
z-j8Vs^JS^qD1}j8v<UYlbZs)KGbsXm1T#?3|3^@4hEY0)m%&@d+qSWJe;*puaS>`Q
z0UlK8T_+$5GjdzFf?)6{`c$JU@f57`b{$`@?#9}Z%O>aPnKh;4Ar<OytmGxFVgWfX
zl;a1-bNk@$^}nq*N$hDmFIgCo@hMiVtKU$WgbVGKatm9w8Vm|0e3%K_4tpv>Ho{bv
z6u{iEhEF+>yMXjd{2`m;2m%clv$wq&;U2A3gC!mxi7sS@|5|7JL9NWL1Lw$@xBfZs
zcW)=I4uv9TqOJa$Fj#Wks0!8z2QMD}OfNfY%g~mW3LFH;y>g*UwlNJ+^JIIpofG=+
z>9ti;IEn5#C;wKCcOV}?<bWpNpO}L+l0LrFBitetBAR|WCPhgs(CzKu1Uj==T-%!+
zKo1_#EAea#c)1UzRvC#~N$@6^3&02*7hI<L4w-dxDCSDs0K^PL_v$wnozles=UWE4
zWtw)fo9uhX-q&!A{)sDZ1aUF`0(OAc&^`@9y!Va^mZiQXt7ZnW&S~)v^0M$_zpnA{
z{<NIze*Z>umnpA*5)ve!WvB~wxOymj>3%o7_yz9L7bRLcEqZf1Wy;zHLoZIq@Qp*B
ztX)}x&Cu|?Sma8owt|O=Hcz-G^VXRNt=+J)V&RR@0pw*9zwfJZ93-(Tm+qh2L9ye&
z-6o#0b+e<1#uI)c<rBV|WIq`i9lhCaqQ^KZitmN8$Y|WE5}C|FqSwGnQgfkG4>|@y
zx_Wj$BCi86Jj@=ZEUEq7-NkM*f2g*Y24_jPkML$R^Kom>S%-=mk|WUCiO?^bq1kG6
z=G?()8Z$M5yHdaYCrbU*0BRcetLlvaMVgB#Sx)uD6%ilwfhQCb^W}%O*}I<Gmg_P}
z5}Rf0q2l^p^zJPFo#c9B{!$;IkB>xvAGIb9>GWv{*}_dc=_xUIH7rSFJMN*?6}K)x
zTeR}~l`j2TzVzU?L)cb30^mog9Ao=3p$$~vhtcEV8Lp9Y+VE*Qo+?;G5U;;~#DN*~
zz539C5Tl(+#J$4zfH@}Xh5bZj1E|9O;J{?yr%UhidtkA8WeC}RI|?Jm@q+zhWjW2A
zC}5-tctjkbTj9_hG&S$f6oBpF8Qjqpt&Kp3CbP8K@+U_&!A7Qn)lB9I_o=jB$$)Nx
ze*7Yl`@f112O&~uI$G?Pd>zD8naEwWp>{5R(s_H>;F-Un&=aQql=B2=E|^77-lh#E
zOBi_EYbDbskXmB4W8adb2Xh&KS8By$3^g)qYR_|orqE@gBuFTi%V$n%IzIBF)h#^-
z8Ol856i6n!_nG6yE?q;!Ey94Hz0o%KJ`n4rnBbr}{)xX+%EBZ764Vq~Cg4a`>y9pt
z%Cvq^uUqoKu!vgmY<1y0_*El9$sLg0$3sv16=m*cO}iOWxn<&oK-bIg9PUx;N?La8
z!XxdWM5>Wze?r;fvAy{Qrd(S?$JCFS=V+_XXY|uR>r$r6AD_uC?2ZsV&}wkwHrS{2
z%pQV1{ynN1I2N{Y6;BoDmjC7RN*}`K()%<&NXk1ZI0wgJ6&e8Q*5qsr<7Xc`$FY1C
zgT)EG!Yrm{Fd}9@OkCa9VS8{wi<bQ8nwA}t@Ehp^48M_j#1pA;9v^73c}YqS854NW
z*P@kKlR*piE1goIXs}`&2GqJFARmv=#P7I)pNPZ7F>v$KlL&EU!j}mZ&6b*rLVx;m
z5wJBm$e|log!G7JN%<QiP^b$|Z9cciFB$j&kMk$^G@rEd#h+;YstpSs5mS9_^Q(UL
zdR<_noe2%IiIOPg+o0Lq{(pz<_avF?IA8eu+&=Rf?TR0-cq&EO^o2~iUijm`K4V9+
zX}aHEr<)j$#D0xaMqQ}SI%c3?#1~q_y~`-NKanjEnQ4rBbXct9>@D611ctH#UcR4B
zFN9SBwWrxW`-|6B<%PD03OKWTrQzudz46+M#};U+MhO?921Wt$1z7j_%`9y|v0WQg
zbwxL$m(#^$hi+1vro*n8MZo69$8{5;q6jhDInmDX{n|(4e;Gm%3`aGHgBfgzdQG=o
zf!cqZK?LAo0VcujXlV{7m~_Dr!#2#}3wgIwRig=C-~0A&zhGZ*ed-*Rqv*nJcOw35
zdTN9*cZZ{9lq6sT!I!s<BY4|&$CzH1#tCL7y>DsKO;K^>6pgDX9`#!Zfpz*1SX-o|
z4pSEQ(jhK^u9r-{7j}B<kR&ttH7NVa&Rd`AoN0_3{ACRGq!*Y|-zNB=XgKW0(~?CO
znw$olM(FgQ%N~Qpr-bH|4T6>3LgZn!-`!ImH+g48o26RO@2ivcZk>HiU}JKw;gJ-a
z$|aT#>zy!VQwu+Gi#`sz^xD%pQhXy$-Ubm8+TAT#>=HTnztQV>iT)7b-5S@ir)<<m
zkcg90^rL~^P5A(PoRz<KbzFe5sa!tQ(Tn>-Yr);sbLP|Ss8Jdyr`C>A<E`i-AYo9E
za#v;molAV`Zgk8HSG1l661tgV7N%)n^a<N?vnyiLhCF)j&D0y*FFCB7j{)cao#mcy
zuIs3oemieonx*_Fp0Gj|NB^VcI5TA8=7j2mb%@v-B$y(AvDSe-8qiLhFYN{iJBjJ>
zm;X^G?JUfA-f4cy&e0F7u2{J&;Er2KTnZx|#z+Fe-r)R-F?Z|D=)bT=+M>d0@b5A<
zho(R+^~$tn1$Nt(9Q?x#!9#-TFb3uDi35Ydgk@14xG{ENl}AH#W(VFf3}yFf=bt+Z
z0HwK-BFnwg7BI~VtIiUeVJgthb^Z!U3VS~6%kq`9lwU8u;~SuW6Fi1pjNRyl11$*S
zM`cL@;{Xf?*yG40U(m7@+S_*-CoIiOat23W0GUX(63{$O{QQc^ouIUnUSB-BQJw=k
zVyszH-E?6R#gu_<dI^DT5!JvuRZar6n4Hq6w@54bgP}Ix32Jza-f{~mJ;D_d**uO?
zm7tZ~WogvjGFr3l|GNroKsJ1Q*qM-j35ftmy9@01+Clho04D7BV|PM}6bX}oWymDF
zm~Bo66@M~aVe*^YMSWN(=$n}hq_ozzdhdpZQkK2&YRvaz{oVNl#ujp~EK^~f%9Cg{
zT_&DE6gF20D1Y0e%EyJ3JgnPHRD+J3+fET{Lcc9f#l!;BP3wzd?h?>ih+k#8{Gpk&
zNS>JAy%(}4t9|f8bsnS(;<UGJXTbvUZj)IvCuC{n6vET~{=o<?KnAH8wR|UX5pfW!
z?m}S!tvni)QV-Qc$yO?TIdeHk^FW}td;=u~>V(AdU<7<FtYlaMD6|p+yVBJ0knPJy
zDEfKO|Hc@0)wUmM2Ec1td11Yj5y|fs>6>J#5mdB$&yA1@F6WjH>@15vW*4WC30~|x
zaU_UUq$ohX`v{=${W8*j?#BQX$9!qCv+yWtqjl_}WLk{0lVpd^IpJ^!is(ta<HEd;
zndC9?o#Cy4F#`|W+=s+_5~*<C0h1dZxu5`YCY(dwoz0ZYKHDnZz7J`%!=}PWybMs1
zv>(2+e=~Y}uM-8CGdA*o`tdKb9MO#2(#Kl^!!*?>`DcjbeO838s4}Mehi5y#9uIbk
z{sO%;uOg9yUMXP5pej*a7G8lDq`x~JSg%Qj&6nJXJ>S)EJZWKo>XM>+L47=3>67Qz
z(<t(qJzDd58xW3h!{4%B_+Nz)peFt}WOXq0c-8~{grcFv<pmklo1ly;IV;k?X%R(8
zKUTXO0W5&OOUeQ91NXzJG)wU<X<SK20(?P3s3bG%ptj+fZ(Mm(aVkc9noH5gVe9EY
zR6@J}DWCVetVbtJS7x(=RAaBDZ>!)tjg>`%qqb8^F-_C9wc}!YZX4=ss|1SaYy{rc
z+n;VXZx%5^g6u3qOc261ulf^j*K>ditNPL9<K+DqO~)Wsa$Gi}D9>k_G-=E}KTBw-
z(c22hjmL4pI;3T9T1(?mTZ^J2R?{r)L+_yrngtDC{R7RM&#{8LpAGsxFabjSO}eQ9
zqH>L;=c4O?7=ehsIVE(m%=G+T{eaLe{O%`)N=hT;Jl8lot0PvELjc|aHy{a5Hs?oX
zi)LK`n<nITM|H(O9`lK|J!m|7K`W6HL4q@UJnbGQacmt~1%pN%#U*?|cMn{s6nu2M
zo%`F1GxX0g{k32!;$RG`U<#KeC4;B9rrVFWKX1B+{+JZ!=sm-wAcd3roUiEKDnv+D
z1WEPj;^nx8ZzFVb-xL>BxEGo2X5fxZJY*|3&0-dZ11QO18bI7J)-O#wFb@ae4tDI3
zAe(BfsEtHrOG<yx;emojG6qbr26J(5D2)M~9xznTc$iv<?g-5R9X{g0_&b4F#5kjE
ziz9iR_DdrNM&Sk#sHo?KCsd}9)R}<bvlHxpvbqY3T0zcSQWpRcnnv%#ixlkLX8Y*t
z)hhw4bxqz&OzS4Lt>Qk`PC>8hNE+CC!@?|A<oJ8sl%5^Z&F}>@14>ZKa?e#%^)bg<
zK)>3_%!qc97rwjlYz_-U5~dgd*a<B1UC0tcUs-py2^GBIOM7(?cu|?wuD!Vrv9TY_
z`wjjbb7z-mXr%^6<;C*pO$>gJe8jMt_avPcH!C;5Q&)e7BuItyffpuZ|H_u!i82{@
zQ(@VsX#SN?bitWsJypZ&?+<#D6G4u_a98PjuGH#`A!;2F_#{e*>|eMcH(O*k5|uTr
zk?x$sIr#avq!~fp;Q#so@=|b4j?GgNL#7TIN7@mr!h%=OCHegr%d5#gs_jjrB0vcS
z1Q;m3iG3qi+GM&eOl1HTcP1(7u&%S7q&3~A15voWzvy90C0Ym<sC9>nmf}vrgo?8s
zA{G?X&^3I_=fs6L`b7W!4_AQynJjB!eD%gS6i*A2(%kC|#zw8wO>A<n!VgTRoUfXa
zpvOD0C-Xm=q*J!&u9ez#9_3$ajzeqvk&tkUn>^<`P*5IV`N$|Fksj1sZZW?-5ySGJ
z4LJ6J;JGcActS$0z!*(F`jwXq0bRFTO64JDO`boUwW7$h25GcwKUD}=X*NK|c%UHx
zei_*+zP2iO0~8deXJO%;x*3zsqst2&qZPEUL4t8MvE0nqsmFO-yg39okSE1^iNjeH
zsapBs%mT5<)r`jWz)n^9CAgVVFZAHp$>{%Iwrp^Uphw&+uchvQ+$M=snj3z@Ka>p8
zaRER8wJIjLftrS}HLVN*IFv^M?8Y`A-^cQ$Kq9ERg@y0m!dhqKc=%he;`&W46Dv)G
z&O?bi&c;J{O_-Qm=@38OoNb5u)wr#At(Umj#=V>mFYSsja~{;TpzU4vle`VOlIBEj
zks|T%eNxZj$LcBXRc9s9$$g}Pmhys8tA$LqU8}^UkP-f)#FM8f`S7?}4RzQW{6&bF
zGdqBVV5n<snP-p0V?TwYSjCS>d92(746@5n6eJ8#l4B)qIl@aCGJz^H=w0?BI0wdj
zT#*E8B&<wJt*IPB#qAu)1VzD0THJfnXfatElmq|p)8CH1Nf<G!csZ}@pg0vt!uj^A
zEUbZ%bJf$ukh!9SaP|a9zT@jOfjKjdugfzt<*&q<?x<=R*bO!t1iR*^Qu?D7_~B)G
zGe*4q(=p6Ur*dsY%~Gx~eZ;-~9MNQ_wtAUY5P@~W#Vyl16bEy-d`U<Q(09G}PxmJ=
z3EZ`UYGF{SYzr2g9Ll<nu$T9>wUH*_9=)#2SQVcVThO0XGj|Td(ru@-(ZgaEitol>
z-_fR1T<m<`$)<sw;0@gqtmBcm2Fo7o`j;wVtrhyZ2vp_lt}8$*XgFl30#8|mLE=f?
z1al!U%)pFv%%*L85_L5}M(PRYjw`Gb_SLDpdRb%-pVUGV8Q92pCPIRAO4;&p1m0o9
zwDQ-XZu{JyD6wW=BzFYe2QIca?+6H6RtD*&rR=~Gi0Ys>RRBwDTE2JlKd=>>4F$R?
z`Sr$0)5%nWBr<;ZvE(}kA`?h#gsO7yH;+wiSV6Vu6i;+ugzN81cmmo*kTI2$v1r($
zg5okSy<aD}>u6NNm!r<-o7fN2!*{zR%w4ECWxkBWLnM`*zK~0d^xrm+CKDIz361xd
zCwFVMl9&Ua#cQ*&Yo8i+cV%BQbU4jIBQAJfO$>MfO;*fWAmC3W^*!O!+r)`(P&|Vy
zKw!69bZmBney3cobOj9N&lNR>&Uj3DL>_0g$x)!Rf$Kq*Nt*O4?Ylg<SMqix;rxe}
z)Vxx!fvQTT6KpwNP9}zWazxiF;$`Toikw<=evX<6(J){M9(r^XfoTG8^4b=*EPGI}
zhxr5eFpF=c7ElDA2Z+Zd&O}{i{fuYNY4aO_p7^Q2y#L$tkU91b2sJ&iw#jdLfQYU6
zgdLDD-**Qbu2+_LgI{4t6<)HR0OYI!o!Laza2XS*r<?x?%)-l(N<^sUd#F>xK~Q?3
ze9W{jS&Bwc1uwGXrFoeYL){Z7j3R}(hHLPO@mbG~4NVG9|D;EKVvTl%X!<jSp5fs+
z!W9rN7;33()t-vov#T#<R#Wl~f+lEQ{@Mvngd_KODLPs$W|E7?W9ibyPpsP2)?=-)
zPzSwD(5!GFw1akXG3$oSh*HFx-c?NnXS{+j2wc^`!P5qCkTost7CX}@UYq`eoT;Ks
z6_80V_Mz4zb*;QJP*}4_sw0}UrWVb`b&l2tlW7&tmRFFip_6L=Z~qkPWtu8vo(QG^
zTaPyg5(zyo%K?tizYyvl`g+4gpz6D{k{~Sn!XJc5AB*oZc*<<I$EfGb0G&0G73g|F
zow-=bfFWSF_&z5Q&1Vm&21hm?%&a;=^L6jLbJAu#np^tSRlo$Or3<^+zDQcGm}PMn
zhfv@tG^b{-ZPjg4tJM4HhO3z2jD2+FgLdBUrDB9tvSpFyaUI3;j93gS<!Rq9yy@S)
z2+vTlL5&n4FkVkTrud4BKV1+IKOo60a^kB$RE2PzTQ1I>1;)TqsB6p-`)l3sj(Im%
zvzI*4(=gQqI%&sB0!|>YXKQvYrJLeY)C^<-<smj!0GTykeG+%*Q{P_wh}B4TQ{35y
zXGI4nTs5SI=aBC*1*$@gxghTZLs@joLnXBgg3(k2hvL6ykO_pU@W2ZVJ_#5$mP14B
zX13L^lULL{vh2*17%_Q3%6ePmYp6phkpJ~>p!v(VL5H^VFXAnx=>8^MS`<K3w|k!r
zS{*RatOn(XeV1raYLSkR6+=WSJxB#TgA?Hj;pHL&JIL(jg=X!|FlOwko+lhX=56_(
zdKVY2J~i`Jc(XwS91EUel7$#Y?Bcu_stQ@ZtK|(hX1IT?E&QzMJGEe2&^y;NbxnUM
z8zza-7)7d{_usBl1`V$j#)8V5ET}6q++#Gb@rn*wKur{)n-0fUMx0CWgu{FujL4o%
z+%aU7X_Y|=Es&3pPw~5Tigf|oSDQ&bt}trxm?$}E1hEivy=xI;cs~ZQ4q-*@ur*XH
zBHZ={7|@6`rIi-(%Q$NWXlnAI$LeA^cH-?kaa9p)t22mXWJz32?88(ztzbtc=1Cgi
ztaKv-Bj;!&%Sk517UnKF$NM@IPzd3Wcz*v`+<1GVTays<_2pxe>IPcnC2ttMSaRN%
zcNm;DqDP|Dw0L;N`x!u=Z8>j-nqe>}g`q!~zYyqdCAx@)o~|Pg+T?v?`YdEh@T<ST
zkriDqC#yYw&5>sDK`4AF>(q|kFD;(^hB`tn66%8BL>r0<^ymj-CqScAquRh>eU3Pf
z`?)MM|An`EJ4wf6K@mmUD|Axt#aU82rGtyG?;&~-4<bug`u1iDo&Cw?3yd5wv6Y>e
z09T`^sGw%XoFz6aj@KkmMHm;NG8X+@cm<vR`TK~#><c^#NCjzHki&De>8a8R=1@?Q
zLU5!=D2b$yD+-{AVau?$(*JStK4crQTlzFM8*k=#g*}fmu1ZH-=buDR&o2Bz|3Azi
zL0d~4OrK_C(da<aSogo{R|DHVCUpu=k?}B$JM>*?JqHy%HQ9GMoI<Mw2HDbV_yZqK
zh~Q+ycW}?k1UQ}ThPch5|Ll9nbtJARTlGAwpr*^Y1kB;_#5|G)E$)M7)_jE@m<<t`
zsM$QgP|IwU*iOM+Sr5Ou;Pm~4WGUJ@^qW$j6jNt$OIF?m;qxTY19BHrKE4Pvj0Gvp
zwvLP~zJI>o?oeXp2xz1tNrsNY?ebiTsdJL#+I5%H45$W9vK+4ra2fyEVrYTlT@Ut(
z8z&$)IIdWVp`(Y*T~?zm3cm^X3-_rxQEU9~KJ^d}*_lo3(h<*-B|&9n4xMO4V7MDp
zR~bPK*-?`M^7Cnp<Av6(s|ab{c}y?zTSI0|6U7jr{a&U<(SSl7bXpT4NZx;ixI`D@
zvm|>>3KRyE5a$fMuu%?pmD68JJ6Ep5baT$Nfa013yK9z24`2q5xF5@f;n`|R*Wy~d
zC<<OU&C+1e#5YNXNCc1pkdcj?0Ms?=yX^Mmm$yD@!q1VW9DKJz*y`ZSq?$wOa$Ce|
z*5n5PnPIx?5-7Nr%#X;&YBxqoD5e%n{4k9|-L4ny(sv0@z7e(TwOrz^<y<<oOtf}x
z1m91YOb2r2nsXI_HO>wt)?n2PJzM4z-9h*zy^G6-d#j?X2SB8kNBz~nmY&pHj}!F*
z_-r?BAew>%X0S>EU;#vg4pwB-HFopY^yzomZ*<1PFZg@P0QlB#SGMMQlh_*zzbwKH
zp}t3LS&=9u*>fMxV@n=I-#c<US>dgKWncBS^PxP+!Awo%Q|&LHz5sg9wed+jVy_YJ
zKyz-!QJel0;-37!F2RL7{G3_s#@`B&r9WFo3hOhI4CO2{pa3yl0pkMrb-B~r-?D!5
zuHSMQD&f+QN*{<>e7?b#9o8fPw&F1dCw$Bo?$!Yqq)4>qL#Ap8QSYASehU3cT=puO
zNke`@V}BcvBUb(5i;*SyGyKjM(0P20yCT}uow&aG*JZjbC9m>Br(!FuF(zmK>)F@y
z)}L@frZmQG7b}RYw9eWFQ|R{a5%0-U_4Jz6uDZX30!t`h6ND;S`Nv^zJAzR#63%_4
z{MT7I!Hik;ManIEI%BY%YEr57V_vy10^o8&(|ZUAWp6go3-Y=+(HbJTWm2<n9;Pjf
zJpy24<4DV0SU;<5vCmc9%bidgE0?5ux!p3ij|*THA%MbzmficR)Uyx$9`m`yczrpa
zY}TpS4l`cVI6Kurkm+PjY>6xFk`X5Y=a<!v@i-|#aym+OzJY>Q0BgP+<gwIx{#WC}
ztzGCBlY|90rB#r-OF{x{M$(!w3c)^y0s%_w$#f*}q1^3Ln-zBwRcAK)f-|0W)mC@n
zh8)$~zey<AkUI|`zfFcQbA_-}p~<7;@UE?YUdV3i(2JRzFdbig#R-{J0S6N4KxO-J
zbn<TTTVHD3+bU^yXTb~X{lL6l9}C@z;Q;XenK;ZlzwkS$tI&Q6;;-D^)23$OK&ixZ
zwKE`aTodXuf+xDT7_6%Ke)42u<MFqfBZruI)gZD^Rr<1cjn3RXA3p2XRVu6~E<_sc
zUzvDZI)+nm=A`;uAu$sv6x?yqBZ)(@=JHvz^pg^&;@E~uzY}KAm)DE8Ft=mItsz|6
zKWxJ6A<&DViB^tJ?;FgvBu<#J4+8Q)F$o=zXXni`eF)+vhW1<1EAL<dVD$1xhTw0!
zwnxE8+RAyYr$YXptZ)k@Jok;Dvspo)$O&<ul}q1fq>qEIZOBS5X|ulD;@{IN=SiFl
zgcK4PCRnqo(rrQ?pVrZzMSFIh<TP5!ytCY@A@2lw*bSojyYt*k<c&K|EcU>*54{s~
z9A9>7-htfWBRS(HO1tnPZ@Zex3T37*S-?PVy)1#D@UWV=ic7aIs9p)+dXiYxF0CQD
z$?yaCN={O?qY-;=wtdPaUuC<gtaeO|CMRv*;F8gZU3o}#vFMap^clquAQM_U{m$Fs
zU5bjNA6}*Np%?v;K^Nd^9(Vk4TT&xEEVsmP1<9K3Yk^=jb{=90k5%#Cc{SX3Ku}I3
zjG}yx?t}KKQ+%XtfFp3gdq8k`q6l}~N&x0L0B4~jYPV)6ZfNOL6MX3Kuf?(3;AgBe
zk{2YwGvZ#0Z{Fy@v+DQt=!l7g)17(1dE#0#A%V5*%-(L3`5h#6j@X0cH&Q5d_i@E|
zeuNGjct?#{w1YjnlYn{)1Pz$QHk=Kq2tWYx1u>5lI;GT*u608tEh!uSPId)mM)-P#
zZSPX?ahrMJKq%M3C>sGPko{C{TGn1u$SF((Ut(6SYL(`C465Obyts?)GHIfwxq{Us
zR!s1VbCbfvvK==ti%Q}bU)*Mq6@ukfo(Wv(raDl6Dv1ef>O4P+${I3XVRA}}fbsEh
z<84K?q&$)uiZRoyGPp`44YGENP6+#{M*_>vL^fO3fyPx=hvMlfrXKh#UefeWOFBp3
z(k;9z?&tTB39bZR@rsxAjih+Kg&g6p8$z3S37}#j*9&+5{>tWM=r~Uh1+R|}t6G+~
zYwef^w=z_0X|BIsjCy<i>aiPeBMbTkLMB$KHUBBTf6RkKM_Ke<vmGo6l&tN?#z3Gc
zl@%P4bh1H9_P?MJ0-q-`eN`#(B$jR~?)rqa*+O=ggbx217nDT|&u#^V0ngkj@cgxk
znaQnqd!p%Iemp{XdW;=_!hn5UO(ni%(<PY!PsgfoSGYC{Tpbrm!e)QS@Nq8lHux`h
zs;4ezIoA67xov#vz}F|TvZiNd=R>_~$i@ZX0paPZkXAFVDxGL@{Ht_v=qJu?$K~o>
zBOK1>O;fs<A+_a+tq-xaG@N&oIp>`Q8ffLTm}n6jL|T8dHj>8tBJaS#aY!mL0qAsz
zke&u1G#ja5!*>xn24Zi7K!0x}-Yk38RLgk1NH}wD(@F<<y_tQj<O0tuxwPu=etuC}
z(>@hbD$JebeJ7wzsuUQ{BMh~VvKXR6Ad?b@Q-rp^cYW2-u9WGMR_K*Ojyer8&R9Ry
zj|{8%#=h3ao-&|716S8_wSlno_FER7!PL#0jI>}>vvfkXD|9_AFPRI{y!`q6F!}<i
z5Iu7Sa@tu#A^{>g{Lbb7@gC^q%II!;>5Zr>tGjW;ngA%7i1@03$=fto32ZPAFDIr^
z!+=rZk;^1OBD|pK>LJVXvDk?H%RA?A(Dt<vlm0L?+e<-aebMjdX%V70A<0FN^c?O@
zQ_hSY902jBEpJ$4;yj9TTFQj=7i+jBYfML)G9r^)aUgr`Gad`N<8gZWgNQv<UL;vr
z>HgrAcK`u(ExV{6c?j9+yM?j21`{;_iY|tIVn^b*AS_`>^kZ_nSwF<pbmj_#+i8;N
zR6|mexp_Y%9#*#lbBU@elB%tW@j3?S4N(z8L$_FG=Fg#<%u7wg|J1A~#;_v#aTEZJ
zYA~0bQ`V_0r`+T~5p5CXg(1YFi?;|ZKjSBYnylFL_KRA#s=!kE2EuyUSeIrB+a2e>
zYE1l^szGOfGl|b`UP*>F(u4?Bgu{{}d=ftLal;9y!6~NCaq>3jV{pJhGGZ7K(+eyB
z*%umk`pKjBdYnGH5nSehX^oS6Onmodhic+Jkx9-33(X1NcKJ}G!)w7}aZKKrZwFO>
zdVo9@K6t`VtlZY->(?Qj;qIoMQF2t0bV?b$y`#vJj?6}f3KJO`18UGa3GXF`YLhUN
zDhW@BohJ9qXaww2J<Q(9iQ8FQ38UI{ZEjs4_N>*DaY_T7yIGPmE_@(edDn3pko2YL
z#^dX--<M01|6^<A#~k!aVN;yq6bpbq&H^Th6<u2(c9rt!$X|0^g}dV*=V;rjnf-M5
z@wsbck76cG?y5UgFjtQ?GK(LI*4IIirZ-=*bqI|TtbU&7?vE%m;hD|}L0<f_ywejy
z%y}=wX8E8^!H{ocqZ$cGV}>Xv@|Ga#HJ~e&YOaLqr_VdRm;L<#r91nsQjFR5AXGgO
zcN$jEoC<ShXWe_)H=z|*D-}~P|GfB%DXj+vnuio8RrQbeRgaUnA9j~=@gayQ$G9TI
zmmTiPv@7%0=sE0z#&m{wb7lKkvIW`#y8cMsC{Ux20vl}HH1inqj0>oGbzsXhm>E|v
zUNY|vNmNoFxEJvE#$P~nP2Z}#p}_B}9v9Yl(6AnQw&?(M*?vk)DROy^%C*DENKj(r
zA>GA{U|}h)-4Vz+@Cx$D{2~%UzU?6JGHXC)4D<(%ucmJbzN$`b2SE@cC8U%G9>7~^
z&zzk291lA*#Fg5*-KG5OxF<+zZTm+@3PBPNVe<VAOVmM9atXUVmOiE#_;=c1EzB;<
zZ;h=%p#z13DaH`LH>gwWLzHWUeBh%yMwnK?yt2Ve3a6IeVM6HFZw}K(0#&tQv(a_6
zKnB_(J9Oma@ADAhLp~ux8;6)?BMIzC+qj$CHjTQ<d3xgSKVUsHgAYfvG?wGt$#`d3
zvUZCg%?qZV7kKK;AedU~d3`W^yHX1$&0BjejKeAXq$KBt=1Gc`Zz355*vw3}BwI7b
zKSw$QpPfe&h0{qn;g{CY5i;mlGzZ!~c?S)*GxMFB&WBB?Y6r>W+6h_h#%oTzM~Om2
zE+DUPOHAYXI>ke{6>bkCs*iSpYtPh{OV)$*Gyy!eZPR3*+3k^@woQ3zs_POZtXE=J
z$SC!pC@vfId91@u<n^;nZTLgMKVsq{f`&Vm#$lw(ZH0Ta&Yynr#tn8p%&?D(p&Zda
z0PwI}m+}-^OTSet6E`+h534{j2G!4T$vjVe<OD<P9{iiCH@E}&;R=}2Q+_p6hzIzk
zG8dQpW$HIl1o(H<)+$P)aoIYEYu8i$MJ1ky@&J$QUj6v*QzVIOq|PdBmw67cPi(*g
z7&vJtSJ8*Q@gtUG+&HCv7$Tc|#xEBnxg%6x*bs_b`y}n!tPI1CeMneVEO_O)wsq%Z
z=HRSxdm9W<fn@enPtD#4*2U0IwCPa$-D+e5i(D2B|8v>$>%*QsNHuM;D>LgQxyQlf
zzOZIcl^P+;K(TCKbwDm&DM-`@#yYy6!0U4?iHrdozx?mpIZ=%LnxZh1b+YM(@?N0^
zb)%dRR(E;9=)bh3m7SCUvS7^xhz{G^V`A0gE3~w<c$;$!km?n~Iis^ao@22qEHib7
zqmZe8!;qxI)Falj#C5$|*UZ?g%(J)?t0!=9nK@1y%8-*PbgK58E5Y5F4S~VhSaPAw
zwg#}^T;1WO)q#8lIwtc1AJuT#U|2vK4$P7^qr(VzE56^PwEUh-$&+06<`RUNs>#VN
zH1KDc&?@#oU9U);E~9ClI?EH9l8eMKNrb&!zy-(wI<n9UN1pQ&9NGSsM~Ru<cvp2y
z(j};G4Svn`+LPO}p6r;ed0DmOHWa8osnj%ZwGCal^1vofS`{xqAhTn23G8;tM20+t
zcK)<NxU!0S`t+8i5cs3uSJ;2;UfWx>`boqo{~ojyrP)~5`L8|)nM!i2{M?3hcm0;n
zHv$2q_*tu8rfChE&Hy?z_El{k`%H(Cm?~d1H;>C`uyj3mUnrV%SDPMR%Jaf<fkpGD
z*@ASO25@gBCrNLXI#jm7<;yU&#y1D*d2vu2XJ+64!`7lI_I3X$q$%dNDCcP0OZ0yV
zo*oV4Co70OYA>VC=B*zzQ&r-l5Q_KXC(jZGD<jgZG60bmH<AqnsYQ?S#uO%0X`BAw
z&bsz86)UmAyb+1AY5}cpK388f@yNGk$Ct`^A&4KrLB@NgveL9j!ZHdifBaj;A(gLq
z)YPGv#CF`8@Hm?sOxBq=ycDb|gtbZzarg%hAs&1h{x*<Cw4CKuZbNDIsMQr+Q9GNR
zz9RUzT+9)g7--99duYD~hSfazmb8Vb=~L?lUw@g?Dtq{ph$7CWkcf=P<oJ^$bXo=c
z$HT|qCHImpBtpzyE5rP>Z9#1r-2_d?_6|_Ncg{;mQ~t{b`uw^l8^xZ^o;FCas<i6L
zT4f}`c|ZJ%dIKqUmmtNinLg}39!Vzi-2q>=$V@$?;zm3M((k^*2b+!QHzf9XPI=<&
z(O5zfrbi@8M(Vff5blS?7HwjnF+rrLdc9$ih&a1{Nm0~hGtH9|f(?GFl>Sm*u-18Q
z+kgzHEv4x^uczH`<ze<$s-dmpx6_r6)IPEnH?!B9VBOr*F;>U79+wJ(0kuH&mrp5P
zf_#gILn1?^yzSH`j<nndfQ#_R-co~1o(lx7VtKTZ#idxE*NmvNHvWX5(xYQJZ8O7&
zFxlbD+aS%&DT>AYM;s>(eh&hOtz>MOtY+rsBKqlT)hPPXpun1Ieu7-$p+VPf$-cy;
zBED!xA$5>m>%2YvVs_KV++zsbFpJ#_%X>ntylu1A|7VD~(T*AXGIG#j^_OiFJ5ReJ
zxG3Brd`-r0dR<qql3c~HF}-@?oXujxY0e*JO{q5l<OFCh9JqEwe9Y;xPVM<`f?8lA
zqNV|6A0xKJoUWj?p>-}iW@54AuL;6Xp<4jy+~6hJq}f;>i{4Msy^UQ2L@60~@_Fo>
zk2-3B`itb_-Z+o~^f&NXv15$Nf_Fd=c-eL;#m`dH({9^Q49vsAc%0f4n>%*LY$w?N
zY)RTJ$^w15)M=W*fOW<TUS8SxVZRG2+0B?JEqNoh0!t{adigdON*}?)!0iVqZLpiv
zGl&C_IjbJtQ=~-Dv@cmpJb$$tAX~jC(<7(&G#;}b!GzPdQ(<b8dr`Anqp-ei|LCc#
z;Q(F5DqFvVP7=($-o;(a8#0=_<m<l@!q75!<q<FeQC>;3tT#-T+vH;U7_H=kxZ<r&
zhT;KY4!@PbudU2TpO~TdPMkJut1HGxE^?jdQQnq1LxX}(*;ake2CWwLGc-h+Kt{}$
zK*BX`>|*Y6s=r8AJ|4|jH0poQ`d^yYj~F-U5&5_OknIRRCf!%0;&J%MswpTWuy52`
zJs9@o`)&n+8=9-vB;V~B(|7~s){njou9}@|Os&TBHVuFAy1^uI9HVcopdm!L{W2UP
zp*zB^51>gUZiHq-Ho7AgepCr=lVQ31q%fVoLxYtTEJd@qU&>Vi_`cIMuR$Sr%vY5W
zwCoo#Tn?(byvv1Uj3Wd3go~qVoqB6@Cu@o^$Fy3u2i)q>Vx1u<{^F*~m}v?h7=)wt
zq%vy{%ZS8U7n}~IYKBOFbJyyyzovuwh6wC&9Um+c=}xKSyXQ7vKJwbb?XqG;mNl+l
zof1{>Do&3-G}S<Mg_UL6H3Bp+Nj4G%3w*I4v+xO<CV2vOWR;868Oi|Qn!-p}ii~GA
z1w5#IAUkX;G5|(ztP=Xjc+<B19-&R|Th}AmrC8z}wNC8EN52G-eXNt5OmgZjV8B2K
zQkHvlr9st>4C2D{fr*c%^Y4d~SA4Noot}+c$HMDr+3D7qSAHF!a>5o>roSQ)@<Bs@
zS`YJIQ{ryD6<6Q*t^^m9JVNEpPiz4?WrHe)a|5x$Z3v1vwcO*`a4{d9+gIl+EFb#w
z6z%(4G6JJR8ur<^$c><wQkgouw)jmL?_hp;(IebU(XPK0ymLzz^=JsvGR3CWx`)Pj
znOVDe*&9e(KzQ@4Fg{au5YL#0_FLpw{gEhMlKY8%G{DsgqC{$H)Aa{z|IZ78_4PJt
zaaksv^7<P2K&%O7g8=;DTK;&X^9`^7F>cPWVdd@)rCS}63N;<-z<e>NlDyEhMSd?&
zc}TI|sv_5d)o9}9=eg}%j7A{oU74vJIgT#w(v9i7-NT*q63;tnEn&s)%5ZOmTAwO;
z#6Lq*K9W|lQwbhpHFk>!ECNS#qH__3>F6Fv5)Phs3*@)R5$Mf?1`mLWeQvGX0<cn|
zB?PT#Fe}Bg(<!PJ4Fa{h<CA_J2dfLJuC|ALJXHC_f+1mI=WyPyX_;a{hY|dYSsQf+
z6Yna}h{m34q%G$1t4<Ke?5o;Wl4}q=8#4!n@>+M$VRtqd={u4J`E+wgk>e`k7iq~o
zZsLN7ykfy4<Y1wUG?{N0O5zB~%?9NHkeX9k+zX8C`L<wo{ZN*Lv1Y7981NrK+%ayw
zB|1cPOFb4Wc#O@Zrh`1WHI2n)u625;&hM9$K_+SN8-ndW`Q;SQhFT@i)$MQ?C(bY$
zbqh2+BW=E!_{>!s!K7R;4{fp^DgPrL+i~<mpf(O$Tr{1MS3mqfh6Utnu*e2;YgPwz
zM7M}JM0L_D%?cg`Up@tmTDUpkn#_9!g_`8|12FDjSGe)rnWiq(VUqD5c-}X5pDEA6
zvn&p<w?XPULTu#qBcBLcq*>@P@Y1|v%Uf!oI`^vW%HNgLLlX}9zbPBe)Xd2Z39Dya
zmX%jd##Dh4RA)&VW~zg*lmv$RCE@gu!1z^H8|O0xj>tmT+nq+S8_e}H(awM(0$jz6
zw@l9#;nS{g%}7>_&q8T9Pdfl~NKyhRj+lXOR9#9Zz-(=0NLfn1)nPnY>S^{M-p^I)
zal_rzCN+E}h8b;~vX1x19u?+*{rB@;-|J}ZWp&pXeQ3vZaq@DA{<fr6jy+Md7in@3
z=VX6_t=*e+VYY;9R)<b(z4({Bu6i|T!@wJ1(HuMW;#$1_BXc3Q$b%)lR_g1`%R(|p
ze41=U46Ul!IDT$fk+OPlCp#pKq|gthzAFt?isD3Na$xBX;Y(iTQY%LR+D(=rIFkRH
zdUCz@`d;|whi2ifE<fb+{=%OwG5sQ36*z;|g1=19)dk_%z%6~7M~0yBSkZD&mHa=Q
z(1MiC3|)7i@1~F+A<x^#v`-1T4F^hjllQ&`SL;S38%|jB_|yFl{l);fYic!A*f0m@
z4F@DBtKINAaB&fqhb-gZocZ))X~Yd(BDs-yy^aWu2q}h_^4n1lUEHDmeZa*VbX6y$
z1tP;--|JmlEs}pPxT{}7iX-oZ0vtN8Rt=-sdWMsFIH#EX2mn8Mah`r>W<88N8W=M1
zJ|^5osNUV&?IHt9+==!L5_q*_7s(T8tNhu}KZutFG1P8nD-lUe=l&b*x$a1Rw&2mR
zZfBOU$ja<%*uF<fU)m1OBOHg1`bz?@A<$2-;M>x&8^OG}Tyeh8eLNC9%%H`ACeDUl
zL<_=8az(g;kRev>yvh$WdoZZ+H;<Iwx+GracSfdB8M$o=E=gRspr+}P?IvTJ+L28r
z%W@>hwyQiBIGh-30`Vr;_|Dy7e8c>{i1(-iGsoVu5=0%tWh>GNP<Oc=aI8r~q-%@d
z&Ce0I`$iv5m-<R+gaLN>%6Sc-?+e0~A+2i0Wt9();axYSM3LwdW!rqaVHkOM{RJC*
z;RICj7lS*a2`7oQ6#8p~CCb)SR=f@doy)cT%`^)ww94}Xw#{LwAOmxD;)~bswT!^v
z90Jv7K}BTCbk``t&DIUdf@zA;RQ9jxC<dpew|}5O5i;7i`8a1H_(0*7T_KObpE&yf
zL4puzM1l>$Vs}k%%G9}Fm`~a+T87SWbqUcowosC0i~!e;#_vd#I$<KzsP`coyK<Tu
zCC(07g;xNZHq+R=<$LzCax~MFO5H&Eld_NlMw)Uhs0dSJ?rL>vtq_VSi;=va6hRf{
zK42R`mH0AbTvPqI;26=%B(;B1A^*1Q0e=8_N*Ag1Qm*G@;A2byK5liCdn%Q7zeo)6
zC1N0K>^>VmSvv<2si+(mooCS(m9OUSi!-SWPCew1rH%RWGdEHuRHUS#lPD$CV!2&d
zbotR(II=HWA$G@ZSWi!2ECeY`*33u?=A~=<kMKE(g7<oxeV(ii^_$oqEi&-}b%<?b
z%UJt;DnhMShZCtwDg^?*WBv|S+)cS_9O_CXABJ!aZi+Ab9>LdGW`!wZy+(@7#J2e^
zTm+Dvy6u6Up!mS`@J5>RQ9@|I$3_7QX1?pIl7zC>w~(5aMlJ;&Os}KPL6y7DWOZcz
z3Kjh)>LLqVj3ikK{awD=?d(mS)hYQ}OAL!UpWg7wsv_;U^~KX>yAxzMD$;;7&v!UJ
zv3<hio?C9Y!^bK=$Y)+k*#(5-{@S~6k%<ka=cUtFN#F0DlbY8<I7mwO218n61*R|Q
zP?!qnVf>h-iCU%{6*zA8;qO8Br+g>8?Z{+_IyjF-SQyoFl3&S?A($Q*(ZJ@7z3(_@
zH^!?G)7R&f3jaDZD$F6G{+{g5@;>(&FnMc5c&4j5b!zn^A=0d@NCQNiH|>I%PB)^<
zo)WKGwoNojLdWQp+-t=)8cTiarjtGZOB!%(VCW>-#s(rolNmP4PN`F8s1d4853stj
zmKIa>+Ia0Gmk~7Xi1vtroy&+oy~yAXU*1$UH~H*R%Way@5N0N2l5{XL)QkVofn@q@
zY~*u`mB0HNpzv2R5ZW~b{flADdI{HohR6J<Y0QKy)+sqLh0aj$ZF2RQzh2c=->`F@
zy5pwjr%o*N{<&YiR~Z*QS93ld8NKTMu;;-?2CnxH>p3lxlrDQ(ThJ9NtAeZ@pwz!}
z>}rYspfepVWi{d`sXkU{`K>vT)VSAvl8G-SHavZv%<cE6)KB!-48!h@6?KBFCCB;Y
z3bX*yH2B@~aa+rUjxD-&)m67ojMtHWn@GGlCn~R^rTH%`r90Uj05<ki{yAqL^YiEZ
z^{4dAhvJD?%KU`_pomXuOQO@IpiQ>H%O|$DLQ;%UC6Bbu4HnI=wqERSqJ3bCM!~G4
z>cM^{l#Rlx`yM8{6ds4RC)`_7-h;q-c@+RSwbjyOE1OeW&!f!2&@;($uLyO9UY2`(
zqriATh!;h^WY&Y?hlr6DEH@eYY(OvX2nE(O-yOaTpy+VddE&70=Wh?>*{`PC#kbv9
zpewc*$)@~mhmP09h<TJ9|C^TjB$G}*Qf3D;6ew!uSSD^6p!Yw<zMp0{7wH8|L~CHI
zBb(MBbqK$`!B>ktO2>$(bU|m$=pG`6sUTAP{{hQC0aw*5RC{WLwXHkaDo`^qa!T`)
zEWnd3!ViO$TipAKC8G(?gZ%k0sRtR5JaCAM8TDuoK}YRw!dQf1F&SV~TRW1q#}(yD
z2>4F+oz1`IkM_X&fu{4D%y#!we6X!i|Jxn!ZWdxq)Xn(I+|dZuXcuM0PbpQ!H`z~0
zefOivt)f>x0<`_a!FB9iO<34E)=Rp++3Vk!8X)*YIP=6e34HaFmGw@NrAn6HQMz%9
zB~O+eh|y!bI~CW}V32{)8<qTCDb+MHpSCtm?2~OK;HS;4_eAex)>6r4ALJ#iIT@Al
z>MS|Egb)gsT!7BnOR{Zp$@?@Ks6rV*K=mArDkYC_Ob9F5(b2TMbo#&{+R0mvH2>d5
zb&bn^tJKwbBpkZ^SbtLo&B0)*NN@YTY}2vRe(GLEi};WYb{MAnQF;TSkx;OaKdj7q
z={U(r4Pv|f2RzxcqSUnMQT=mDmBNoV+czi1{5H*c?0hJa8ztMP_i8hC0N{-H577qy
z-*E%Y5%ZaMAN%0M66aV%`)&@_G{~4?fcP)2KrJn{tobo|Jy(i!eq5Gv+Us;LaweX9
zh<@zWvzV);=rgJhkurj+LI0IP3Z7i4KaxrZ5xoOI{8Uw7(ieFJF=4v?avH{B)c@Ja
zGG2a0X*z%1Ysj~*w0?&)P<Mc=`cCu2R4OqW)#H!@hJ6GWg+RoO3q3^QA=f|c5r3$P
zW-SvqFt%@5<3#a)#wf*Plf#6gn++o6cn`!L^eYELx2~m?^_^%`)MIMa?b!;}R;Sq`
z1gvQl&$$ZY&_0Y@@D<4m;W7)SfZwKWM~)CF!Yv&2FUudyAQcOOYL3`7?Z8%P=JmSo
zsM?#u3qW91g_eT_8%_vpo!1rpILuV!f|0Wr^T}(1h`noaC%e}#u5<Rx$ar;T)E6l=
zo>~!%pMNs=<KrP&4_wvW&_U#lY9C`5v&0Wa*@+o!NK#I9j(lloH&6*#dCidrUbD%;
zqGEW^OpRbjhaZ4<{Z@#uV~--GwPfhSaTU02+?Rw!A;l@$g}Y#?)NU|vE5)n`h>SX5
z5C~CKl}HOJI*%Iwf?-vpH+1Rh0KJ^k&LtJ=ESI}6x>vIyuw!W^230{L32h26t|9yt
z9S&wmRLApmP(nGli6?@Mv7a1R@H>bHLWiakvJP?-{sb%H<n7~OU-;We^DUdP1+#5z
zD8OF{0W>yVAI(Iw><^tjjj@$fB-U1#zj4^m2g6_l9X+eL7D&NiR#5o`Fa<5<FCgmw
zTjm~#);eVdNlrT|<>k5+*@a;lsDZ5xN6gH?mgLveYE(IE0e|ZbM|)>30z{IFWygOo
zEYYZc?R|hJ50FC=aL%SO?oErM)0YLzhR@$>7W1>X9sZ(Lz5;CHuiE+)B2`{!tGwH#
zGHO85`J|`veax0%I<nl7Q#5?sPE`iTW%>R)0nJNUarO|r2KN0>-M{!D5~uriAoxRZ
zt<1t<e<v2Kceqxl&s$C&oXW~gLN`C8n%I{1#NJi}@>rMmZ)G<k?GHBCk9^;<K@jeZ
zXEC>-8M~>sh#e-OSU;@sW_wo*S+PgvchVoKZOxj%1m%N**ImDptU;J~Yw(q$dM(K3
z#h^=;-VSi9&=(MN=V4(@M|vL=DRh&WJ(E25i>t<%>1j%6+rd7Ductv*LiGVhn9xL0
z-ydhXV2J>L#;FIp5Vospt^yuuF_mm^4sHHLq8$D3n-++xj**TFpeOe-9|Lda`h+r%
z=2ijf`VOgHFFTP7>QDq<cSIz4QUQ8XyPIdNUy27WJpx$GB>OH0p3Fu4Oy$T^PDa~I
z-*q$3mj_m$hs1a2qZQ%IECJ+q;^z)BkG~Ogi7fq!`KUUr%Uddjl`Js^J(m0(a1Ls?
zN1wtDcbpu40kToH&&M?!3M&Qqu^gmxAP*LA2|+)d_m{+-`EkdYty_qn3kKDIq}}Q3
zA6Lrhj>RKUCiGfSh0KwQi-+9}k-~&x_g!CZV;7{)`LT3N)3Ajg$&-GN>=DT0hNGZo
z7w@QWO4hY~fjF7+R%&6UB4+9t_11#id!5fopSyTE7noj!Zz%?6`(Enl;uyrVSApp&
zd1aw~4$@zcHz%G^v{X@_b_#(Fx_4zGG_$@?i6B%3Z*q0;Y-gP(9@d)3s7&|53($xC
z6mnK|PYw=86u?drd3|gJrOdiRTnk}ubg(wsp_no3_#~29JQg15Hoa>uH`g_;>aL*3
z=|0cKtah4wGlLi3(jBV?Ji!`wiv$^Q_&B>_YJ|WX=5h@StywWI+M>wInx8u7WMbjf
z_d$Y9-}UY~3<YdGaX%{AFv)fvK6=z2y6K2owA6Y7^?+RtkXU;zDn*yMae@ttTyL|~
zE|p}&M|wRaluT%EHS@NjuR09t)FNS4;3EHetDp`MzlT3`)z}^fEChiF=o}Hl$OzJE
zr$@=fP@z3+D)&Ko5zz0FXych6@|qmw)a;1iCO(@AFy?vUGB^h)-XqC6J65?X5D=fR
zZZD|}K|dsx%^wm>w)im(=?!A*A~O=0T-!%(238VgWnihiC}~4a+znpXmS_Ya#8N{k
zGUp>HFt-a%AaEREeQYDos3$vp8!@sPtPBU2emLty1PI@q#H#a+H~qEB)tvk2{@$ZQ
z4EYC8Yv?n4+%~q`M2ce8dsLEiX`*qf|LH5Q<&M*yG7?ki%1fsC_|7&xk^*RBOcS=U
z6~Jj*Z}R;Ijge8>@}oashb7H$o388%8t%}(YA4nGTCtN&&<?<yZAHvDKWyH~vr*q&
zl9gH_jTLuuaRc8s@dOrl!z;uxbIGF_BO*eenVy8e=OqP*1#2!U1Wwhy!!5Wkr)`70
z^ZK49s#1)mS%L!)l9Ir+q34R`GXz7DP<H*0ltfOg%m(bkAiL6tMFf|oNA8PjLhLjq
zp!sTT0*?u<TR)ou99#_(l~1K}$fN$qUfs2CWWZtSRVuUI{Q|j$Y&)f7^sG<_&GenF
z#%Q72;KQvAV5%g8ccKt?+q<lus(qcB4SR?%bdW5vlO&UfJg}x_l?F1}Pz+7V31g}s
z!aEm!wbxy`PZJSFEu5Y6Qk)bSVVtIap_EO_@=>sB>ba3QNH(4V)Gp*z<Kj)Pi$?*G
zRMh=1A{H<FMgy4U3$kS_B2<z2+D^($n2kc4d+KEISxv?4$Lt3T6X3d5uS4y$;KlVU
z7jS5ZhOj(^Ow_BCQ!h)wq^(?mm`SI-f%%;r(%DJd$$R*}o81@?pNDq?fdd@)hjE|y
zOp}S2{!{ZKkHz5#zCZrN@}e0VX?Zc?SzuK<#6e_8bn72kp1WAo560ZzQaOY>n{tD2
z95TS2CV`9+<X5HK6Iuw4i$bV|dY36jo=<h&7t8F}4uw0!UyYwa)3?>+xWZrv0!qYp
z_iDkk4h1qKkh4FD;SQb=kOMsF3zJ^zp(*=xyC&r8FmvHibslqr<|GG5JHXD}9UvT8
zZvY`;S(ZuP`Ok!?6q_?}QH<9zemKP=TR*2pEs}{PYAuQh1ZG8GnMAg?F^EN}LeS*~
z%YIuD(PZo3krWv-m9~!Klz8Jv?5&5CNA`(QX*KKc>r8wNkGde55i?pQa*?M&KoNqY
zn)xz6DDl9iWufXKQ)FkMiu=%y#8mlM{sx|)@2Peq?PrGmEkCi^5ZO=B3=(_S1uq*c
zd=%X_5zM2$rD+l7#F9yDD9K55FS(Uh2OQ$WRBHRN@T{8qs`Jo)iv1w9p*F(68*vAH
z+@J+QIxxTht6*cX4+^01!V~^q9UZt1C`vJ;=eE=Ke&-}fMyW3d^BTn*4vz*4!dpF^
zWDFyHL{KW9fs~y@mY`3AReJK%__#1ol9<A}(Qt&tcifBP2ijG27K%ANsOEWR;H1G1
zg?&iFM}6Ks{LMB*=w+{xk*oL?iyyZ2xXO^zPaB4`B#{Ila6<`75!qh7OdmAEJLhI&
z%t}n1S8#W$_-u&XdkLlYefpQu)J}Q5ak{WQQUC-AV7&1L0P~Sn1yk5{=&G#mBhsXA
zmwKmzP1E7fdPAj)R5WLK4xulBc6p~5?RwBwGI}`yomyhEjoL$$mGBmuWg0TL<f(=6
zcDv(Tm*<9X0>C>&@FNK1KR}5=<4dSNf4qp^O@o@n`tuKPj6i=j&%wwAWy|DK2navb
z{0t2Pc<&_Qm+zo4sFZHKh*LW35Vlym^B^EkzfWCaUmDwg;~oj&r#Ti7Lf1p(!wOjD
z4DSmy;cU!VQIiraZ)@1i^T!rssAhgBRNJRej~3>Ezsy)F9o{@M29GQct+d^GK0T%r
z5)I|PUunZz5nS}loj-%CXQamk&;jXtsjO<>JMUpXXdeW*HwG|zMuf*(R`U%lfax}f
z^Ae+@h|ciWkEtL%TYofOyUdZoa&<cd52cz3Yl45#Z$wwQ9BQ;|ixW3SOM;!d{ExY+
z^nPDvV2xJkw~1_(+@nPaT!hY>wR6w8%lX+OX6FK0)IM+mtB*mkw`UdcFZM<*4<Wgp
z1OGV@<5N<_*iw=uH<peF2wX4~`5KeVqxyF)8eL<B+<!;3$Vcjct$0T<X<p<#k60;#
zwGpVcS?*8jK}X#sS0KflZU|psDy=r*(c1<R%x4K7#G@k`7_$CqIz5<Q+ymnozp{UE
zuEIMn!Ca&xs)-SOwgkb{LUCxaK$`$8UV{SCXKb?PNS7C;hqAWD3tQtZ<h4BRfrWG}
zn(C&mFtpLicp!-7(cr<*n)q6?Jg%{BZb_Mms4l9Mr>3_ZR5>Gj%hH^)teQ2&YK45*
zefYE<O8{Hh^qvG9JTe<IUQ(nwGT-HzpsvlJG(MT4nlL!EyBL5?=2PfLKn~jOigRwZ
z2!+Ilv1>U3tS$7Vw283+$TCw=67U+R75UsNe{m9OZ}sm;CZATAoDqr7TZ?E;Q%b$Z
zhx$6UL!`oA_TZT90f<=_D+73~8u$x|Hp)eUYBX306aSqyXs_1yK4LWG?pzmKE~4NM
z2n6f1rz(XMAMX437!Mdy8vh1JM)6LPQ`T&m+AE@YP`v^bBEumD9M@0!kfo4>r*>TE
zSMS_%8pMr>U<$kTE@t555(xK4K{Z}Z%R+#tsNPF~nf~>KNgEW9Z6+*8?7;TX5+-dy
z3u5G6f~f7O0YO3yc!<vLxZ@w@c<U2iiakwSppGQmQ#SO`*IY`$89$zC`39<*EW_tz
zqWIZ{u8b7}2I-vfFGq`=X|lv<q2RW3g*x;eW<g(7O!Bhr`H0=14&wwM3M1$Ks6+)p
zuW-f8F#K2YvoW=#;my^WDql|D$-P_Vlh#<4v(s914!$nTz*+^pu-X2K-%k^ulv3r)
z)dz2Idn72XbB<z<L}efvx7*PNmp3t30hYI2lGr6<@8N-)M>I=O^X~Y|P1Fi}zbi5)
zcxn*K77GU7JV3HDNakw|k0ikSBCO+4)L%Rhbb_Z2{Kxz4$#Up(h}5kvew`^K*eva@
z(bbhnPNg8atr}HdE8bW^6^*3T{YD)VKTCfB9IriLM~q<iZV=^u*n(t5w}-yK<)DM(
zIR$R`^XlDKi021PPZhKoI}~{z>feSvZRXAHt7Hz9g^7pQb$o72C41ASaY%q7_`wJM
z76x0J!CaYMmZ}Ajin-VXwz49v5kjZBRrCvP*nLV|%*D}@ndpqLP4Je|+4s`M%JKou
zaRhy=DG<5XKvr62j{7~F3p3%|v*kyf_Wuf=9Sl)2qC)$Ig^#kBjs=CeE&|CXj4aTR
zo+)Z}c+aCYLyP;_k9K&c6Ezf8)a_vaA5LCX(;<e=_S4d9#iNX$W@>6jt#&0=1TiMw
z_V*b$1O#5OdLSr8=}`*#^Ws$Q)$VLj5M@S7FtI<t5qJT`n2bGEkNDp<SR03tL!Xyp
zpdy#FynnKH)FUhuGQ08ZgWB<m5bpe$wfZyf^(&Zi_@uFmqqA-fVioeWYygl!K2D|9
z0wnKm<h}9{@4>#{zC^|W_l`R0r_b^GAtRn%`A_eLBG-9N@Ft4OT3|)2I8AtC9aH{O
z7zv-ghFI|#B5|NrJ8(PT(TPIi+*r|}1}LohlN-1qV5+PuIyJwR80JcuNc*Rb?q;<w
zU(*UjZ&hTCRj<=|6gF00{u{Tr5D^**=z}g~;4*WgN1EGBwG+?KsCW}oV15UgxTy_y
z&O&9@SIU)rej30`5Kbh*MGQ%!AS194M#xo5$8=mW16j}6Vm!XLJC5_*;qs6ix`l(~
z<A2RF@&Z6&xJDD3UKBDxT<v2ph<9J0O|BfS#+&MIU6jI9ZXHaT<xeV`-MxSZVn6PH
z&*1jfGl_Eve}#-sepV=M6Kbgh5peB~x>E%{>u~1M%kDwSD~E7G8B(lD`V)fNpYKII
z5?lD0;wN008)lRE6uA1R(7xcmr0avVA$M2KHB(SpK~PA?an)*zxZE`2aaw(vgnLDk
zr{x!$@vlTgL332IhcVU&%F2Gvvg%%LCDXmoDbxij^IZG3f&vNo>>sNC&`)p(<h?38
k4ckCZbOd9;iWqo<!_j=F7Ybe6|KiPdc$Jxrv$H(<Li?+`0ssI2

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_tx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_mac_tx.v
new file mode 100644
index 0000000000000000000000000000000000000000..30f1974738d5d3c3fdac6128dc0ae306cee3bd3c
GIT binary patch
literal 93944
zcmV(tK<vMZ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TFD{o+>Ul`~6
z!&G;F-NZD$v~vZYg>D~F+X(5?i%Lg)YZYdEZvnhDmlc1@`YmlrBAIIO)Gk^s{O`(r
zs=30~h75Otky6Ig2+UFHZk{;9tbVhvNjRU|2c#xA2s%Hu3U%3)fo-1Qzd`1tWc`CY
z{Uaf;V<nf9Lm%o;4huZ+ZQxbs&y<-LOEX2nqQw|;b+?LQ00?b`+I^-s;6Nb-1S#@i
zpSVM54eWED4}mcL79qq;=;$^lF8iU1wSCC<9m5=CX%R{T0~@<}n#d{<Nzy9}*V=e~
z>G1B&9ny;#pl?!Y9jzY}spy%$asNSiZ8BpKJt6@g{-nUnNuuRE9#rqy>lL{#gNGms
zR(p<Vf_Bjfh$y$McH(%d+&wp7ye6HU><3(Z<d>i#)4zq8zrrT=B0mQN%>>LmBmAnS
zqamITsN0WVL(Y6rars8p@w;1^Q6D`>yJIyQ%`UwHBl@!8$Y;j@jfZ@JWg{KC+(&Np
zD#eWhXsfuM;z5NTwAx0HKUp+`atTPN>9e=J@F?Cwwpus)BX=Q_52uJgFKD_TB;od-
z<=Hr$BR~<f8uysWGi_g2p(x?iduf)ARc{hd6f`6hk#3!Fc>NYy`$|ZLW*QAW(W{QN
zK0|*-e+#Iy?f|#|Ib<2CM&grRDd|SD+Iu!5&EaNWRDSdiJ)2mI0g=5tCKjVpNTlu0
z2Blj1s;q_dvshSHz*$T_i-N@Rf7(^IQ-p^u)P|otWX-3qCZ@<|CilNa8_pwVDFeY*
z`E|*Db=l`l(M;Vp^!R71_Mv%+_{F(1cJVcV*!*v!nODU(*V%aT%S>7Ne;UKF#ol-+
z?9D0b43W68s3a3Gtq!yPMwWd8zjGx2o`~zWy6zxYU;`F<npY=eG@a3SueIhU*~i?C
z^*XN#`eAe*xKVl_B-wY)oDRM#p6sgwoet8QmufADs(WS#+%GxL^>am{5}wQh@*tCc
z$>o`1MM${eJnV6jMf`m&`vTRxr&E!d9M<035mWlEIxY|X2Jy(va!=ys*M`h5>%fpX
zyyV}CuI1CBRf6(GEf!7b>4nY(7jVPEe4M+KTrE5E=mczz<#(06sJk$6dKkeC*$cYC
zHN5mIfdDpORw55!r{~=_Ks#tet7ASQtekwiQfBmOx#%Jii!*ZCXJaS$nCB0Gps8Q^
zH*J=WTAC}42;s5}NAS_-QH4^eumz$?mZ6749h&Iy1YeS#t2vy_9KJ!cEJo<g6rcz-
zNGsjs8p0WFs0z<?z{*1LAwH8mETk)3p<{9=&{&vH##HmTK|+!sa)H_dt;=U70!Ek7
z&W?V1|KzJy`5HLOt8;z$U|IYbD2=o(pQ}Of8@8y{W`&=*qOi84-QaC4*7lxNRY+W4
zSzkV)_`3)hpCf@!-(Oh>4;tZG{rDvXa8G`UTv&<SLyx4U+BOIO$$-$glGVOk#2bqk
z^&;FjpzVoiUPJfOake_R`Ffv&f$H5fBdvvR&+TQ$c{sJF*{?bLNg6rR%`}M9?wqcO
zk|Jq8bs*|hXI{n}<injwx0?nPEB}e1wdnqYhMcA@KVhwj(|I>}6Wuns{)1Jl`wqN0
zJnZOXoSOG8XtBx-?t>bJSa@0JvQ=mzf?vAjwU6Nz+au{Tmie*14I~di4aP#%@aRSX
zvGI70ds@CeM2&EvL}Wr#D(WZMJ#r0~X-!*a;Az7}G3)dc*G`2a@5F)f&~xSJxHJyS
zd4h4sG->%SFa3x%tO5>&N&x{hq-ssdXZT{pN%XTNintram>H;V<93Vl<)OGit&G2q
z|N40^E4={c5U)r0ZoR@lD2tQa-huR_!e%SjmCaoDgsMq10ija&2*D$>5<o6Q9Ddg?
z3F<e}7^9lTGGRjuRAhI><EImU3q;ELu7PIyH3_*HPaJ#lzTMM6+CO!k8M2w(rQMrI
z8e5F)g}XAoT_E0E*og7O`_j>@s~keRvqs9(rk&>C7`W|IZK~hSWi$zN-iQh`<B$Oj
z4CY>h7q42qDB}xU$+W1Lwd1SQj^${!=ksGb9<(V?e=(GfC6h16j+<z3)xt;Po;{lv
z7lw4_P2+vc=OA7MMzq^?`(_H&3futp>%`u>*TLd7%lb2>?S4hA;c}l=uT~HIjsp-w
z2^RiKm5w%;5J{HJM0d<<4bK@Z+=mUNQ4QUy7`CX=D}_k2fT&^f#N{))w9Rh+IClp%
zzte*Y`)fv@V-~X``5(SjwR~Brfwh6wT<>?`F&JCEvobL)EW-61nD*Whr2%$0yf^Zv
zykYQ**zx`=kmyW4M@v80L)h=u_B@BN-KHhKI>v$^bLSV}0Z0X&n5iMHO?9yucnTS%
z3J#wkhE^CPPm&$9t;i2q2vd>#E&zuj1fK(9u7>c`WtI<&?<Hp$c}vjd5G`T<`f?85
z0it|%GHz|id=_bI1~Jrl+o}WClw(Q`e;6SAa09L_#h#=K&}!)mrhT7~bpTT}M9?aI
ztK72822UoJ{t6(C%y!A?!7<XmkM~d)A8D$D-$1B{%C4w(4e=K_M?E<iBof%1>P3mS
zmeAPsye;D%ef6EC!TR<BfNl0p%H{IoNxwPXXNm-aj`NF|S0|=oK~oI-EC7#0dXK?s
zB+Va>R}R^!x>mZFv^nRUl#mq1E8FR-J)O45j`~h$v0A7xgzH1Co^^Na;3ZF9gBV!!
z6EH!KgPgLr5pxVJ+~8n-GEaeBJ_Vpn5^uDKz$^88h%8HL{D7J8n?kCFL9rJ!tNH3l
zdWGH!np*_QEa+R3TD8WKL@&oAH;J^J_SEy$X}rM;zU8vCJm^D6-4?6mr_<r*e!Cun
z+_htn!?yD@N_$tr?WSxi=Z8L<((`pm>;k8N$Z12QZN@)BN$m?tqwbTgGm?Gw@Eqo=
z(O576Gl}G8p>H|+y@WJqK&xnP%Xf1$YJXnqUFO}QQwkWnlHlAerc~BY889eOC^}t(
zf`e{q@|IG_zr+Wam}y_d#;Pk;g|ZMYV-?X+#poGc9F=YOYf`vNQD<R8k#fROdS2tL
zigZ;C{xr<Mx_MkiUE4e~X_XY!PfpVnk~1-%r_c1GZ@yY;gUwu{Jy69hwR!IztQy#F
zqw4P=TV)`Y4%WjvG(&ncM!TH}5JlFp@n#0B1+__Q98}d0ygo0WsDtPG=H$$$KDiuP
z5zG3uU}vQM^Xez*!zoO@G)yV3*)t`8B>ClS95o%*qS61+OMG_O919A4R2@A^!u|xQ
z{MPOrHFkg~FYq)TZ?F>vU7aEoTI5}_u1Sp~&5aQF8W`!tz~~3U8ek?aYuA_z{vx1k
zCOi`yrN1Xy$~tW)6>P=kgKjcut}ONuer(a%Ncp`d6E%R&=@p_%35=Uvov|<&u`e5|
z<SfF>;}e|af<r3SnqNr?4yzUF8Xrl=xAwF0OlzQ*g&Mm=2K8oVC_6)bILuu^(&*l6
z{Kut~Ch8J4y2I;Vv@Mq1a_cB;6q!u9K0t&TGd>;qcwrCe7`kkq6xs+d7+??T&^d$f
zh-wN!IKp<w<uqnW$7yGo3RiK|>~(F7Zft&>;YkNAsxKVu1kD6d>BJD{#nd-$uI4Rg
zekdCMbizvktd=MJJ;&SzNpEjKfDIws-0-d%=Egq;;50)$@=a^sbdquR0l#OCk9Rj8
zO+zR*Ht#S5b3Sk}+REML7t8cI+2N$AOSeNG`(01$O}lt`(#=MOZK*?^5ql{s{lc7(
zscyZ0XdOhh-?25OA@Cj)jmSO6<_2zToHf9Xr9$jMt#Tz>S%NM$Cbasg#wdZ7?KrQ)
zV%7;Jo_`)6gB^y~Sr8&+pSU=brxH;Brg~nTpI2pz45uBv8xJdSI~X}+x?g%$?36^X
zg)<Q{(qrbE5w6yM1vTVBT=E}@N32%vv|(Z;q_u^CeXuuJPF|H+ZUW1n<ez<VK<$*4
za(n|`3>&H^Mqv&xa8f(u4<wB6nz`ocBkMe}Q3m;fxMy3;%e*xIp*q8g${F`h=!$H%
zGak}6p7f7?xc|TbNwj&n7bZgU;Swe*9Lcnn21(da7>5-|qC#%%fu$)7d^EI$p;)Xb
zw4rH{NMWWtZD-kay*h?629fyL;wqFY(mVM=t>m{eR;<9_leVYZR6(`!i_jrBnbj{V
z?0yYX<tf&nOFccwhO1WFjk-O`tUwmnMoGo_AQmrJA9F9A+mH$eXh}w@@Vcm&`~@UI
zcEfx0iDG3=&-3=60z!lP2<&bw!zyx&-M<a$*+RyQmx};qkpOt-a^8x1OLZGh3kCD&
zrJ8i+aU^S%Mjh-?j_ton>-k7Bvz+TnmPe-kI&}Rp#5ZB?hMU`Ng9dMOb0<s+u?$)E
zWyGQJdbSm{S<Z0@w=G2@VMZ=X4>JZj@uJGMsk(I*1uMIK=jPSFf;FZ=HD$K`r{CTQ
zmdvGj%~`_&$SWV(cV%tLFIRng(k#^u3*vsN4LDtmvS&2POv3Kyf}Tddl*7$nUp6ZV
z)-0fWIId<W!-6GSk$zESks_A=pj0>slNsw&fA--jm?kTg8${dh`FI856OMf}mNZWH
zK^7pvbMQI))sR8rG?!a`p5bxM?8It9c1$`M;lags0J%nc$@V(^BKiWqM0{MkF>u=l
zpc_qgLOcwVv$A!tl+iEuF1C;VItXVe++i07@~24XkG3V&g1^-@tfs0fXcVjgudwZT
zX5?>wSAy!ACxF*TF=@cdXNzyWeLD-qjyD8wUN3OTg(R;{J5C==1z)6yH80fM-l-3}
ziOu=iDk!FA@r%;$DnS|3L`rsRg%eYv1HQUh`@XpO@Pogr0aG^og+6LKPYF6VnkF11
z@!PtON4;G$EIt+v<U0?(XK87SJ+ar5{s|%=5~zU}K3~6AIR=z#T*=)C9QG&CTXQ9K
zg{+Pq`=FB*2d~&ZMi@+y%rh=3&X9a6z#00q!Q&v5<Y#5T5W#2AV(G3@@20Wc3L$Z?
zBRn&e3VxQDJvy1pp{fAqIy32lrJC(}*J#V{J>u=)=&(h1IKJvsEu~0(KopXK*Q%Qj
z{u1w_ZHJPcr2+B(T|Sf{6(RjSiAUqZ*G0NPc=BWLCe(!Y-o2Y_2pZ>e2PK(jXbeN1
zLAnZ!a}{xs_M(LSReh3uz7Bt7yNDYV`+KOfRXQj<1o6N^enB>YiU%N1#dxe-ageLZ
zhZ-rphJyehvys3StyhnL6ah=*LR5X6uVSPJ5C35M91pjSaYfq|PkK$j?Zi-c;B><0
z0YRWIkJiRQ(*8%pzNV_xM|0gX)iL?~HKZ}rMoj7+mHK2H^<GNNWf<q5t>Cx`XmUMA
z{C>8b5Fw?l4l5MwInjZnV$WH(=);H=%FRos`X=13{{{aUa~f|9FLVL>Z|FcYK;`QZ
zjLVmP&%IuGI59DMh$eHjK1XFGOOYW-3-xEJZ$D<NZ#}Gq!ii0aTPKIjvk~-C2-BLd
zmBNf~%)#Utj?m}rqh5dqz!!bK*d6dPb5LrP@2ix-VL%ja|NBE?H~d*K_ECSm|39%o
zPIo^{mdVOabB}9$Zb@5|kL6dRfB=eSFernD^aZnC>jnt>j8O~b3+?TTZqT(-fMlxE
z1#sGT)E>NOEU**%6vIuRZP|r7VFal)m_1cCVwa_T?9L&(l`MlM6ETUBdT8QD3LXR;
zN{X0km*mFU&cNtbIz~`W_)a9V)^%4L9PU*C7XQyS{|I;G_=%tu{#By_nBHp~=R`1i
z#_Y%tV;Dtf1tS>Sf_r#C>-tyOEF#T{j8g^=OTCQ%*Ig{cIP=Dde>@KwXtrx?gto53
zMxVv5x}4}z!YhNx36s2MS|U5W`lF7`aGn-t^^_ehT}LL|xE{8~_O1RUpZ+<y;>3HA
zH<Kv1NaXsllpkf;+MJ<MW~~J$(+vz*%KE<|oIbWCvuBqTJAU|5wrt#;{;-%%6U_aB
zbGg>BdOur3Z8jfx@3#g~N{(dPtz~h>{mgJ<q*mABvU```)T;ib!2CgmRq-oD+qO1u
zrZyfn{LUh>gCL{f>ODtVPQ^~nAiUCUUCt^QRznnj;{MpS2EGnP(^_=Cs~W}J;&g0}
zIS%NFo^z2q8SEuUb_z$$;#rxLvqVmnP#W(AQh8Xxm-0+&;=CF5AWxz!yl=8lRvIA1
zAbQK=#f(br&oCk1GHMeM@iK%lAhDB`Ulqns8h)~vmJe@`v&f}^!eZl`PA`@4I*?Ci
zR#ivUlsNXDO3+JyMc>N2M$L6uiYN-J33JQ5@uF#m8I(n?v2IlM9eM+5Yb3m@<<Qb^
zOQVML*z~>BtlA6Zq!wuy|1Bofcgl@=-Hxb<xd0eE?1@&z&$18T*!Fm~;C(vlxY!-)
zri<;yrMpeE-z8ylV~oM?fF|^~Xnx);1}{3J>^UOe=<XkSL&slEMwzr5#PYgLCdf+P
zcg0Un(X^`Oz9f`;^C$N22hGN$k-#0MTRawZBV&ubQ#(a8MPzU!IgM!#AR`)r$C0HW
zOIEDNP}>K~v~qIWa;>_bk@CR#-7lq!cgDuP{My;*me?+mj<6CFd6$IyvMC=4<a0E-
zE;}ZH?D$^G^JO@NQ=}Z>^t_Du+i?HoI$WN+!WBe@#DQron#=$Uk@=hf82-GVZ;Qkx
z`)L@;Q}LiL@uUqL3-J<Q_#_=5F?suHV{(do<EtC>(l=^7zXJ+*VrDNkj?CCu<W~@_
zkKKi7vJRt3)l`=QCsMFu&HK{##gpy*XQJG#u{#=wMHS8X0*Yo8rXBqK?{s%fvRO=}
zM@$wU@I|w?X7Jcce`oGmqEgATTBx*NxUin$lUgaZ9OK>f)2q6WrjvVUoGz>G&p$yO
zF<`nBJSw1V=1q3C=&C-><X@o257A`@4X@L<E~+a3zky4E^EqICRQPj-t?d*G#j*b{
zP@1@ypLuD6HPhFv{p%Oq&ya2?R6*v?kl)NtVe)EQjM1;dezpnEa<=(~n1=#!L}mzi
z1pd*jJ2q(FayNBX3GzAhu#$CMV6V&P?USN|T&oA<sRtDDCrsJnm^k`-W<nMb-uSX4
zi+YRyZ^lT)(FHR)g2v-N#3RhmN6H^~!<h(7@5aR5i)QlY*=51k@GU>3B@RKQ4Mq-?
z?C}~E50|Rm7uv;#{6_rD-dJPWFNRe!??>_Q%@(Zb0mrF0$g(}4mbzGR;4ExR0&(_?
zpIAAC*!}jYKmwm?O5}v>EyRHb#e~{o=fRhGVI?6oZ%BfuJv=nYss1AL$)58xijY@7
z?k9;#&x`}%ZES4X@&4v84_Ag9Yglz_Q+A$j|LIuvXA`uyE1B#FU|Si}oSI18F<wk%
zvxRcHjfiXwfxTczzTk@N8`j2&)Iiu<W{(p8_$1Gwj_03;l?YlJ77PNv5>8e_F8zG&
zWWiH)m4t&oo)tO)3XcWpG@Ggz)xZQ;!TQFpn83R=ls^A=OBsnSFzPjCjAuxwkU=x#
zf+hU4gd~@MkE3I}ui5q-%-8?8;rUCxKSf&^V34D7B@#r>5ZNBTYQ^u1296J62~!dL
z+l?K|iMksz%8WG>yI5yQ0qss<gsnAVD5h~kD^8V2+n4Y*obhq3E9cbFAB#s+H9t@(
zH;B#!UK9BAB0z7lO!KJBmQvy=y#l2`=SiOlLTes6Pr``Ve<>?8fqAY1$f<h}g!fdH
zipB@?`P<N`Ewwnz{?n1m=e>gHMoaIEI)p(>Lo6}6ACAI}7cDK~SF{wu+vM%F^*AT3
zEDsMeH9zfS4_z7!eBKqtB4?gz`MtA`QKJo=A;t}&AWG4ylvV?UH~>7h*N0*whJaOk
z&R|5?mQNaX&EIr)RRE3B3t*P1_Twzl`vNjxPUCbE>o*J^GUy-R?(o5Mj!JjNBa~nB
z<%oy>Ufr<IJV!@r_V%Ok)PP&jdd3&%QIp&ueWJ6jV2Q~Y<$p}C=gDRxt@65z(Jx9J
zHx|yo&F+B_x+L<{wgRVuW74f2@35~%=DW$&u@p8(OijIo8eo|7kW`uR-@+~T-Asg9
zHZ=OwEav;L2ef|J#jyM;G>>$k+e;+ACE9OmXZ7WPc3Ik*Deeb~E!v6kK0Zcr`j89H
zaOvXRn(IpGeTq!V0kC=w^AKI%Gft^wmu?uu&vSUwu`e61W-+et(j!}6<G~w=NE;)E
zRX{`zcgirP1EnvF``0Bl(cB|gAcfHuuKS_hf-L*qGaBiOBJQFvev7X{*d>QZNK1B!
z{J;ud6716(0pBTUAJ)fVRkE6{$}z;^3QtUmQC{OM3Y5~AnC>q6W7;h|_A4pWFY;81
zC{13wB`~N6C%$PHC#JSb*~5A3a&5!y#!IHBS=nh^M!Asz>{MnS`yX=&?SFqJ?}|Mm
zoN{ePDr=htH(Ie7X@Ao_fZo<of`(1A2IB#Q^mb+E;S;!XB0W?;V!h?B5~{~@{c*if
zf)DjHJZ|16HJjVLrm!_XV)H!{5@FwE_oM5^$(!NQ%lwA|PI;uw<$q<jDA$bjzFg=;
z_mbPoS*?Lr@4@(2IszKrxPl^&^QACy^?u`6knEs!ts5*@<YM#+D75{=cCsuSMfiL+
z@<5}1ClnXa_Q0FUB;HsYiUb&#u5{}sREE^sGPU4vaFcsMp}d#4m-|=!5ND@>jhu7U
z*dO~H=~M6Lo$SvT3HFT={Ou-wLhWmLa{l(Fw9$#a(cxi*Lq}`c2`hjJQWCJvZ0ro{
z#1Ay&;}E>%{M%yZW`eW%rD<sT(*-hVGTof|7AylEj0z4GKm;ZV5lip}m}J-qFqyPd
z^2Y5HepYA~Cpsi;-n#`VEZ{MFV(1f(#$Q5C{@UEpW)_xwUFqFV5$sq9(NHPTOnxUd
z-oFy@Ct{B*pvd-EN-{JDD^E{eZ4(IEOxdotDy&N3Zz%j<ga*lTyafjnGC=W#{tF6R
zD~Hrs+G=?Qr6T&qlwYSrv;&J!7vMpC&<>qVYO4sA<Xth>Gw7MMAQX9Ie+@MTS4HtT
z7f#o7>PezXebfdbeh=xjUj-lOj2>a+nI~HJI+^6j<rgc-eTvac5fUSo^exoUyFt3t
zxg6B?;*d8hp$|)2xb+f3#=2j<5l946*)lXv0khWXzU3C=NjW?6Qb6|H;gq#YRDdYB
zW*aHqmzTK085XfJB#?#FyLYs*M^6ThS=3&68atyRG)&>)AV3c5Al=^b_Oik|fQl){
z+#8#9<nUJ+TL%7?_w}b?yqYvo`W!}}rYjeiu$Rm)>0>`H^QnbSz-)eU-S!JK3;Uc~
z2o+l86qZiC&0P1UIXryMK9fr(4dCLg!0c6T@xUoq3}3_2lVc{wmjt{B9=&YwA043~
zZa5idrhZ!LeI7O4C(cqX#0Hf{Y9K^o49)3db}xK|jm~O5F=g2JrQ)r|;+@0Q7Mw~&
zoIDQ6Y>DprG8kT=mGC7GxZBn>6(gxMSYf|GcGu$}suN@j%8R_i6}0(G3$$Blf`9J|
z{teibRCh&*dz8O4aPhe(;Id8vcz-H+`%w^>3iU{{iH=V54TXCk1EIS}>s?iRZI&M4
ztBZ>4OsNgI2v`3@)A#x4jRcmxphFH`oq^|XQXqReB=`^>+!J=XP?f%xrkp?@EsV@`
zMND4JV8}mpX)grmO>AdYmPg@jc1_#EsS?9cphdZKJ^E_uML{SbkIMWLxCJYdV*11e
zPFRUdsW|7#PQh8izzLPzQ{FJro@P`eb9Ogp(r>K4aLF<^+9L*1<U>lblh^9;?Wew%
zp(e!JtRDV^fXW?&iE5k-3}O~&h1n|tlo&2~1JGmMgJhj!06|^)>x=6wHL5n^B5XFt
z=p#8drTQY{O!*%{&AG}icqwkxH#_R5ixS!u^YsO@YK8JiH&(xRfqjUr1^YPjBz`uK
z_AGt&jeJKQ-(Yn3FeG;}267V8q-ZwQ`hdlt=;%ESt<Sb327E}t;MK?;hbk<!2o%=P
zbkUQy>dZ*H7~2TpPS3jX_QlWCjhszlZ!`Nzk!DtIUA=G?hv!ZAdP^#}Zot-Xjva^I
z;xQ`uZxSI=8OMcNQsL1cspi%Ry;e24-z!IKYMLh0-W!emVDMAZ+=EKrz}wV)lt-PJ
z0okF*I{`>5!QmTqkh6hY1ffy|0n(tp0-3l)Wf<^Nnn^9a=2?QKaff?sGQ<vliu$|1
z)c!n+&u`kFuI!>=FeTKr9<Mc<Dh1<>utx^6Qh|p^<0XkW?B@7cS1^4#ul;7z7GJ#t
z>u~!<0T~zWkHu~%J={8kYH`a{XcWj-7B<qY5w9)A*h0E=iM)9a$}hHsJS44%qGH1h
z1x1Wewe8oc**eIU(5ym@)av9w_@ehVqXQV`%U>$`m9T`v&QU}waEuN8t$({?Ip?j3
zzizISb5wBpc2sX16x*tf-?CHz4+Qoxl4DIk;&`B%_g=x_GOrSfnQ>m2MaZy1X_uKu
zEks~QP+KG4Uf(Bs$iBt&zM5$uyGo7BI;42G7Ow?#01f+A{&ni~S-y&)Q`y9vthkn~
zWsAgTbuxm09P5G45<lKqYpBEOo=0syaGXD+koy>Dqj<Nq<yK5#dmLpEDnMYljSO^q
zQ9oWqGgVAdg7FOih#JLwfY?UzW>kjp{#v$c^TqxvwP0=+icC!mTu-s%n?XQcw9k;}
z9IlGahpeS=+MiQCXB)-k*zoa6ZGw<}A_Ew=*x#Fzxa%7e#I!AS!-c8@q|K6GPS!f6
z1OatCinP130;0I3DZ1*D9QIT4_03Fl0<PP^DM_+*T={Y<`9s*{Q~EKQ9MhL(%qHYl
zZ+cYSC}UFhvP_j0oEzzr3-=Ol77Riu%nU`X@tWR>+2l~`Tt4R@IKI2=iy<vx8Kdf`
ziof;^@={hy*>usA`VU)yfo*U0X)8!WZ)JQAC(x?`LTNrOIpM#B@A@jQ?%1}cfaEC+
z)i*NO_QjXkHdwu1nZ6XZaJrp9om7wl(0K_W>2@tCgCqzcYHnwLl$TJkpdHoI7Jf}a
z8R=pH51#14n~TmxKbyAwYpfGB<pI72sCXu0S^jj@fAXogjRdsuIn=rN{A{KTO;d$*
z^Kc=G=`vLKxiyXeuw#5N&Yz=LAAfo15F~Itr+DQ#ru(hIhE=Lm9_MDv{O5}ML-I{-
zvWoOb-}-e>-U8C}?>VOi_OV}-7%1Z@nsj++nvMLkYqYDzeqpZ0ykx|XNyxqe^QaiY
zGoL@=<~f8|L+0s0Hd9dEdKWP5zz}IvFyUitXPQvsE3kiH_aEL^x^X3#H351D18<^E
zql(1MQBPj~KNVv23jLeK5nnmr<?jl!3Rg}dTLWu9m<cS1<Z-VG7JaLap87ro$JA}m
z?LwX!2knPM_}1*!8M>Vxi|P3wK*5bt-C>65RfhAg+bzn&BnWW7b`E$$_&f^Y9NREM
z4tP}cg50NIE??^D%RM;EexF^>N}Hys3e#8?PqLP%M8PX7k)qTw5tT}9{Km`WYPM+!
z%l7@v?CDu-@ztSNB_-H!sG`VSD^SyIZb6gWiKssM!334u6iO?6Y%!R^z`<kIYsGiC
zZj6)hEDqTh@*}OF7YD`*x!v8tsVo93-rb}XMV|`+DenHGaYX>(J`mz51Z(c(K6@)u
zk*ik<T%P+0oE=0d+|f_UuoxEv;?HETo9+l}<%&gkJqZe1p~p#<V4Nopmb>|AY}8w{
zgB+gc<J+MY_HKO^In<$+d5kONz;9w=2Ru0^J`J0zIqYzXu5`5!;f#y8ZoY76kTNS*
z*D#lGwmbH;6X@yX@%_~=rB_lfnW*fYyhuw&xvyx(Lp>^<!L9AJL@t7i#&^4J>(x_g
zLtA%|U^{&h?B5B6CAlJc)e_E8r5@{)@Hi`}-SbhL;gtKzw0^S`C9%{rO#wVW_7}4X
zPO*U4DU4Tge&%u{H|Ovdb~D@1v-ay$@T{K17oVB9#SW6D?EE35HWuGF$d;_}Olj}n
zNUBKcK<@e1aiw;pDAH4J;4OJ_Pkf~paT)hK=KdY1{Md?`4xVXLVQp=ci8!L|Jz^(C
z<}hEj{mN!K418{cZc5ogQsh(mFD$$b+K7|>X0zIE%?+_GA>oLL2>j2@OcD7U)Khj1
ziHq?8Sse%`uR~nX0AKzxIyYHASN#x?Rg{Z~Ys;Gy<9f9d+Hj7sb}&4cxwI!d&$?pg
zHdL+xB<}a$!r9b_MsP7xsPZdoLDON)VvN-AQ&2qhbW6dNv=91pN=2eR3n_?k|2BGx
z(svX4ay%v|@UMYczQF@))&gY5@oe)Jp5gSM@r2cl>!tp<Cb?+kTcZ*p@(>Ve%X`$~
z+WTY5aR<R-od~&TLHvd<cqn->Q=0b_p~4%#@g@nb&-tMzgVn6c6JvIya<9*N>L==T
zfhxz0@hLA(evzAuUOo;q37W#xQFH75&ytQ(@uGv|TmYq;_tnsZ3aKI(!9Q$5<@=2x
zX({BC%9Rl6noQgdH$rem8+!ufTf!b<y$r;(oy67ZKb_vD>5ZXt{qGyN-osw*o5cS<
z%X2lR&2(JLD0(;-X2RM%$%+o!wA!?&nAc3O{A)>Tp-Cm2ZS#_xU|MDppz|fHFmbFc
z=!V=}bBlo9yQ$U(1>F!(vXcK`_yxUrxA9)|QQU9d0D-#27ev81N7WUj_KI5~dW?n2
zKaQaYQe@=m+v0fpVly!3Q}Ij}A!gF0nNbPfR5MM_dkzAMZRdD$g~}deGgv;_2H%V&
z4iLS^v4=-3most_0+XsL`&8@no|N;U30}d9&vq#HI7IzkKlYUpLw>}%w-g+s3gqhP
zmttyAs@E9}d_0QqsXxTA8IaOZjV9Dy1y`I{G=l)0z2#i&Fw=k=KoGWP-bH`T=_Osy
zxs8-B?(6m9O4+x%#rZo2(2)!^4U$J!6)o8TB{Ji2R;=USd0!ThLKNc$4kkxmE4~IY
z8x9Uo6r<VQ?RT}b!2N#*c2ZWi7x`ni5mfAwOVN!gI8ES>@Uzn$^cNE)s^1`EacMho
z6i^FRF*9XVdlz?O7xm1F?bez7%V9nt!&|F8))>pQlm2~<4c{OJ+j}a9+RZ$}e5CFI
zLX}(iW?Hrkt)VMS&>(0af8I3g+iTcr4FwK5^7luCg1OCa%Cs$YMEZsSgKK^Zx8_^8
z9tSQOQ6fqV4K#eeR7e!xZ;Ktup*ej9^yD%-kcX#lh?{YGK=(MCG427d3-cv+W*GPW
zY?&t`UbFn#l2l`5xS;=96tGtefg7rbBqJ1OfLur9=idQLSdS}|#rLn<4$<03I;!qM
zA20c0RQN>!1uht_L6nqGQOeF6w>0qN{FITgy+JKFP~;&JS;685nW<NvPesJC3XUYj
z3zwOQX{Xq;4{JuEk)*nK$x*91Fh(ge99OZb38LO_(j&;vZw$yazNo_jkeC~=e$PNj
zN9X6&&7-WHKt@CpU<WL~L8#xkZ;984K0PK3KqHux>Gj=FI>)sSIQmXyTFRK1u}X{A
zSJ|`zn;zNw+h!nEn@PX7uIj2KCQLYiy3SXulIDt%->LMH8#dL%po)qd$6t7!@9_wo
z`21~O2I37eDo}_IAlg*jcAlvxdcKUlfeGj@KFiabvh0qqwi+6&-HO?GJFpDl5@75t
zV)At0CIgAxF(xLEw@k+83LMPjrKeq<Nu}{<0@HO?qu+(B?vpa&?cqf0%iw@#pNEdk
z?dl1bO;2xegl7nJtBVF9ODbRh&We@3Oc>0%Mv)wF&vQHlKf|4C`VmI(nPF`0!=NAa
zdg&Z<TA)8}B$NA6Yk*qiKdW3&sVkqvxMKa5=6Xnr;7=@*`yPW+WxP?+I#{A^w^OTZ
z>Bb=Tz7B!g&pE*qrVU?ngx4KL4}J?vWdrc@krOSHY|R<thSJ0eee4=wDw?!S*Tr(>
zV;y7zl%*YsukHiu2`7vtkyEu-`leUr{@(LN0a0byh?H>F%4eiYcL2V25V%v5Js#mH
z(ck!->GoM*h)yWZ8o#%(l&HT&jHs|LpWQ_?A3OtzS|A0$%~>U?Tjx=X#h=RHyq2^p
zPM}r*F{-iLcl}k|CdD%}#mW5rI3aD|WR?zkY_3o(nuxKhTYJIxY>5QW^t6~{lyhSp
z9F5mIvkaP_8#(8%Z*uk^szP1+y)fS?g*?EfG3SL10u(PN7r{X;+zVuu=@`lc2$3~X
zYg}QJ6fc14M}$QUlL8_F4Z2bQs^8$Q_nfm)#$G42rpau=va*7iZ=h8p7`e0NJC_{7
zoa12O{K{<MXsG?o2|tP`&V)}{*nBx~NSNvtZvEWWp4!>U`{e0&naXYJiwZtgSO%r@
zGz_P?-j-kMpU?$(sPWANn|A^IR?}F?jFUnsA}@LylG6?;V^wo#7n<NAeEYi&2mXcU
z!!o%rFr(wa94?Iuw_X|_q>R2;%M$%wZ?l@#u6<GP?Lti%z%->K3%YI|ZBHmRvgaE@
z^%U{+S$jgDs-U>Ch=)&^FbM>_wwV%88ckw-?@v<(sX(r2L2=e^X#qy-a<VI_;sf|_
z9vOdE=HGe`Q)l}ffHN12-B^dXo})ZZ%o8fg)fId?$zbd&YyZJ*j$iV_fi;$1jftR{
zO8oWLf|hXS*UQj=n+!xU)aWVe=db#^eWPB#0%TYU>qAidb(bfsW)$!q<YqMeC1zRq
z4}r$`DAbYR+VIjfb`rnRGffu#9*{!O9V5S|P##ZGg#rN%yH0x(@h|@@2U0F40F3+i
z@EB%5e*++tn!&(p8y4|N7>V;Mdmr5Ld#0}*g*Au*3Rn9T7uAX<u=}XeqX<xraZl);
zW9jSr;+`0%7yY=LE_^%hD`xp_8E=%7e<fdIbY32%zh@{NBaFT&B53h)0>_lj=poM#
zJ3eo3R@QJSFE0!v0%Q}IL}(H7&X{`L!Y0hb86;Loj>IX(jqZ7&vzrHWQ!8l?xR;Og
z{7WK?@y)`BlPX|YaD?K_)oC!eM)-*4hn6&F#qAJXtp4yLzK%<TtVcGrj+Z6Kv!08>
zRk)=T6Q33y!M(6dWdz11)mP<Frl_w{n_86hj5DMokIf%Ad4`kK_NQ%B+N3Jm(`Wb=
z*UVBXx=!F+h!+|ROH{xh&PktRz{BipyFCygO4O;*d0Gduq#gOE77)qSiV;#r#euFS
zP?QMnaB)=c6_~r3WHFfZM6$PYBFJ;wOHwU0Bx8!WJ`t?C2>}$7EM%w0SxBV4*My>+
zz~SsA?}a;OWd)&YhiJ+`$N;(78$>Nj?YgA`S+Nw5Kunkr48`o$2aiyO507a5`%4Le
zdXk#s0P1B%o))>=eE9RVS^X64u@8*xmq_ymg$SBmVKXoog=C%e2Y}|X4rk(;ixnq8
z$3-`a13lrXGu(}UIHEWljPC+!XUr_~K<|3V$Y!b$sfXSCq)Qgd0SBS_SKA%7sJNA?
z&Ms5y8m6<jUcwV9uNif;2%8{6>Y<udJFE(71U8lPiGKh;p+}`qfHg;>&~%m^Ufs+%
z$f1B@2)q4(>9BE6DOC%uFMYXLT?z29HD)4JPLq-_KVy2sLabof@FscVp4r6>_ZRi*
z@oOW^!#>$paFdG25Hz1?N2?O|JWn1HIP1s#I&Bei0_R<)ZzSy|QFCf$*~XVQ4)*l#
z<qW0+!5>0gDQZ4<G*cm9r$5NGQFwJudI$Uyx!rb4G+if1QK!Krb&9GeOor(EX^Mto
z>-fs-&kB#<qvcnFp&WVFxn}?QNne?2g8HUwlP^{*QRZDk|3C-<<Qs7LWA@>QXQ2YS
z>iRu}V@>P3X{tC%*j>&D*oAh9KD5MppvL^^XS;?v>9t?v)^B+^j=xY;C9<~=q8KgZ
zUt=$}lXvZ3Xc9!TT<eh3DG(B!VB@(%2m4~;P69tktWRn{9tG?vWO275#%oug4a#Hb
zmfRI0w8+X}zcxD?@FIe?7O7;tKO@NGIbx3zEt&sp!`uI(>(NI!^&8+h3}=J^mW<Pt
z@)6I(M4Fw`Xa=mw0eySQAxlqN0;9dkyjh|#s`7i#G5hyHP_KEEzy6w!5X`RscIcA<
zx@9Ql-7o{VS?#M1&yGxfMLH~r1VwLaN(NN$f@Qy5Y3c&g)@4sOwp5K7SN_~ToQ(cJ
zksb_z9k$bd%#{B~8VIQiMu-4RYwJKDo@!#3EEoO;fnh<a(&u)0&hM6+XUwJ`7Y{Z2
zyQ{<@Z^W$cjM+Y214s2jB3Td<f=t>rA18UcgT-qN4;|Tx(Lu7Gbo6Qwb=`?7lm`X*
zc4#Ht)a~WQWnzO)QZP+tV)VXUsA>K0Q3_f<0<;dJv+RgtxRw#IrTZf`m;wqE?R&a2
z9_axj`_MFQD%ac`<v9){r12|0S?Q6svbPdHB5<gSPNjdoJu-)(__r*{84YsborC%&
z!~Vpa<HpXQ)_de_7~LRf+55wsNK5fE-kqJbIutdGmneFJPFt;(1>^t|dJJ42|E1=}
zzbkeRZR{O4z10SuD&83IG-2YI4y<XsK_2XHpm3nEbZPC+>^H*57pwGOujx4O0^|VF
z4%s764_#c81-VJLRrc=%@f;0@6Cc2nBnAvl$4|-)>QbCvlb_rjtJQcfhW2@$0@gTn
zdtpBGVVvr*sNS^1{)v-Xa#f%%0v12roM8`#Y!YD8uknJc{D3UgX!~D^s2+X;Hz|ZY
zU7JD+^qr`)etJzZY=y7~@>i-2^dk(`?r&hwGoANP?<83tBB^~E@Z6QtYNERaEe<iQ
zF?dg@q8UMOz6b_clka#&_VIf0MLS>c+u27?unNZj0JX_;sTi8bTTw}*p?Qm-5vc%<
z+ndc(RX(d|{Kp%T!2lLSpp17ws-7m-7XOHU!<H0H&Z>qu9sAU1!WS@v20f}rft@*)
zE%p$@6}uh<65e!7GTz&EJR{atZ!x-s=NH5Q4Cr)3)M`Sp3Y@meG;9>UruWZn{H-`3
zX~LSEkd`sK=rTvXNzoF0XT<cr(3LP%iRboi0W_MkYX@?b3Qo2!jUA5jNKk9tK6EL-
zUhYww(qmTJFpfx(ZrSs)(s&|QjeV)Tw9zs$d$ws>)+j6pd)i9CSLl2CKW3$5*SR6H
z)Wyn;r5WNoTh%y}Gf=1wCtSR1ySO-gd{0Njx4O+{t_D>Tf!BB8QpAetj#>_%&7;i&
zH)3J*lm5Ioy1n1K!p6cP0+2xut2RYX7q@au;2U$4Hf^A#d)}3jh!azlb7er%BXz~O
zL!tOTcXi1bui(Fc=HZdFH;SABF-!7B#gS(<w_WRmT4k)e4oJc|bH;=0a-Qou_oZHT
z1453+(A6lO_cOE@58)rTs>&8|{EB5ago-zw+;g)Y-WwKT7{WDm7C4H?dl(y;k|%kX
zZtK;Ijf^4AaG-j-;RSkm<bc3RnB_$!n<RJ`iVJ^a^4o5lcizXUj!xkv+70u77D$op
z>6)U34jgk`p)MpPnCN)XtPJK%n;C9pG>P}l0C>j)(=?gLqIjXPU>H?_h4krdW>rbI
zl%o><&ZNW%<oe;&l-PR_wg2|zF;`EVqlT%BJ=i=>poH}@by&y|7WAb!Wf?Z`+2tg5
z*TXKy!w(0^lLO#ya`}(cIVWcqz_lJZQEmG1`b5p!4Ww4q1=n^JMwoO_#E_8^Mgs@C
zP1e|pNG{YyhXmAX74kT?vA=eAC&sEKiQs;+jJ&7cb>#owF}u9+uU8r%kNcXT-D~H<
zOdxkt(aBcQCF!vmmHJGuJJ_6>x~2lWyYN^9ZgOsO1*a=#>td-x13DnR=?)XR+55~V
zV!VLFQ1RwX&|ake6()~^`vKGcGH3+#JUtMBb2bD;{NCpQ9o4+HbU;GjeiJwu3?W{q
zeJ?!Zidf|fSbGTzoh?j$-R{}gNEyHtP&Ajdv)4pokz5r10ULfR2S{%0k3GYpLa*|G
z(WtBro}a<`f-voa^|OCvs!bq93BPbc)<<n$+_3n8OK#`wyaA;U@72^$Ib14cW)P?W
zE!(QQ&fHu0SSS{C5aVc#Rme<#Mcx$>`nqvQeqj?SESBXgc5YFBsb*V#Y#!szbHlWj
ztBtTqok4r+L<jlZJBY&&6mi3bs{F0E$NsZKy&Qp0ScM$o0#d4-n@fs_Bl_W^RN%TT
zf_|VJa`_G88<i00(Q!7>2#r%$-*4$lRtH`v2gI$(lj0nXsN6=vtByIJN6w7qsSW8i
z@`I|(?h^tVx1<W|*F&aD&#|I%Cf-~$2B8jv+-lrU8x;swHU1T#rl1yAu{E5JBpS7#
zvp)J-Uq-J<s<hLrIRSQLTYU~8pdF~>W{743O<G?*(}=QCdpemqq0pYwbJ3V32j*b8
zW4xGx26843&L{Yt%t3Y<Z~NiH4AKzFKlWnG(pKWGRv*dAu5b7kqTl~F)3e>Rn}d8&
zj+<^ZGdgvGRk_sUmK2r-Vi=58II5EkJ0E2+gpl0sSW9{3&o#`@)PLA`QSQv9g<mJv
z@<7S)6;h7zK(xS{ByQrBNwjJ)tFxpTgBsaVV}<@J(%bwUM>NqFsoQg-ah*KJwzD)c
zDK4rNT@mxrApQVzb#!e`&_*;0%~;`yQJT!$_X{>cz(q#$O?lxe=?_%G@@;lgwi$7|
z{#Bu6XdCfRG}AFqT>mP(29!^aW~pcQ3}6RZOu3Vamk#lyy;g}ctMbvo=(!${>3pN?
zyZTP8sR4VrW#9hx(l}AiFCmh%krI;e=9&+H)R2@6dppz08NdT?arkb?g?b>yG%f7Y
zU<(epqRJ5@V6mu7T5Z|x@yasD&n_ft;EH4}ew02>A;GDml0NtN*pgmoG*M(LAh<jt
z5=YMYV~TQWl3vs6m@M`31Ej7!%1!0xD7}Ucl;}arLWgsA1xEf1_j#K0n-s@BS^%o^
zssnic2u=w{^g*x*MzI~QC##54a*c&b3isCr&wby#n=B~*wpnl0t<ek^5;<K(Nz64Z
zHL%ITrMWzzf=)F-dN^BJ6X}}4q3jFi6dX?dUxZ4!h<-tNJkDx~JiC1b?4ZQKF}!Rf
z42unIqBRc6m*_Jht&2o7oswXpzzvPSXGJr|)aEH**3tw$Oh3w81pDVmkq^ipq75uv
z_NvA<1JtB2k@oS#6n8-b_1L`bxAc-+X8b^o0MNoxcz<SQE5!YV_u#`w$4_f<YCWBm
z=f)|UZEqH*Cp7~pg;7nyc(#=PWsIZ+$yl}yxmu5|B!Gc`x*UTZXG<EiBJx(F*a9-^
ziPkG`9YXc}++$Y5g%B9}+DrqxJ?7tMF<cQO=d<lCDF)%>Jjz->oMK$+a^RC^Sm@?M
zAoP6^_RAhHdWXc18JYWjxV$IA-Tm?!4pW7~2v7}65tQQ0UHpC$qUz>a80a;{;V}Sm
zT2VNInRk2J8S;$XLVaQri$y^}k~&9<rWgt9D<*x+peYj<XYyl}^SklZG6o0Z*|^Tm
zWHM8Iz0(mD4ocO8(-l^V5-MURY^DUY<W^~+8$>b!gpPPg^G(*&Wn<t!Z#!+C>0WEZ
z`O+ktjoT3_N&x0C-YILb?mmfoq4<mNjkLcF9==)ZzB3Y$<O;>sQwB8D7l>~C6?()m
z?wYbI^64T67*z}#-l#T0J^=fxlHDb})QElVG*(qjgVV*^IE}0HLiuAl#}MqdzuS>2
zbdfVeB}U^7TA3t4R{!PAz{sgX^$eP_Zn&RrswDEn@)#K<m$|DLVY7IdweNB|?n89g
z)Fm6tM-yWkr!m(F-$E>#>~mT09jbl8u7A!8;Gn5fmM13kHro#QMgZ@5uu2?*e*Vk)
zN|ItUXK*!9ByfJyzOcqh<ejBxlnp87X1x6=He?FJXye0zZIA-uwrvQK8b6yD(-W}D
zv$npgWVMSZ-2?O$?oeAHE10SJ6?$1r0YeS(8e7WnIem8_stIpg&X7Zbh*mx1cn#i5
zjwy&wHpE&V|7X^frlBpnvYrWi)i6&)1DATqG6qLI9^Je>84lS{D$-nA7|}uVCWfgh
zjgp-M>nDV*nTD|oXX$M6d*(wF2u}3K9G7NNsX3v};oKg7SP2N7{v|)y=Sb_RlzL7S
z#>{z(CH~5@9PHx<Vk5O%6ci+h?IrnPT?iMLhuJ8q0(Z3GoCyG<&y0pRMYD|ON<ECI
zG352DWU3wXuV>z@jI}gP@-JB){~oZcvn@pWRmt@i%|%0Tf@I;`u}eGtvs=JvEC#X&
z&Z+n#K+NpfDqMXDwxjApmq*)oKIFR}YUx>0V4XM5!><^LFkP_8J|AZ{>bz+z$a_Gy
zxc|gcf!T#T59Z!kzrySQUV}AOQv#{=`mslVg+@2G^*FHmIc4{)>wM+hRb$6m7o39W
zh6iJtQu)kwQUyI|5mYaqC1npdB(3A8q;zU#aScg-42dmi{t>f;J~wvy0VRQPXAM}T
zn2WCqO~9kb`H>RuenS(Hus}n7?)J>c-ZL>f@;~=y9CTwb%cZJ76U*42`dVCAe`UeA
zZ8@l#GV13Ce%Lj}90hLi6T=C?@3c3L(L>5vbfSSyf_IRhqU?_RfOoUzDv(kv-hk{1
zaa(0cPZK^+T_WuNj5`v-rZ!6$Xp#CFw?4fGVbKli!X$Ynn%XvQ7L0te&wM7Nj1s>k
zQsDewY*{PF#Hvh?wE$S`<DD4?qeArrJSZ}uXNrAUHU%%<Ig2I=eDf@<KQ14jHje$D
zP@p2aKp|YChm>8AS<Mzwc9B}#tnKOnl12tB<z2j>+Q&0|>TNm;O@`AlRJwvoISru|
zO@$pS?55aE`bES)qKgSG=DXdvo@aU)eBsl@i|-_m57j)6X$|g~T6zOjw_^;L>n&1Q
zwRh?>AMUYbj6@jSFO_Sr3%IiEFqmywQF4QGfBkBen(ZS=i$j3V+r0HQ6rLENP2VrO
z{?cVO)+cZ|H&&RMoYk_`9g}XhyX{(eZ)^l228!p`MiqGDPV?QIi|Bs7n2}aO3d|8X
zwmfTUD~woi>9^B-sEw?4*shaZrl8t$V-su7%(n%1`bFNOiv^C+j;Ee(q*(YS!lS#T
z6<yr12>zXvY03MqaMy#PKb{luFQi!cnSI9S&QoyT!4_7#7sNolIwy(aWfm$RvDk2(
z3`R}h@w%>#C24ECKLevY7e!waDmCl|`Q0Cn<{8byk15hV^~(i@oWz-L8hw+pG3Z0m
zy-CD2DI`&PJN*WQ@a<CsT)jJ{7OVr(Jc|<%MV3qr$4Q&*-hzPf<>zGr7zx6$aC`mS
z1bFOHGAA!^lI_>(_efL%h8u$x0VwX)JtgjZQ1}2bK+eAtajM*lP852}X`oJ6kD?j}
zNDM_Zo6(8O6Uy$7pKJbOvVHc=nC10OwP@f(bm6#8jAxrD2u5d_F|Q+~$f4$`)L`Cs
zuf_6$bF3spbgSK)@<kMyJPHNBN*P*FIj0I;*0jeJn%-Wqb{{OL_n3S3!a!>lzx(ws
z6Caysdb{@mIY6_4=5$6ilN>NAU<V~oF9#?yoVz5K$$@|#y(8dMJx|_SaONSs6(?}M
zo}V!NDJE#hjk_qAICjDM8{gIoe&}jQ5G*{gG>1n6rl=m?8;_c}F_yt1Y)clQg}sPb
zt|fOg<wwtU3|hN0HGx}A#wMQUc&L0Ya`l=c4Qg#MjA--g33N2hhpp{ooz?D?G(KME
zRLXkf#Z2RGVBw`KqNg<eE8{o0X@<|Orj%P#!aLBm#W|yhO6XTfg3Mn$>qe1WMu3dE
zIv{pvBe+cE6TLyI_;<<v1pWX6CDhELYGX#*?Gs@dmZ&;mxs};v+I7bbyqW?r1;h*{
zd(VT5qF8duO15vZ?7^6TA4U#4k21pnDJ}{-z6X+25tjLNwLxsqb0+&G3=*4l2GTGX
z3S|?9ph|uK&SF(jV%+xL`B$X!&7g639CbBxO-BP%Tm60rlJ1b2Q)pf*`MLt;0(`>v
zl5&t_;|rjVo#b%~lSmqC0vF!V7bs~xP$3<%IPch1tI<G6elyJwCdbLOG3`MwWyn*&
zcrhlGcP{qiQsnGE9(012f5<YP@wlfxOO0Ju0r&N1dprBOKR_35mC$_9jB-+|ngU|_
zz(M&C3MD!rw6m{^=%o&8Aw^A^dsrK{1B_+`9)te90zQ;s_g>`-=f#i6-E-qce!uQs
z?Sgf0^zG%3hkfXIjH`y2Tk3m&D?ACtFe2eF-UO#Zl%!AGrhD&jF-v0;o(dWV+6Kn~
znPqt{2Kk2yq`f4!CpTW^kCD#RpOf&fnuDU%ULH~ERJJV_m7BrDD#*%&BkGjfOVtn9
z-xFCeP1H@xufz8l*VT?|bJ#ZD7!yL)iO8S2GOu|wV~O)jW&xlOBtajel!|D(T%&hj
zHCinfsfQ+d1IcwhKh78vj(lSocYdPp{w170_D8v=*{-}{9VHGLCg^$uMV2ZyFks3@
z;KB5iFD-})-a?%33;G*3mp=`v`&2hw29hTytCl}|rBO{>u`P1XO_A~e#eyWrJ({L2
zeW+aLwS3Ui=M8Chv!)*r5OcEhJqw_;5GKj!X5I6%Xb~&z%CW}7irA#mm;_RgSLJ@t
zSTQy%3Q<=E&Z{;wrw|l_gY8t5d-49`cWG1BqeA$!1FHjtRJk9t1+FaiwRn3t;w^4@
zxRW8jKC0zc6Yim0ajcP}6nApB5|`)BCC*f1nQ>)UvMpYT;PeAmkO4GAQx}YBs$!Y8
zL@oy%3G<I)WCSBHp0B*4j2H}v99&C!KjlC=a-dsw9Hd!33I#Z%_B@m<{;yAOIjdIj
znMRsRV>fiVLg_g&x}g0HL3k)(lms5X2*sx@kD9Wo<A5++|Hguf4m(b^zOSRRLceX1
zzLX6;M(iR0$FMF}z#QhOY_g;o^8EXKCISWWfLN$eXo95!3%j<6ZJKWcdQYuu=XY%u
z6N!?@V0Lp=^IJmt>{N6B(f7Md#eVWZz9w*(ZL}7=%BjLS1Hqx4<-Ohy7O)X$vRDVC
zjcx$+8f|=&*9wQHiSW}{@Wvkk^@brb6l@1C>z+f)I{0T+{dtP#bC{TLtY2^IQ-euk
zpqJ5z?q>kL`A3^<ipO<@Olo8oAcpcsGk4{HA9P{81V9{cC#ObM5v3YVSRKDSpVZB&
z3H5xaxoX6jV}G$SU*S$S=NBln{bj<A8o$hw8aRV@NMirk;f0eutVKjdiummrs=(b(
zXxw*r0c>BaN*~=uB2RT@E`~DTZ@5{e%7f#?TWC4Uof2notu-R$ToU<mZt1*(P^Cq`
z%h@WC(=7@M9=><>Uo<LaCveU}OcHj5h^R}};%mqH2fq5mdgBcrxOUMM4d67DK8U`+
zQIgb0&SuDJ)x(3erpcR-usHWVFERIJt@(+^EhjeF?HE(ldf>j(LDQ8%tos@`W}^KW
zupBxC)aC{-v;=U%y(~cq-WfJ1UxWp)TJH)zcyu1Rk~5iI`ZbfQWhS^mr;&5OL%Dg`
z9Q=}^QFBI3xa(&p#Q-Q2R={%}lYuSkXr&FWC&^ls1Oix#pp!l^ZSe+)PfKHlN~;Az
z4pPF_8E{sb0OH+pBl%U8xdx6u9@gzjNZyTOD2v7pD#tYfakAafKNHZKcRIq{xH|3(
zg3Je?CX&`guD<*ABYdy^7$r5%m=|xF+Q&QUdkLQvh0Cp|vPG&ro5(~|`=8`smFcK{
zzBOq6snlOYt7S;k937_O>N>*2y$$e;He_B8k{}~01kRTBSu$j01CU69yu<(F6@Lkt
z?c7`sB!TiMoVp+k=RtJO7R^o4@fSSzX0wBLlPPc33fn9hf7!(_19Jm7+)N{34LyHW
zY`7P<9@7<tjZBDPrn5pk8VB2&2uzeal6AvU*MA0?wsaqV1@(;}QZcEgYt!<o<0^DQ
zP>R1}lim~EE_z@EK#>>jkT&6s{O0?U-3-`1s~+&6u7b(s67J)w_|A?B)f@KqV02jR
zA670>#UCT(3HM;PC~_hFzekASQ@=xobt}9E<cF8YJb0NA=B}7?l|FT>0cq!LEUC_0
zilhm`S21zgA{~a73!UR#uHw5il#$&F+~w9l7eH1)-I%6Txb6AKQUQYZs*|=s7E66f
zw&mQy2xli~Q%o>Vl)9kTD~&3rn~QF8&NV9d>+N=A9Gz2-JT+CgR#JD=MpU!I%|IR(
zsSCJ#5X^8K;+c|`NT|)D>2;7kAGzeGMe8M73^Vo6k;G&?{+ueMcZ%>Tn8IP2o1ZrI
zrjK9r1}vz&1c(ySy(C%U<$18p+>1E@1~qgqaYHruUUF$wgkelUrkgS{9{<4e1clP=
zDmp|8J@Qk$f=4guwx?!e%R=;2&v{2T2x0}@+NJ>(S6a+61SRK_?`GRKV3_<_&U-WU
zPGxUQ9LN^|9m<Rf^Yj7zVt|eAY5f7Ff?ahoZdQb#aaBdeuf9i6Qubd{<2_69AKuj{
zg^+mp;CyHrFPBE>JS9<8Q12YvFf9(=AevI&#_y)?pa&K&Q^KM>d5(?hDumM<Kg?3V
z0_wS(dJ6C!yAib^(V|GzqSX656SS;=_;$B)qq;PkM>wvB&YMi6p*tAKXoo=;NuzH?
zv0zh5y(lp;rsH%yrX4cobbhh!(1N^KbWzG$f|xDev&TqlzZWM*d|9!RAU5Qw2Tz@X
zgA;$6t)R?FVD<I>$wZuIK^OhRJX{S2;Jf;S%QV;SFa-e~PvDrec`oSQJL|uY#^`CJ
zf;L4h+BL)$!dl{`!2i|jyTaP@WV#k@qwv~y_ETZO?+i_Ls=H!jJz^|7Gs#KA@HmM~
znq#9}WYs>>zS4Hwm8IVlHNdw4GW};kc74f4X8r&`({75KAbVQ$>0=&JaXmo4hJ-o!
z1so!;F)#va4OdjK`w|IUe)VQ^y{oG%(!{N5^3rT%v~2KfOON+54N=>L*JKAB^in&p
zup(PIDa31=FdBLq>mp?49V-Do&*vvq_j~o*V$ZwS70OO{QBt6DE$wCpX}kD2F|6&P
zsc?+eeoiH<%~9<?hyY`?P<I4JkgcWXjH)wrZJ=87>c?H?0v<gjaSbf0lu9zhbes>v
zgbEzgB&<cIfcx`gGU}PIMlU&>v<?efeAJWCah#=vx@+6PlBg~U2uHt3Z8D!Vu_@*<
z4o!i9a+_&#{^2=mjj&pvn|IY$uUbsX$yhs6RRKKA{Pk$_DA)Vgl*0#i(Ov)ij@OPq
z@~pMY%U=>3dj~wG{HZekC;0~HDDXCGV(M|Zaf)M!VcD|H(9TIK!;cpzM#|@$a>Bfx
z^s_eDlXI-TrjHaPwuW&(20L4&gFLu$S|OwtltR)gDoZNWEcPURD#;lMgq^zuS7ycY
zDTcw3iMjXb0wEN!{n^uj2lxGGQY%sE$B{jGzz&>-fM11P>h=3h&E=p**=Vhv&_Mv4
z3wq_JacZ?TUVC`fBi3qdZW;7>b2EiLxa-)-1y(y4>^#C?<_x#FwvH{-Ukbt#a8HLO
zrz{qAb+dq$%l(H;s+tDpBACmxev)r8YZ3d5{7mN=Rr=>P!d$sS4ZjGY4<E$5D9s%9
z_<fIPPW9VW#!$fCS@917JKS_f{O*bCY?%j^on}52hn-HF6yr2HWg(9{2FF20hTY?!
zFZ(?BswKJiP&vXxx87<RhZ^{a>+4uTkg;HXS>!TYB@D_)-7W>?F(d01u6Kw_AeI~+
z4IWlhHIfZ(^Yc!`Y!+s38<u%k^+%7$#R2ahD~`hyKjiBC>2r)?qu@qXg!{#xI_cqF
zGI-Xx4@skb1;LQq{7du1q#ZGOXV;iRM<|yOp@x?&tiB`E%s9s+Ovc<jkneHfSxvZD
z!mAv0!bprGtuL^MPFc@PHSZHUKe!jOMjjtXK96!rMoZrO(E75Yd#t5v1a{>0C>nsN
z5sa(7x~peov3PS1to=3Ti#2u{*>^{dI^)@uRwU=B10BVk=G<TJtk#6r5D8xoYjaRx
z&o+U``ZyJEeQ%eWabg&nTLq%@T!U<=Mtro=kd4`@upt_3Ls1oFfdmxO?|I}Tx}`TR
zfq+97;44s%!3D8ASWbWNfqX>*kv!Khz?sSuG*zkw{im&$(yc118nJ!(H#9AbuMY@z
zirK{wCI@6NzEbcbuW5I^mjSI!lswq4%ZinRG@PAaENtl`w5-<O*o@PJO6WV$c)`<U
zzA%mwm2buo65}ut<b+aGW6}X{7HQ3>+BoasBaM3SaKMb>2Sc$QJU~vm+$#ce&4Q+0
z3-eNx@86~C>8Wu^Wyl<)l`e1diuO-ou)TijK%oAWuIF<)eDS|~7q`JIT+Smm(8a1x
z8A#`5w_Ths0N@#G%eODz+f!?hA-A<eQLG5ZDeSTi(zlH~APkXt;|;}`hpQjt#O!~o
z3yx*uIT2E*$VqTvCxUJ;CdeT|St2;U7V&Ia^+N9ZzcV;iP2VE&wEi=j;#4JQ9{{*(
zrdpGiG}cz;*F6F7sYl6S2gbcF)THbgQ5Vn8-I#FF_HnH~hJF1&*rEU#7jXtypRzX6
zPmnh!tE?B&>&KD%?Vtxv{y-fa^h!5vg0JH}$aOpA?CJHXVNX%Kc9WE2BlbcNa+I}5
zF{wPj7P0V@?)}n5ohCA;-?DSIzx>!<L}dR)V5YxELNH8ZhSFmi%w?+X`%nnwK@rC!
zGP4@#6y$tKb^9M7NM>+A%QxwZ9^vibsb9J8J@cB&cIlP;3bf2NX^3d#aAOQ6>Z68Q
zp!3O$=v{>NH=(7nSy51xVI@G6$}n7ZOzl<L_o&|fvm1Nj79Q_S*#((LU4OKKqd{Y5
zM!!-__Zr0zao){7&R2caA~JLTtCLcK17Yr$cqFU|=5e?_7#tDdWRns;_<wW_n&sn%
z$Zfvyrr!1Rq#!eo-DwSZz}~vqT(E?5R)&onIGzW5LxoOVX4`oYosd=f&&Or{2ksPr
zbZ)|q`6zKR@{%x@{p7k^e$0ySjqIyvJEhVaSG{xJ3V2NR4-hiWAX9yp$j1Aj74j5(
z`lhzT+Rna~9627dSy4y}(H-}g{Kj8zy&54t9*1^=)n^|EvK@5AJ}9bNL^Wzo;=@P6
zRMJs*QpkNxQgxRRkI1023aK$;F}h<0$VaQw;WPfGNcD#n$Vj5R+$;ok{fk4qUJ&w(
zEA$gEMq8>tTP6|?;dg;bXiIF&@3MUQ$97RfS!Tu{?*+tybqw%+a(SEP4o^FHs*o@y
z^i+N3BJiB4MrOcU^F*aa*+B}XmDM|LPk$+3m*eaYHoW121QimErS(EATG)&e$b`d`
zc`IIu$Ob010NRoocn64CG{qkVv2ZKMmr^x+lg9Z-NaW{V2Xo4V$*S)VZH)(jJ=s_b
z$7-eHbItL}54EkgacaPnGUbhE+K*jyFx20w;<m|!wngz=jXWb+I~(z7cNU0u6lY)1
zfGVSg;@n$^^uDs?l=EU$w3>_S+NptBS6l3M8wGqkT1XoTS9`^QRZ{Vel}I7s@%@1=
z_Mzwis$UkzH(TtIyKVZgT$#VyQ6>^Q7WIK@BEd?pq!w1gm%wU~GM9RXwB{QnALq08
zfX<$kBgF<a4!TFA!VvtTOuo5WC*$(^$YL=eVd7H6K2UUZc9cV4%Gj5@sE0Lw0Rw9a
z`ebDO9{n`($V2m4X4j-T6DfqOhTx~#4agfjvS5bWm2#?))x;>Pmz!7j55Giw`3<2_
z;hZ4rn&T6gN)m^1tthaj3LP3PCEUGWO=Lu>?=M7(oMpnA)uAXF%RNK15_{#?KC%Bg
zL$OtM(Wtx@e`6XYrcOfj(e3PiZ$3IsoPQw`ce;-ipP3kN1!=W-Tl*lmHvs3CN>;*6
zNnoP$`|FeR_RV3uSJ%FvVmXlm^{^;P#uqkC3+6sH7!v!<HHZhq=<N+lYLr5T(1r<k
zhlFD)?@wM6w`11?te+3Fs)T&cZlWaJ*~GY4#*PR;JTdXlz4GsujpJMHfC6hE_7?0D
zd;hbwl@Z)Ck(V={@aJ9J0fZ$d-O^~<QBu3PYs5w#QtJ^U(*h~Bum=+%xN^Wp81a)r
z=%W5Ugf5z<41ZRW(=YYavclKI0Tqkr=;8&>Q7Y58#Vx*=PmT*Y!2AJ&=nsrYH|&70
zG4K^)7=dZc1#5JVE){6RN~~g7o!g>e&eyc6>_V$N5TOl=4}+SCAEB^+0G@qbi98im
zn%!k0*9ObVR<xG-=Czp2F%=JeUN2?YO+qIFVP-$f;${xZO!62+QF4!an{yuWp&|Hv
zCPU5<>iJ~J{E_@4<A=d`A;D<fkvI{wbd?@PLuo5i=%NR3+1ZO6P*@V1sjtE?VbHA;
zswnshwq_uLo;|cB0y6N(3`*svb+8`;zNU`$ReeyD7TSQI{o1`}Bved~%#DxLneLkF
zM7Q;RK-B=^oC*~F?aouw)jWTm*@qu~e(^?ofpGTu#`rshQQ!Af@4amzN_E*zRkWbN
zpflKi?tJ}Q<)hITDZP8MtDvXB@MGgKE*prnz%O%sOLM9%_50>-J07g7W;H(g9Agjr
z&^lrH{*VN{V+0f@(*$O9ja`=PI^D`AuX!rZz~py8ej>@h>D#xxcZ<cugn}gnH&(5!
zW##x?FLKg%lRoH*S`!{F3e!Y^6F1+jUV}I%7Q!^AB;)LSJ{y3jYIUuoRprX5Tv~xV
zSZT}w#D7hob%)m758?Y!<(vw04vE{`V=zrOkZO9HlwF2AVGImqKc8#aWoTz-{c-w_
zPIeGo3TPg=vD<x3#v1B*Hu5;5E93Dz(qUu9!_4oHnIa+_8I|7u!sX7i^Gf)TOprZI
z_|Z8w&*+(%@aN*1?-P!SB@()|vojaG+jq1lF181C_8&)cnYytm`qx&7gQ3*<{)mhr
z^&9WY=3c+5qeRME{)ddaA7aWQWf3L&#Q5Ypr>QZ2GWTivA-{Hv|ID)+BWKSYxzMP*
zZ%s|@aFZs$GEvkGcsg8uvUxEj3^!~(%75}7g|kP8oRVj8a5TpxCnz+buaeagQunj<
z#0gQUc(&^dD)#5tBviQj)c+A=UVurOCBu)&%I*;vHK2^C!f@vTSu<fD8|T6SfQ6<(
zpnU%}n{T37J;OlOseIsKas6sQ3DFSe%#1t+=(>Ov4#_;4ONHrIjOtv&hcWbMrzRsK
zmM<H2$xi9z)kifOpf`NJ>==4MVR!^jAI&nUeqSMCSdb7WwqRDR`7YR8lm5(k*rI;6
z1ZIqi_gq}30cqtBn_G`HdG*l+!(WnoMxBw&CrFj71B19wi4?UFemymx+&-t13_{j}
z<Dht6!@}~uszSzpW#|Y^!fJqR&RM1P3MC+hgqwzh_z3zBj?xy(&KOqfQ5>=_>OsY?
zc@YNL=VK;vIH2h6Ii(!;`Xj-4=`8q`ZyA`R<pT$#O8Or~OA!?Znrsq^FWp*-!k=yR
zVKljEG@IkW`rgUn!LjI%CoYMx^7AGA%MnE7ScAaNd;Um3h_QYRUZ~%QLKar`E%Ozx
z?8tSC8KaFaINuUUN=0Mld`%bnnwtXqA=q!9B#WUk6<tsBSGB-w=_QroJM6w?UQ(l*
z)2?W;rA^vDWPr*^FejF{q!A-I?mv}Qh{(BzJYxD9Q`;@5#W=~Pb9p)krATxRdjT#7
z3ooFiYO^5E2!_S=dz8%o;D;|EKQhdIhvahI@mhPX;hp`g_x+=Ydw;nx78}n0<ts&l
zN3Iqn))}4aM(PT@(L$+Ufe!?~vcTUypI}jZ>{4*P3ql?mei90X4CR-)*4QhdgbYj0
z69~xg&_CCn@(sAE1E!45IRP=GfV>YI*w=^6dyoXg{mMO>aT<bv%g1Ut$nvKOG?|Rq
zd>qJ#2yw7l{}J5`9pBcV&EhdSB6DJj`BW+*sSP}5I*6r;RSu@SH(86^QzKWtQaXf`
zfsUK6iUl!|ss3xt$g}NCPBC)vtj000J`d|+{q&~O0sNQP!l28!z!H}tLK$ULk|Xvc
zyo?Ab@^Wv#SOyOHEp!{D<#)i2m&hULJzn7=iIp8?JrKboj`{!YIkIGWm=yC%>UJ0D
zJzgPc6_-@6LY^V6qUPznmNOHqpJD&Pv?LQPP5c)CiPPJ`K&D*6b183m)(qTaOIN7B
z!th3hh6Xxjk%6xhZtx9XRbDr+y9GHP4o1hsZ@YjWHtkA2{pBTSrPMPPh--0x=vg)l
zq@H%L*cQ>0#vu_@OiS8#yV84BB7hYiLb$~B9>?umBWGl1Q?{+NyKFw5pDt|R_4;Y%
zVoZ>l_-ih396BPaF?lvWnL#%H)wucGmHP5mQ}`ZNZ$~niOsNN>uu>YzhUC^;X%$SS
zPmGn0&c3(vb3&NwGt?<c`@pz%+ukkf(^q-Sx6Bl{wKK5)G6y8k<HgiozH4M4Q!`x)
z56FwE?P^neNOIQ6!}QO7|09rb)^sczE-Q6{3cnJ(7x=_?J+cCDm(EZ#qK}IQ*_T8O
z9@1&?U0=3M8VguvjJLuX+3cS-#n!LSn(BfQ+1x7X#;7b$#vbI3+Xy&WJJfJ#P)yAD
z#ed|Mc_@OL%33vDZaVm&j@npOZ2W2T+8p|p<xPSg6RBa1j*sqxHVWdZmM&Zxibih_
zgDPIr;K$Tt)Ff%5brm|PsHn5Ouke|4PG5b|K=ZoXtvfM;!1lzUoqW1K*I-~nshp(1
zb!z7s4^YU)s_=wfO|XM^dWF{j&KIH9J{<b8XOG3?+-|YQk;FE!KZ2PEJe`h{<E}|l
zJ|h|D+YX>Me06RQ+Td{x^$0R_K|vAwBb-11U`-r|%X$B!d_KZ^$(hqm8k9|Rxyv>O
z?vL!tD-#x7%4(MQ+O~0WVo;gPG;)0L*Skr8<22!bR((x~I2sB9fvGb6==5_{$odEu
zEXLjZBMK@ljL})L_`+svDN<+)j44G`TvZu6Oyc*hmTfH^FoPB<6Jp9qsE+S`IkIwP
z*md$lx!Zd%&APEgV)9@a0hO{p=;TEG&CEYoHWZnQqW@ElTHVyOLKOj1y@z?ui5ujV
zaYY`FIvJk0hk;O4JnpF~u~f29zw#az97w}C<ONatil1-&I`;=l$ZFV!-u|ZSABMIe
zG=3UES;=zw@uKm6k|uz~94$#Ys>ByU2MjdK&xe#z3%c^BGPtMfd9hlOO+J%WY0yAr
zYP4y%&&5*L(%hCOV)p^Hl|s7b>V>vsUx^H~GANBl+bqO4>aS^`Ln*h#B6`FeC7<;y
zpUwKP&gf{j@?fSEr;atyPvF|=+WN$#&;JVDHcg^kd*PPeoW>fBL{NX8L8Pe({H1p|
zDl^Y;xN~oW#?t0ba65$bFsf(Zphq0py`A9Bj5nqF^7r7uJ)~mq?p2h>*>@xz2x-+z
zW77SU^U>JnYn$IC<41C5nXGLF%O2s_F07($ZKhgUfi3-QFQn>{84DW?t7GMSOLn1G
z%*D32V1(bO6Gl?=B6Gv%pjV7-cR6I02W?M@&O_e-ibM>`flq#ITI<Pv@zGP)pku0(
zSx(isiqdB_vq5!%@|(K52YoU$a1-onwkm7LWzb}Es>IZ$mztA#2!91*V;t<uw^!bp
z<Kpb7x}SB9on3`_Be##;YT#PjiAhG@6aHIfxvsPlt2h;sSl~H)Ihkn-dE3_Z*G7uh
z6N>>Lz6P?!cJKq3di>rDF#h&rpV~c=*@yVv_y$(PFV;}p2e#sHsC&6kVhXUR%Vb=G
zECn=$FUzZn$f)}F4qQgwPZfJL*^qco`>4Nq!r33N;ta$uo@=}gzR<QaPv@H?rA;_S
zbn3)4q*KhyCXdEWjalvWO5>jz$!XLL5QC~DI@}u)&oJt5AyV)g6fRj2f47!})sYI(
zr3QPh+g)M9qu1XAW?WFZ3c*YWBZj=K#u%xM-cqZkS$ehH6x`V>Efuz%xe?%#?2d7U
z&Vo-c(pJA5az@mtpa3ZipTV@N;pB=kwqHvc+=n8%L#hE4{-53~A>pePKt?cY`5ffu
zvrHqX0hds^&kZ`FK$?xUYuc>-h+(ZVkema-YH3vM`~rfU6NedHlj#hd!VWk6UU1X5
z7U~hfI!%dv76KYE?92z$am`ZlF@K?I)dZDt>$dCuZkJVMHO;Rt<d&O71`@ka>V*U-
zp?*2#KXd6<TDZl&LBWm~SUM16A=7EVIdo8X47g-6^K!|Y!x-^G`oz<S932i?O>%mN
zwfAPclU<EG91>4y<DAAq2lQT*`25rDqN&KzpwsU}=}}4M<{33B3sP2Ojrcu0jSUYT
z>rM#ZCJ2I*m^^ETV8~*oVG+y=?2Z0kPPT1-bD;mnOh}u3)8hF}TXC-(AkfJO&705&
zwWy`*4r?ZB1FF)32#<-EBXWf^Z>74z9Y!8#$u^M7%>qb&p+IWtJ8W4#S@Z3}5h|w*
zjAQtqeP!SE^Mxxa$lyP~VcMd~o0+wAOv6G~E{4u@I^J{?GD0H+A~+x20T7=xpg-Ys
zn~c1kmDOM<p>~sOF*?ea!^S|I(N_RRRf>2kWRGYPaC&LNjyL+M2aWVxLE4$W@8&Dp
zaR-jcJ~~!xGdqR#@e^QO!ULqq|Bg?k4!cN;XGy)00Q=!SV0A5!J$M5AYZ$#)h9yFE
z$@Y+)jlasfQ}W0qcqI^*`B#p*7FnGS$^g<OU$dBxm+zYqul6zj*bh9Da3)@v1G7;3
zr2^NZ&VRczAC%c{+)G1uBoIX`GqKd2Hf{jJA1~#{%@DP7z$Q&BE06;bP4VOk-kBOX
z5cge;1>o-z#*y~U_Rx*(&ZFJ?ctruAS?_#)862MXCJZMimA_eTkWt;d>c!1#Nw3vl
zGjhww0fZ2-6NIIrI^*(Bt9NSw>>*eb@XoqT1(oevq*_<+1euE3(>S*h&3G~*)L~=f
z1vl^R{v|n;IKOAhQoJctivjiG^Im<UMRa>~lLs3TU=zjQGCuP8YYzOtMROTUMuW}&
zN<sCp34Z}%OArTup(aB4$L`@mq1Dw5giTAl>AViNZtzM^KwdQ>A})$N3c7WKjh$+o
z#9R9>>BpTWNp$OwJi(3wKWr-@R9pRwr~_3z15pT@!96}&OR{Ke4L>C88j^u4;j)8C
znXgX!v4kON(I77dnn6<1Jx>Bq_p!TFm_TAXo2_z4=rWq--eH}^hxYvgU(&m-CS2+1
zJ4izvnmFw+nOZN-hm$>O-Nj?p$!)AT23U%e2G>N+V5e3*$ncy<4EZKBCmutl4@#P3
zCuoPwos1?S;49J3RN#4au?_3;ESTy)gAOZK-2xi<HJLU7CBo{?6x??RW~v#o^ASoh
zoq(fIEg6ux6f1s6$=%3ngQo1WwgI57F(uC9fwyW)$<us=2y{8~a;Au}V4p*wCDDFP
zC=FR_|FGdRrBrzV(clYUv^*VUNjdRka78^cjqFcH!<)adb{_@2@kVpX;j=iqj%v<3
zEyZyO>y>^q43A>}l&l}{;gCvyR{gN{?gQ&dLe9+{yfzeDmBjkaHiYJnvLF{aB^2rF
zb=FF80_`(+R~I8-kd#XE&~LFg_&jfkA%8lDZH-TA^h(m%<irf$737c1!UC;%UDN$_
z21A?R&elD<88VSPJ~C6Go5sgPbbPn|GtJE-?8|N#)V5-w7!`&uxR%ampcqjBAYT(Y
zy6A@Q6KObFE}kh0$6I!#90!A9Vmc#vqSdQXLpCk@H?eN-dF{1`oT=x5Ce~1@y`b>I
z02g@CJ$dA$U|B+E)K+OzJ~TUxyJpI`+x}keB5zF--vY1+9nrUx3Gm}}N#9YUTTNrr
zFjO!Y{w@y#Ru~j<#u8*?pl@9OZ~E^-`)`<wVyH*+$@+*?pckf+^cH_mO^fbvr634i
zGHUYl=W9BI5k%@cku@{fL`v&AYOjb%4iTBK|6P?X)qoq33<XK2Fno9;%$)l5`m+H9
zlhvmsp80N^{q7LM;8aKC-;V=Z<V^X<yeBP;SqE?`7Coqb_LN3cY2>16$TwZLh3l$a
z+%62#4eASneT?H7xBl+z&HC_7NHiXlKQs<y45OctSV?Yqvo>S?^dzRbAS12=wF;e4
zBx`ZE>ly;s6LF{VR<SL@SM%su-_$u+fV4#rwa$Z{D&iW!4_Mu-Fd_HvX}cX7d**12
z2bXb3oLculZK)Q7LU+PTo=Jb~U-J}R87vqQC&`;5$Gh|2vjj~@D1fl&iI9NG_aEft
zlqS2A1q<~Ph}ioD##u3I5<~&ujiHYkl|zUS4aObk`uG_#Dr@dVLH>|U6g!rbNR6!s
zJ8c<@nLgWRp^lLzoEoynFt9{|OnBTJ=CHAbf%F}D*1u-Y+juC04T>u<&b>0>Jh0Bs
z->}uexZF?dbPN<^qX7<8)TF<)Ns%#GG&AovA6&4g^xg=d##C6=;gNTEZ7y~`(;HYt
zUfjzOMYf}T2kL6RLdlWeyD|iOdv3o}(j8_9I&E<PB3!_%$q%QXG6<*e-@d>X*AJf}
zrI+DAayN_?a=fz5cswcpI+Wi$t}{mBtX{cOkK(!Wgdq0t6v!EhSzZx8|0Zwz4Bv<i
z6a1Jf1O329*<}*l34uY!eZ6MPBduk#IKj(g0P*5$0eOdG)9&v%)qB)K6BY1j{nbve
z9&vlxK=@=w_!ua|72R91&<vJx<U4>4=rF&Ly@Ph(ZhkLPNYd_(DTTNuN+C7=X#S|)
z4vp5c|E?@HEh22b=%y9Db<_r)Nqz?a`JFs~?k<hD&acYq2`gCPM0@+gwX24F^LdHk
zA$2gF(L&4j+)+VePL0K8jT{><M83J@#+sCn!X2tC0DCLop>l1Xx9YQ0S*4_T8Pw&r
zE#AtVb$c6>b1_E~+j|$zQ6`|G>Q(yjvCubm1>PkRtQ#X5ZkAjTN`Ur&fCcL+S2cq`
z*Yc`KM>OP)Iik*Os|?km@AsavRpgzWUAS}tY@{5ei_zb#X9tUe29RxvYOT`XXr9L$
z(X&aA@)Y`GQ3%`A2OTE;O2M01wE36IiTGM^`XS;vs%N(G(iPM>l+v4D&eBxG@qO1^
z64|9FMe?+tzM=HId!*n3N2HzOvTUQdm5DxXWX&5;5^J&}yr~U{4<phqQ)H0hX}m4G
zD$GwjR$(ynS>D$~I;HFQsPb+_*Eb^iNsZnmt~JQ8b%K+(mj2W%(}wutzZ&mWFJA|#
z!EtAz;RlG?hv%-71h5_X4tam%)NT~S`VtfA8Jxw`ZlKCOIKmSSxwC3hag4a;KS1lG
zh>%X5Csav{CdcaHO<7CFuc<6%jluaC`1o+eNek`{zRd*H-M~Rm7f4o-F-q<0`B2EO
zKQqoG!WUvY(D#v8geNn{vj|yb7pLgUVzyGUj38;qnAmI8WkBdwVrv2rVl1ffA~}Sj
z4jIw4Sm^JrhS~ahA$XhNKJSDnhed-5UNQV<!tVLF-CP(<MHpx%bOr9`$&RKk!ppe^
zijbYE2C7qtV1WV$njiuFG}vE|a@A&zQNX>&Onm~}hWb)ph4$)_w6uJa(fdZZ(@Fw}
zQ#OB8P6#Mp=yY4ovf^3Z!Ms&ec`>gY!PZA!srHn=!!<Cds@oDE51VP1C~Rq7s`*8W
zI{jVNT^a<$=8|hX;%Dj(0K|9$L@2M#rN0eGt7)fw_`_}bcs>U&N`0Z0KSxKs6nn#9
zR=qNKt<0!mp;{j9e*((evzwPXOUEU(yj`BG>+H^--4|#px<;p5T)krs<3h^<RTGmN
z$gf1=`=p$=IZATikSh6B(6T`p3G92dwL__pC`Z#&R9LH^YVQiqAJom_ig<8#;cqtu
zpV#yT(_Sp+)0Jm-Y+7~)d)?GL0nJCyVw_pD($55Dcqy)BAP3(bA2W2I&W%xpD_7Vc
zUY@OAQ8>L6hqJJbjUHn-d7%61u!b8v)broRoAkL#y`IRA#>ijj8C*uz^gNdx3e6{T
zZAuhd%%Z^%(<KAxunT<^shEOoSKNmf<&!jdK9O09m03|P^wg%nATFOK<nQoad8i{V
zfol4f+9e9<a^x_vy4N%4(qDo!*BWt*@Dk&yFj=r&7Zw8dgP{Vs1b=uCzd=JQj}=hQ
zEzY9$P>%)gmT^LYmj5~yO8<WN*s0{f7@d@)Nta}IXLpg2c*fl8l<OUUQ9pHQXp0E8
zlot$^YALD(mqAU!g*H^z6XG<SdYo9XgB~fq+n32cH|0BYD8!STTtd4qr&a$~6rIW2
zxWoqR4uKA3e|ft6!K&AsAVFEusbOEWrG)Eek(|QS^#avWH#@Ri;9CcYn5mH5Wvov6
zUlaMF>z<f+)JC7EV;g>v6J_wf8KPFH!(*Gza|FUt^b)yV54!@mUezJRh>yEigewJd
zhZvE6t0i}<)>9lcR0bfs2CbyDnEon74om4~=S1vVQ`44UZa9loDQklhvF8IgluxTL
zXB67~OX#!|Bkr9OKU=ESuu;j|ts0uDCth6%sxv*5eAx7?2$f_>UkMe0L_B96%In$J
z`(ci%|HW9+7uD`N!KCzwL|Xd+dtH#_|BH~v7p)~oy$@{aDT+~JU&tq>IL68n$}u8c
zs*lW%*Ig@Vr$&&Np+0Arw8=EbS2`v7!%#d?ES{ad-EuZZ<mJ0OJC@VKN5ErtZcOoc
zXNONgo0Kc1><eY}r-vaAOJ&s~=TH9IVd-&BY`Y^_5!E>tb&sRYhg?J29B<#{{Ptdj
z+B^rH62qGD2}EC5SRq&lO^lR$-!_|c7T?^iTj9g;uUcGJe1vKQENnh(OT6E&4ODNg
z{=t-2wvdcTx1D*T%NWfv>ms+Zp2!~ECA@HpXoMjS<e<~ZaXQ^g&653OE7gF9EA}fa
zliwk}mZ<^n|8;2uwpIbz*vjg-Qgu6-eO-RlWL2O6-F7^!{!4Y&Y$(O+0y=}AZk=t^
z>V3a$pOgl*9%!kNa8|!}O}S6iEuxQ}nueLy=$*<1$6S^aqgot+L({u{!ig2RjLJgC
zJ8W)~tI}+UC5%Hc`36L!s+yVA7D@l$2%9@5c%FAj-G!^%b+fC~p|-{g$8)1rB<%>a
z)d&p~@MQ}4Q!kkB*2<Xpp0Tj=m;PrTST9WIWVl&_MiWQP{bguRfS0{NWZEp2<F;Cz
zLN#xBVmzIul|0xX`vCyt${y0=FGSNbqwz=N%rDv>8$5)Uxi;3<u%c`bEAe0-F%wtz
z@>YO%N*mUtZ?|T4A4Pq*YMEpnz`Fc_{yVnT<hyjD=lW%_T9QJX=WBr4DhMWV<*XNE
z&KE2^{~n8hDuz=~o^^-8tge}mdp%kkcQIX~)(C7g3zl?FSa91uel${0chg?KT22AR
z3kgLydDG$R#BryG0uv#X4~G!6je=~|PR|>Y^rcz0M^wid5yn)0>%*{U5&<%;FpHBa
z9I9JQhh(c1apv9q-rM2#O6?(ro`#goRf_)YPu|d7mIh_~jW5;Ss9D0Z5%6R`9T*-G
z*W309=>wxF1u>RBt%(Vj8&R4~za8<vxXAY{7B1n3G7G<y3qz?wv15-vaOO_!tw30B
zT^BZQ+4Pj@4GpYye?iNA3Ccure8InUIh*kjUx_g$Wi1M2!{xM%7Qu_4MHw%C@itBG
z=!j*#ib4sxfyGqvE`$FoSZP;Nowk8b_XJ>iTm`$*EP*VxZhyz`w;BLaPyG*lOab8b
z65n_bEsR1nUuLicU$ZA3$upOJ5}`@sFDr48v$_$fALb_R_6d{xBDd9R5+VFiC&}1t
zUdoA*S>e)ZwsC_IMvm>5P*6i~I}o3bhTYX=@K1Sw<XXG!C@rz>D#&GWvvl4J`ry$Y
zN$h5eas3Sy^*Km!$m})|GZB==pt~?!=%SRbpxDNfsg7*zm7msKg75u3Jt`M<{pMp0
zLn)U}7LQ9Ov6-EPKYyg^?AlVt#vFG=k%Vr#IS<>jEIhkJUEik_oexZcd=Ui^|Iw(G
zU_~`@slcdzyL55|kY9Y%or8RPQRW1ZBb8iNSSeZN7yCj+Mn{d{ZFlSFv$N;`6m?k}
zGx5XU^xs&T*m73Ae<`M1=VcZpibq6#sS+@Ma%DMb1XX2-@HXc)=YtZpLCJK#*7WvG
z2(rU~!3E<#yyrao&a@=&7PkIJhcvV~c2)uQSor&%FMAkU+zgpsvrufRB!>g16;2*T
zmglK;#hH}C>`Js>J}T)oW>mZl?OF$b#;OjF#FOfA?1p-HJ;mfduQ}Vw&Ji&utwhi7
z7hYzg;}W`1r|c8gU_!xwWhbr04?KIREqW>-Hlvs%2gpML=E{7YOgb*7bWZ_6NGQG>
z_mIyh`dZXXwOJ6_TuQsa-9W~6c)KNo^Bc6t0T5qlYPgxR=aQK%h$cwW1{3Gfz2Oh3
zaQ1KL7&(sLejmVa+cWW~TBOOS!I}O>ZpSx=)F|lRp^D};sju9bC^cAB?7-PbQyTvF
zK{{BxyWV=mGme&ZFs5Uioz>18V;3R-1gE8r{0@`h{I(1ffCWaSNVBwdfZ+{B&M8qu
zsgWShWmBHJgytyQ9$mmB@{T<J_Pl>Ax}lYv*LMOUW+teHu%xqPOjg}#n>t~$ix(QW
z{^9kK6Q_v1rpBDOAJIMRhFa<+B9q$lOxma<btGsjm!7s3;@Z82>ef28EX_>nJ~JnV
z8$8Ns%RuOVZ?y8AQe)?gS9oo^)SoUJHYPTWC9mwaz%J}D&3-iSY8uMy#1;n2B+*@L
z#0|c*m@aEff-dX{9!UZ`HT__JYwe=5iK|?LfG9;O*Q1~Qo7E>@a6dFMw&oR81OujP
z;HE*nu4arEHkj@fckpwjW`eh+uIhuZBxK{hL3PVVa#u1so3tgm+ll3R1YHI06kDPU
zD3Yr`S|)Bn({mAQ!qP5lT8-}KS08-PgP*XoG+W)0M&-(RPl=Tdau&qR<w9bP809{-
z_y@iV_+{27J~T|$KJieyfl!<a?(CGfiMCY6A}=!4d27vp<vfmRg~(xqlk<o~C@y1q
zFXf`G2SF}%K^zzf%o@9L+f8O49l(&kS-yW@EzZP-f)mn<*XY@3@X4u4fhP9X)NYEk
zA#7c4T<E=r2@Q(9towp5nkl!qq<Zhi4}TK0s7>9@JWH|*$><Rc?3s4LZ!>iHXtz=C
zeLbUo3g`B$q#-*qGSqYv;FELXS_dl1D2=rRDc-DPal<8I;ea<X77==+{qQY9rHViN
zm|e~!_)|MBcqG$DkGrxt0>qvh!VDaeh?g6uaeRI^={X6mk4*gbyzpFV(Hk}kO$0AB
z<zwIn{i7fV12@d<`>k`a`sD1HUqNqh-1|?x4Py9+ZO|*$S+YR{1glq?Nvk?tLSVue
zBoqdyn9@8Cz&6IPj-jbpQ!G2`^%2vZ5IxiO%HK+CGP`((t^T9~0{htJE4Q2RJlkhp
zx_i5HS#yGPcX-Z`AD6DV*w~Dc^%F0;sfg&B!0fIglfI`^O3y6Ml!qX*2-j2a4<SE*
zDAXQ<;{QlIwn(!PVx9NJa0Ydb{+YFrPq+t)B^N5ZFi)GTj})6PH%CijTJT7B#Sw!5
z5f&yB6SfvoB_!+5Q3aT<YW+@qHCAFkhBvjptruaEU&U@>Fj-H=xOa8(lsDqTLmOOF
zGdKMnDe%Cp-PPB^g4CWrRVF=LU28D-RU(6O0Mc2sw8<28)_l!a{&YrR9?A&db#c1t
zB$zal_jqJ_i{lQRUAsu}v!T{h-g`5o%0}!1n)jRoZQpq;X7Qbzw?s!Rl}F;!1wt+0
zK|b71OW9Pjum;?MDPC5uc5H2<Vkv{&8%Ph3Lr>#G6J=Nx?{Ku$i_EO2HwJB*164EA
zk|?rcqDATX(c#ssKM;v5-ag>5|1`#4390l8CA2ZF(p<r_7XZ)K6_TX(&a+^h<o``6
zTZ8I=mJSkC5}pL>TQYO`wg#l-C{e}DD}RG*6$tSe9S<!7LWCjOoe<<QxImx2KqIdX
z-$92BbI13EE-_*Dh%e3acXPqZ?f`P~QxwYvP)HRTax_OoZf=b}h;F!{!82b-DlzKh
znpR9`l;evqcVqhkix=FO-B71OCQ2v0K#>lP9vX{;8$&t>rX3HToYB!0U~CDjjcQq8
z-M59V*2|Z23Uw14nG7=-bg#VIMqGajKuP2{C5Ompan|B{^oKs%k9q!1-4pbWQGZ~S
zo5*MomlAL4AUK+dXcZmzuR^b3EOG*YnQKwSZCDE@&b#zF$U9ZRUp?0mpE;XojDBe<
z7mq}Q>QnLsDnn?Yr4iy+BL<PFb#Q~q42E?1tSdr&hovUZm_R3mDHVF0T2N{?`fMF(
zRpFY~;;GvnOF5pnIY_#8l74D&##So5oScuof;fLz7_npft2h4i^VmA8quLq>2a4`f
zWy5DhflHF45DnvO$ZaUs>7!ZYOk|POdnRL)3Dbz2pBd*D{HI6MHtRKzzG&iO$Yq8a
z&~LCD1B2<lKhCN6Ul8P&t}MeszU&h~g-}Igo$k^9Yn4tCknwBO-ky;>0%HBGX5ssK
z&tk7==(uYi{_7@mPTY+tk1qbFzOXoEszx2(sfa@~@%3y4dDDNyg&b+DU?u*QYmO!A
zXcO@~L@()*$kmWoAT4QPzyYv~7n}lBZt5%3A>$*vptX5A2xn(kl_heM7h?}v4zG31
zk5}<)_FO+tr7L^g1{8%{B6Fm7FDft}5^*7rnI)T-3>HmJXo|M$|28kA?UFpkBKh8O
zwlhe;6z+yT#Ji@Y+O_g$hfDfwTu8W}%4rp)W?otzv)qZBR})5dQdV1#|L|CQuDPPv
zo3H(54Lr4)lT{so5JDuQlbueI)r7;DO!W**b3;-PYBycLwOpFIbS;q|%Fz+TT7u7`
zvM_{OUoVw!ZRXS#0lWk1++^iF{qjRMRf~@5Ql#4OjB%tv-xr|sMLx#{di8|)xvO9E
zR&P7rF=c9DVs-o8L`x9Kh!<OmP%Dx8ZZ;)PONzxKfNVL159vNn*ao3eoMV1M^C+FO
zw2l<2S`jz$uJ$g<_~D=Nd+aW|J1$bvJy$83@|c=vUX(ijA#i8;(o$~RbiJWN5><<T
z32LXNbI-TQrBqrFzfWPSysen7bk%%id9;gnCa;&m%XS&eAi5($0WmzVZn9NGx%Xz4
z)3jXZmCM;mnLzKk0+rD8X6+)hk33E+MkpB#8;q^)#52cY(e#kQ60u`X?Z{ez1`Ev6
z7@3<xiLp`WH77kD^)a}GCwTmF<cW_>rUG|I&*KSM(^L3e^f-?Vexz$8qf}P%tWU0_
zpG>KXlbCNf<-tFFj1foq*gIll&<??$65ffX*s0cmEv3I8N=e7`;Y&W<L)?3Hi*SF|
zp=oO2=VFQnc+yVS-!dwmrCTq<%j@zlzrHk{63R_Uz3kVV*iePrQgzrL;`VNw6O=Xi
zo{A&r=LH~7WUl6Bir9&;bd=H+@1_`CYeV3{{k@UFs<;}79~j11=J)R(>3ajvP%Mfc
z*D;=wuHqW})q+SvkKRd?g1Nk?loTq&EV8*vem`j(x#E^p2d`NjJ@=Ikr^Tp+!l)0$
zdh}8nC-HOi8*##g3qokhMZ$;qo$G`2shR*FcBxUh&6gLy@Dp$?TaqPu^Kf2W8MBNd
zD)E3kT;UKA_}bA@M(u5gNV8q$P=u7_P}0L8N-H41R&%=B1$K)#NifW<)Kx!aJk-VU
zV{=>BL$m>(K|_fr8ULRw{$95xSrxKjtw;C#iVZ!rNepm+ylO=Y{x07(u7Y{Dkv7``
zu=v*h`Uhm};;;kWitlM*(GY3!aLhR>ncFT0LDVMM;rgB2NMwR9G`7}Qijo;3wmOxX
zTZujl@XPA|Qp`;MmFEqI*NV=l<y0iAkQat-a^YZi#efb~oAi@aN!4So%l<Pz4$<xG
zv||-#Su3^zyFi@IPKNdNLP#S>)o#Ha*wH5hfB&jxu{4D$Rt?ybrUp9aWy5zt!5p*5
zv5TWMrx_*oPLjiGp8phM3-zrogcxXnPYs@}X?5-$CDRJB_st<143aV~WaA9pR<2TI
zz8Im!D)^L^%<h-{bG$3Pk|AM5wd?W>U+<bsICgZDO?;*f>L86A*{)YxFxGQOIuo`i
zM2~|cGImZ;Hx>uTsi^Zu`bz(kW)cn)K~{S*krvZwuh}BE*+9Q0adK<Q#0A;v+inXQ
z+|d(m)hc!iL`#-xsams?z{aYoI+-YB$J<?ux^CHkT$MzHFl<ER_g*>+433GS6W2v!
zp{~J)8gp2qH+Wl#8zdYDald5P&3+QT@c_U|Tf8q8qnEZ047O+n@#rLiWls?@!Ipke
zu}+KNJ{$t$WyObT+n`PnC>8kpB#JK*`!4Z6UdfB2SS~*{?t%k8OmcE*kziKUG$(eX
z8VC^s`Re6@+;p@e6lq}_N*`^#v1izsD|jLbbq2`iU$s_qDsUx0;fG19PY09QP!1=}
z(85RU-|lXCWKi3I%)Mz<$=0t0+CNNw0@5?PkfdQfPZ8lIj`(+~wD}!mM~TJH!aQcV
zN9K|njvN6c*6;?G4szKlluKmeU7$n1J9{wksXq*<?`Hf2Z6ByhY;vxsGWif2skCs@
ztU-%pAe_uh%;=rKiIBOOvO$H#oMCMli~7BCO1T2po$b*e0;FmK)@;J`45#>i1&Af&
zF!}dtgB&DOa!LcbGT4;|Y{Uir9|d5(f^V-ZCi`A16JMg`x$ZNW30L8;PZ*@^gDyMC
zx~A3CIXYXN4mtq5HVQn*G_*SO>cns7@50at$ykxp(>`BqX2kN|sPTC5D23v(8rO8V
zSBNtb#D=j%sjV2$Z5f&*5}`$}Ij*mIuy8xIcEbPxQMu8dA?p+>sJNZhizS%le4if%
zMOQeu<!4B&^k<7Zf|R_q?fHK@Mz>8+lwSUa3i3+YQ}-I(YP(lA5!C>80l-AA_Xpt0
z4V6PIWJT=1w|ysV+}=6l<(nf>&g$&_Q>;2xZ1y-+OXG==3}`GgL;cdDH%^L+am%K?
zmB-NqDyvbw<po)NpWmu({ZffV%!YJqZl6O;BmQ^Gm}S+dH0VN)_7O$dmMdbD-E>?u
z8sDK~XmL6GP)h+Q+nxLpYtBDOPpYh)nhUcI4mMCHrdaqs`krN?ryL{%vQ^^@)xGz^
zl#e;@5Z>6AI|zh&Y&5Dxp^XnZ=nFsxx&i595k=FciZN1j069R$zcUe|zVP6=endyb
zulRp7!f&>7c#(1%Z0ti9W6q!GK0<8pRj$|)M0A;3rSNRAW%H_jwqUGtvtR}|j7!^o
zsJD+S5Q*&EFDRIRi+0@ez<G@YKCx2ulf{(V?fj?$n2djq0ivVgZAZ)}qy3y~a&1{q
zV1MA2s@wO<%nAj76g{|A3h^B>$mny3F?*z;MzX!FtA5UO2n0O>3}Z34iDZx@v_Tu(
zj(_gW3T^*^#W?&vJ_e6R@(pKO>CIO~UUUfB!LYk6qzXyXJ{Gxrl|b)S;5Hi<$|Cqs
z?W5yu_#i&(S}NCE0WnYo6C#n6$rybI;6+m!!$|bcVV8vcuQjcu1o)}`W94W(WUL#$
zVwfjyfkGB7HTYU^226t8(3-Yq7~~WocJy4A`)<@M*{yxM^Gj$Y^zd?yP4?t2J$clr
zMdT|`vx>phb;56iGhMM7Ar7(o{VO&rLd?TWM_gQoX3xP=2ICRMn6qj^NfQt+E}lDw
zvb^}!Vx?V44Cls`>=()rD+8VS<dPfT@&v;n=9yYPoK1G|<N!J8?z3QvH4?_C(g&Lb
zUj6vX$A=LfhnPPsNT8BO+N$xLW*?}6{6qXh2N!zzOxw;F3<5uTj0ngfDpqmuC3e{1
z5bsL8cJaUwj8j2zH;-J|j8sF)HY?IY$VtE8zCh4awcA>irRC<_KK8%fs9@^WsCj!m
zB-YdR-_>R$09dPM$5&Pv<FM@msb?Ny=*k``Cw!U9UNe+bf;jK%`HO=Q`(c0Gi7plq
z{O4IEQWNP1g@ncj>Kp{N|E2<@8I*t32Q9<U+i>G`q%6nl^?P-fw`YRa!_?9nrMOb6
zrfnshb|Q##$T3X_&XQG>Na&6#Mg4^|oh?$hX!Vx@tA{j4E2<K9grYYI08s@7Xm!q*
z{#9&hlR>xTeEXkE8}&7He)$+8`X4c$5y21Gw$yeNr6;Rs+JC37V#Pm6v3bC)GALs#
zR|tK~75*ziYu&K|S?1<RW}G>R04IC&t}%$CzFl-wUUZmy^KIO=M6B99zrT$<??%%S
zHOqg~%ArN1jd*>{C{7d)4r;dztzp}ZmvgJ1aEMVllx_8}TJ@k`2<4Pzw(Rn0E*40C
zY^?y=7)WT$FF?f(N(XcL&g6id_K^;pIJ#q8H@3z@*rBWr@_Z|Wbh_^wC6BT!0r+Jz
zd@f|yLEWC=Voe!I4TrZ4;YwENB8g*#84gSAzVYUoG>P^XqRGsh7YyO2z^kmZZ8e_k
zg>srmX8o~3C^I+gB0jWHc0t?-iHxTStFv(^#gE#-w_!AbiiAat`QnGWA>fqVRM9Yp
zOa3q9RdZXquQyV8mv!H3?ZXl4LK4nG7IgpBbtumvMe*x`c^<@fH@8bg0pS{VMeIF6
z0jic@%T~P=_??4K0=>aHtpC&82Pha!h&1?|OWyJMcdCurNF@8!;}0Q!axd>MXDhTF
zs$xl5ao(cFkDNiyifLsru~GAB?|>&MDNyYxxymt@XR+9;K!~%bdaXMDCJp5dcR+5H
z-H4{EwAF`3`-CQi<WDfRlVdLYx1*V({ro!zox=%&+5SqNJi@psV+dN89Y1);nF!xI
z;1-vIFP>!nG$w6)ot%OwFC52A47XT9PCbw$l6f!e+OZpuEg#`IZjA~aiR@(~x`m=$
zK0pf(O7#pN`CA8}Z$H)#_zT?~XVZ`XGJ-3pmCO4|v%XQduwTu&8D<0#(6!(pI#;|t
zNpKkuH-aGF3*vK;hL7vjEhaliAr%f(zYk?x>mi7si~FHklhRfbn7HhkEofL9Gn%zr
zc*z-hG;wDReG)yl*ms_z1(ae92}tvvid9!=XL>&58mF}4UIV{BH%9?`82d^Yz9G~b
zI=^7IfIP#4mz%{;EDY@KFV3jIk%?E2=*$9#9d{kM1%+7z%t>>S+L&&f`Y>BJ<wTO7
z1eV-8xRUXyCUomEee7QgnVL!|;iQxf;YwL&b+9iJvy~jgazAD#YsVm$y!39`XZf#)
z#nk5CxVk#h7n%eV6Z=5F?uTsC*Bm{xEHWvbGxoAe<Bu`$okFPdEj$+<E+S+e9CxL3
zo(d@bP~AAxJ7i(QDmV3S)lGtLGq}jqf!vAp9(9t<x7kaEuvsgD5Q3-^3PE1g7^4yF
zRQ?I>l<1bSL`_L3K&sW%Fd9xscd*c+B0&i!{xX*s?AA^P2g!U#WW)H4;)yVkNG50T
zR*VUe;olcT$#v79yRvvSirRrrYd%G0>zo61f`?Hnauh^({giYF7<)`oQ3HLUAp0W9
zmENfBw3`F&>PS}0Yw&G&2?Z`MPf)~j>RHssbRb_%{*aTFd2vGRHrM4hs(pKh;~FZ$
zcVjMr&mEMiNEK1FKx09S@O&?PSKny~Gvn14aZ!7eej!_ti)D*~R>#`wEwEIy@WCEG
zC35-TF%sBxw{FY}@t7`|f_>$doUyz#APXsTu+cNLm&ZKA<@@~W#hjYXCcn@2&CCRW
zZbR3%HbM=OU{TqT7a)oqm0U=Y@xNZf#fkwz4d~<LFxmAig}&$u0%n}R-IPa2FE7s1
zmw^1$*o2XwA>xRs`s~s+APG+KliT$v)F<6~-gcWs$<KycB-AKY`4uF`x0hngn-6kB
zT>y2-1_&eyiR?K7sV{f0l~IKR+xpQl>#|W-8V%|wK8Cjm!n3@G_2jkhz$t+@!Yl6*
z3X#3o%I||%X4cKa42~-c-c2h&#d{^0FrR^7XXbgzY^jg@z8`Cl&N&OC;nE~rV7@~?
z`$#hFeYZLAwE4cgowU^GS0bUrEq~47284~dtI;kr&<6{0NcZvh&-vOQC69-)%ip`D
zKG^Vh`xELPOc8PGO7O8^%^CKnp&SV8gmi<6TaU{Cg0|Z{Np&Qi_fJj6CaF4r-TTN$
z_6|b=j_rr)6z{Q~Oa)`j?g5vK21uM-7o|s%KF;B;{-Z?!ua>PO!PEsyM&OEhNQDGH
z6e6!CO=Uk0NgZh=`HskHsfB1W&ENjq?eWJwQ5c#N#nPVF4iufbWoTDSnLV57KcGZ+
zRC<u?eyg85A)z*to@EqFbT-)wTG+OOt#wjj(F|lw$h%VxPK%{LTkrlUUy9G+@2be}
zjG$Uje1Z0SrRzb)O9pS>FgU&97*QN!CpyfG23w3^Lcmv@PY0CxnNGN=spbZE0$DsR
z%7k%zwc3r1xX5bCiR~ZhBX2o~&>o;rcbSW0eb7}e?Q%6qdN!;;(Te(DyoQ>xFo_&Y
zDjs2ZS>NwUrym;jfp+$uR>S~gD6T4Mq9bHF%P}fv{-ipwu1pNLIe)(xogXDL0(JP+
zDIx`6tJhJmSoLrQz&eCG?>Nd{R4HO*+_65wjqh+;K+t@~B6X1Bcv-%FI~r|`nr}dS
zs#dH0`j4U%NFu{IG-6^U+-n*dTc&#B0m6j9{|ct$>qwfU1?c~#&wFX_(c2B&I0%`Q
zbbe_>A>N&*NMie~gtt#@SDSw!N2@?N@unWQ<|TsUEBP&ESTQ!v)V*H6jGpCxWg&je
zT#kiQ@M9`wyhGmaT?4TUP1KzN(nA*kHu2!uNu9@vHd0-P5(oRXg)o62t%_fS;Wm9@
zjM_nn_V)Y(S_{Rz#cuM($~2W^p0f$U-wg)*Ohh~k!8w+`){qlBPY9@N<)+xUM4P_x
zuuGoCC;qssL;p$AWV(S>!So}?T4x{azo+d#N9+L@48B%*ZI-no4J5I?Uj@>NwaazQ
zon6)?cY*aJ_0>FFV*ib%Y_mmO$_%PQ4AEA7c+9$JDfc_mXCkJ^5(rdO#lGI`onfxW
zjYaX4Dl--szE$J7U0=Ms*JT@dU~%FdsCd5v!ap4f+HTe@o}l6?4(QtRp%<Q0K2qT7
zI~M?1^!^_A7=q`-tBprWIA;H1ZpyOclsFF{=QVyH6g0VVWE;V9Y{YyxC2^dUiPlgl
zAPznRYY3Uw{R{~(>v^VR?i&VHH1v{?YGNC{Mc6&Y0{ipewYRjq-iBTHha3HRm*uxJ
zbuQ5zuI>j9CBhx1euB*j$^KcE{j<-Z%m+JF%P4LUWB|#*gkP-<7^9CTw5Tppg|e(Y
z6}kwXsW|fL-<BX3DjI55qO~4qm7Rtqj-CS{y;{8&A^JY)$;M0be+YWJi37XsbCWui
z+bur7Y?-W4?ekp~XVZ442o<h$T?kaAmk;$Vlkck_+{X@^K9f4Q)1qEo9et2(=Ac3T
z2e5)D&l^M5PCX_8beofPR<0qQF#@l43YLUbhc3Mn54{PTi@ur*<`KWW@jpcNxnxda
zxFS>Mfkm8=W(OX6kHqs}@8E>fdrS_CAN|-f`#;&ziCmx5<7eNxfoXpP#gEF70VT{c
zoO^S<N@UkA*$>z~NpGQSSaqtl<D=wjzIC1giLQR9hPi71D4)#YrEaOAC*XQMccfL=
z`#23Gu3~X6Ng&}|(fo*h0cp7x*eU-amEZ9&wWUPfn&1GM(_Wf4Q-}j2G38nGt+n=m
zLKmjv#T~lif5E}d)7_<=>w$E3DG59qJ1L|DuY!rLFp5F+pTF1xwD@ys<Z(^h;R^_2
zzCvg8pTLO<f7;JBV_lfQ-`({QNT)D^$Xdm)lL{Q!tX^dcuWkH~IpL2+mXKq+?Tq00
z3JzIgWcOmm8&W5EZ9_oKJkET$FY}Q26{4gA0|n?MKq}xDLnnRHSz{?O9;u!Yt&;vn
zl~UpPgRxdlMsU3Ua`RXW1>5%`F9_*+@l?%SQ=#+^s^IF=N40u8)+rUm<_LE&5wv;1
z*832uXM27t>&-Ic0Z;S3W;gUd2kLDOkZ4+@`e)`o$>F}cXa;Vj)?P0*If+jqzG^t(
zQM$9)GML0pfzQs!xxyAYqkX#@aHoi;Fq*C^IYmub3?Y^A4?lreC53S8=dv}GikPqT
z?(<nsF_+3HS~m{;c|xheym?`oH6TtBh~<%B9Te=A$!t<Hu<rX_fVyfhqsAI~Y2y$p
z^5v^QVlY2>#z0Bo8{atflP$tu$v`VAG<wSZGy1741N`VYd-Z4{D7v9kePb?W`64b|
zoq?IFWqM*LJdyIF<4)%~L&zvY-}R82C&O}ew<VjWqbdpHaJ2=Z35$f_a%rn<z8F{!
zGll&Ba7U&OH|uEyH<+S(R5y2O>3jgRS;j4lBdB{*Ck{BGLd>yUo<wL*_?1vN07{c-
zHvU&Q)nt?oj?3qP*f5?$){BI8?V5@5(sxA6Fa*x9qC0W#v*Tfpp3xP1GB!B&CN-Yj
z0_#ug9ju8ah3cD{1~r?-Rnre2Vs_zMuf!0nN#YE2HEKZ?Twu0*;2rW`_m6NR@Ridh
zRB)YKFrBzc1g=;}mNZV0Bwb7#4^p|gaoMNTk83R#j=8GO<mGoLVPN>;XPd%87XUIs
zMMjCa!#C1&R@ynq?gJNfRv-9J7{{lGvCf9iZUK3-$`n7oTT?T03_Woh+bWNoV0M>v
zWQ}x4)`s!Z%g8$8lL$1P6)@|HeX9Bg<G`p)NtbbsEi~pIivoWP0)-CSrFNf(Z)QPa
z#2z=4R|o#?(d#tmv=IJC%&SPCnX0W{ko-f0fv6Q4%#!T*fL7Wbk;*+#5yrJV|L0fb
zSxMx6#qgvNp>84Uk6~qkM!c+5inlNArXTps`um1AM$94BNL1e8H%(#hhR{p)R_v-d
z-*)+hSG1UCFEXs^d0$k(31mY4``5;~t<ZTH7>#rHcyTKPjDc!3RhQmohnO#yy%ZEg
z6Muq@uKUB_X30^Op6or)uXSB$S-m}NJ<cnNe=+Htk`{2|e+5)^s#wlLTsVDgHPg3Z
z4!&@|aHV@VwHgZcOJ~!CiU{$;*a6Qj`Bo_hhB&W;0i~(w9Yt_EAFGLM*baXAP-uuH
z-gN`pwR;X1Z>!Ik{xaqh%`p|<gARwe7f~r^<y+6y<$68U^Z);O>weyAI{{;+GjAS#
zvXef_-jQlP`PXr~`EZ-2Em^$XA<^7C9;3|DQ+Nbp+BZY7pYdQn2OLMCkZyMb->~KW
z_?-6vW(P!Di;hS`!^nlAutuA=Y8wO~pMBb3b3aVI<NX%OdXnK!<znP(VCK2viGa|W
zE^iQh4152*uXbqM;tNep0UAQQarrn2MGbuB&Ub^-1mTOA1JA|2t^cdCC-oaQB>>kF
za)}E=d+;ev%2yd`EWn$cjQvpmuX$CK^F9p<T7NKVJM)D>=C8<qWEN<75p2ROyv2i*
zv#>`7@d&l!3lLq~17ND<=sehK<Aat8)?j1p*6Ie`uuDAYlO2ma-wZDMAJXs2r>Mt3
zFuEz)f@A&aoe=f1rz2BWF@#NT!Wzx<=fMgs@_&g{s`egu*wALZKkZ$#UC!?{!*ccd
z3CNP*N-`o`N*lv}7+i4TM)jl&BpJCY*rR-}Ur`XiTU`a8t1V#=_*K3%#`YW@&663N
ze{l=xWGTNRMNEIW<4CdrwPyRnp#SbE9VQi$u0h>tpJQc?E~l=hpSWgGHyTEc1IK4u
zl7+-<^hiXu`3JFj61uy1#_M|QPI(rKph2_WDU4w*J_Md}TS&oP3UaZO8G&`&_VU?x
zA&x`ZmA@;+N*30Rd{}|9`_Kr7oU`|?qO&7~^!>+yb5rTX7i%nLxs77u=`e$jdofJR
zCqWEa&;a*d$sB=HSb<2J7WQy*aH!0z3l&8m-414(A_;{em-F8}F(pl50^jwKpHekZ
zh<51I2Gm|Ay$km7MbJ;PDU|<c5fYdT9z{q>!d_{R%Txb_Fn15Nu_L7bGUv0F14_H^
zuy?Zc{5>#|s+&`eQTMsG5#aNm9Q`K`S{spbL56`7DE`x_fP!$A9ziTzi<gXdf4_SM
zv`u4d<RcBE2iQ6&mrytINW6~DIkdK6*Sa+^O-D3zcDUYqO`b95qcCj<uA#S)pLTR7
z_x5VOBzhV~=xpx)YV4>%Ag9F+&>51yPDu>%3NI#Uwg{Z&_my}Sp8`yRe3fhB@99Kl
zO6R}()ReVFpLiVXU|Enw9seVglYv#}qsPEESZeIBJd*UIWfy>>@bhm#3`<f5pkhqV
zc3HrDPa+GB|FS~zW<-euMx%VH;x}cM3=<r)%Wn*#qcvFK|7a=Jscu!sx*3&4(+Eq=
zSZYUjDE%1DaEqOMXM^gH%Ilq_lkvnF2`KdaPJKoTRu3_a!hY_aCruA_6dqRdZ&zxb
zkJ)VprmY(Cx_|g0>}U3}T`T9hWplwy{C}EaWg!$8v$WWT{_ws5713`P1YR49%R9Qx
zOzunp3hfhVwP&*Vn<PI<4s5yT%Q(`;%|yn6MZf_rQ39c4q=rtax7HhP4{-WGcA#yA
zR#NX0+vCI`8yp%0$WdnHoCi!y{_0nWwMN4L01`@GCZ3^E?X<$`tu0-orJMo#3ZV%~
z*tYXi+J)2=sU<H_80+f>R5~`0uEs(_C9uk9GRZ*|Hc&qnGgRad_%^Edg+k9O>7ipP
zpZ9}DkrD2?i3|>3D(T0k$@bU>`Sn2%R^AtF^_aX9<;_Rkvirv7o6`OwWY(iMe7c2|
z1ulowa>Xl8%F8ARHtlzp$fFNSBVl*7hbIT~i@>fI9P1)pDxj1%9RxyHmdNRuZWGIC
zfX*V0<|W>L<8~(_R=WsnZ$CsZ`sS=x4W7E`AG95!mWLR+D|cfehF`aZ?cD@Sx7#PX
zFR+O5E*5Qt^&u-5*6JYZ&fZ^{d!?^m^tX@s*E0BcaocEv+_)?^1Y7IlGL2JvQgHJe
z?un;kwqMA@pfb_7r!I_E3HkFAM%h~ah;okKl1mvS;{ZR5#iJs?9O0tsY#IPdRy69L
znp5sitUSQS#sQe+(UH#1&X@n`rxD&Pa0cY(i`4qD-etl6i06f2Y1pj4YK|Bcf`{xz
zC$uP9{(uD6Tx-ARO@n6YAACCV%TY#}5^Njz6&;1)>|41UDKz`%GJ1K?66heYy`}kC
zO$ckAG2Vz>v6s1QnI}b^F2TsFa})<DK{_i$d&AVXWC5=>^EX=X#}&XlInKL@)dSvy
z7=d*2Ow1U<ytogYJIZKxtg*W#jI%)iK|dsh7E-kIzqjZuzzR@c?y29t79#Uq$z$L~
z;n4L2j=W6t4vFpj*+xd`ZsD(>5-{2<7Xn8S&6X%22&`a5oZu%9Nj7M;)P}+lyLyf3
zGQX?0ACvWHzzbB@g&A_F|FpAVqH#(to|l^nJNRgn*1*m)gdq_vPJHtA>TA}t1vk7K
zUnTBfFfg1g;@KbOfJY-vLRD2V;&|Ym-p)W%VWH*6vmY<;vQj>4`&Q+?+|7)_G&)Us
z63}PjC6y3g{+kApioKre>5CeLB(nBcr9c~A^_o_d#MKv^e}#dqht@Z$*D9e(2gHcl
zn^UGW2<pt?(cF-=+S>`r@CURFgfbYmt9dF^f7HUSLbwEHLB}c0+e;s8;_Ti2P2+wV
zW#TVxFz{g2WpL>32SZoo(TvKq^{?W9RUDyuJpgAw(l%v!-K~ySYtX@)=Vr!$wn@+P
z$b?x`G{44z@q}M0`dxo%IsqX~iY%>#DVVF;<W-M)c4wD?LF8&|1bj<E4$?Ma%?wTK
z6~h)SOFw9xFQ+Q5wu~O>PB&j0!hAt7imKAyNiUKtK#RBjD;BOFsGkf|TSme&3Tbli
zxYapx^DT{(cJB7oz2-gym#gpU;=|y!(buz<1>RjJyV%6~T|b3`z6nJN_wk#8wL4*H
z<4)Lq(?EcL^%^96@*QS^GgW<)T7KMF@5nh}C5q{fOJ;a)gIHQjxsOI7FkRYLgU-Ex
zDvxoFJ=;ud!#W0`*VY*vT^2W*$)>pEr=Sx;H@X$eLVSeGbwC5@MPuxRKq49=DgOTv
zVxUb8c5c7<B0gdAeBKBgP{$;292drmMT>T=m}PGj3UXe|EUyvRgUm$f)!`s|XD?T~
z1bl2#Qpzrh7xs)_ISG&{W4(hdRdS+m2}WxstVr#LuH|!Z0(V#Kz?FfH&|VI99-E=t
zP&m^(#UL|IN>ruTzmv*KE;+})Q=D@+;H;oaoxV^`2|8i?0OQn=%_0Hni^NF-F=`=f
zmg$x9h(6L$p>X1nhP`VHeD|^e-HoI))E#r_qIE^8#6aIolPPDzS|#HFEv(kis`5)O
zkY2fQkd^_2%RXZ7(Aehe<C#D77jH%}8tX#8f^XOc5mX+PX+-AmjB-0!0O%gE5PpYm
zJ5*EGO2||xm7OZMb80p%4BSBtfabGX%sz4h&`N~|HY<x<anlSq192!a=S;Z~`!tr(
zOW5{+vznUNU{5g@kVq<NM5>P0xTdf4KO8;boEHEo!rL?2D_MX){!XN{9;}~;s_#Z0
zz+Mx$I4V4<C`55a-^kD$U;k&u5MKwhiPq5L@qlT?d%lWrLca@6Y}g=jRqz0}J&>}2
zI+V!?dy>*vX~9jG?J~lvl-s&KS&2|!RHKF%1;q&gioj@ybD4!C+x)Y*q?V27MhQU$
zb`zoXOa&<iL<7BGC&ZF=!xm^9##}UQeFWb%s?cW+{*h&>*Ec<TtQAsUI7t4YBNtLz
zU)1bvk?%gPSeSKR8Ykvuk3GGV$Kmg6IN)78D<$iJ0l~1L1zgn6W-%!zu6g*L)!WG5
zdBS?+(oph}W4P;rXW!@3@8gm;WP1$1<nl3**hJIuuGqz_b<?5B>OJ}qglia%IvMQA
zV<BQ-X98K_<f~&pM@{o4x3S>xQu01gHS_~v-CPO?F+}$=y}9v<TLSDiVLpm1Lf(@+
zZ7yC|+j<$1hbX^hpaz1)FpJO^grot|t8j|0n@t@X2yD4GDhwN_d2FCFU5^E_=%!f+
zmOo|#F>7cze~)mJO|F#i5dKHB&id~g$+TNw>2F_#3sCaH{Z=spz-(KQm%S0QQ86}W
zRjin2{w1KGug=gvQgwB(+zC6CU3xZ_jZ_41M9Q>@BjS!GNAagv&~ew#J3;Hm%LGMj
zT)DK%k~G1vmK6GTs--w$N4?^5*5SO+;QoFD>SE(Ic^M>rsS})n>!eMu7XKgq%ebuR
zR9l`L3a{Hmu7p!;oAlEs(CX?A-7)^IxMGG%^5XAyl1IUm5Mdnf(U{%&M`XQktY;EK
z5$OSiPUfeiBX+rXfiV8TBYGaaG4^&m+JYZhxMX=6D|Miqu*}_vrrJBn?sOZW_#N98
zR2%oRm{~#g;x_cpuWPdGPjAEbQ_qf9#mYChM6Tr1UX>M=wtGL^=0$PSC(dCF_#lsV
zWFQZflgT9d2Q;V@%0DD~1~dJ(g;nDj35Vzs6JUMqAQZ%Wn^mg8APDl=u1(%Hd8quk
zBYip|;s+l$eIfBfguqXN5Qed@#oDxy2`?Z}B`OTN?wcrrBviY1|5q_V*}FanMTw~T
zlFOj!ZdWVdr>ODpa%`4ibvd%vmi#)7G_`cJHitnQTS^5mt7T5$H(p0UKB;Rh?4y1!
z=Rc{E>L0^ZRM|=6j_UKkL)8P(g^&Iw;AjhzDKBe0JLAk<Hi^)Di2E@Ixf?gaxlGk$
zH?Sa9YnLj`^nTj@)nsPxTQpMNG2y!MSp*TBex76iat15}<tDlkPViV~bc?%st|wU6
za=;=SVXJ+*;2sHi+-`l72WmZ2syEjJ^K;3ZZ&HkYDOsV0`{#zTNZ~8p5Z1N31Ps&U
z4#TFiaUrnMBdP#7dacil!3Eh`580_%;$)fvEL|Cn{83!2GHnYXZOGe6d|D;a!M7;f
zKAvt$@^T#^%mZli0TR+8<7v7VW>)a<xgViGhg?$);>kBk_9jQSyEWM-kbE%-E#QKM
z=<P|ptrSd<#x(8}jk1<6Bok)j(&qOXscZn2eIB>zk|3khFkMqyEw7BrRo?93{wImI
zLYN;sjGBj+r+nYHZ&Vg)WInckf=G*xV74W#`+7aooB=ZTGGOBv1`NnEJhbv(LFwst
z*uH{E3TXivKM*=<?`q!Wj|~EZGURc&Jl+&~SMQME8vHJhtPsXp7|13n_?FJqGs-<A
zhEtejFj%9Cb?a{2ETcyx7}KBi*4d)ikAZXfhA<)LlPocxtt13K3NG<L)EZUY5uD^C
zwxvVz5kG#+V#v;K7lv*oTsxInPOo0JI^xm0MXo8`InKHLtcaqYA^-NxL1R3qT9-at
z@@}&t68YPd=B|u~JtrT!F`1WRg7yL&(QP6(ueZ=eV`hj>!Qn@N(GqX=k7U&1C=ezh
z{dczB#_{w`gpEW5GT<#0oP+d|LQ;_68?lnEh0J^g%{7CU%!Qrwk6f|7_7bi70}ZD3
zc+=N0KjsxwuBjo-CVPhP$QAf(=2FPk{u=#%5<PuN`k>;CN737CvkVS{(p3{!8b#Db
zL20~;>jscc-4^|rjtxqWujNs<G;MuDCaoB1-!wOWz$gxlbu#Q^&EC1cF{b2Qf-i5=
zxs2$EIW0g{o2t7>;?r@2XtA*vcIl6wD<3a#LS*`hz7K!ypME)i;CRcGS8x6Llo$Rq
zW#vt^p|6VDAprx}05GZS;dNiX!s{m7+G6+iATdBAyIstg1X03SgOht8thd=ns#$vT
zCrUdzRpWEBOg#rmi6imi{grz}5yIDbPGAIK8Y?h%<TrzDP~ZFxkS^WS%@(qeQPF9{
z@J<+6h$U%`xm^;H4H@beQ0tG?j<yK}a#`Cevb>Mqj!oCL8iR$~`f$rRd++J)wa&PZ
zXoTMXN<DA!#u3Iu`Q#nR^xJrR=b=ob>Hx4vk=8^3H_yYO5uk(4n}ju^-TGBVL|{c*
zIcnYD1{`6RSsYW4&Gtcqp3q{<K(3Hw$P+%lGid^%zna6Kj!5;GeI9|BBzB)~>YbUs
zCE3z@@I4X|S|*5U@?$;Ln2)k`&C;-7Q5O$#EO17567NhXtzuZMveeSKr;f^STn{L{
zl5?m=hA&XGRL`Fy59ZAib4;yr_U=||*60%l2gT47IBZhq7x8As3B>r%YvZc3>Vwl&
zpo!lmi9VCE@RO>-`Yq)4aG}}~t_)s8&pQWWW@5&=;C(Rsu+@qp$y&Nyk?u|9P5@M6
zA**KXG1O&zXNS<@izyl1(n#*naowKnVA?u9!d&2VOVaR!OJ4yc7J^fVMQ;*TE?lr{
zni0;_Sgpe;^askT9YYIFW?OdCpf1mv=oKXTxD>}kZ2uvC+#X&O`U18A;{}Cvfu2=S
z%U_Q*wRBOb;ycw_b*c!!f9hy-+9-jwQNC518Q}Y)^Q@>9`z72j-N<90Y8Oev`y=;u
z&BtlDQXtRWs&0_+FrqcAv@=g&cOyoZpHbL)WGH>Y_*dLkg~SaznrbYOV)_1)k(BC@
z#K-Ewii%8<r9Pnq4c!~QG?8zCR`euOL;6{sjGXp)D9c+kpFiD>D9lO1zl8g?(%n*2
zFB<!^-Z3lFE<&k(Ob);+pddONHABPqA^n;2zN3HGY)D@igo&QzkTUn7+9ca_?jJB;
zX^jE6i%Y>t(+{Xz`4?tGvxh8NuVLOKqAOAP5ts2<6zc})K%+*8G_-Uw8&t^~rR5QO
z*EVQMXmb%|IVrvmd7@H~Uy|g(Q@w^X^|#I%3wveAz9X?n+)t;$rJ$YvW$WBW!G%^q
zD9l!xT#O>&@!XjP(}Hb+xoNQ#-wl=S@ldPv@h~*PQO9dVE_0oB0tOIy{L&)?q`jo?
zWh}#P0$OqmjS%b&xvLq$$LrEDSbr5L)nqiYhw2B<A=9Q~j>mAk@X}(?#)FJiv}Ak<
zcsUCo`mdUgX6S=jmt!OC<wH}>)O}Bin|@r@bNe*ThkU@w0>BvUBI<II3VlQ`<;Q?t
zQ+cC$(MebU#ZIw;b{w3QfSz9z=>p4+{aAjJKQN05?V=FsKnR(mF?<gOirPlc<DuWZ
zm0>X%PeRvx@o#BxtdJ)m{h6iBq%N`5QE`4)6@Y<mOsxqdBE<+|gM+bLwMBr8jB!IL
z!_Aewiwf;vuVXa+vVJbpt%LSf0M-0w@!PUG{8?cL4mJcB4VIUPcS3Ch#EZL{$b$rJ
za_sv_8m3nH#hj(!TY6C&d3v8;y&A6~1oK}wx^!us;75kJA+13l_RpEo?~;JprV45@
zB97irGnO-S29kSPdjz#lgs>k3g8IY;PD`xjihJ-+v|?q-1Fn<r-7%GN=<&~klT}){
z5K+$yaW9eiw7;0Y6|iMbiF0%;u}kPvACSWNNUW`Di`ui$dzP_o8=YyqMk(y3M3g`o
zD4XS}xmDg#JtDv}-CIG_P*QfBlt0Lj(p6-4lZJ8@n}s{Ugb1!$M{Aq*Vg#Q+yQWFi
zJltg?o7DSux`07wq$i4YRF6mZnq=r#Us{yhQkaH9s2lQIjNLaEqe<~!dJ}tk0mB`m
z3Bv*AUPIiH`%ZAox(fFW1t~Hz?U7F2a{t+kPjK*82&f6pq7K<2upbw1TW=+H`k-CL
zJ-z6pwRMg01m$!yW8Hm}Q>sNzJ_Hy;mh8~C@JzTW>FlL}u)Js&F_6$R*+U#<C?veL
zy6->LhhQGs2w=f~SkD*kZ9)VaNbu!b=Fp`tRPyRM4+Ri}hu+Wyv>?^BACL62s?9#8
zg0#@N`Km&^CIHDH79v5x_cx#{MRKcXf4nXh`Ec@%&`JgeB!7dYMYbxVF*b#JzKZ8~
z>?l}I<OEQgJByns14XJ*c}1DxfF+R7PZm?MT)m0Gv5`JiteehphB?5Aoq}M`Vk?=K
zidq(^HkgZ}aCn><q5fP014-1cPZ8Y+>BXo1fyv3R86<Fw9KqW3{$D%w&31}`N^=8$
z6g{;rVs5Mcfej%3n5;a5w%)1=A~*M%O+d+F0Mwi?G&@bH02X9$l^yG7)9w_9ue@AY
z$CP*668S1GSN{3f2>qkqp(K<*K};4yEIpW$x9*!`#WciuRfJuE9{Ks7GDz({E&)^+
z=jVqZ_uUoc7z*Bt?vc6u(U$)j`YUH%<aBf0|EfC@9n${L2%0@QkQbNSCahbOrQ&zU
z^F|#80-^SS*orrt=>^J@<<deVq~HqDo@iLl8j)g<S0|8xGNXm_VSLI>SPw$I+S1q_
zJY-wBpt5Dz!9X$`9ciBkE%eL>52(ut4(hV-;t>!6IY^KvZiI+ijWiG-Llty>dovzh
zgH^T8tuy}`bmpqqZYzm$QScp%R$X83ffusk;Y5fsn_4>?^fwzLPQ^M#GbGfihk3Rm
z1rJhw2^We3a|+{j#6jk2%RyFIQ>ZUiM6GEW3-P7_!(N6is`wpS2pbjK^8E5{6qFZO
z7bYH7GGLA~h}39lM88mO%=nxI^O;B9%G!HFsvPbQ7DdjHamGDeiI=fPcVn*8>sf?I
zla;{J<A2#Hz3B9}uS)J{f$Ts^-n_icqZwuI?GX1E@!Noq!l%M0RD<J*ULQrcMmJ_t
zIIi}gtPak5eOcSdcEhn}J>RH7;GPtCmaU_6^x-s?ur#sY{;ow|C};kSzm7MaQXRJu
zBA{f@1Q&9kCwuM3*Fo)HJS<Ho5?zp#G~TSxxz>46DtTLB*+G~0gKh>Ak<@0Fe!ptj
zdhSpee&>MCc&<)w&`E(`xeK8)6!Hh~?JP*>C2l}^0j-Q_kE}*DLemoLY8Z`T_#5p|
z%*uLb3+`A?)}SfRgF|5y8e^mk60Z*E<Jt^qoc{sU>Y5~;!OipfMI*xtox*qo-T%6?
z7Rn*<N&TqMdR+OJPSbT73=n&lwqERfjGd11anEIyjoc9mn0WH231bZJMp<=GCozrX
zr8SK7`_@A!rKV+&FB}`jL&%~sDJMW<M3Xr`lMsT`XZ~Kru9#i@ff>&FQ;VDtD?O7B
z!nO0-V3D}MKBdgV0AoSiD&Ur*BoQfEe~XM3dV7q=&caU(_-<db4B2qK(&9VGS(r$@
z^=XqSogWIE*r59eWc4L-dEkW?vVuw}I027II+-}d$#i3^EW{G=CWUXld#1alLp?Nw
z`*vUKsvkQn2;_&NAR<rvSfNwU;PA4B6m?*P#Rn9wfz{@@&t>~>VOgi&sKA&w;wm=?
zmvOaEK#v8*wJckLyY`ggq7}Ns_<exDgV}FVtY@pMEp->`JV7o;&oaZDFoXeC4q*~4
zyYQNl85rZ~0pmhK_V~9buEA@r(1Egh>o&{iEV383xU2pF4uiO||CKlsGwe=KMI0A_
z8FskCj1NrX9S<1YQ!*F@ljE5d?$Zs45GRD~wVPBybTK169LuB2{*V$)fvVSx@%yf{
zV_z%rz_@_@n}<1=VQk9x4i5-m4|Vjn)f0ye$A9uwk0b3@cZes~FMopk4sO%XUqIK+
zs>8+O=q=OKtFH?hYf~8Fd(iSmYiI<uzxJwuh`ycxwScS#*x*af=b)KcYx>MZ#G%~;
zud3GZOPwIxRT1JP;GnCfZ4F!%p0{CzbXlD!$#cT{Ks;#~nze(2eQ7`x5)Hr;Y=O>C
z+;tGu7Z8%96aIU17b^G5H@h?&(f6_$`$SbfImX_%MiM^u%#P^^3@RlL3ePH%<I%?k
zu7xA8&?}B)6)@k)8Xc6;S=DkcS|saAU>`3@rinLCeJ6XOI>^B-6@uO~8l+c(KBHt;
zQmEwD%@oX(+>xTB_`0Z-x%vKVoFSr(x;2gWuD@5j;MwI^EFtr@{Ll!zy!gp}I-A~)
zl-n$ip=|L~BzSXFRe*E{md6c?&O5mEaXyJ!wZTZ@7o?jl`;J4SN36+-;8n?qIb<C`
z<WKH|1~~4DAWICKoEUDH2=l^|#)7zotYQVwP;00vQCAoy45}*1Ze+E$2PoQA)F6#>
z4xz69NhOT^HgLBZf~}u_5JJzA3p{=MSi2=tDeOC+U>(v69|x$m$wC6LJ{a=vUf9ob
zW6|NlDWq``+rtVCqou515BORu`X9Z&YWWSch_;gv(0QH=h;NrFmN}Q>MQ-H%vP1Lt
ze)gPTAS}uTVW_<VMj4opXbF4VrZUOYnKK}$0>TC?B6EqtNb=|-AT-+IS=+z7wvSvI
z5W`UQyll$OBZP9vJM0#~Af1$}ZzY#FEMr30*Y(hNws*kRe$x??>;M1uIx*5iAb3iR
zvNC)Qe_PL-Jxi0w0^|QMF6YT6X`YzjFnKD(km1#hjA6k8UIagFy~XbfVF|aAjA+-t
zr=pW3$8qeD?^`pKTa(oVh4qorePP(S`s$Sj!U&avt(wMC2>eO@58PHq(;K^Gb<q>2
zYCr_Yub5Yd{E*Ye_TuLKSZ8)YP=QCwzaI*FysQzvORdyRecASvs+^+ZYYcM5F10jf
z2ls$9vHLFnIuz#nZX9E1NkKLKu#YaUaHZw0UVNmCVNjszf3BY+uNcJNDtp4tX^_Dr
zfLdNfiPp0*l_pwr96~^k*Wq&0jW~<AF9Aeol<G+Fwef+Z-&-YqwlZMkpJ2p_u9L&|
zdTM*!WE#o1?kBhe-@k?TFgNLQfRc`&kn4}Xn&u>5w#5P`wWt0&aI}OmMi#8d%YH(F
z2v5Wpzx&<cVOrcRhK6R0uu7Tk2pobS457*BH&7_ZTZ+=F3Pi=hLL$H$5RAo1J(|qG
zr|xx&$w;9tUOa~EOA#+~U5=b`M;4&>@)yx=RNf`JatXgGFfCCVrpyn;NxN~CmE|vJ
zI6#yQaQZdF*&5vdc4we21v~GC+yas56SkxxaL$#CRrOKjpY6jo)AmcAYN^W6*_i0W
zx_852(es(!9LyVrpUAOl3Fx;;?#LtEHvYt$$utk<Xhbr&i@`*4^ZTvW3;2;Mx(`eA
zO*p)41_C7@a8#^TM`JY9`DarFeoJ1F*ScMF%Gdd^0PaqBMoRve_oDW&BMt)xE2&9y
zz7xQ=QiXYgMRbdv0m_0DzN)-OU*$Aqisgc|4Gsx+jL&{cm|?>EB?z{)Pu4+^-aY{!
zh&E~pWth9+L42@1+gBPx8R-&)f<b-ppLq#}Bc|f-)#v?u2*AH*f75K0L;;vbYX;X5
zUJ%RN#PL-{H<g0!{(*^;wlvdmT!P#sMNvm|gh6(nBep5#+^s)TrLQ-ry(ac>U(Gcn
zgbzV1fY{BZvK~$iC(?qv+K5csf5%AL{<T-t1WaDvlxQcNaxQjhc4>}Q&UF}P;=2)N
z=(~W-UK=>-BT#@++W@a;a!Ym<Q|0%8t0g$X{QKa*bZov6Ck8_E3SZS%q%{et3AY=x
z6Op~=r}tbAZ<I;7pEQD`jX1hSv%vwOMcv{PHT3gP31Zf%U$;U~t1jYoMFQJs#MPYS
zO?$8`okxX%@j+SRQp+>H`PU{__sm0E77w2v-~rQZ=0pLELUvM7B(Dd}h}zNHtJVar
z^k%IfF~aC4Se!gy_CBh2gQNo5V?vqId15b)r5<Ga!(VDw?mm3A*lj+w+OIhgYV7oA
zO6`l8!M5urz0py^3{MpXsr&@ASd+-WL9A1*1<k~sP%6!&LDh0PAIqG<SYPazcY#~=
zQ=c?Wa)4(E$|40U9;F*{SQE7`p||v`o|D(=EJ<KG_$-ZV)_i_+y@RJLIb7Hz&X}h6
z{|I){O4DJHFwm1s#Jfy@T)775W}g*}ilmd727%2(<A14r^fqf!Ru`~5_Pjhts52YI
zsDWubv@_wnhl3VkgGXkb6^Y~xJP(FzX-Wn}hO`f+T2UUj0~3QiQr-=RYd_jpEo8ag
z+_49>#8F78#Z6oRxJ!gWw5$^A9Bo7y$&A5ov$UqDA(sG0aPs3j%T#A%_gl-uWOK>R
zf7*zdLbrX+s+m4LT2JF6R+TiVRy39E%1_;#UyM!3dRf~LV53Q>IHLPux5g0wD^mOI
zh_$041{+w}cv}(vgp8Ica%>jP)DQfEc4Hxp$Z8yt%uXE>!%iK^zn&7fOZ^WA&B$Tj
z#-|qWu4&0#np9Rp*iDp)*W3`8)s#}lx=Mv5FK1VWK)}mHm|Jc(i|YZF$U8^F$R017
zP?6@vA~peX-D&o-&1{^j8HQ9Ht<th~h9Gd`uu}@&WJkpe9AE7-#=p?zWfXrg;-|N7
zoeH~~#crvfic&$b%!Wn=WsA!yai66ls&6UDm|0Hm9&|Woy;Lfk1;uXM9tU+HVykRU
z_az>0>!!+Z9037B86a7FL3&80sQI_<?$cj@&3>3VtMl;Y@$ISBl?m`=&BnN1TR7(;
zqOgSEjzr{#?x4{<CJX_p6jRE)v%?BBZI9n9xBu>~9Z>2CiZ`8`^v4eL5aQbtIa3{R
zD@9?@rl+iv@=eWc0#W%mFK8cmQ*d^5hs%!0C6-6Bdtj5eY*Eid%~hX3<Kgu84UV0?
zNG3Q~@vNZUCIZ9Lcd9v)=Q#iXC!q{Q+B^znwtH=ogt0N?_BlGnKkLb9o2T4{^iWIj
zylOrW_jl%EjeRz*%ajV%wlbmGGn7O8bjk7wsFj2+(hvB$BaPGG=GHTefgcl0Cm#Q%
z40W%4p8B2sP@+nX{qgV_MVqNW6nc1U%p-(%-Bjg&_N*&I4cWt-qsu#|H2`QK69lOX
zHq$n}If{|XxWAP^hBNv-E+o_TJ;}JemG3^6M>w8cn?w8MGI!OUw(W;xn8#c0i40re
zVb5B$cdnV#<xM=)I&LPLu+LmHXo;snEnDNULr$GPb=D{U1>8J(Dh!=#O^%8nHq7s_
z`J7oWc{RY`;p*K|xX2QFVgqoAJd4{U$Q4NGP4H{oiisu7MWQ0%TiP>>h}CO7RmQ%^
zpaWoM6s-JZ6=ZJYH$f|0zUc-Rp#Y$T2At3@vpKK{x}fROooR5c1)`^GT5DTo6RrSO
za0LMWNRV-_C*I2rNRBG#oVY~p$Sr1+=O?kpjuU$iyATp~muoy0m#zV-_X?rPY7y&s
zI&zh1-Jo*G!asj(*M8;n{EucTofj%478P2+Wjff&Bw+R^^(Z9)%srm4+&u@^_NpcY
zA=BGw1`{IY$<#A&BoZB%x<pSEqpj{P5OV*oj<Re~j6lSV%>^r_t>CZ42D{ViYrFqY
z8jNE=nWj;Zm`z;&+PsQUwotAjH23L1E6u>hk|Kp6Q^PR(3E!o?pv?MZatAUnB3P4K
zC&5!}VWqz5`O8-}2Pr=N(3u8;U1OxnCV?pYW>GWl2p(qK9mT+>?oz22(N<R&g;df5
zq%CKjg=nA<D^T!{lr+vnHpIq^rX@pJlGJB-aS!=`OF?#p*1~;E8XWQ#lJmw>*jrb+
zwfY}NHV0LXnK~{u2|QHPfQV_NoF*ya07UZzD2nL5Q!r8aOv4QyA)8m06w*6XVCEF?
z)@hw{YAD-u#Tn7}3lq<E*n2igxsfyY+mPO#toA?Bm4Ao_nqd5~Hc<{xwyy3kli1y9
zk7Yy~)@PP!u{-p;Z+K))`jn`<Xi;=eqXzAHV1*rXv{n%n%n=GK_fBUeY-d!4ni#%n
zhEgAvQ6bpkO&J8Ly(%=ivF9%M0aHlyi5|N+J@5$YbsShY+_Wf*R<<jqXeO~<ca5Om
zHh-HPOu5JMN02Ra=XmogZt&Ztp;)Yczg5b}-afbo^%!<iu0cBAOqEaW4pa$z`*)7k
zXLrV2#=I;v42!^bZ4)@LfPaTOim?J2nvduFT;v#Y<L4RYWzh1Gc#_~QuMCpbzKu~+
zfqVRLm)J|T+aM-2MTEafYC;piZINH-SFfAo!)kz1XnaornjnBpPgkzbbt;waf9Q;Q
z**Vc=_|$xuLn`2oG{AigtxOtAHH7V4vp=w3sw!s}C%zsCof-x2qABrCj_(>sI_W5o
zqv?aTl92>@NNNA1O^xJ~B|Fk~MzQAV&3`#@Kg34v37DcP&^X$<EIUB=36O{zA1|gh
zE<DriE_zlywF!{0!ojzQS@iR@ddMJqb&Yoa5E`Kz39g<-fsB?D_J*2px~`mVzF5_6
z$}m{GakrlTrL`5+rf}C${ayC0$VCdj6$}Gp#pD^~sFQvK<~ycLWN?3VYGbQWUWZJc
z3|g)mI8{AA%(y+YNlX1k4wN=>)zI%<J+iaBlNz0Nn#;x0Jr3dTJ%oFwtS+2?9y1X3
ztYmrx8Nmx|5~O_P@U!InA~_m+JY%_pah?L~?UJj9BTUER&Ni9E;kC_|+h(;{A)V81
z6@$B`Il<P{Zw)?VvhQ^i_gRK>^5<J#cWz(<JWd=O?fQL=8E20i)gqSq)ew#JYrT~)
zFNc0?HC=)i`fcYWlEn+jKFC^zAq356)S44**5r3YFv9vU?&`lyIt(>(^iO5Vs@<x`
za)^ja@y_*qLcNCI1bud-ImiIT(Y;YbahyT$+H_f6PNr-mQ_aP{>V;Wu{Td3ekQ4lC
z-AJDbDi3``_1VAGTj--b+7j0@(ZE6iw-BGbDgl`n(_VFw_aD3U0jgG_{#kz{x8T*!
zer5DzfsQ*TKu)?Ph9l8EH7vsTut?Hj&tqPKsZV)bdovG6Usr9W(t_XUF2RV23s(UX
z2_x<lqzJfsR)f&9HkGD`GH7lbXRaRyu5h_<ph?wj{J&%SrJ`vb!NNtwkPzJbDPW?5
zg*YsxMd^lP@xVa-E~AQlI|OiEs(tATw(WXiP0Mx|rxuQ`LkEsoc_VBc#7XY2z_i3E
z)T5s#2^e21z9sY}%+34Qv_6+te58^_!9)htc^u{<vb*#AgF--FoPv<F{buBd6k&dI
zM!skSvrrDBOLC-IS^<e$p;Bbx9n#}*iP`s$yEbZcR*ev4?=F&I04)!e!5;N9A*75v
z_3uo)U@-XMPR0-2<QQ$5rg0`Z;iJ?r0+uYvWyrtT7GW!L+{o5${zl+*(5txZH%_#%
zu{LwSF+E#o#M{4;ZENd9_r}{!f*J1#XRVQp9S^)y_NX-o6H>Qzlg|3wpTrm~r(CMW
zih$Xz?`fw!S&WfIIB&RLlBs=dOv|oEuFMMz*VEn-GSPOU;zcB7&3t##71Pw`hS&*z
zY-cE&?iaKa!wT=u2&DZSA?Y#iwy7(u(jS5j*6*MRo|-6CR9>KissKuX>X0H&E`_X=
z`h}&Y4`B3hNP2qIs{FgD1}La9kA}gZ11yv8V%2096p`Ag3j<VK;@q4jN;OX+q_@Cs
z61Ak!K;ZxB%DF1Sw9cD8P-0tou>}+DD5Tv<4);M~d&70b?`;_N7fRzQX|6jL1D}oF
z{g1fG(!z;Nn|ow{1TUVdsg@PPJe3a|2J{30$Le6Eu<ywokkXQld&G|I-$SW(NXG<T
zaikNS*nq2+t>*s8i#b$UN!VcV-Ek*|voIC25vV30`P);D6(TA;o_tBRTkbPO6TdO|
z3>0J7>=QyPhLEEISX9huHmY{_{@)Rl=)M;rO%a5V8lZSC@FG<X6nIQR5pB&STLji;
z3J}!6_fB?gkCZGGh%-~^H*GM&#b47}(#JSTSq5L7^a+C_&ry-TGq=XkwP!Q}K;V)v
zaVwxRk}r_bYH$iUU3nSFFq+~YTlTXELE}C|7~1E7oww=&?P#8|G>In-F_}(Hq<CTT
zFprYyj!*PkgwZ(=?jY7E6SgFu3lQ#JyNAtIbk11+$9UgXh%SxVKeG62^2L{|F>a;z
zjaMxxTxUyoT|pC^KcU2t?L3kMPE07_i{0OE5|^>$i&IyrjkAmL#3cceE98!H3$uPi
zmuiLBjEj!~77NfK%uzRgZ%1SoZ!=RLK18Wz<?t&Wl!!U^PvZOFvrgi+40`sR00wwM
z(KeVZ1Kz}`)PI0|mAD*8C!yN*FQD<3bdKRs=gL{{fGWA$O<0Jvpo_W6Y<)LruqT?H
zV~<rw#E!3HLVah#EAlEs(cUCvWwjMXzDnhz11Jq4{>L$R#_efHy}j^_pC<Jm1)^>Q
zBwyu*5CSF(b?x<^OG&QxvJyLh7QP}O?UtwC_Z7$q$Yx-E;p4nl!3x|)gQJ}(JS+e)
zK+eD8!e{fo{ls_1K^q*tcexN;dUFvQp52AcYzp4w1G(q#n$~BF3C6T*Z4l<dnH!jw
z>`rLgbG~rOk16u842)WJoQ=3e#3Mna#if{pnGXLga`J;t|J^L%U+V^C-R()3DNb<_
zNL;e~t+cs7)%;HZhC${X<W3)spK_z5+r=MR$BWd-%X)Tn<0k7;u`MT8k6Nd%p7uA0
zcewJtZ-tQEthFCs5VgXhBfJ9|Fba{Yct~8BLwaH#gFr*_ahHB5Fj~|6Om~_;vvO&1
zRwCs5$z{kCiU0yOPh-`ih1r|&EF7U>kkWH+VQ3mBo>qGZU7latW$>Iu@(3Q^PX?BS
zVmUR>o46wCh3NlCM}mPR4L|&BN<P#9RJ!_oI1=aDq@eCs%cuJ>)yQiF_|JG|rYwS-
znf}$RJY(_eG>}hvZPw1j2KikiArb^%?gCuNcoGCNM{z#i=wkBjyz%hcy5$Q)L+)Vn
zqHa|h6I|Tf(oVMeOf9dW8fktly7cx^jj{e=tYnOr#t1>wz{iUl2{6VZ%VmEiCVeT7
zW9NU<ahoUQ^QR{%v>a|jTi3%Wd~*4_R3eqBBqM2GUeR|<q1=KYq~LfJ@GP@ZzeQ22
zb|M>lcGe4XDx3c%>jw?#AH9_;6{6ve>@O|CH0ze)=uQi6X0JEM#CO6~(Nn(GAr|wN
zyqf(Pt<&!bP@X=SB&t45C~NYWpR#NNQ!zTyur~<8zA`zLi?@R8tE1ecnSGRj56AR=
z|6f<Jp+*<o&SFI`!PUUMpth3**wp!}%t5P=g?g$(;tul%@Q`37#wk%G7GPZJ7hq=c
z@As*26x#q90%-a>-D<+W`VxUEul5PT%^Yju{1jKdd>4P$2z<Duf17URSI`?ml<R}W
zB|>lM2HIKIj`pN{s@@2&VcKVS)NPCW)tNw(+pHu5jY&0iRc{1=($uF0Dvp)^3<vQ5
z$D~!@A`Tz-=}+0zl(&+C_624*62aZ)E=W3Ast!@rxy#N1q%C_QU}k_Vgx*<!73UqL
zM9Sq+b}EsQ@oM<1tCQeXs*H5Ft-n>E17R-`+MHKQ^_eaqGVKTJ9MB2ym&_$qbSi5a
zxnyybk?`f);fhp~&!799?}T7&2{g!7!8`Vacx$HLmyxV!%iEI3X28f$B7P>>GuO*n
znB!bMRx6xBGePRK<B0C3d9n&YqvggtADzeo4M}m{7Li|;Ej4u|nEuCG^tg@*P~hpQ
z34J-7A?}=90vK&W0~TISL~G7DVD(<?AF2`+43nn|7G_mbE?HRJRvkaFf{`{gJ4xN5
zp7pMC3ib8><;_7u$npM|Aw`9BLcO9|>ys>*#hWJz0jlz337LQ3%30JD*s{yYF_wzD
zy|N6>ReVp_ao{8x>%!9o)5+rs8<vNk+tIfogC+=L>j^;J0&#f2nNJPcyjGp#bSOf)
z43~kx{1JWJh>Tb)n55D?S`sf(Y~G}!!Vm^aaroiFWAj{Pdm|uH5L-~S2e2aCUB}RF
zd~li4!b@Z5nQMq^APob^9BM;=IR^IG=l)<GH(tjB8X8bl7WmBFJZl{D1!C?+n3Y1K
zVW1`h2O!XkRL<!IQZ}2-NF@ZTrma5I!3SW@=fsyb<(K_gH9s*Ldr@D=n{@2CdpY7|
zj>RcwvUw&tHC<!hZ+e2JzQuG)56bcA?X|cM=KvqgPo@)&*TMIbrD|jFK|_=p3?;as
zsBQ+ZZ-YXAjSM$~CzpxGCr9wv#sK=5xko#*=#ZiYz&V8RDXt85|DfmnhV4J52Z88U
zXtYeSr#ynDy%`JyV8uh>N3#`tZ~Lp+Bc$5lK*yL>s8}Ub>QVt&Osg!cOIBt5Z6WcL
zy#d1BC9b}FE!t^lU?Pr!jo;f-eS#VEgmr&MkA!gV>|(gXeY@_5yE*92ttsLj-)I6*
zHjg6Yblx{M_N;V;;lufT!MDIVcm@3iQj+zc*L65-r3Z(`uunZnF4~Nx6ckakS(MfM
zvg!0R>;I{K6u8dqkuTJY7^0QNqlS6wp0Zh{^)h3Tgqb1eJTP1O9dZwq1Isj1y+g?4
zmzPMRW>lw&iup%IwE9d{kMo$C3u(sqHN>fsf+KD<UVo<6F}YBUwoW6|a8gBaB5Fgo
z+w`D>!p#vju+3st!U}|xBof~6;AW}Y=Mzp6Vz@huyV;-K6$@{$$-XNk#2IvZ>S_hl
zN8VVwL!GbL8ZwIGb;cy4`Dl*LYm2295im(gVfI5;@nEc=7j5?Qbd+p)(FGp0<VQ<a
z5Rro&cmDyHYP>>aV4vBMQF4}CCJ%({D7j4UfE@(u)!A-l{B0MlL~pUjd8T4Pf6NYg
z<DBx(1bhE%%f4I{!?y{x>Ic{r;uq6&E$~RCqP@g^peWkF2n2u<gn^3=R$%2PhRtN#
z3qeJ0S8ZF&1-ndK<UuSfOrqg5p#S^t){HlRj?&tSq5P}UX%5-;hz?C;m2g2NkQOX^
z7@`ALv7?sz_k;;*Pl*{hfo*DTE+6pqa#kLbqjhgiAD*Mq4}=eO{fjI5&G@Ilq`HkU
zQdbCtR(5aXt{sP{ie*0f3{<fF`YPHd^%c92T-<9>rXAe5h4-4`P{NMVCIuMaI=!fd
zh)yD~6az0}xDYnd!;Nefsz;2=Uk#yLj~Baf5I3BSV-pgZeqKyu4K4fmTqDY;g48sX
zln^d6Uen6%O;G>hs>~{2_Rz1*x>OS?WT=^@W~R)zxBh}J@7&YtlWj(7oPu~l$DXR;
z?6&>i0ZG#-bris=3awP9jBy&&FlVdxTY6@8qum2>am<#pV*R1XqA!lxmFHZ^MMx~9
zFWk)PcI+*VeR$Bp;-!Yfy|nKFEr+Gf92Q$kc8p4E!Fs)Zzi#iCw|AW_Kf)~hs^Izq
zh7{2VXn2{6h@6w2!|fW++tm5%UV?K>U_&-nTGCbUKuBE`j)F?`AGW2e*o0t>IHp{(
zFpn&6SI)oXVstSR@L*cFew!Axg6Le{z`1dzHLE_>waBz?#;<PoVz5B$6nu(Do?9m{
zHd`vukZmIGd4e`+wn{OgR&6@GOixc<yDwi@CS^1wZIpDIKNI>|%6SbB<;;v%+N>Vu
z!<UbM_lY6Mwktj7@3*?YVX_Lt2S!dUlj(AGzNHv=H?%C%&kEZjO=I({BF_MlgHKdo
z6$;ibj^$?K6YvjypSewv%i)s&OU3-Q%!mk(7JMBs4g5Kl8iubsNxjYBORFoJ2R%D;
zL*3u?0!sq<WP-yp(X9cz+q;%U(v}j%8l1B>h!Q{-Qsgn2@2A#k&CnS5KImk73RBev
z=VxaR#j?b@F&wV97)~Z2c1r3ftz15;*?}75jZ+#UNmx&;+aRRn%(O|5Pn?T<ZZmK*
zNP+VP9H?*&21G$9)jVO=h_hf^JV^ctcrQp=aqaFph|H8UjuVQ*y%O`*p+eE#@H(NN
z0I4JlSH<T>eK%X)1_%Oh)JtUcBSQEZI6|jI>)KbPzKH;i(gs`cNa<;9Q8x-!zJp5s
z;HIc&$pG2Twr1Ax%sB=L`>0$#=b4@eps$r~J*#og`62NhNyVY?92NBfkym|-{x}xf
zie<L2%bF>lv<sYOg(!o5S>6ZsegMyfAdM0qT6OLX%wKB&i)t=oK<@0I;s@=;qO#Mq
zWYAvAC>q+`zc6K~#Lq38c!@qleM6bf=}E!8*dVYMw_p7a5LM|vG4%>km=8!tG8(1n
zfsa<9NS(UTRFFQtnAFRL0@b0d5fDQ@;q|9hqk*@Brg2N4l5URkU`d$@uQjwvfpyGB
zwKHBHQzvPXK?V<JOt(G~R!tkfxOoK^T>@jARznAyQa6g`Hxdn+ISRp!S+A*duu&H~
zIb}e^x&yjdYc@p5J&xfL6;sKmAQqHs;>Ixy5+d{eRo~9Pap`7)a?3q1Hy&9*@$a8;
zKV{=E$_DERtMRmr$LcM{$x8^hb(bpV!YgaSpX{S0YpA0UdG3KBw8PbOFD2|o(an3r
zLXBn3wYhjt8u^LOCN=&xwtUyGI3GDwiTuy<kiM`0g3a9%<%XA&w!ci-Mv@JM9870g
zvP!D45vYv%*rB;HR!>kVcZEP3{jt(k=6?%t<e4!xW0v|YY&TgQ4vuD_C@+(<mIncF
zcU|2P5PY$WEOr)V&1Cfpi?GS};F=U>CjSz3vRpT<CJT@;%#)wnVqCm}HUq;6hudHA
zq+`SJMiEO!^9;!Vd>W>=+njnXp3SL}%VrI>R8mAS?Kb<F{`6J=i>rtNHQ{U0YB|P-
z=3S=4jO4z~2jQ|Jq?lD2XJm()VHWCJ1KHQLp1_3v+Ip5Fx=-z}M800P)xp|k0c6Ms
zKWNt;;0%NO!ZT8lj<2maZ_b#f;xp8jM)<|Kh#ll-t$3<;^3H$Z?%mkfO+)*;%a?~3
z=pohna<N%Eytk2PXiSb!We(=6zh4Oy{<HEP3B6>NSP;<vqAE!8X_Nv~63%(NXJ7#n
zZo$!edkcw8gFdtERpi&x0rK5Mh-Cbqm;oBy|Jya2npu2!@2;-WX)#s5ov}hDpHZe#
zDWl#08gyA(DNYw)=!EN6E_W;4Vc%X6KuA_YAbmU;tI|t)Dy|D7DCm(jfdLK`3uq#=
zZ*|}Eak$iVH*29nkll+9;=(Yj0GMW!T<2GP>kf(72z0z%rDfN}ZrT>0m(0%>NOOe<
zJ_}x!&AqWsXv7K5J@9(WlKXkqqi+ko54hee(4aky3~Ynh3PDVq&bN8t@qCsX6S6Xa
z7Yr|-;XEbLr4CQdQY=VVPhD&0L4t!1m0S@D9;)|QK>VY-iFnqPnqH`Lv*iV{m+9%!
z!1srXwm9v)^qR9X=_tQV%|(%KxyMDHvI-8&jdBf1N)k&~Lvtpl;wGYu1_=mXiM}4B
z&MteM+=%~eqP0#5lQ&YUgsZQ*ab6|cYmngr19bNL({?n*wZ><cfDu##*6!%9hc@~Z
z7entx4k4#PcB51yVw(v<IyON5mLDZ@Y(w0s8?W`42xouzkeSFL$)tb^b*m)Xs18h#
zTr?7ydB~TM+;4B{<MK~{y9YH8Ue2p`&}wUX87|(x2|kpHfBfAI{to7kRh(HJ-68H1
zoU;iov-+_;cF(2Zy&M0{U-T4Fn6W9VPbWB-Zpc{Pskyni-HxVgr@Js^?inS-U0xZt
zDS59RClmY(9?DLdM$1`gsY?CavQLC<eE)+2spaMwy=|q*?#xD7<jS9P_699gXT@8i
zM=2W=Rdg7tm~28ooFx;jQjj&cZ%06~9a0-WJ3_jBO0d<B1AKZA+no1DOc7By4}2TY
zeH5;}yR;27C{`{ax+j05okYjIQQ?1UHs?*BugnxCmjfw5W@e9{#G4m8YYGUW^?52t
z&D@R~;g+r{yqPqVn2q1?b_|$;JqG(x4f6xx*gA}N77d{ia<o8p<nfv&-;K*HKILy)
z6u7j!duEU<k-xQbLtnH=UY>x2saHx;_rWdips>$0wzC9ts~Yv^SX>2yWrEs`_wdGs
z-9pYVIQ2Cgwai*v1`S^jUI{oRL#=%oO?wfbS$2>{i?dP5dJo_>0u4?yDFy96R8n>g
zOE&%Lg0>hen`rTms<hQ|Bu|vE8LKWM&Xe{thGNbt$Z{EzF~ivj1OHWt$MthUi2{QD
zX5D%pD~p50wYoj$rj~jjaSw|{;KjDoejHsPrg%l(<1AV62biK%>2KB(6k@9AK~`Sa
z0|v2K=^ry-2AAN_m0sUy>L0v(I=<{I1;r&oJtDr)Qo)cL`%+KH+wFyAlrASo5XcUa
zDq_}cx?GytXVI+GSr+IkDcwRqT!R2vq;G#59=cm^$LSSWtiDNc6IQ^nLh=|~PQMXi
zJa+4bi+La6g4DCj?#j||utLiR5w~IMoVI2O)sSWPAJHe+466;rAib^r^XlqnoW9V$
zn}QCo@WmQq{MCc)g@UsR5@MirSR;9hWz1NcMx8W-s6upL_$^weQ<CypkHg?V`f;qT
z*l^eBYZFaxxo~$7dT8Zv2u@3~JLS<aTQZa@(HW^fyQm#gG?dXV5{BOG^C>dF;~Q)c
zJnwQaL@4hQg*=AheU(NdfX!57gAIb9=is}nQYLG-)xzm~`XX8J7(Aews5d*rpVza}
z4qDFmxY$D?J4O0Iaw6CZ?o(Xw63-fqT+UohhwC8j@dAE*q1p1|L0s|Z95t!bp-Nvz
z`+ur_l_Y&j{+L1Mp;1UjFx-@Ho$EfQLjh$R#TXm-;U!2Gd=W^QND^xM5v4%M0Ncf|
zbIbFHFjCdGV7vo7E8c^cZ?oH}(5RAl5{In;=OJJ-(wg>3<c-LX<=aLky}IAlsC3|3
z5YVOi6e8@<pZlYGp4h}^=S9lWdgCW+mWNCV<ptF+QnbS{=SeYg7m&-!yqNg5WHv~7
zG}cmy2G%pektY;4bK{1|ZQiqiodNCt8-LwVHB-gxuy{5X`GexB4b$d&dCOGWZ~+|Z
z0!i!S5N^zCT><f!RX@=M(}P?zfrhOBHvw}x_?fQTy@A`;k?qNZjg_g7U>~<SIoUhQ
z6^5{~er%TX&1X+aiFTyKf@%e|S^-Hakqba#CLXc$w!9RDoTf+JTbIx?Rj_M)<md<l
zICUl6ONG4gM!JXQX*7yIip#S?s`O|*wn04myEj3J8U#htt^=+`Jv5f(ts|=&vKCW2
zT-oy@KO}nm*-b9#MwiKVzjLix(UkjOwSR$>L4}f&krD|nK8rr%^SHZ;gx^n;1Q*dl
z(oyL!0&nnWCt{~K`TsGb6l%l>6)?dJY2@@G9PVnG2t&=a8c<-A1Mg4v3@aI?Q**}w
z-TK*A1yhKNyn<osAxe(WDjnI*%x}C)iLE6iy46;p-?yl&A61=TEhLORn`9j6xI-%7
z3IrRn7^u?ZH7RxNI`fBCy!DjX0ust~BhAr4Ov)2hfEfqQTzcn>Zo<`>_pS;iI0r=4
z`OAA?{9)yu$^An0v1dUUk1t<~a}2sO1*Gb1<Nb1@A&?!v=}o&m#RbcA4VHsxdA69V
zD}Cu~m8W|{loD?bt8+TNErm%^5Jh-E9#r+S`$d6p_*r{B9WQOOlgrpv7ECA+?1_v*
z9?2N`dp3K8R9nSyLyZ(fCoD`7UB1$$lJ(Z_kHqnc)E6EVtW;!i!Jco23u@#S=5x@x
zU9Gpg7vV=r;k?33+n)nTF$LcK$&oG@i6S^0KW*WxDsQ}_=iu4p0gvhz(4ER-lX&ra
ziM(tlRd)C<k;zwAK&qkU3iDF2p&|MG5T_AjN-P-P>yoNd4*J`_CJ9S_GzkK90e!1^
z6aNkx&)PuK3aDQkgBmJ3*^mWd)AzIOuHG)%-!rYm2kt-QNs%Shyr6Q-q;PaQDjQw}
z5XM}zxRQvjZ;2rFK@a_N44^rXCS7Dy3GpPnH2x(f*^W4YtE2%w-Hhp?DmAJfEKTxZ
z(f@cni0J@ij6IEJa7du7d6q@O2^1R=d-s)_CSA5%|2DRmz7Of7*qDI_*}fNFmKWtX
z2{h2in?cNcV5b)RwT;C=35%$lut><cqweL+kbY9@zjFCXK_|NCthu!v1&jcrFibS#
zrEqR^CruT(EM_p+xvMBGB!CzG8Ml^U#6xGbWL5u+hU?1Y<AEd`dXX-}2QX-EN?Rm~
zz8T5g8EZ)-xNL;`4jNQpE?S5Tl&*Tp$-Cd8@@tAZ5FS2_u=3~R)~N*L?c%b&c>)C%
z-lHl20Bd9@xs(l`J2K7NJR<NnzqH$lCsf(^f(&OD^f{FWem!hhfBFK6-BU`=(OiRo
z_=Q2#JT1_7ny<Rc?X4?<0lc09J11Rx%)%a|neAwFU5SLy?8`_oP-5nBzTDY@2RN&R
zV}W3@LZG@lGwQ<zY_p>kx%ypQW<@KY)E3u04{53Y5fC;{a}PdOCv3@?3dMbSMslbF
z5K0(YApamM^qd;6dbpoVU#`IM>o!yWNbuB_-6yZki!Dz5Mnszs1ktFh3B@%(9)TkI
z%A`3!u8D#`hNRcbBe$<n(<B|5SUv6RM#7NTHkwF={lv8%LwscSO?6?{s<Z@5FQq%f
zdqy27CFE!vmxN-^k!c3a>6%t|^hwA7lDfO?tI5=mZ395d2n|)X`u;iPTL0=C-rgv-
zppUClD9I7>3l5oqmsEvGu8s=Ti}p{=bqwC@Rb=FK5HY_?u^&gw@Ef&R3q@eD1q|hB
z5=qW8U&g5RDj}$(W*LR}Vw88v`!W1tijS6Q(86OOe8?bF08>-UrF9$E)*`z5@#vCY
zp%KgS3T^4fx$0c)>X$bgud2N4e!)>*v>1k3kAM5<+}VM?z=zum&4ZbKL)8hQmz5ek
z;POhwVg%&yO=Du7@i%db9e5bJM%eRs+8?}!)_ti7LC2$SZZrErs~nA?7LLxHDY&L{
zB&@^5jjXe0y?P}Y_UPt)|DMuKlf#=ZAAVG-eKR#poX0n<UNq?K7iklx;;FFJPL5-d
zkId2@cNEoN9M@9XV1cs7w!_x()L)w*NlaN-g{595S^g=bzdU*hU#GB_{badCW~$@@
z|BeGHtzSZ+S9D<M9a_w>`Mg3JNxv{C0!5<473E^p%DgZq3+h&=7?rRV!vG_)3V^m`
z5x-Ldg0ZuU0=HKtwfszqu7#Yrv(Mk{Qo#J#y!mSN(q218B*?{fTPubNM-it4?`8VH
z0ePT%oUZ({QsQI>THX8UiClBnUE>}Uv12)Y>72LaV#CYmDi$D#;grhVBMKN$sr0>?
z7IC@ER=dI&|B%r`%CNXIXd~H?-^LsJdV`#k?(8c=$dHt~j3_wr#{M^qu`968*na>h
zjXeRp0ZNJ>3@Yd<y3{SV(6o|#`3?GIR3kG`9hwN;L)HTT(1ucz-QAnm)ACvt&*7tO
zwMRuBCfDx}nHRH;)!MT{ztAyk6QEB6&hh`wx>Y%RcL+0Je-|67^;rY6u4=2ZdQ}<~
zFv6Ln0*60G;keF^9g>GBY5~!jWE7LPi(MVQ6qFxW8W{VSsbL5RhNeVcT4+|km>(ys
zjXY3nij&z2gh=U$llSOM@w}hf<u6-&n%3p+C9L@AM>^_>+}W(|akj_#`afutCt{6L
z^;1P<3Uy;I9RE1(vehJ&+9Zmg)??fwahjw!fwK2S$9kSln%ZZrlCib?JII+bBv)e~
zle3>Z=OCuu*kpqDDG~v&7fhaem8o)!`|y?&j&-CbS865_*nqM=tk@;}W_tx3B{{1c
z7%{@Ixak5XUhCl3z6uu&Py%g7Z*sJX46oz^&9mqC=O|%a%-qw?ea(jtA|;RaHW;8y
zAI6ou5F}LlkyfCnXjp!OpFMMCb6i`>K|uY)TCbdNg$yG0p>&BrfE3TDN`E;BQRPnu
zOC8i>Nt3=S32(Hot2}t#^0OaCrL+@*=8QJ)wyCmQ8ezFrb5dp&7d!*&nyklTN%Jch
zW%_wp9gk_<gcen7N^zIjj<jz5Nvt{d3g((;PgR-?0jq`xhkPNQX#<4X0mVjE;ko0i
zrdDqNnmrBwf*OLU&T(W}jNz%PlIYpZuXPu;TJb%U+)Qp%pv>{yV;EHksRY>yDhwKz
z4Fp5jPSrW1naCKYlCaZTRWczF(1&R~J2v1DCx{RIx!;~Bw+)aSg`)%Dw&#R-W7H{z
zDj#o7;6=WL19?_sm7{BWWl+FHz?5lg)~WA2%nj?^ubN7kEA@H^=R>IFxUodB^2`zP
z%wp@tuS0~kh+%-(NU48XJU2%4sfXW^Fif<qt~}TuKY(--(WRVDLX;YG;po!#fBdSE
z)r8f~#JWU-IXb%i_#e(Qj6;*|&^@z>!1<4;2O*YhrvK;y4lw!LqoYrH_M^sSNMFJe
z%h!5i>}}?bsER>6Ywm6iM7q@)S=Lv8$O=tjj{B2S@$o2>vDUl1<ZL})L)XRe3S7Ud
zIV&%g{)Shw`v2fuI}Oq}G4=*sYy8OSoU~xOwQYB+>(rXpiI82=r5jyC?t0F?Z!{Zl
z0a3ctHtpRj#J1?l%8ir84Q4&~0ZpyxZ{i}Kps|8fvA%{^gvlR!!z><2#)RX>2bJ19
z8LF2k^^vaU7g$Ii^Yix`%zmbHaE0$*uU@4r&X}Q`2X3IB*XZ4U!_c`fOihNb=9sG)
zM_0u<Q1OPN&wcnz;qbEuPDr}xVFYiiPMKDoLeN5HwP-~siaT=Id4vcV@F~gsr(A)=
zDE)k-`WbdSq8%>+BVUDU+h#9rNP-rrTS#KIba8JV2#71eQA_eI_&B`b7FRH0dg?7Y
zlINtQ;IRyc(vwWV+-V+;7~&c&Nf^N4!FQ2f4pb2|{I<lq8Of;#3;yVN4YPe11O-5l
zQoHH1a?n!XAhow^!)BsIeTwaxJ3m+|PY><3(bI~qKTS5TK@dda&K#DT9_7msgDXZq
zM`;X!BtOZbzO)$ByHRP};VP$$-_a6^%Q7zdKdgx`wXjxIlBuuHUjq`W7-1*<1W+dJ
z&RE_ovw9Fs@m&h@)k#4A#|7l)Kpkm6NR?35Qtc7I`{>WD2@J$7u_7@;3XQ&witF9x
z2-|8Mv8~@?F6$Aqfsi-!bToGvulbT*4CTB}913=ivyrc%RyG0+w|HE6Z{3*aM5_qK
z(}#_i`cy2Hb;US)*p43FRUrOW$Wz25mF@~mX7q!s%CJ1x)HW_Njd>`o4jGKe;w+V{
zTR|)2u6IVNqd%iY*<X|Y6+3+#v6s#4>3YG^{%Rc_you?pVAn<u0}gmI4I4nFWW{Ic
zPP%pewtn+TQ<>Fx=dE9+F+=Vz2m_TIvrKB?t^mVl9Z=Qzl>iUyeZ+ae7%<njek^Yg
zfwUHU`(Yy3dq*+z8q+2xYGE=aw+ybFA(EjV3Xj5JRB#Ljk}v+iV=3fH0al{AEY{}%
z62#p?3)06yw2<b@^2IK8tyVhqeZuUCf4s!EBo)B>`D4@=(B&N_ZP&3X{Q3^JCr_Y0
z!S-`T(*&B^>C+%`#~?Cc_M-Hd;Pr`H_c{CvJZm05w1MJ6a3?~WarQ-4(#pmm?mQec
zYovu@)j!>entJUy9d<;_D}4+V)N3G1d4dDME`@Qb5v&>o!4j%9@`&KW;@#>mowbyQ
zF|Zda=Jg0Tj?^?FEhL!QT75a5tpSYpaUVux!y#@a=@XZydq0E!85TK1(VN9B%^f7H
z;v&+Ag-W~({%J6p%zHzSCxG%bV4!kW%nuJm-N1$59fK=IHyw3P7k2EQeOjqaIA%Sf
zYgz1N3XIPtMRc9U8pWhms;g@9!6epW_T<leq6thE%zWf*gL_D}IJW)NG5{|tbbYPn
zC!WutBDQ3h_s+j|Ypgw(98l0jFLM3Mm2v2Y`DGSOo}CZlQ^zJDLwZThTLC|C;=B*e
z=Uz44gCI3V(Jx-Xnqfh6{-Q=*U|w9wuqlJxJN&v@qJ-1|9SWR3Bdhq4n6TVAqx*H6
zei+!l_!s3GTl6S^E>mtL!i1>RoO9KAjP4j|t?5Y&(I`Nd^WXdy-+HUCu9<&vpPY(N
z7CD}W5P1s0K}6Ezj84lS!^RA~{M=0lq!>{NJy|*OWF3)$S;QZ^_IcrA=<C`f?H5f>
zFa?QH#cwgaY6dDi_-Lt{bcy#lhnlk-qtu?{E8|`=uLB-6tM9<dM5)=PlefD9FF%jj
zM4=8zmW>X#xTi*V7ms2PP!q6Hnw4?OAuLOt6eE|?EJY2>kpBaxY?OyDJweb8*Fa*B
zM}jAm7=D4X$6!zj)C6|ZpoK-@v+Iv#>>=WnrHlU_b~t#fIH2CDR^sO05re6A3DJg-
zC@Ec(B=E9*7|-JM(WRLicl#6GRvsm-L)5h(Ud<_=ZO&W~r<sL1jC83z%jn*{b*6CK
z@}71kBi9Eo^2eu}%!)4k{3K88?w#;{Iy0<dcej{^^(BCv?yxJ%@(YCkDtA*haZqit
zN#&DfNob}f%|*o*A!`r-rbau<EOVUxz8%-HG;aHvg$(U@Jr&?qA8ygR`y}+XnFskm
z{Lur`-EjHIGj@N_I4}X-j!!%vKM*4xUvLiwoWb>A?>>p-j#@9?1hfZ#82tb~ho6K)
z<%zmfg(!S&pZ9M3-kQTRW8%9=z9gCAKW^3d=-2U39eEq4KX6s`6kZAJC<$%vM@vWk
zRN@pE#7ji70d;LHY)g@5dEH6HvWq^A2ZltD-kH~@@kTll#f|%!Ce91#&k?hYN~}=*
z&BkG?Hy&5zN?_W!97AbUH!$zSvWxwbMg=IQ4m}J>XL8$QS{a@{s`sP8#H;(O#D%vc
z8o@A^HPsBvm_qn;F>&Wih{G}UC}`zN3tlY|=p(0U0@sQ{8Fc90r`^V+e_`lmgE&SE
z;9xZSn<78)?W2!tL5yc)b(nVh3dswYc9sR2dw8n-z9v3F`Yw+bGQ2nkW+IBsK_Vpr
zsMVZl4>o91f+<2G9IsZwpN3^3XS&2pcp5i4n$tF=dQ4Bt5F8{P{Q$a?2My=skW7l`
zjBZn!XP8nDhN7ms*N+WYT}%NAYb^cE0D8AfTo7v17rRxr=d?av?(Wu%W1qMV{{oVS
zz{{Sj&vSddTa_G>V)4Zln?Bl0Fz1HnyZX@CZ0`gwk{WOsin1~Gy1Pn?Gdo@v{M-lZ
zHi#r?*15>Z7YIeymUHkBKNIb~<;drm3%mpzH9=NV?^GwM$cyH6NtrR{8p7#B9_N*X
zpQaua4Q1%3sgZ9O@&T^3_I+5bbYoU-)Lof4G1&njFQxqx<!Nqfue*rnnz>m{$RhY4
z3(TbAHI><d5e@jB+uss8bOSlZRf8&#M3&|~f;c3W$rbym+6iWw<xc~th?HDX{SV-3
z?KzO6Zk*fSO9tP=_7ucfZfo2swlX9?Ssr$+p*Fp*oIVhCSk|3&#EjO@!Y3MO=(-f#
zHpbf%^|XDoyUg>1{rDu$N1UPt&i6r$wnYG#6*Id&m;ok~fAtf9^G^>fQr@n?A1Zxa
zN-<F<(*8#fJS(NWWWM`q4D};L5;qGw&kNODwce?p!EzexE$J_CScxqUnMc8Xyl5w!
z105`i>TD-;j#FJgS3~*GhmWAdk%5c0kS2#xwCEJz)ZMYtE@z9y^Gx>0xCM)OX<PfE
zCTG{bK|H(MZae{yI6*3D#Eg{VOiiu1Tt-m)$pq%uhV&m77PN}zk5vZXSrqgLxntYf
z%7+@U#YFDH!DbZBO*;_9L@^y^Sqnc53mW8v3w+0x6vL3=-bHzBE~RL#x!PHVILB4y
zH$@mpof7gZgj7AvLIL`C+;4o7RPmn$O7LG+t(n6k==HYt?(6C?^|pptguQB{y(`)z
zvqFsEa908Q9JOalz2v0NF$OttdV#^HH87q0Hg=W$g?{qy?3MTVf<ah#3LUjF8%oh~
zx@}`S{3{8MOt(9alvjfN()efOjkgZ%;jMRW7L~-mn=h`TOD8E7@zmHngNxjk_;Fx6
zF8V)qyM`s8PR&f6;3KwpsWPU)1$QnN2XR3u{+0*K@>XV)1W(mXH<Jb!YGWF#H?u{K
z{%uQ<GLp)fsZ|Ld5gW(-@wIde@yAk51=qGi@<^&v=%3|YjTj?wY8Wl<U^+@|ntm!r
zQiWlJA(w6KjqD@y$+-1`1Syk?FyRf}GudYlw95X8><Pa3fR#68(L6MmzCM}IIDys`
ziE=ZNfW;t|Fi<}iI%jssuWtuZ;@3CmE_G&REDXim?eJt@r1zwe{!tHRk=0O4E6snB
zaUjix3;yqPL%wE{l=8|GneD_OzMn_s!2hA3qR@9bpn~WKP*Ue-c0rdWxTfLn?UxY!
z`bW)=6UzU`Vu=iOh|d@?!EUeo6~>6Y2j%eDlYASSwijVuVW>#6iIP`#Y(gMWAi(fq
zy5ByhlP*6~1_DVlvU~I=gM5bX7!(Vp5nW>|@q#TldtaFaCg#DC`Wvq!&wl5&6hC~|
zUnHKs{J1_e8+WWByj9Qtq!Co59_@?PIBQLVt8n(8S}%Xb)fiYyK{E0Qmu>+!uMSZF
z*>B6};OJQs%=<O1|H&@}y>X-DkW=!hEHB{|B+OqrF5EW+n^RJ(OK$v)<wtPIdPps_
zqKV<W347C3{8iWLn7p{))Ij4a7U)tq&^14e#f<Tle!+w2qW^BVL&o_e`G^ib*99gz
z&HC~e8sCHZI5Jj3sy$ycT5)mrN&T=?aClwo-ai<GS-S;>Ql>pr)u=_+iI$E`ADQ_x
zZT)FS%V{LnA!v^hpv#*l@TE)WcJ`YqO|seDC4#|NGK=K}26*qY{KvY$W(S63GxGEV
zJ71VOH|)T>e)?O%2d@Oa#4mXeC<4EPEn8rF2O5Xpp;zt^Gg2(<me;x+*l%sFCbq3q
z4-0#I5y8tHE)SNDxDo76F?V<xE5lQJm<1-uO}zE*z4?OO83|?ul;Oi|_fwkkvUGsA
zEE_YS8%i$f1~K{l<>*$X1TE?Ga!J&{hFPLCk@JC%#H8)~R|u1`7!LvK%U@_uFeYeI
z;|dXvVKg`892vQtOG>p|l*cQI03*UcJ;=a}X<i8kGl26<x0=|_4w%xD;==fR4{qbS
zhn;h8aB6t5V}FKLR|a->Y!51~bpA48u{x|g4bKbMx+rk%Ka`P8kGjXJMaGxVgSm5<
zI%7jy)R`JqJQAbj-rc7M{uSg?$<Y4928t+9XeKQhBw0g-Waho~F>h@at_Jw_Tc}x7
z#n`arjT6ahH4URA^rT%Sid>n0er0GZgbzP^;rNjz#e|hWDydPQ;^KYABot4tkKJ%%
zJXbsmNApEWrr~&3cEEcz%A*3n&>yrAh-MzuEr(t`EWqh0@380LCpmN>+tSVo&)cAC
zeGhdw*1;ZzY{k}VCvoag0YP#;U*gI9`)jtI_{nFku2ge$&!1D@#j%!whQxSedjfap
zI_v9Bcn3=Q%ESnic3)3AX6&^^3~}-44d-ny{lRBCbh)A;RqaAJ%6P4uOD`YQVE2G*
z2u3172aePc^bGOxY7AUH{nTBvZTB|WPTuSFBtDrvQ+LYSsC-=Z<*Aa}$`k?VaiVC6
zq<#Z4-}38Vbr}qHt#bLHc6~SPml37uC<bP9Zs5MN9lk|c++JpC>+b@y`>a?y!ln&;
zOay{2wlVqq{nL`yl<h!MJN90ab@|XL@4o`bJY6B6iPGkvz)+#^GNVoFO|cpZl-SY5
zdM)NE>98IYbgP3=b0drhv4v*gw(e!Gy4HR|EH5`P@Gj=--vNFhFZ>&URtz*96t$p{
z&=GlPT}<i=;Vl*2rHWC)Oo4wY-#!Fx?`U2B=x77XKwb2PpCz{jHS^U;gj;SLq57I<
zd=UKW2E+p1cTQwT?sYc_V7j>@7p?J5qbSKS6iGsY8W_0vQHSgJRH(7sPqVq3V;@;P
zcNC5;5qbIVvM;sh0wBtnm6*g@?I>7Ml1IRO8Gg(`YsRQ8>OeyI0%K`AA_DnD%sr2f
zk$O(X5D->vB&VY2zOy+okMjc_AVPnXK&=#2Ar=Njr!(wgaHu4j5=C|Hq{<`6n;4)q
z-mXX{5$8;Km(7KW$4D_Dn+&C(_Gyh_@AyrjZ?-2ZgK`}OqUnN@jM|2Wo;nch^R#P7
za+otuogP7F##H<=)9TQzqgon>_CEM$wZD0)TLu7U%S$8IRi9>CZZuZ9p-n%Cx$$OS
z77Zs`JUawp_#nwtAs+S~L56X&d$9;^W=8pO@+Xl8@Dk-!<s^zSuqJFDvmH{O=RS+L
zX;a9+o~hu87>3yuPrkgMrXErW&c(Hg7M;f+|HapYNjEIop0$j~=_#JR*_65VxhT5$
z_)ANA_1+K0yTWFb1TVei#(9z<(g)?Qo(rpL1z$T<5H@7+?J$Rb@rpAG!EHtljCtbM
z>DQ^PcdK59wa3ThXQ&B&TPhwLQgSb`+5eU)I;Ax7SuLjGj9k|DH<LE~{k!l?>8+|^
zS)h**4gPDJP>e2q_=dtBSXh@u$n*3HZs}B@k9JOnX?3onWB*s_uoXD-Um9qKaY-O-
zGE=DIloT_M=1CS0dZV=tz%YC_6HIG0+QZNkfJl&m5E)#98<e;U5R8cpp1bBw`)5m=
zMYeK+=3vH)%xM+9m|oqQ3<Dg@%Y>i)aZ%HMxGtvfVw$5eRhHi@EAJ4gzbZEb6AE#r
zlK@4f6t^rdRz13yp16(6z!oI6GJoy-Ts_hjLZUgdE8HC=w4eC&!*5z5W)uxH-C%4t
zjVW4Pp??gd#uW(2YG=34oHXgHg#JFWwP_E4OY69tXE4R>+?qP>j==2_zR7D<1)mS{
zE{7i9devU_(fEs<Ec;-|FBqurEVav*)on}OE-ePR#a>0Bxu!b&UV$K1aLQm0e8PMh
z!|wEu$M5R}gHnRWx2-g64xWUgGm-vkVH>`Y0fN6uXv1gEvE~cgIOZ{T04W{pFpyS!
ztA&@sE6ZGZD)-k+Q9s>S-1nj-h`axhNhnCb+jBM{<{BeOgGa@qa{jq$^N_%_>%_4Y
z9)XC;+But_ZjKaSyfA!0qmFSRLJdgSNN<EEIv9WRuh25(R!7%45E+`*$2&Q<h3VuT
zzh;B~3*IlDHE9zIoAo7QvvSvW>AyzMjyhz@UIZ-E+@nu5Ufa%cz&xKJLZy)svlL$7
zKqOgPGX0oM_?uXu0|4a5xB;%hu&PPUw*WX9`%T!0KWX-uQLX{+;eG}mcM=RLluFf<
zQzqq}QsMEFt@CS(Cy5-W1_m1#DRiQHSpwW~=XuY%Qo9NJd#tnJ6HS1Ni{R_vM*5=k
zsVOj>hP0+o>_BN+=l+gaY=Fn5pm<$TLb1a_fXTUg@<RcUU!qo%!Bag%BrG~us|~&&
z8lQsZOsDpLWPOoZMX`XkE<W4Hqku&JW@Ucs+RrHVhqQ)^GYZciHt>7VPvRz%-HY@&
zWzz7UEkS4c=p0MP^;%v3c=U@^zCu#m0j%P^cSo4jhUU3aF#0V_<Gi&SoOH&gSQFGo
zCMvfvJK-o1EzGz%Jz;jyVjE?>7r|~ozhj()pzXlH3-!b4!r$G;v=dg+alT?oQGimi
zL>7rQ2Dqws#6uLpgxaG!Gi#0+Leh9ScOABnDk~3iub3zaHIq>GHawF}8tG;T@tX5<
z1_4o%VuuDf4XJNfh#WXfCqN-^wSZnds0@VjqZ}&#%=@s;4PZ@U0X#p(7D)S(l9sf4
zy{)#ZHa`x|?eWQhB%%DBQYdWSkz2dD$}|P$-nANEU&ym<_~JGve15P%0!J7t`y-;*
zN|c8<nb7C0CI+Z?;yQ^vrqI$|Sf5MG1U^IpBO7j{Y%Y&tUR*(OSy$SKHX-Lt04iq@
zsxwl9(I)m@?y%tEEuy8H<Lmr}kit%<r1)$QPx-J$4b`{0c0WZjI&tNQs6;aM-fGi7
zO7-s)D-q2zzraw+ST)uB(X(%#VmBX^hG}5ppr9?Bn5hj3ST|*U3ubF6+4vHJr_$GV
zY(P@)IKp5sB6%Uy_06Q2nRRJsCr$3lC_0+9Z}P(VQ^WTPD5MhkhqD$L%kV8B5jMS%
z6rP^FM|d(c)a)x1IO9$Se+QcmGtF!wJ>68@q&0hZ?dSS@;L3jP+)4!EOl6f$dRdx2
zp>=SfJh_}2zW@GbW$L1ewD>jC;QEv&?RC#>!x*cPJ=Ty~>XNNN-Dpphq_MDec+qS8
ziMk+Eq=Z<Epi6ir)#jDUW70*P6{ajCMvuTr6!-r^Pvqc6Rx?ufarX!cQjJ7s89>Kk
zv;sL%wSGzD!#0QB%AxC@H4(<|s*QFPKQO78a1v)JOb?A0b^y^kGhiF80Y|TbP&B$6
z%H5k{cD);kZby|AWSOIe8zAH}69-(WRZOjmxaYHUe6D3t?O#v@fmUkzh-0d*Yg64;
zam;^JL1>ipq0`)^u4w*?Zfy8h(h}RHMS}(%C;YF|a!>=4fvKbwfml_Ul7`Ddh69Kz
z;f!g>95*4*yJA8YiR$N)rY#)w6a(VWny546hDO2Pdb#(w07==N<_Y`+KaIR9gG<|7
zG~nkI8M=v)N$(?sUFJ=>u*)BBY?68?Znzeta@)T;EV>FHoNP!Wn2R1iK0~mfzmWCm
z36KEJjNlcrS-vmu0n01Yj+TYi`8X&i4$|bh>L6~NmLNua^A**10bjBUP`Gv@gbM#V
zcZ?;xUlBnD%8B2|M-$p{FP;Hxo0HOYZ6YT^-7_M@$8$0xOs^kFRp3gJi;2^+VCqvV
zFZhBW(&&QWvpt&^!}HrAc!M9CgrP}LxmVyJJb|tgXsn#?M#lGY#1Jzac5XR|pS3pj
z52QmsA)y`Qq;47ggMSm#-iH{7{9DZ~_Vv}bP*ExB;_0I{QXwu!)HwwpF4oy=^KC>9
zO=$m9FcUILLRDqIlIq+xeIHU^&B#&p0}fv2tV|KHMP>S%>_<R4<QZ?9oAUWiWXir`
zU#wp$2~d=(J*qtHHY|A@3cZEo=n<e2j<9@azGZ&s-FWnS$#Ya>^<5fW!j^U;)$WQN
z5Q+S47658bnOv>lwS?@4n4O+`Y6ZYTsFCRYmQfPTwKP)vd+8dpL${StTl}hhs=$-=
zqQTxMle<(hMEDS%z4^eM)HJrs`)f(b_q#lojK+J$XnyJb4OXfwJMb}H*e%#+rAO!f
z9yOjo1sAKnx+n5`N&J`nV7v>TUJ`s`lxFQL@IkxJwgyyA3<fS}BhOSu3>(Gi`#zRn
zB{2Uf$q4UP?phf^;Ptc3%IMc^@7pkcq0~J3Fk7*&O69n~&|=6cV@glCeO-J{65VwJ
z%DX2!T!rZu{TJsMwb6MI%jcbVBUpS0w|G57v(biRa<oN&?-otsO2k^Ai(}SLFNcaL
zkyx}u7WbZkL{UNCS)2-G?~(4d^Ka$cstJI+_3WGqNziBi^<^aor`1{(nCcrz$v-B*
zzMr}S>OJNuliy@74{RRh{R@kH1@v5uuqwaaOY4Mj^@B{Aya%gkWz<7v@3qvZ5Ilnk
zc3+$xRY{_)@YiTVh#X}}y!*P>-UOPDx5H<u(T?O4rJ8BR3j^Oz`&&JUiCWrMTeb_`
z=h33%f<|Fh+y=xUf}Plo2VX;c@8e2Jta+9?Y}tp{qrp5kA{1r00B!yd`54AD`oX1>
zYk0uUx|@E`eZji~=zIZiqxcEbD=4ZPN}*0Cw!qo^{Vf(dH*`M|D!%%Jtxh5R4PA0J
zwZ&D0S;i)DmQtyBTo9n4BacgflU4<DWH*A?H54cr*S4)kC_uP1f(2N#l8m4Vif>XL
zYHmRyIGoJJg@Oj)>xhy-OvLDRh6aYHM#Id>xO^o>lxzIm(s@*;QqJ6M=k2trQ8qto
z!e(HbG6L)lIKdU|0Je++z{Epj&qre@XnF-Zhh$rJlf0$^Vafw(trZUjuIdm}_pZ3r
zhuR>;b<A{F{2_&i-KYUShRB>aa)gSQDLPCbj(c)X1fpY)ZHP;TwM?&?xF(^O^4;UO
zEyCP%?Yc=e2pLI>%7D$2%nBPX3un3W<9hrzP|Z<}v1^ZF&v>@^7uA9NzQKWoZRc|$
zMJ2+1?T&}amk=cTxgR!={)QhnF3wylXh!%XJ`+e15VN*#$bZPb(L~Fx*_mI=FO>r<
z68NMLq`nI?+Q2HLviGt%it(_x7M;!V_6UTPW>+XJo-Iw`JVl}zBVB=JGIrJDhIY(Y
zbfs-Z!NP=5@-I};`2>~WmQOI4he_a0#&)FHA*HZo(fp9{#$+&VoDdC@Xje2z4pC7%
zW8DZ?Vb~*MkoA$d>{T~LX=2|^33wSIy#4Vb`-UXF(i^5j7*2hkSeZ)Loh(j22x~0S
zzYhXS3}A?Vm;l-iNR)dH4(5y~p*K>PrjJy8iai)%zB|ULZol-ESRX+ZWz7$XItM-w
zL|cmR+K+baoil5cY~B<cezgx%b=RjSp>kk<ctwsUT_CJ{5EbODtyS)lyNb8f*0>eQ
zu~nI2Fmmn7ikry^X|bSs6HK&;i^RPC^{9DgE<Ln6Rmr0cX3LptVW+X0Ln{5z;@#<)
z$V$1RtvqjZ*JW>uiR;>WYPQl#6zDRSfhlTs$%(ryb~IMcb=}!2LW_RiPV;0ki^Pfc
zzk{tlWm_sg)&C6(&hZh?5CbasxIq&F%o&VKOdX|K7?FtjdU&>UY5yJ~CIJyW>xl0B
zYJ;?U$w}SGvizkw=s;|^LC`*1kN^vT7jR+txPlm9Y7!xAYqY+Re#CdKhSM-*r~OW9
zW_FJtEW8q(RkrRtW!bg<0_M>;Hy707*3)=?SpW)Ppsrf)K0QM6eg_`S9|jwfNevaK
zMtgL{(hK>26|NsKJ+BoIyHSd3flUW67~#yDH5w*)M3&dpYhxysqPxQ;Q9>Xyl0Ugk
z*Cx|!X(v>&G|?5%Djxx)!K<e*V>~CICE{j<4(l0@e$?wUvJRRLMhYb~GCxo#)kzIw
z)=UAnBF?>Z5CyewKB@Mo_k&oN=X7I=puA?0D{+$%q!lS)YuA$QAY-#P%nj1jrrLNA
zqMM%R<~wD@iQ27`&3e`D!Cjqj0ag<93S8L{S90Ebg$=~(9aH`iU%4#np#+3Gk!wJc
zv<Tyu)vWj-C#>2B+Oc|rr{$n_BF0l$g?HNYlI9MKzW@qRMg=kU1H~*25era7OAVk9
zwmUz;V`yCT+(<mVN`>x)3X8&($lN{LY9<n%c^rDcvB&Vf;NA3CiCO6`sM*^mH!&LU
zxvB)pxZ-9Ls+W+=x7yb6L(TwCUP)voV`LODP9^-*2zZcDQ)0t;&H@JwX;$6kUPz0S
z#uhj$OvQ%W@_pgE6!7r+zbia&a>(MAyZLzhpQ@LjPDg((3Fkyoc35P66)y;JYstGO
zQl<Zb{y&{Y2<ANz@J8d4FZA*{4*(bOZ6SUt4U&wIcCcaJq@WrS6F+o8kZL{E3-fuB
zY~%k7^-guomj?1wS6AT<yo@SY5>~jC{f2$P4k=8k<2HrNYD$Uif_7IcWW2yfRDqJ^
zpg@27^VNdqF@D_OrNCq++o$SC{uTq28I<ufb*wh=R;!0tFS%O%;0qng78|<D{0b!>
zKqwuPVn6?n(pGOJ@xHfYRkJ!Ha7X_C?-{E~Ny`w8!Z5{of$;-lswV$P@;Wow1ezW!
zYL1D-91nV~f}$sQAs4tvum16Cc1x03e5J*B4*HCS`%^|CS~yyEkY3J@0Q1(*V)!KV
ze}p_I<~7CF5-S+Fhy$Cm;TZss*_OhO$}ptIb-{d|>^dKkYN+T%FbSq@JQ1*c%eR*M
zDs$e}(y4>1cA(DUA#0UeP<i1yez|_As9rTr`_G-u)0~b^Mg)3&+nYFzbm<!BMfj5l
z$UA9If<Y_W|1;E&3^|tx?{@z9d>%mW8TQ~A`-ONUmKkjv6y}z9?Ci9Q2}q8W^34?O
z&a?Jk@p67IB*~oNCg*FKivF%>{JwpcCIoMVJm??E9Px%kdHq17Fnh;}FY?Gv2+l!k
zMPx}867FhB)1qS5XcL33wu``85v^YD=tE4T4mz!4^|z`VT^-a16))li2xAJ<>Rv0(
zhf|+IK7Bve-$ZNtMJ1Lkoa+IJj8ko$tdq@={33bIlqr2eXu=K)VU8gegsNuHWXhlB
zpk3kt6%y6doqM-lW^}Z7T`!JUz}<pr@@qkON)6V}NtgrLkXFvO)#n}#uG-QvcX{`T
zmDF9HdeCegS0rGWRSl8a#7`<P|BTfBtostv$a@VY_^{XPQFQgvbf{VVeqWNX&^q>^
z+9WYReB>z~7OY$|UjVqUv=n=>d-;7Kbz#L}&4BgH1sc-O9R--v%4tbbjY~@kmTRJ&
zKv7>+06jp$zvRn2-~R~@u<?krwEa|GgG9A7*{07Z<!3##G5c*M@A2tR<HLaj_Q0@0
zDoRz=Vd(fw)FO;Cuq645+Nehkk3lp@(g7rML=ii*Dg1xLE&^H<VUb<k0UkAfC*j=N
zK9ZX#CaL6W;%fBw&tyTwuMSYp*8ZdA%}$1yrcG1bCt9aJ`mlq^%gwe}Y$(OX94&``
zN|-_og25zzL!ioBDIAtY#82a$;4|>^6YXjY&SqFLcR**WG?7QC=S-oxYS%hZzk{LL
zmcX?A_Z2Bdfk9;b>O7z7H_G<S0Nd^jm6bI=rWFyR%RB%dJ&&5anL%t?$Mq!FNo6;F
z&Ij8jdTEueZ5qo$ria^+26Fl?Gu*X7VIq?5QB*kMQmaP7>X~>wx(Kvq4%m%@cnqY^
zOE-*w6-K6DiN5XotBQ3RB&QX(i9-#>jcWMZSR<qNaIqrsO!#+JQa}b}gW#)>Np@nv
zRaR39@EslUMNQ4d9b-Rnnp*KR6I2sRoe*rTDGxc>Fk5{D`Do(KZd$<GhJ1VEi|8{0
z!j>pL48c0q@4N;ln4-=18JT1ct|VGQJ!}G$yJMH2nNeWDlM&l)kyZs1&gk(9JQ%RP
zkJzft99pn0!YB7+UE3rlyA1Sq)JF`0xL1Lf9S-Akf-w{60zEjl<OmOOb5?y2caOED
zoc0OX=+D##QlB4SJB2w4pT%rh4g>2M0a8Yq+UVP*FGc}Lp>Si~ZyE6236>BQC0a^Y
zFn??O_WHmh95HnLqDz;3J=4}5d8T`T$YPaC#^eCnMMZKp3FyOq*jIZO#MeC01x9A;
z1_O_$gT6P1l<uL>K<ih(5cruPyf-eeMBP+NX0Q_HMG^R;_QXagG|B@kw|A^DSWA=?
zF|fmu@{1WXMXi55wO?Y*2DmVr+2?WVq;70*8jZfT*`h%Jc}jjJ`Q6Y~3gqL!2)QA`
zF|dP+ne|)hxPNnN()%tuy55CvtN`9bA5}({O>=FlPDe6^n&3AsF2#KNnE7Uh49MDW
zplX}%7Gnj|vDf%rWDWPKImScsI7gkbL{sOX>d(z|X@#%`H+GG6G_|cj$dGZOKk6R;
zN=>UUnHKskPCNCl^L4B^{|-HVuGDDbLH0tV{BnX!<_AIWDyu>0qT%MEM!LK+P)?`w
zZbpZ8<yOfFI8ASWYV33{K;MTX*C7)!a)9qC3(>^rP*^@1#BwfbeyTSqaxJd+<Vbwu
z!w<q!PE>jD2sG_~ELpGNkKMQn4u8NLa#U;jAkE-bf<)Z)2Y6{Oof|7#Km+V&dxdI(
zL~rnyv8V4#4wH=vMUZ*Tjc?})u`ngposdz8Qc~{@l{{6H_uOOEYUk?<M;EE<ntz$p
z>gX5Q8j#_so{@;M9>1dfea|tI-7ns0^m)d+k)D&X$qc8WPK*(Ck{Ae2ufuW%hRQp|
zz~;O!-_z-4f%Uw}VNB~RwcuVfsreG8NicH-_nllT&LkgXh?J}Nn`@Lxt%LYL`CNE{
zUR4AL6HD-rmJE*>S#=?(+I|?jH>rnC)i1ge?cq*v=DfLt6+ZIvCgQp`@EmP~JKz3h
z{VzZI{}arYNVi*`MF=MA=}MlDXafYaybqahgH}UKKO=5q;rqCe9)@;?fn3uFo32fY
z@jXXTyDD8O1~b8fovS_0iT*pvp&W=#)jt36g=oX!wU)yC>a3leGi@3pHasuRF1Hc<
zZ`KG{-3$<lT49^*5HYTi(*{e{0aZjwW6o6`O0+kI<RN9w0wes$yJYmEO<(haGhD_s
z#evhiFRa;5LMZOnYRGEl7TlA7L1=u?h-{!>-esNo{|jz2iQsX{Fisx@byP3gFag~W
z<c6}Lb5o&a8N^QT)gzvg>Kctz#7y><k<dy!kJqEUZU-fb#BL!em5-v1EXm!?E_y~l
z5&B>>ncw+)A%~!wkSc|+74PWEc)EiO&O??}MRO`q;lCMdgeu9rYOR{w9K{~@OtrD9
zIrblqA%tx`D5m?a-~}yulWb0lxjjFjB%k8(hLqqxMwrqycRpX7FdJ9%o)gZ502HVs
z1+}UK2b$_55Y}!S*+zu9)Gl)C#DV4%)ZluvrGJmB%xT*~%N4iF%ULu3#@f|6(A;KJ
zg<*fhCYTj5OB~J_KI}<+s<f{gUlFKc5}gHZ^DkCd7%+ALGN065RIe6poVBL+4UwIj
z^C0)R1mM%@ySvY1N$dojJA}Vy%9vbNlnsNpYNRi>H2KY>4&;7gu>TkkcT*EMHsHxf
z96kZTw0|R{MMxcRo0}XlaiDW1Qqp`Jd6@xI1s0tk5oVXRlAEq^Sr$D0{D}xKlIN(-
zHswn^e7W7Oxkh);H+;k!yE`5&FQL(rK^uyWv~z@j|FuIj;YJE;8dg^@D^~0z5^__P
z1!mwM!*NtBXBryxT@4mGXt;k3RFF#;^=Z$o_8H?Ivpzf?h3lM5eZrm$<1~oeX5m(R
zbqUdfv3gM_-TY=*Mk`opD0<`Y<|DkNxvNfcovz8tFjm|k-WOCeGAh*vP*_OkiOokt
z*{c<^<ZQ92Xzmz&cj*W9faX~+^K5&&(|cqOHiquM6o(8Qs{V4Fay6F|5h4b0;y1ZS
zIu9+BYImLGXzb}y8t~{uslqugRkTFn&1fw!RJr+K2HtM8ZLXfKtb74+L8$_oJ03d8
zz&4~s>K`|@2`WCTO2tM$Ps^l(0>*Ze_(i6qLCPtG-9#an+~%Y@n>+SS<+mkFr=Qm7
za{y+i;Pak6UrqlOqOBn1wIJXQ72)}M7kxg2-RhQMvNv3^!GL2#nBVNL<sYilLKX0O
z%4uXJ57vkN85QqJ9#dVtJ(JYk?EFttaK4Xx8d$-Hb(7rGobk;%0B{ssQ^xekuT`pr
zJyoc$tOPg{x9q{<I?TJF$>DBBjW6LNKje4aoMHvJ`S4QL?K$f7jmHs9Ojy-0(N1sk
zA-MZyb{<hc)|(C}b)lyGcwhB-%G95;F(Jbk&R$;BP>j|)I$dK5>a%Ieu_&BQRZc6T
zAbxgqOKR@jfqjg8GAATJo3G$6O;_68eqB^n6fw=c<WW>{{=mZPw(nT&X;l|6E}a8U
z*8o>lL>-{?bxYT9PnZeH4>DE@Yl-orBnS?GPZR%9^Z@C8BjmXhVi};KJ0OOQs2wzg
znegW2SQkYECFwpqdmYn=@VH@gCisrlbgy~?2Yp;0qqrIuTgTY#WXHMBwbg={;*@E{
zYyYo-R-mb1b&%rO2R5io?oT$@;)TgO350Qpi<RHOm$lAQ-aFMb%21-!4`EkE)D{R`
zxUnCGQ9>6GB6yQ5zakEYDd=5>gJfedZ(MU9Ny)&@0UPmMb)vuro8CQ@{l7sSaSQS<
z&y6|MYv|3?m%o6;!~9{-;lg<t!={X*wk%g9Gy##;*PBb7{_Lv!NGhH+5y_x7$>|s0
zxmN1&FdiPST(iiF{4eQUDue1DZ>IsFWNVSTM%xC*K7(KQe!_5>Uf)Fh>?mmH>+Q^B
zdP`<501i0)S|045E|*tLXo_i=v{shTo1R<8i#LoT!KU+C@aduDPny<6@Rs#R+u2=h
zC5zGU$l8qDyPdp*YMT+NJhgeEym-V}mG72vyn$J6Bq*v^dT$>jQB?Nl&rQSn)ro7b
z<1H^c!N+=6T0+zFyX{>h3?ishR>ABgNvfmz$6(8HGWdVpAUhzsYj66G$ZhBB@4#9s
zcFS%LdIfAIHU-!z<cZ0<L3z6u7eLf-&wSlv!X+Yzh0Z<usHY^`eF@k+X||>L;~xyk
zqs(AsVb{gkfNu$M2iB+~WOErc^+N~M%&f^bXJna&kAv|UZUNL|+RQkX$wC^&`SOn@
zdBIVmP9dg<9QKr=-VsCYouztBL_st1R@BC2R1^9$WD<3|bbYx;#t4HBPuo;ym((EL
zlI|mwaJADctQfRZ)FfTx4#m5)Y-|j4{61<{z`jP>HwG`^`@<d=!#ULACZ})#Rd>Yg
zEHy}L9E5&9`{NCf_Ks#)cs?{cQ)NbD+0A<#h`(dFz3wV=uA;XRI8BkN%yH0AA1BwR
z;#Bww@22L+$25m8`Du(W>d@eg-Ib;fv*NAD*4!>ISgRz!^^qBPl54)QA?Z;6<Dx32
z*9Mf7`LfWVkRUg8(vf2OTR9^$=gwXyk$B82um$FQeR2;1!nt*x?DtvVWza?}jbU3I
zi0s)CGTqQH<JDeuEm|Kee3#ndIC~ML);#nYB&jZ82=~TV?#Fo8a>b#r7apBopEn~3
zwSkP~qC6qP>P4t$V%2T4tkw(@K6$xT0Z~i<E8`AO<P(o`c;Z%UzKrN+^$Vgp?%pi*
zmmrbPaXF$p{NM%#Mf8njio^%p!Z5*G(DP^x*)2p|7Ril_1@CZEUuOu39rjwvErWnJ
zwX!IAlPKwV=MoOA&d^@Xl+ID8>!m|hQaE(ZPszDA%wF?*AM_FZlg6JWUpHi2-q*?i
z0e4`RvJy0rUfk3+oS^vWt!-2>m%^_8h(a_%06Q@nq0mK`l7)=p`cX5giAoX(5dGr-
z4M|P)Hr!q9I-~LOCmE`IREcy<E*Y@{9U=B$jl(BzEaK{NiuuW~KAFmsaJ5_9bmU3E
zb2|IBaBuQ9o^sNEX<1b6_SDcD(vIt&uVL$?*V!<*p{$=G3lSP@$Z86)zVb3>7U-pE
zSL%t*4jFPWjg)lIVaYyUN|HOdZK;TNmXC&gk}=7MS_R*9089^isL2Kd8Mbx`>}oLX
zWb7x8u^cM3BC7;Blt7Wr)IK5$YS8CveCT6f^r7e_h4{%&^t96+O)4jKiBR1H!Q65L
zGTT};0b>R$UnuDib0T+1?;cv*&qpVkES(z>IPVs$OWh8E?-{MYi=tSpx)v((Gk!fz
zM2y-D=rzV6Ay~-YLOo&JGVu>P?H$4BPImJ;hOS`Mz}+JFO*RZn{EeczU9g<<hra^v
z@PPQR5oY2oN5dPMjvjWWDzqZUBdVlQ@@eGfQLJE2!xL=dC$;<jV&W1}-LRzu>XlsE
zQSbhw;y7E$4kFB8=B<$<FOuaU{V~e{l?zUnUMC!j5E)ZENqp{gND%jwGFnkJ&z4uq
zV})9^6mC*((S&1^4>ZHnUn|1G<x1FXQt+lu(leP0nPt9ERfXY7ZdbDa2Y}QiUG`3A
zm_eFG*#a)|*hqEtiYz}Tb5WuJ>%ugkD^vw1<}tY(^5Y-30V3H9`tulO)nou`^z9=b
zgVTwQM}tuFvf&R%M+`ieTUB1z+gnCZAfuguw0dbcN{_b+0z(VXUOlc=RU&^xKqf-v
ztP>#f658u_SM5i%MIG1HQgtV1C8w8tdka`Z_S@6s>IEm(i1tpkwQOI$zTh%NaXWH%
z^$p(zyc%vgn@ah&GM)EjEnIE0g0HIK%2$45yRqorG08T{Gn^GL0O#z~Fv(lQsq$^2
z6v|Br??!hb8f2n@Go?QuzG!m&l#~x^BP{&a#DSH_?+Rk1rp>5&_;H^Q)rL}fH)@`j
zP|}GxJ|<M}RMi5Ioyq5$=CTu%EHMLgF)N4b*H!lAQ86k@f&I&l@_|IJm!NWCuc5ck
zMb}pf5gVcd@W#9_XegwGzm5qrj6ZvKMc9z!U~xjhM_bP<j=08xHre9?Tk0@^>t!-m
z+!WuSyykSNu;Xxr*=Ye+<npgno5bp@Ey{_81QN?(h(M@MeXEoW7{oipZ{k^R%-=!t
z8xZmF;PvBJ3^9#9?MwfF_zF*I=RsyN9*$hGGI}{EXnt#*=OQC7JC0blA2smSL8M+b
zSz=M{1pcteAP^=n4u{L6*V%6Kn}J!=A2vK6#+BIb>wuscNkAxd3!+5GEq~%YdXu7K
zw2Z<EWCDTLB(z>I5q?Q+ghl_Md*o%r)OCTN<W-WsTG@>$bgthse=i>hB-3w)Usqg!
zJb70)=ppv%wQ@<+?&gFd*|IgfW5=aaqbu@HNpylj{8k8=8sgZw|GPoSjCuediT1A=
zr5xu@^4YvQXG1YxO=GjCn%}>GNp)kAjg1g*EO*5d_kXVm62Wnfc_fB~m5oJ-G==wS
zhEdO$s1oPyquRL3AO2>quS;qfRl0=~mu1Df;!9PdE=};-sulVM(1ZnFjHz;OZ%1<p
zAufFJK_ON}<$oPe$ynF-zco1$*QZA^ZE1CEX9Vf5g!_W#;}z*(8i*z$?iSedIKKb5
z3eUR9&&K*`N7epCYi9k_=V8i>#FwC4MqKWLiT6$Ice+pJr5*j|x9IEq0aGDcyi@ct
z80CgYzfba!244yjEQEi~Gu^z?+OhT0`@rl1uqak>wJQhJtI24Etw+B%kcC1YiNC&H
z<7KuB{-neJ5JO3Yfioho1RZp{X2sEKLY>}_DgOOv9{~MOZ5t1vU@LG9cG}EbG6rqA
zDx}3MT#~U;q?Ah(k#~i-&11OoRY941OHYgNDd&WH`DOv{Xk*9>&f<oww~-6FaWeYA
zo5LlBz{6`_by4s6W^^0qJoMDM-c#?Q)-W6FTBT=37S~*=R6o;IP~?4idXSj&Ou-cb
zL@YNi$^ghNJUQa7vr4VB94AS4HqE}53GnN-LJ7hGI2+8X{gX&g{$34))#@i!vN@`5
zz{v5YtgIcAMs9&!FikfvmO;tY2JHy6SK`SzK_WX&6{6o{J2@@r-kQMUCHkjOJbNrd
zN-kuhJSdEMT5VrhF7X+;mK<R%)x-azwL1r4NjWG{dgWbEs9jB179OxEqMb*Wg^NhB
zPXw!DfM?db6RGG82pY>Inln{@(Dj(x8A$6>6~dzSXpz>%rw-F>sGRLz`tCk*j@J3n
zLGQYp%JmtnmT+UH0GKv$X86?taTc)78pO$^{O*^dT0;o2kz}<fL1-J`Rk3P;eeNZ2
zW~ny~g8VJf=>&JSwv~pjUFkIa&G*hdom1k6gv3}htuJ;?K9SMLR~Xu4Ng5DRdSkn4
z)G~~ndBJr~P<?K*8YGRq=wzy!c=#4?z*{GhtfK8O9>^5XBbDAekyECFUL(LsAbp|u
zM{$E<G5~G5{uYB~9b0)=p_E`682dqBYIz97;kt1sTvE7)7U}s`KGid4KLE&Ru=%ry
z9AZJgYA%~i)WhFv#g;)h2FghsTe$B`2iCCp%hc*C!a>-TR3KEafr2Q}>b?9-CLxdj
z_X1KYuqTMl06STE*Avord5L7p@)c%7=?I|PrtDBm8c7{k)NxB0M}>!6s@(UVIT^MS
zoCgJ@LcZE;*O4TY=i7M<%_!6l`M({d8~0q=Xjv-^Q{2_b`Ma|Ozv}F^!zQ;6_WAC@
ztW+#o4T8idMIN=zbsk+^1M+JpX2J;10HN&=E^ldJns9h^`2~9o4BCE6LYen=dSLs~
z=9M9y6RtzzbC(I6?UI^%W)cdG3;^cZ-Za8-_(WPiCa*1stc~Q_Mng<msZIIu!RpS%
zoH79i{lqfdoK-msT^v2}2Pw!|N~U|_Ip`PegfoD##L9nCTK$kmT=F@AC6?UUAK-)O
zU5WPhFDR8(^|g>gwg)Y3ymBA^XWmK2mK=Fb591kcG!QvJ`}9*7{LZcq|C(D`o%uL4
zr*<}mFNBq!B^&cGJR8I8?}j7Ddpcdk2(Qb`nRfzmAm|kNV3$V30VuJTjymBmoAe7A
zw?b%dQg+aoyYZa5WYI3~wBR1Z>S7ZB<Bj7Qk=J-*ME{}rHTtvc;}OvsGNkiHzzx{L
zpTr)*Wkft}Xe;7G2gxyq9_*DRL;W3q%ZAJLx2hrA=Lk09aisNVG@w<z(6_K*?)`aj
zD-R3u$C|t-0x5BO+NbgQ(I|O`u(F8kqxA5p#bA9|-c;DR(q|jBVqvGjm!{z}*P^F2
z`|Vd$l`(*UaiN+kM);$L?Fz}S`7Z4xa1On|PRqHp758jHF3N<(yjKlqZI8eTm#D8%
zo#sB<80|QAQG^T#Q{OtAkH8}2=!`gC?rVjUCL%ctN|YtZ1aR!}>gRtoR7SH3OnmMx
z@n_|F5N?pbjJuY&rsVldR8{<GlK?@1L33{2zw0bm!sP;=A@QsGXgBNZtW2iR!C<RY
z2yfd^ib;=pak!c%?viN@yAv`gzt^yTvJsf=L+-AL(S0$-?zE1M1q(IsDt>f(wte3G
zUT>uQnF!d1`>(=I0>gv{g?YYXk=jwQ+Ng18qcvsegCLVRO1<Ds&eCbymkdM^q^T0B
zxFYI`BM_pok_Qx#w!s9&7D+<|KdDvoC>g}*#B&*I&b^lv^2f__pjcai+he8y*^eCb
zGU~rm!3@9Xolz$S_Sx^l_dfPGqz8X(hfy*Ryz_(E*FqeXH1(d6iKTCsprzQRYRCb0
z<1I7qV9&=GmLc}%Bl`9_UaGzTE?>UqrlQ|Yh1!vSVYO7l0JqP@k@4I^u=AFkF3~pi
zC~SmK$bufTg-3|Kqa6tgCqeRjT=&nXW7n{;2#^Y94V6t=#PRE}1vQ6d4PFND7>H`E
zk!q0<&uhp+nseK2t5&%{@y`b!v(I9*yK*;Rkuz)mAIK^J<&K2)5zflS;6%wSg|oc_
zMSj<OL!oEkQxG295{zEATM^kPEud&c0|Bkuq#;7Z#Y?Ym?|9#fJ|1^?IX$mhY5rbU
z+8}c8bFfx$j-*i=flor4riI^B8aG&vz^(m5vC?eQa0IHOihf>xRWRuaP!XbEvcabP
zI<j~pnRd;w)Ify%yiH$zzobKHbC_C4gHQh-X{tH(XiE=2jI;_8MYcczTgyOpHgkS*
zJLe?mQc}A-<k%jxIk(*fGplC0FqDD-JmVNY|1WmRYMXT!AR3A3L#j5)vnUTnQ^j;O
zT$Ib@Z_*?(-oE>EAE=u*Uj?_%9IbGJO{ED`)l*s|ikkFv?-w9Q)lX&jLfJXxrDKLt
zBb1FCL=|DAOvKgR)7dNcI<o&VGl0is9RL1#F_5>|$7^M#bryn2$P6v_OJ|xDaz*@w
zoWs&muXT={YP&{VLlb;O7h~+EsQYO*X?r3|f`p@MpM4smL1Bt)eOx7L=7u_g;r1{8
zE-gVcEy9m2PQn3?@0==Hnzt0tA3prVrV!L2^$HU&7=egn;WThs%!8QjPuX;vyy;<L
zP9Js@SBdFhAJZCht(b3v+-#%{rS)4c?B8bgRgucMo(3EHQh;Sw@M(!KXu1laco)Bn
zO3=_a&Y`d`m4;qdL@1}&+j?qQ@71btKB;kMc@pQ||K08I*P~3`7_}?2B-%0nsRYHJ
zAxkCyl9p8ZA2c8UZa4fqjIwc87Un_wp^>GYKYHov5#P=`=hw&mqi;t>8ds}Q`xlmq
zywv8Ai019_F1jT79fXp$U2hj=4y33J4M?h##r(lX0mM$vkE1&X)n$tb_WCxj@}cVs
z0LYTg+M<G1A++`<LzCncYW;?HcX(C5i*4#)uK#RrbYsP30nBydpA1z833G}kugc1P
zM2m+3I;Bb1^I!D*9(7Mu9&umqVF5BKSj&(;kS7`)hoEouIc}41IS`XNvZVY!ud&5<
ztIW=-Q6_O%L^Qm@$L+Gq=UB7VrL=R~aN4b=dIglx2g}&@EQxEkc1$`7P8EV;A)qZl
z;s)!7JvPqj>)62($`p?nEqPYqWI42x*Egna^Bf;{%h(`#0z#Z)0^KP{5k#je|8}rt
zW@Y5EJE$6ALQA{}L+?y2rOHH>01`N7hz~>*4JxN$alQ-za)M03!0gS!I%PF1LK_ui
zu9>NZ^#nz1#-U?I&0M+HViO_uZa1jpUkQi3c4N9(BgDc5gL`NReR2ImEj0os+=vM)
zhZ3xi0C7<=4XmOgM1dw!qMMtW77=|`+yXGXQQGsIU{>O5@Fv}}>Ruxb=ESFWFyUKZ
z$E(>U;t-bdO~WU@K=I}s&SHW=m4!R5C+$~DA^HCkU|10^^;<w+?ro=SaeCy^<f*HG
zSm)pZ=woscH{)N7xzZ<E@K71Nv#g5&{!L<}J`|2fOWK&&ujt^f<%v3|vb{O*TWJ&c
zr#8*duO~Cx8<bXLCEl=9C|3Nv>1i~&-HdB@d4m7<aUA)f_^|VzlrCLtifz)%@}A{x
zBsTqJeZC=BC+PR7E@%1_M3}9n8{h@`0K^)rh^t@HYw*t8(IyNC+c#4Ov-HRMlfE-X
z9Z%N&Y;>_w6vgxR9^U&&W-KVm=>|Fvrjoj>TB+GJ%DAdE?%6k1Lb#xe23^s659Tzd
zr`LUc;H><N_MzIQ$4#_V#cihu@Cs;nTJWsS--ytQMWeX<*w~VNagBb~L)Rx1i7D6b
zjy&I0g;-WiWj;<bY(Jf6?UQ1C{hT!hS5`uT6_U1*UU4yi&Yd`8B#^f4FguJ^Gs{<#
z^NB$R&1q4Y`{~zB|4QJlbNccVKshf1E~g#6q1$aO9OYkF6MNFT>iB+8LIx(24rMpu
zQ7jn_^WUXvK>HEQ4dUW+#C6GP&-O~IfqG_VlB{>lN8t6sZ7VrFbmMNql1yLIk!J<N
zV=@X&Y?v4c66$p+zpVruR%eq~jnj`|8otf1(F(-zXOq`DO_cDFgx(Rv&usAN-&zp%
zP$Pal&Chx~tvNziUw!{)@?-z$${GK6r#EVCY@G?nkB9RU6PL+@Rb3Xe*h>HiN_9*0
zM6F)&;-J!B#M@o->(<<lhs6lMNBK^o=DtY?GxcI*<=P?8e+=f?ypW+(s2<al$`Xga
zE0&af+Sz_Oj?U-5ik-1`mI5}VMyY~)Q8n6#Cautr?cx$mR^Kv$o15S?2S^9#bclZm
zHjNPXI#~7ciKM&naSGx3><qN%E4HJ^aKTP@voQGTC{G7{`c%=fU-T91%6=%>8|El5
zQdSIC9Ud-$ZtzWCh9nhz>IT<YSZ0g65L#=+b`G>rp-*4YBdN#->ukWydt7yuOcZpm
zxxC^rM)b(z*p_VeHN}NQ-|TWSc=3T*L~1~!fmFHPh;NYSED%v=Zdkl>ls%Bm#DC)Z
zlt2gYS|H*G+>i*x^~e+5-?cUm_ZwlKU^d7{TXG~cAH33y(GmEFY5aQiU6A$Ty}nd@
z<BVIhQciA-|4O2`xZA4LL`g}}X7B?vAupn2TBaduZNJZ@5Tqma$Y3W|X4^EGfB(0k
zedTeQgA*9xDnLO+U`+HOW8++mugL<lz$jJzsk%nQtXW2yk83|9z~#%|eD1PNji-cr
z*wgBsN`nhch#2-oIFsoukqd`^h{+!#pm~P)SYuvn8OR^gDYoorx8!2pC~l}v3vMnS
z9Fq514dbQ5VY>jsGuOvyJzj6S-rM_TlyIM6b}0g-6Ws@5O2hlLs-8+)GtBbJu#Ki=
zSQ-ZqdRh5ph)sW>R>5fkl@kY#(M_OY_zR`eZh>@<F~)A37!aJ(miaF<I}xCN#FbFW
z;Rh+jCpM#v^5&sdDKOCy<6D>Dz3-8SU3CNAuxE1hb6f&{y>z1>uN5fde_$+yCj^(f
z$RbU|LF)1qOgX8^FQp_DGJl7dg~x`g8e~5u(Y#C%EWy0LpMV~`y+lSg%tsm_x;^SQ
zUpLBl5u)QaaBDh8IioyEbEeKl@NShD*Gj@{L|U?&&z2I40hBHpU)Xpj7I(x4;Jeie
zVZ7liT)b{1VqE?Nbimt<MkKr71EnF3$hbYym!8-#8MXOiLN3L+AZ%sWMa;0Kr`TBm
zAtVGi-$>1>`HM=^HU|#jjko|bVcFe1{v2jVIGPH&;N~Qtef16vmmx_8fov7qs-51e
z7mIEMs!s1L<>i4(-%L%guFx{Q=EA?E#<|u5Zg$6@3G$0{&O93~(yG6oal+`V-_=f{
z7i`r#j_z(IS!lGzF(W6pq-#k71}u~I_LF-pdHDOO7OA!!%T-8>UjZ%zLLC7OE=Qzq
zGtKS@fjAy+^eH)`BRTMq9u_j;mT3hnG&_sim4IM!TV4s%F<;D;qsle>3UQF=Zdhgp
zmFvr+-qpL5CVE=k`3BwWrst_)U08O{P_L5Nso4N}xG*>L#jukO#BSgXw&NP|wCPLd
z{1ZJYl@M&||H3W6(MoxQ-~)d^{#k%>1HlZX_@5bmtO7*xb$A|%RiJ_zjm_DJ0)jOz
zN&SPO?uy5uf?mL!FV^;Z!<{fk-RbUmyN*9&!k}PfOJHhjq6`ZCffyhwisew1ax-7M
zj_;<_hMOG6@$mM4;s-6-N0})Ud!=T<Q+Z=89rJ<#O!OodU9nXjf}g&L(<;k(XtvpD
ztE24O^onQW@eaA`-R-0bj8n#&31poq#AXeL92D4xyR1{L+_sn`<ZI~gM622IYtZQ&
z;2d?gXRxMR*G3IAt%O1u5ggqTi1$EvS;Ux{RP4667OFpd635rrqq!tezK9jR$iv4P
zgYlB=@?WO#-7Y8+V-y1}r}8_l<FcUYgab6^@hCWA@nF`(8ROgQRby0eM-gl#(9OnT
zoNXLfx_#pQBZ~0#o*Y-IVt+$fnidacK(&Yk%ktIL4s9rQ3<})3_W-41c*)IPJSjMK
zwwX$uiCRxbs_KJXdKL*LE($ql2g>-F0JQ0lFh$z5S@4pkOg7NYeb{E+p7+m3t{lkQ
zT${=b3lVf^b8w-RHmNfv)ii;H_$j@w{@mp781~dINk(F%NMbe(Pas8W(V=AXlI1qn
zA;h^|J2EFV;<mlFfE6=jU*STuyJImbX=eps4Eq|}@+ZAV?_^u4hk0hiptxx?+Mq;D
zN&D;Hv25G41#cms1CnA{OIwxK3c^;bp_J4hCcQ!?kX2T^G>Ou-#nKc}E)78!3=mn7
zky}P`MjsMyA|vyFl<=hKo6tWu`9mrQNQ+!XNnAcJuQ&xLi&5F7+=KD*Tcjq42!F9C
zgvhuvFu=Z`l|biF3~=saWs%WyjIrqm91okJ`<&U1wwRI;0p5lB1I2J_s_S<Caj6B5
zHr?$z_YJ3>Z7JVsRV`1tkPWn)VH9_ML;B#HoYBZsy6eChz5NU2OSfJh$W%+*0$O~y
z(eE!0+pyo9#ZRbZbiW?H{3Yca(Wy_sHk|+XOs4Ni;)+$<p*Y?eZ+eAiS5adzQ4^a{
zfpl5c4j*QDi(N$)A)B-Ed`{;Je5jzuZ{252D4xz;VF<F>!{51fJb&~OvsA!dU}c2q
zg#-cDNfw!PyZo?qg4f&RW)@7$^->R%;%^M1JgC6Ry9=0K2Rw-UvdovbQOygPr1yd9
zzxV*`Z7gHI*vg|8d$4`S^R38Gq<I$1^J*m*<CoFs;*s1vooRgw3Pj{LZuQay9x>;I
zf?Z?r9m)(QrfDQ8y8q5)(`Ef?>3IXZmITH+F+=5#lRI)1T1;{3M-V&e#9Mx!EUlAQ
zDZJ{$j;pxzV8Eu$%GuB1p6yk0pfjm)^#rk-{TS3a=<~8!*yir<r&JQUrOVqF^S?77
zZ#Bo{)3`J7kpBzlW@O+>3V%H7g;W|E{qk(?tHj(#tP}^)j+_lR2JZzt<ubRKM+nB>
zQaBpxx}f1#rHEmu^z$U&jgn!mUrwG?ugq3CJLy&M7?Iy4$W4s`($icE8DW}43t$OZ
z)9++hZd0XPnzyU1=kOX~Yu+D4J^m`H*1gw0V=aWxNR?nUfFc9z2ge#<zzdT=co`f&
z&*sPZ=)QqBu;p>+U@zmSzCT|fby1p64}-#)%}BQQb{i>X_3_-DL|z@+Vg5n~PKG^X
zSj_H$o3&r=A{qHTzccGTq|*i+rn@zq+C<owI&3_&rC=HWEA>Y{+MD?Zc#wXh&%tGG
z1p<ZR$vFV3=@&0?#>%V$`cJ7LkixJ5p@2e3kLLZO&`1d8)P3^Io~0wZ`8)q9wbz+e
za{2(L4<)5#Kx{nzQkIJ3R#W)<A>=qbS{SxGN_WlOg$nw2JUXsu{ZSh26KvDUGr*tK
zO8LM(HEOFbLvZ*i^Ovm5-F=3{3!E+)hbYU}b;*%cnH$zuI7NljYP=Jj0S88h@a1;v
z@|eG|dX3<>J)jDd5`}G3trQv=NSCHZs*yre)-_b?&W*9gH~5zFKLBBE#=xRIj?4dn
zm~ghQ^I?QYPLFv1H8OgQV!a&EErYexke8BmD^`>&IuFq!=stNC?9K5c+sA}qNyI~3
zY6+mw?+(0#2c-7<EFmFdEsmx%q}l|Sn)S#n%=5f;8e|{(l3BDe%lROI=MGOwY@P(1
zmazrnXd;r7N9{BwiCFb)Hbr?g_K%J{J{MDdQ~$q?<n~Xj7T1fJiqnhI{vKdE@|7p<
z%|UAN&^rek%D<~f@_MfMbk%?hLqeMt1Fn3dE+T7P4wma455aeWYp`ueNQ1S+TFSvd
zPos=Alh|gbqT6ql{u+F#M}(710z^aP2ms5P=P-oHpdms_cxDB7u*|JzvY`PzeEEw7
z!cTJDR+5AO=nw*wfI+M28Cr%_ENq(rOIw|J6!001v%|k*ync*aRlpO)pqDU9v{9Fu
z^-s;_QNM35ucCsp5W^L>?I`_$>?S?KaO#+ig1AOo!b`F7B4-~-NZ_fmqfvWLcV4U4
z%D!dmfdI9Ybm%;p<MV|<DQ-oKtxt5lMbLL#oNt8a$<mipSc*FEOiwB7HWgmHeUm8L
z98Zm+W(@2}M9UCt!sDg02|e7P3ke>dN7QoEx7q}bY4#nYsb+lFRWms$-%aiM@m>4?
zbN&OoT?ss2`_9PWad_OGM0+pGE=okJ%4!-Uxmw-V)9(!njryXmfY}bfxIEP*E&J0S
z>P2GMPkL5#ygx|!k`Q_J$O-t5H-`7}SOo}un6t;j2ej<I=Z3oWDnlh;#~9grL?!xJ
z6Fz+9By^8V>YhA6<K%PHF8vc?QKc%k57x0KiRXCUgFJE~gE6#N7%Ip4yI1HyK3;Ti
zDp;z=Ovyaw*W>T6)_n<2+OU(k*>Z?97~vQf9V7^+aTiu$#KcMd$S_FQ)a8zMCDVJb
z{k-53aS?zWX~bIWQIq~N@e!ctc^Ghbn|UihjDJvVZRI-BB2nouZW&}gB>qa-QXb6I
z9B+c69|9JhKZ-Jyu*5Pju3Pc7vt-d%<<tbgbSI9g4}Om4PhdfeD6gDK{tTGOLW1}+
zmVe90`>+u`5Mzm%ov@%39m}fP1F#47-EW#jyDRE_qvq?f+>GRok)Pu3;Z<YLisfyx
ziP+F#JCKNFZCK%yF^cwr3d5V4qF2z}Z!}0Qvu|R01O^EHW!?BjZ9~-TqVN#pI=&YS
z*oKk(OB?*`!Um`E_B4gHWH*MF)jUHxjUfxVy_J=h&c|uq)#(gC+=CWQ3&%fhyb2ZP
z*9_lrf;vYXoZ}Y<AC>3fYCSG$`{Ggp{$Em={BNBcimjy%M~=*D@;ZJyAEd9AFWhj;
zshg0!V*cz|#mq_!@l`;m*2U_O!rlB-gK62!b5BIB95*%AXLiI(PBvQ#Q&LupoINOn
z0<hBQ^HAmefxOXAQkMT;PxFEaR^`!uoi7F5&Q1Xvw2d`YxQeg83PI2%6%Y3<WWt}{
z-PjBML>3#HYx-fsAuh*zxeTZYhqOb&De}f@CZfuizf=nk`{atCdTp`R!Rd6&ke3Ky
z_yZnr_1}&_g(x!7)uMUS$jPX<HUs~@d2abss!u_Sg*|>e`OzhLuLQ8kty8m6piGa+
z5aK<1vb{vGVg~3#!YFG$qB8&yuEMG>W~)TJ^KV=qFt<k&Ac{i}x(mki1-6@t4`&RD
z#f^p<G&Fgd8RE-d<ZnO#U*IT~NVTqgCe#N#S)xFzX6hOZ7ui&#6FL#$cy!2mjCN65
z+&}mRo3lQK)eMkyhc&&mK`<9Tk+ytWR+kD~!}+F5cXN~vW5Cn~Oo-(^WZgnwrW3tt
zE9Ve(<|V{d0Q)fOf@hNs#5Y`Wady71@k(A0OSrRWHNA%HTJ8|ud-4)`&vDZ`G7AJ~
z>M>(lu5l;838vgV9QdODejxM9>a?#l3Uj6~jFE0?995wk=43A0)p9($g(n`kli0x+
z-a0zMcPu!&2R5o!41lCF_`yt~{e6NiFE*kL&Or2!;5G6Bj<K1Lrn{FFdRa_Jv>qd5
zp*R$xbESCi*>wouhMnRd!P6N5Ai$=e*yszRAxC%Oa@HGJDb@9=COH(zl~4l<WYZAj
zN;*KvyrlkAL$S{dLfbo0l5%F+7MKD=m4@=;w$m!c_Uas(0uLli`F0xnE+g|?ZmPq1
z4F+OkP3sV$6Zfp=0FQDgXon@jyoJxd=x7h;vfG7SJ3ebZ9mTW_J_kaQ{KJ$-3R;MR
z$x+*zdWaCRddX<a?cpnb`YxDkJ`hwOt%Kjbl#A|(0u^M+JA>5lVdlUJ4}eWyOcnJ{
z1gaD~^jNlZ{6xxEp%e3D3{(_h(bP%OQO6u>sF}=6PE*_$Be*Z}VWr`C|7NG~LGdar
z%4^OXjG2e;OOh3kVri~491h&HViB-lXwhDr%@4bxtBa5BKE&uAgTh;xfZ?)UGfy3+
zG*m1qLInO{lu`Dj^s`eGi2q;dB7t54qf&sc*>BUAG=zRA0C~$QL2b6tHbom@VYeh5
zX8}Pphhy@Ynt%;}96<J-nG*&BpzRR@l2*+tCZO4$`l-Zy)@zDIqO6!i1;3~=QcRgN
z+NMkaMRGnjLapLO=Nrp<ck0<OgJS(heKL*`opTT3?YyEs&NKC3$3EJXl9o|A&D3nf
zQCPcu;MZ6(xX$)yM`bHS_YZIkUdf%UV*_aJ&sna97%Y&`KZN9G%Oi%zKs6hfV&m<B
zp%?R`Kfcvx_PQ&~?CJc%#z_>i{eMu>k9Rr2cxxvyvkd$Sb+0=muR60i#<2u^lW_3C
z7s^uav}+NgfMgm@*kAu!hC}(rV~9?F2`Sbufmaa*Wa0`3RUgZfj8lMu`gvCilkGmn
za||C5=%cZz<_}+%xjXWZqZv=4I7Gr<e(_GN$ypK*f8ZYS;49=-8A54S1b|uSelQbn
zXifwBrd1LP;$rnrRFJ5&ljG<|EV?N(-(`j5FoFlugU)6#NgXLsmfh@evpIug<&WDv
z9?Oh#5=y$i{qkBC<zTY3WSrIeIqV4dY%GFa*>0VHM7P-vew3qM;@)Yigi|2#y3^Mg
zYkJulheqjx!s$LfK?($rGxZq3_Gr5qk`6D8Mhv4Y<jdC`zH3#XhRK#;$hb|uX$FJR
zef1BaOAh_WRJH*#nmf{%lUs;#sRXpTKLtny(Q;<q&mJLGQTynQLs}3KSfmgdh-bkW
zCONRr7n?$6h4GgA#$JdCcAAPU6jjqz752j4E04XWk03AEQ6h}U_iyXQ3UwCWCr4SM
znxh-IPdt9Fp}x1Dp*^H?^}J2q{NgGDSWs<cop*CJKI|qzcoA?|&7?jt6cmeOn`O#N
zV3K1vGbP|UYo1t|Gsq`5a-ipT=p?P~;5@pZIDQuxx>X<OZ4BL+E@qEXvbTnyI1Eob
zwvA&=n*#OUGm_AX{`zP0W{NY<hp@ft*+osV*T^I1I?j`?(b$~gNQt|1n@OF6$?a(l
zU;X_m>cIa16zablFM;OPuY-=hR4|Paa32}7YeF(PMC>@kPx5n_`kHC%f^r9R5{)@#
zJ+0E1itOp_+}*I{oxS1g=ThB!uAl&v@w-h%!4OGR$n^U@=UDymt_Q`_)@11jpW7Nb
zkahNgZf-YSeStz$toO9|6j?&l2lG=4ow%U_)+lC_&T%avvnZkY)LJe|CAhslsav~<
z?i%tqDb>VQO!VusuLwH_LT1AV0kV&Ky36Yb+zD4#Cmmq0ccIc`i&YaxKi|DfNi&L~
zaDlLyncr9jE#Om0X^q+8j_~ONn#0H8rK0aQdnMkgjaOhwdzg~U6q-O&663aKi^T;w
z@G>kO7{(e5LUVJuLsNe0U00l<kj%|{-CXK6HXCls(=TSKBMzN}f&A;4xKe8e1is=?
zz@N-(b@7#S@u=lr`wfb6SlY@Yr2X~jqwOYpK+L+>;}Q|&x(Ol;VaNO_-w8u${5)YJ
zikOUs(MU~C$ILPBJO2q%1{<a;e`G2Zeku9%NNxu#+a1!2uGls=LjCLiV_Z&mTdVx;
zhKYO0<0uthCpb~>VPGul56f#<V`FHEVJ8UY(ky9h)9;D54@3rfC!0SlcSTKFr&ZvN
z9681XhLQZ!8dV1^i%qso6Q(B}nRg^kw4<q>d;#6~%gXq>SuM+ea&!CR0?yIt5)lEg
zXHf}R>?1UNx4M$`LvP*x(kww?xlr|5(1rjd-gwkdVG%}fiXB^>Pf5TsNv<=K<2m@#
zVWF6<(Y)m!|DjTKgj1eZX_t{r(B<LOKSj_~9aC~`8n4nU8&ouyMEt}DQ?Em+dwX|0
zoUlzP$gV2Gnnob{*Q7q!u^GJ@Kf#cd^F&I(sRa9JE>gn$f!O(m7gVS+S*grA!($89
zU4s4Lt_72Wbtq<LZ~CvZ@**z_v*zDJ)UNMTgI}Ww1?zGll8^mB<VWEBk~N5tEQBOy
zXZK;+dY%NZo5J{TZB?DKkI~LNRD1WNYL~#z&ZB&Mz&*oe%$iOKc2C$g0q5r?Z?P#y
z8wq`GJh#6V?$j0MzcTSXEia@iV#GwHiS+&86SGw#&DaC|zk!ov<ApO$D1|-mevdQW
zr#TGrS9L`J=xVYQB#t!gN)e`|Y-i#n$a)eOnD;tAF+!U*)4mH9;LQsIO${fp&)LmU
zL`6NZ?L*o85cneUzZ9^KR+{)PEs-tiM$q)LUL)7zl%AM*NB@tCKw!4O5>mOLWE6sh
z1)PI#3)XK2r_9<WsJE96yM)yNl>KFAI;q0f$AG}LLzxL<qOgVDQ#8nDgoIYdU(lGF
zC%kqE_P8)Qw4oVyO^2t8y7zQV;kkC;C{M9i@SodKRct5v1UYUHaTF(0<o=$yt!?G2
zqU!hLtrE~grNr~KroAUQ!MayN;AXWfuVE+sji{C9E{i@AO*}o$ntL05uXPWd>LY5U
zj_BH>ntvWajusJUalC+N7IGUa08l=F9b-FID3vxUUxU`@5Q%Vu3Q?@~anp+nx&E{L
z9XIKy5dJLhlVE(!Imc$(MJm4Jyqu-gPU1>EA++2DbV(&mdpH&RS^HKEdDpwaM$VG}
zRu7F5U0|N+R^KQ_4-sQl`~FOHMy`*m<K;a-KsBa4fB<oNeZVd^2(=W~hZU}+k(3Z9
z87EL&6=7rUCqbHEY{F3KS#jyt+(z7O|7?nq#_`1A7&D3XLX1Bl<&m0&iO;c~+h33I
z%GR5fC1U1;$8(&n1GRTs;~E<3p+!q(bZg`u)LLA68eGm$6>RQ1p_f*eTJG<U9o;!m
zZ55KxZ4e?>$_D#an)t>zZl)sNd2IkkkjT+dp>5BqeX)XTJOFxLA#U+{QNgl&t&zX#
z=v`B5Jf47dc%{B61kROXL$td&qw;vgvH~gxgF>JV78L?F7_PpVuLD>om|ix3Q9f}6
z#IT~)XiBj+M1z!bplKy2hyUFRu=Lsks=DwWB9f5L96yUS5vk!X!)}pS`zjw1B6kIa
z4Op=gc@oD2vwJp%pBS@T?PIaj3=)DOR0v)M!O{w$!D;#3!-;g7rz@b4N5Ty<-sR34
zNo^{CdCeP=9@0_OZ*%LSNud%qR>bSs1EPz+9@{VnZu*&=!;^5Ny>!POyZTVVmgjp0
zEAIcRi>>%awg%hb4bS#XIHV2?-}!rD8e8@x%PhJ_7=jcBF}yZ`?z=a8o&QmrAqbQi
zYmYEM#46IvwMI5!IUp$+8)N}%(#<=I#=b3J1nNBe#2(73B*gu(mHc45zODV9{^sn^
z|175-CZi^<X{40@L^nbx+x$9}wN9sD-f6%e;#DVFV6YPbXLNH2Xd+iuebvOEFxT1@
z)l5!zq5Sg<-$k9nK!p3el?#*AUJ{{KK8LS)CXd^|I7M~8n>^d+RTlfR5fO-q#-b2Q
zYnTp^rd25u#5tPzAN+NcimG9rQ!g1Dv;Z{^IGkq<WVQ3GeNu|35l(CnDd`kNj^Si`
z0wH1mHVCLwoB~>JhZHs3r`_go=cW(o8^Xk*c~GyL2346#6oodO{D;jie@5+uH6~5)
zuhDDQ4t1RP8<z4*8bpuASr2ipQ;aDZ_DI~GL)DT1gLj4r-JqCtn#AT^f`sg+EsN#9
zdESa5sl{})`dndrEnLL#z4t7Qg63I&s|avK238p*0+Zj|tl`D@?%2d+#Tc{lJB{0O
zLm8f?Q2h;)g*<gi{(6{h2lE3c;k+^iw+1{e>`^)l$D2n_rvt^62G^@=Eg*X`(wvQ%
zhRS|!F7hW&#kq3)tZD<CkzjGH9%kBb)d(7yn0-|#tb>sQktItrBS_Ep*C|rMm-IrW
z8j*tKH#-usk8ox!evpGr|AP?Tz-X~9{NVDCkxQ|Wiw(S*qSDT+>e{1w9rhsTwig=B
zfLq!`hzR|}^~3q=Vuo!AQ<xf*GRN~3%cnz)&pvRm&Dd2|6xCXJyIewkX{nBJunvrb
zoNNKlH>YT>Nu4!pJ@>?V7mo^u@s%-p>zoQ@F3|%z)9Bd{;T9#3#*ZChV(9srwA_L7
z);gOOzm%}b&~DQh@(!v#*bLV75}2D7zq%^g8`|$78LK!EK*2*6sWkJ;Yi{mt--@N!
zbX5i`FD{6>V;sRs$C*sFW)SwZfLv^T$}#u+5!~F%2rmI31I_ecniv@#Za4p#0Xv0(
z@Xo^_P}?*BCMdld)pC0W$J_+R8dnOVn=HT6)LckuYZ`eZUI2>p`24DDMnG%i{qW;K
z$+r;gC_^x{d~@qKf9VlvjZY`tI!*AXn8glN7y?qIk5Ll3aJh1Gg7eFG`nS>S&DO`m
zo?F!1gxv|7%tr+pb}e1itSmLm__C@I1Vrfpv7}In3oC)2Cs|rgi_j1=(Q1xaU?DNX
zJ=VzcA}}rWpldw~aX9->F1R>gBbZX&Q-%#c%Arx(<N|Y<HX$5*;4(w;`5_Tw;pb3u
zo-<eu3DrV!v1~ZTqLoQGfE+u+7tqgo5kie~CQC$zwZOxEZTLj{j0$`d3MH8E-vQ%k
zScaX__p86JcA5QaGff-9k!Mp(9wQG2V!q~}l!NWaq>VcxhPKW2iJoJ;vONok^S|)_
zOT~UV`Ewb3r;km^>Q!6iP-lO*i2^Q;D8+Zly4nz->S!O%txEIu|Gto8U>!-i1bM1z
zdyD1?*Qdpun#5aT5VQ8&F?QMFs0wgk$`I`CB!<iwN_i$m&j5P!i|*Ab1=^C=U#z-7
zI{F(^qGlkCo*6_}$ue&TT`TMi&p&XhhZHSfb_u58Y*-ULAEEEmkL*ey`Ep%$8r!#X
zy7+6sCUP|b(Afdho>o}z1i6`c3N62~d4!s$S3|+^iOv&o_nJVezlKrqfpuzhh5B|k
z)Y`|fE9?StMIi_(f-qatIxvHRBIxzmed#X#WoXe^j_DfQf*rE{<JmM<u!Z)M3&cEP
ziytYkBGxwUDqr$v-{8Y(@5`JaYs_OV&6Elr*4+_IE6;e!UDowPL@!o+a{|5$`P;l6
zF?E_Ek3)q+A5+@s5lrjCDIJ@ot7!7{RUh7rzN}cRdSQWb4_-u2_9HWGa!YX`Ov2y?
z9t}i3rP0DCV$G6G<Bg(0iLcVDl>1lMC+G*NeH6(Gfqie5&s+BsYPpf!mPc@@kJyaH
zA5XM;xD=Jh(j#A+WANKy*^Z1b3=jSeBaWjVfOkmiES10{@a!mz45_EIZ8V1keRB2*
zV3AzqG@KE$3ypAaJ_6lHX?)Ge#Zh7G#SPUuLNNjnMxkHU0H^MAnlM*=kilB&2;1m%
z>pI1d_)<S^!;%aT2Xq7)psqk5Rpul3LeutrqN9ghFb<q02o81_a+do?rB`a7+6PUt
zVC#nbh>()7-_z}%iB_}_p{Q85xj6w1F7najCxYW|ztOr1J^)I{w3r_Azs*^z-atON
zjwt0C&&PEG9bI)|58eAKGjRuXSQT6k`0=Zz+?XooRJEu>zZ;!p#&zcKORQwJ_t88M
zq58&{L?msB-PsboCsPWKUeh^Y0vr?NV2x^e0MwTMpH0hG6#*OwaTN_+BZ`u9C-&B*
z=ZK4xfw(L2$c_%_bG62C_@iYELUmsVwgo+_SXP^7w40^HF7wGvaQu=6dv-XR&jOh+
z6D5GNgmho;A}AA%;h@daVVz)grvF}}1w6^DtaZ-NZ?$S*f7CKnd}UzO8D(mT51$B)
zWUMH!$SAXUoE|lDWL<cihir!UUkxB;4x;5{F+F~(19NTn+YMUdCs3B=W@WDw9z5_O
ziaH@~oB@&gt}UU`{LxWCmIz!Sut)Raa#pt{H=JRP>SQ8T2O-+dDK2SA<$has4+T7v
zu55aAM<;Vz05Q!<Ax^XU=G{=wi+>nRY0Uz#VzUo@aTD}`b(@S(I$!1F*zv#DcGzq$
z%0<9xS0_%xTnvC@vL7LH{T~|Pv&^ny!3c!R1qz^wY)v&$gRN0Q!Jv66hpA*|V|?kW
zEwdET3DD8c*T=WIsSarbKD!^H0^`KE+i)PzLtzoDb5N9u4M-nC=VGPS_@(JDMLb?D
zvsj4dy#X#~t@`NQGsuq#wUDOn`{UW~bGIWqImS+IcoRIgouQeJer?>===odMBqJjP
z7E<06?J-Y57Be()$I2V2JgD58HOnmG;wr8uGGFzMib+c=3J&nn*d8+V9^JpRuE%+`
z=+eX|{p+j;VQ_j^)Xa*?G!%t64u6U~yyS@iAqqQ(vPVZ5T_5zp9ut?c(<Iivf#-8=
zY;vV<!C7X>OAvs!VAIX8Mu%E)$?mG06LK=Pb}0xsY^DcJlwJGAOf72_2My*WqZb8h
zt~OW}Lh1Xn*WdJfPDL-|9;?z$R-7){HJq(dcN%pJ)P^f90upeS6~1Qpd%z(U=owY-
zxbr(HY!b}IOb!~sPmV)X(#JMcz~AvzeT}Qyq^*gE!YQq^s8BxS7n%y*Oh%9ia5&Z#
z#JG%Pgu{@*iNdwv<xn-JhAg!{BD?vQrRq}wJ(0~AA>543{ky!tjxqp&^fytRQCZQi
z(j4`8jm0q3V6d{OWM7-T{y=7kRskEOMQg`5HA`5&KT0)={1W&_qI?Su!@H(^{85y<
zpCK~=T->|LxVNL&lHTMHOWM`ruft8miy(M}Cs5AF|Mp_U@E1c|8^K;Sh{BqU7{NV(
zOJ_yt0xd%hH76MHwr9_<F_Zum({|Nz>To5FU-f`!L3y27w@O8`-VF}T(Hrul_K&s|
zDG)+1el$2ty4qrW4OgA>jNPLea$qQ6m%{2uY?5LbH&aPOB({Um{G;+OPp93Fqox~>
zMR760+w=~=E}TCA3EuTdJykbW0YLshBeGjv^6sb?gls~=eJ+;p(b0MWa$OQa>5kcH
z#kfPA%`{FF#*0tm-z~0^>V$k~@5-fNsX%o!?ZJNwneQe4bnFcaHo<rm`KmM?2jaK}
zm4qA+yyDSvngEH*q=z(k0#H~!;;yl$uE5<jJVonOpw;W?-yT!o4#T=mA;31;mZ^@5
z$Bsv2A?>XOFBMWvU_?Q4n~g%K-}ZEcZg{<^6AJe4`>q`z?1dNm9N9aB7LnfQpy?>a
zK^DU7zQZ_K#nM%bE;ECESyS;Cis=_j{S>k4o9k2i4&wZqJ{5oByMaNx0@tE>PyHOy
zA7S%o2Z)O!GC=uk#mR0<dfrk14PN$@<d|7P-$`vF>cF5EyGL&x{aniFyY0L7r+n^;
z^ydaP^n0voEgu8A-?)m<j34C9E9VY$9N_ZA%6c=Fj~l}pE3eu}-JC_>V^pNp4Yts!
z18nKe_gXIuGAn76B!BB&raND+FK|qxf97X11@QR=&i%&Nrm+!{L7_0#%?sc)(ac(t
z)mC#d&+oJlz`6<*0BkWpbkGPN;h3GWRPo1JGv1w<L&A}Gr#VB4yQ)jPk)Up-GE>aa
z-s7WE|I5C%vcVl)Tr@G9KrtGa3=nWqe~9O#hS?bv-!R>0Kl=v&i{Ny~L%q>sjLN*V
z{Pg3Lp>RQr$+*ErXVSWlRD@@tWjk=L-WZaE2{%1d>^j)lfinV%8hXO&*c0ARf182A
z*EQS9;29zgwQI@^c{hO|yXNwcbl;X4rNV7-Y`forpdsRnanIO?rCoQ>zRMI279oI^
zZFzuX8Xc&A1^478jot%~3OjX|fxw;v=<);QL|h@Ge>ZN?9?#emrLQA_kv#>$F4_Cc
z-P<VYY!Elze7iPf0aUWa_7``ftX;^^A)zoLRwHlOy$2F}Gjqz-PsSc?_7><ikLqc7
z%AdIR7j<xzMgJU3t?2uvSt#lH3Mu$(PQCqWtEqk17@zv-|2C@qv5dO|2h0QMW7K0T
z4cnrSv;!zV?1iQ$9Zb;`G#;-+F&6vs?FHh^wmL?v4FZ~uf~7iwKdeoZTx*m37Vnla
zskc?VxF7MPB}xEl<h}?uju3gE#LCkh)tl>hTAk|l(jSaKf|Rk8aha`DO3Oc{K=B?s
zo)IKrz~1b<i*59dhSYB^&puf18m9a6WMmARg+PneHGMM@`+Y#aC$wAFM`hFc5y>nd
zz{4Jd98&FC8aXJgTcd<o9$$GBKE~T)=k{E~`*0Vy>umIVX|oa-F2+k#R;pcXptF;^
z<zoCA%xoD7{0LSmQdE|?nRJu2YhU<y)~VF-M-&2jv1C;Nb{O*t+%sJ-LxqIf(r**{
zOdB(Q{4*}S8@pYe481Gw-2KfIQc)oKVt$M;9xnK_&&N%O^>Y4sLPbp`bP*B<L8m-R
zd`)ag{1ixfWDV7N#Dd+@?-Pv2xOqvgO>%E0xbjX&F~Ef!T+)iPmG>7`H&X|)&8zy4
zJy+1M<P6(&=0p4wDEFalc66xY+<ebN_d=dgEve=gUl4T4<MN)gtfuVj*X{nL(yk7z
zUaUm9+7m|C|Mo&ty`H3#63irr$2H+z;>{*yf>Ose;I#I;UN=I9mQdH*n0Nte%kmLW
z;h8DyWwS;{au^q|6$btqQ$N4@tF9c_c^@_gsf;*S3JWft;^(9erxA><!K}!<kG|^j
zn+*KUd%AFWFEkwrXL+UBCOZK`XoU~jL}uyR`e`*SP)CCujGPB_73k(d!P(&KkW$&S
z0IQ1AJzK&<XrI8kaZrL7?4p-855?{{R!77vX)AZLn1SmBOhUIT0K^*>$R(Ls25Usg
z>Rp5Z1nPc~P+IVf#GC~Qn-bURb+e{wLg^@3L3z{0Mj+>c_3Dj@<{n;S0Uk_;=X#Lm
zX-M9zGLV2R`aPU6_aH-qE3{zY=5^s&764A$fs8}bR*@Jw>DI|=z~-ar6l9x)XxoH6
zr=-dXIfp19jg*(<y{@Xl&6MGJrreS@gVlxc3h&$7-Ni0{ZVri3YA>(>4bDS~@XYlr
z2@OZjV<V}11YmR)T`5T*FLf^bj7xSrxjdHrOq=D5rQw#M>v%Pv0YizP0{@MD(H}~|
zM<A63ONiY8%qi2*AA3tNvjQVA&jYV7U0iF>{r9w6K#9dtT+>s`0k`XMgk1IKQZ?MP
z$n~#qwbRE?Q^`5+kPy<So$Arx0v>X-3-EZgt<_Y_v`7e*C0Hm(Cj``>IuCDv5Cg#i
zY~O;39p3=3m6of&fngsmn+b8SOn%@*JM^Tnxg>Z)ex@F-PRNB}0zYobtviHhaD?vK
z4W3@LcS~qaoQS~S!69U4{eu{2o2c+gX2W*@$jP!jYzhgR7TW3E-Y{FOohhh=QQhQT
z?aCL*LLmq_*&HX!g$uS&D9a?Z_v7-8aC*hbZ8I{kQ@QR1=`MXdR7%;U6evruX2BYV
zOHnH82|OQQd5}VNn+}hv1Lt(dn1@X|u-TkhiB|Zht_6HGW(Aut9TxMzx+$*?d2xQ(
zy#=@NVt9?p)3p$0J?MP|TZ_}v=<1*-Q?&t@1^twJO*og0o)C|J6hF&Gi9)PlM3vln
zMBwB9mdF&X*`5mi_g(+n7GmU0rSr<N=j$Ef?_C-0e}xLPz^>W<mUgW{nf!(%DQJAa
zWOe@8PH+Mz(6PYqvr98?qiUR-aKdE>+Jf*qxeyMZ_+d)G5u5zs%<2^9o=XB(zXSoC
z3|KcNgM_sJ{5SFFAAg5^p+<~K&`2?)vO!P&{MdRQGpV1zWNsw{6meu_+ccHK54!$0
zo2zQ+19K<Z_d<P|&ODZ2b2DVwOPem$xyh9Y>I6YloXImtC~-B(u+U&rG~N6lfySAH
zR0rcL)!%pSH2|SSP_c(Ug8Xyi?Iv^AM0WK4!~-L1Muu`cA9;{n=b?)z0tEQk#<Rxe
z4$M#8Q#u*L{wocVj6P2XpWs3SP~1=TWzVyYf}mVOXrVx;t4&PhZo?-*%G(xW`vThR
zsJ~NXp1cAEv678#99~A-Xz--Eb$~SJf~^*tyl|VL&?<5>;BUjjjm$8y(JPvo71D$1
zeS%V=Ha2*vbE)9)l%_@HgTA|zVUEii@CeI{UQ&B0zgbn-i?=2L(n&x&&O7DyV~u(e
zUo{<bxT(^*3xcLA=oTp!kT6?jA2%Wu1D4oL78c#*dMLf`O@99REzL|!aG6<U$YV@1
zq6p5vn%3*dw?A!c`h5#4SxL46Fu2g|#L2V%?!1A0H9~C9uoEK8vqdSuh6<8%`&G%9
zW!GS(_0UG#rQPG3_<?pz8sDiD)uF-pig&;YTzaUZ{Qb1R-K(?-*}1d510I?+gC6xk
zF$`tE8fvnsF6zGosc`h);_xoT)fll3jAoo3xiDNGV5z1oRuWzafFP<E$gNly(HMdi
z-1o+0%7tR*%iMGUf6_lY0B^|llI7%;{xl9yC1Hz+R8`vIdyf6*#oLcpuV4u*-4s|B
z0vM@;ZXG)$6c+F(c~L2R-V;+aislLf^bL14?~m{nf4AF#!e3A{LrB-P6@@k^H)%TP
zlWXK?%DOj#dn65$dg&;}2kV&EGt*7iEss21Nd{*T!kNU5>K;3o6Sm!Q^D04}n%*t%
z!3MpWzxKRvNeV$KQzQ0YBxm$7x^t))eIjtMuHinmdP5O?8OHavU*~uUr1a)hgqv-%
zT4()63EG4o(*y9|p0Q=En$$T{L}HTlR84F)2TjEF-ej-*5PX2#JQ*c7pLz4Lxfj>R
z9`X_sc^!AWNsA|OVID!>Q5A=ObeVz>=K5a3prx(^)d}m*3^9&k|2&g|4=N(Kd2>W9
zzMe0sqCI+PjPglsJNFqM9Yiv%q~q3Ur>NkfX}0ty(1mI?fz<PeVN)aXPwlLv%iP~N
zHlTq;>|hzreNdhck*IL26M=t`V}sgT?hy`-`_d2)y@h$$4fqOoX_$<RQgaH;f|!SI
z<0}Dq0-YEsO2vutO6<2d)N4|(;rvk)Ja+S8&Kk70n^v_fWY?v>%{`bQhPD{FC4IjS
z#3o1xU7kCpC7FQO-Qcq&Vn%{wJhx1lsNwyGI^>iI+@Z9xs^zQhwe*+rjtzE0e9O(0
zVh(yVq_noC+#@C92SGy#{>&~E%BP`Zw`9PseuaP)Pm=vPswrsCve|_1x3p7js?}?W
zNLLJ@J1a_0*@d62LPK;WZ@V*$=!jOM_+?}g=}vKIR^ZkadTX7OU>k@e3xYax=#Zlw
zuh|9GtsO!Iym!|E(P<*{z^3YrqVI+m$0(aVAG>C%NlpgQZbbM|Qa}%@dEMkW8v9Qh
z#}ApEED@O4gJLWLbc}itYwB=V&Cad<L@al8_Od&^yJWGh#qmCRM~H~azx@xBfc3v?
zb#K?ghoq5|hebl&%+UUTFRd!{^mji(I@RbNn>Qlp^BwHH6(*Cip!g`4_PK;<(JKP~
zeZY0zS+Ue?-IyRF?A=Xdti7?-heivBSdb&q?J0vlnC_C~Y(`+1`v_O2^k|VM!GKuM
z-JB+?L}i)?diyvX(_cv$)TCw)izKt<#9hYUx@N$XRO4y;xBgGG*KeNosE@cy8+Bfz
zcfYisTpyNSZ(y3d>NM$I2ELa=wN)Ur-%c3x(%Q=*go|H#Me=0W8Q8Hwo=imiSvmYZ
znyEkO2kUD4RJ;Zj)@MVM*P1a*x86WGl-d6AdvE9rh__5+5|fB=wkk2?P^vwQQ`MT9
zX_>TvBG{!tRvm-qLfd~Na1~=Son0e$M60NJ^gP6wmuAM@^kOX|WO9aeRN=l97oZ&J
zxf7}nPL9@G+C3rl$Cv#mc<yZ+wSnI^Sp6wL#y=@O`fd-sreecc!;%2iRJ_VME;E7Y
zw#~B0to1Or9kUoe<sjJe=)a5DJ|I$CiaoEFkeN{;$uPB!$jAX8B%<UGAv+`g&^Duw
zuoFn2O^rjYF#Xwbc_$u7JdLE@kQ>HL_t&TA#GXn_b5a0WPvY=Z!Cd#UH&^kIBqWT3
z8C=k>m~R*H>?@gCnFiS4B0~mN7j&$?XQ8~#IEKr>Z=3*Gf0bZ&wd0=qHPK0peVbZ=
zOyJ`Ae*cc%TG&~pthy;f^`NN_!Lqqt;T%*`jSW`4!1s!R4}2fP3nG4kRnTU-&}ZFM
z0GXA;Yza%>OGJd22?+1*S<g9M=$=Xk@oY3*q=a<Vvv@r`tsP8(8JR^bWj{`tZ6Sy^
zCY%k`H&?TTJ{Kz0L+rHsvk1yMz)Z7Yk7Qu*${4UOTZ@Ep_VsCGx?+8et|eVq*nN&K
z_!`C7Jc8s&6=m#$k;MT6ltv=lvzoiuTmDSp1b;ahWWji`VLH|;W-v7l@cXu-*yk3R
z)%iM^|3NaQLjlE^Y32wFlDpgH*bx`15m9R#A=N=5;XmeDahf4@%x70NI}k@U5)`oV
z9k&Uu@w(K46(!M#L{_uoUdR_d_#Bh9K@FvV%{q}`RKWStnBNA}1GcxRf`z)i`L%R_
za+_{b2Of9FS*suj8Kk5$(^InC;L=iTvlsFB`y?e#nI5KG4!)CyP^^1`jKnVt|490j
zyB(XCR+S1Db5@rm3(XzaJkN;Y-e|A5l?pe@(+19^1?i~*xFZCyIa9Jh%S6KTm<;$H
zGh$eVKUnJX6Jc6mdX%EB^_GStLe#q$ag&P<@M?pD7)?|*r>b<DtmRBSs8L>*c^L~d
zKL^MSON=kW<rLI@IG_{DNc0x15MaQB9I*tr(_KSu%MdQK*k6}7k*-JuovjQU?&q@p
z(~>Hp)8{>aciKiiP~mZcl~MANOry`q<0trdco_aD<KmgO?qf4nn6!+LNLnS>mScH_
zlB|G3!r<T+UiLC_6LG9q3bRepj|+`PJqgsR<YM@gu$8Bh6MgI}L}oa7hP{d&3xBCR
z^t}gq0<q1>=j7(cXHOqfB8uL=$|M*gjZm%xp_Hx#V<P*q;p=$7q`inY(r@ilGzF)f
zkD|QziT17RM!KU?@RVLnP#7qqOTz&M(XsYL0C*Ai6l#LlRZ7{l```>QcArQw^@N!?
zP7RWdi`Or@q`Rz!r7Qo3>9+s+;+X9bxs}5C>c~*EhL*OXce<N?0r+z9rTJ)TzZPJM
zd&iGL1XOiRA&s>4D&&{?ProGX_fF!Vql?8pYfpTYU^JHAj9}C8wZA!*On2Z#5b=E|
zM*VhnIKt||{Z1iz$g_i!r@h%^G_98+=}uv|OUme5T=RJ?-fkvPz(-&kBp(G!&v`?N
zo4JSAU}^-yFOusUZ0uKS)xJpUl~&0oA9X6vN|IJ~HUae*ho|}oV~9lW==bM1BG7_r
z&yi$nwKu5AF_0o608`0)Y81GNc3iRgG_*#ch1$S)xp9Trl+T7)b~nbpu0a7hxzyz!
zEsM<os$8ikK*;B(<3ev)8=4lDzo)ASC&huizoM-rj``wdrz5_SjZ<_9oY@GH)7!FD
zP#Q?eLdZFlggxNXVwGAHHF8;9>UhPQFVZY#nbtk@=+$ZfWu5V-Q%}$5O_~<2J*^1|
zbl1kbnGR=Mh@2neRe3?kSM(}BZ2yM0f3NKLm`fAs*_!+7mNMRip0*B)x*#;uFMSWS
zQrx79W)M}qEb;aCR_yJ@BiI~j1tNUa`+P0EB|;L98hkEPM^j3EiO%6NY9Lc?((Uk6
zq(mXd10MKc@B^0`3i?b;+3Rau(!C3em>z{#3$L2htWVjSP(RNq`u3NXED0)i;myGc
zzv7v}pJsoP4$R#(a&bA;Z)C1?i8=W~g{gQ|=~$pwX~7UrS6L3SG$pvK`I4hu12M7e
zpc*b57P7$Jyo7+VS(kI2reG}rt$XX6Q%UtKh<gVg=5>rFo?6ZoVnIGRnt9>Q7l)U0
z@<}*+0@(17Hr!o4(|(dP6PeG+ij6?@3W}`G05!WcaEHG}*yRLk1)ahtMh(XIH3R#%
z=>nO9>xcqT5o9G_fjWMh$}q1%wDVMKA}qR%8z{u{o8B_vQHxYXa0>!ucb5gR+GsP_
zS-qT6zK;cgT5$uU9+W<)=DR+7OLEkJ*fYw@&rBs)OO>@1TO3P$c6@sNo^z<M|5pO4
z)^X%8a4%m!@%RT99<%ZZwrpOXwWqx`Zi`amQd7KP0?fV;Je@^3+?IkKvY(M0aZ)_0
zmIJVUoLG#H&>*MR)~#%CMu2A+fMJVS(_Hcj21N;*oZVN2Z0ZD=#ZSM^pnzo0V~6ld
zTik42)hKERgolI$|Cb1yinmvPtNF$KQUTYDt8c2@#}-HSCdOQP*rvF@W1e|k)B-$5
zs+43`YSkcK?E*=!ogDb-MY-c+v5LL9N42f{8JPp9I8XhWvF=U~tv8L<Ja+KgU8v{S
zzkN*UrcC0un|rN=Y-(Lk@r~53`<)@SS7I!fh$7H9Q!3Q4twRys$O<hTV6rm%9{X-C
zBzAatM*#;z;qi3=AH7{s@rI@&1030VDa@9B2)4$GM8<=;h;JQV?F~p(OP?x9h=B*}
z2O$C!0<6tLW#HrFpMcT)!{D!IS-tmzqAt%?J6a7>d3naMbThucl0o@{-;WA$+}P9#
zzW-xTv)BVF$w56iHuU@W?FSBDa8xWsI~ojriR@60y$<M)?$}g9>PEW7^~yAGufY@4
zgZBQ&kV)qb?+UiW5jXriUf<uHd04@m?Y)MU{g2u5Y7cp$zdWkiUE=<3Cq_g~Ngre#
z)hJI90dLW;j@fL1n+LGMH^lSi#N8Up>lw*N@!2u4VSB!?ed0YgLz-)=Qr2LXEHJdc
zoG$THYrVe6*x0q)^UA{fKZ2f5b~Lrg=@_kHd)@<?>r2(MtWrEnSu#(=r?5~gp>e4l
z+kaL)!j8$3jJMVD_w}c{Au~@#Av4uqWot^^_Y9U<wjPhC0uV*0Io?b7O&6}T1M_`$
z-P=t<jAd~iSH#=95^l%b;?_CUKf(0^aX#|#6~!&)^MxlBd@)X?*r%>0a7f6Ck{%NW
zNj-2F3h9l^h75&JFiJvbxJoii1i0k&KLUBdrY5)%8nxoRrkH1AchoL(DUzx|YbVLN
zHbX(II&<dansCMEYC~M2IBHiUBAHi#FbDKL*Nk*})sHr#5O+#!C!jwh4MNH8Ikj36
z?Zlxfg-DD1IXyS@W<+V9Y}Hj^ow>@@%RzKE3G++E9&&W9b(_J@DO=yKLB=`f-AO&u
zucE_zqk3IG4ZPI%3hN)y^`#aHNt0>BXPIrLl+G$tn`RjHO(a{P`)W<HADfD$eMUmV
z{Lf?>Nni`|nF;Q4O@0Fh3oWGhtm=v$x7<7(O1=!P+J_)KaiORMp=J^_S6#qlIhN8G
z7VpgzaSDbe{kHH*<Tth2`vAo}v$@APYwMcK-`_y*=}+LQ?#JUskXxRfJP9mpnd%?u
za<pnG1$Ynp#??bRI4;!%ZKNZHkoix<k-v-S_lzI~#3Aoy2Y=FhiH4o^bk+%5?x5+7
zicw5qa8xu7a}5*ONGhx79!Z6_9yOBUDcnHl9!cZB7&^vn88xLgiul#*tH0mh`m@F`
z#=dui##c3OjVV#u`SJf^@VF#e2@X~)c*+L>xIwFHx^BR1I()m<X95<$K<f!5LxgkC
z<8x+TlFf9#a~NlTi)p@N*EVuU(DrAlylHo6;`-VkImBYAu}#j5ytvD2)q`xiFCn-A
zkUR?uXps_rgjf;EH7AcaC$jp810xEv<Jv-hfAKrjjG~hl0Bple{lW|qn^Kco`5Q;D
zHLQD|DXudT(z6~Ekr*v+zuV@r7_N2QJw4*qjotM|z`FF~Q1@db<BPQb)c8ltV?+nT
z#7cnW$AzkpU%wM5yScd-e8(79Q{-nm0;(}8h|E`nE5LM~v4$M4SU814+yJwx)3Aoe
znA#fDvpWzpwkXV@j%c|FLq44grB2t9WUCg(zPFO1d61Nux!WXNd2w;ikEN6#=?u;;
z&9SDU0}Fmu>sjl3^q<8P{jQ@|%U78mEd2uf=3SnU&PB94s0kEsVkX+T^*-AW(ZSjz
zFUbRnS?*g3<NU#l2t82tY(r@^0N<BJd7;csJG}pv6Xk=ticaMa!p8ict2<#m3DlNz
zpqs3V8wQRC%YWXfcO8Dww3kArM(ZC%L_2Cs!Djv~R`OdZ#*Rtp1zi2I6D9aEG)PE%
zA<rp}PNjSh8)X*yg0f9T!w%q)85vMjv`Rx3F)n!Cgg>0W#IA`Ic4^axVG3G8UGS$B
zZ`WioCg$8RloWUmgv7~37hH1d0nPtFjEXEFXr{Q~jDs0E|51Y?u9)Y9iojPOqv3?w
z0Yut-_~u<?U$S3xqiI*vZL@AS<K!&y?K`yGWjcs**Sp7dL9@>)2iqZwCAZ!dUi>o$
z2V~CGz_w_vumG6E&xPb_smh@|{O@(@r!zYP+6*9NFJLFjrx-!q9cXBn8panh{qA-`
zbT6fZgs~H#9sW9eZ@*oG9A7qdUn*b7A{+%zVY<8_14i2~$K`?7@wsuu6mM~Ma0vB&
zW5i!S%lhFU&{o2__UVs157DTA;O(jxav+)X&wtwb85Q;J{yW1f9x`rL{tI}lPqPg&
z_&T@F4}ejKpH~dBw)#*UgYe&=&1seZ@$|yq&Ngb|(U_grWY*UeNb}TWhYlp#m8HCv
zPyn(x9^wN#N})GhR`lS4sXHBPGN04EMBats=^BA8t`(w_DQu=>zAuM(n0z0$73{-S
zfiqT7a(PLaYv=0u+KIgZ=r%rE+MHHQ(MXO@6s}ogLgDx0taSrbnVk675&vp@3~3ef
z;8)<5jI?}F6KH^lpD7Mv3#KzLb&Rq1PG+;_rM%o8taL)m&_!!U|5%9m?tC;w6WSO8
zuJ6ziv@hVID-{D6!Gp=T8&TT+vaG$nWuB3LA%MHM<IC@7cn5PYC{V5&^VilJYwLNx
zi!!p$!oQxv`Kk!w<cjr&QAb2OabA2Ie<OemUiA~;U1$wHK*W+?<HgJSQ;}V5c&ZJD
z05M2X_+_lxT*oiONC|69cR<3fjCP<)Hz04Ui!6KolZl(n^a0L7aOk&WmS{`#U)W4Q
z=?f7f>^A}Q*XE;-kgs=P&6fy7@cz7lbEX-Gw1iZ;KCM5SMHWTA5d3#It^n%83RaU~
zhwauM7lhozUKiIDo0{xztBW<h8jhDaT-C-W`@QpuzIxx0C`qvonhr>d1~BC?AXIN?
zXw!~|;f0**X}2TmQQnjQls&FaCf-B?uc6gkui>~BKfrZ<SV(79Fl0_a=ezQ~49mMV
zvXu;WHNc&J#T5OZt*|RY2sye+BZi}<Brb?Q20jC+;T}MEm*!2~k9rjz$}G-OD2}CU
z3quZb%}YotR~W0kra<JOqS{(S?IQgfePEp!v^0UAE4O2dSMMFxAbxpkybhLmKwLDp
z{NKza47FAbP-Mj7fHP~qw+D+t$?`e*x!<DJ2M@a6?%>YwS8`}Hm*aTT^=3~d8&BYj
z5bV?eyFa!FKyFWcbwvxQzT74ftdM*frk&Z6SQWqU1CpLGk-p8&1sucS=;s`N0A9Y`
z1=Y;O#gNaueWR5a6c(32^>V0~vpPNVkGJ^(gGN7}$YO0`f(I&$06Dr{8cxF3<5jLh
zA>N!nbP&lo4}>5~!7Znm2S==V)-tb0NR<)pToCy}YuNYL9}pz3T&tJ|C6aVS7J5p>
zc?p%s8&65{A7*h&-6mHji0bVK^WeXDLf5hu^lRT2m_(Dhe-o@x7+<rn*i>twD3mO=
z;S|b&_QT$D%S`-Wq9s%bE-2ja2YJM@s3TwD5^2t)Xx)5uaL^j4Ubig$@NkN?aV|yu
zkRDt04bbR2!9&!T-~4t9OhHa%TnF3x$Z24Z1K~Og?GA}is(S3ziL4?fA-6%lBY7W6
z`lw&-jTOs4Y55iS1|=#H`xvab^+AU^vmb;I)ZZPIKrXsp=6K6=nPd;R8Nem79NV24
z%5%WI&2@2y>n!$^Tp|_cmxtQ$HMn({ohbK(`vtFD=^Xln)AEXwZ7B0WbL4w5_woQz
zSL{+^VR>_v{`|k6;3hgMMc6?Z_J&dE&iz)7k7^o~^5tdsvsax#HQ$^EEa#s#U$KTP
z8Xx6iw8Me3=9&fGd|3QQwz*T);0YyF=qWl;LrGS9<v=o3{(ocaU9rV2F|;x*0!~a#
zBcHS#4}N=}){TBDQv~C5HMUEI|2jK?s6c{<sYx|1&=o2c;&4{p)|P1PD;8xla`_hB
zkm;@gSkZl0+m%^J6!Rm-8g7du<EE!C=n^1lNGR&4mY%Vf3*Oe)whVYR+f>UC$#|J(
zyX6>O3dWjVf+Qn5yedpEP650rh!k5a11Sb_`w4NcU%L9BxojdiY1kG8UcA}<tH1h%
z+T;oYR`te5ThhP1GsbrpXLV$VYJGEa$0`~helb%sAV`gHV`@cgI~O-Y+>4W-*JOUB
z2}l`4po^O8=jBHSbxTi>pM^$GE4jC<<_t8=LU3ea@zTyCSu+pW=~raN+cDc&(sa^%
zDwgFu4f|@?8d_@!;8QAd0B?stBlGTg6c-|FX$*^@h6HEqpb9bW)$^KjVgFmz(%`qq
zbPklKc7dPkYCs1;+~7m@d#PsCy^_+SpY@nqN22iZvFjtB)hmaOK`U(l?)r~oMyN0j
ztXIU_jM*=A3^hlj95ufTYY4jKTf`$!j-GnY)fqM=9F?bQ$s?Xw)X1R)tyt~{)Bt$=
zme(;fvRl~@p&X7%a?mB|n2nk^YNnh(a!OBOQHu$19ODdg1OLuVH|5LG)0sK@+D&O;
zP+M-z=xn{KTkVB-?H!`EQKug3@D0jEeNUw=BB$~w=1uz<3MtV8ezFkhGGVX2{{xU!
z?1`oai-gHs<MBl&@`_J{p_utB6yKHQa#}u9Kr*(-XV2JsWIomp4E)W>K!PKjfX_0z
zi4bj%=ijZ@)~^~(qd6^1uw2uKMw-O*fsKHLO7`%1Zr`88;{b_+Q1NuF2eVXj*LG0{
z6gX2RVg@sAIcyi4fVt|LZ?ThJ1{l1x)%NCGUFk$FCGor`5c63=lY{6f*nC=gB>~>+
z*zTv}9>aX4t4RPAYB!-t(niJa4la<?1I<opy%yegLO(03eGhRE^$YDkqdrm8Y4_<h
zN#GH!5<nz8PKsO&F(QsA8;EgFU=}qe%{nA8!?)4P-eE1bptkC;{wD_F1)9*{<~K|;
zjDARo=z%<DukDdWI2I?T)q2NwV$CR@jFklYZZ<Jp*eQdUc<(H0Iz9r&H?ANXk)i}H
z*ZtuoLS{WCSm@^i0#YRm1Vi8fv@!TjoSu!JMsk^hJEY3Rqq=Fl$R9yAo&(i8*Cvsg
z4pQE4-oP3$6`=jpte-Vvuw-k2b@H|k5*{~GNMwmize}F9rdTs3^;ZWE5<3%$6N1f`
zvzg1U)SNRKfjySjG2&#89-1M6Vx3;K1(S1v8M%>)4i-6*b&=K*eGu@{-yoW(V2KTn
zp1H2eSJG=+eKyIHuK~BvNc$q>Xg%H)j-?17`3JNGE^K9;ZhiQnUrrM#b+jDv@x(Y=
zPU2E>$<Fh!i1~rbEg*db%`K+nbGw>KL;28x&+^#<;Mpf^hzQN?Py__M>%)FpD~eVD
zeYTW8bal6!oJmCLb9S_*50!S8sG~2nZZ6XL(47OLo%iXBdxPbNmQimf8A7iu-HsIP
z`O?r^U-eDgd{$**#HUHL#U_onRRg{XN&8Lb2FoYl>%8FO?N=rU$6k@@V|I!sNZ<c5
zf9Z@=iO?p(cn_QP?rE7UTcmM#*L50LtqZFDHaq1Cqqfg2>_m?1u_D#j42?O=drLjP
zZyj6DI=<|dq0%XYGfWQd+rLf3%YyW0N?&MmHN(XtrY{BX#J8L+iFY9<WEl&z=)1(%
z(Y%n;SRNspX?~<_^SXJ#_ef_|hmHVf1;dnDctY@yo<umCR<R=`Pgct_VSEAXh&0A3
z(()4av=D4Q6<9HhBlTA#2}4_T*33*xA*`U`{31P^ygIM=>15VDb&kM-H)G<ivV9@D
zDRmtm4uMjw%&39D@AY$RWbQIcFYi#8on!>9CzHGobf=SKD<ajRzUZ5_ooW8A)U*~n
zDgg&7MTDY1M;!xj%4MyZ`fKJ;2*Y5z7o48<TR<LjNAa!`jQbS;;1X1_%ar%2Q(j~L
zbtPHu;QC{(P|!k_dU4l5yE4oAy=!I8i2lnW+axEp76skhZ{zu<Em;1*|DoL?C?@OP
z0*5`~aJnl#)URr<z0b8Q_U6eYJpsH|x<r??obHDG!dxpFDCCGio~+6~`4KV3B<f$6
zWf7kBBdj5M<Mz;VMUslNZY*8)hVA3&<D84YR#|f0QmyQ-J=H}&M@0kR0}xJ9g$ib$
z*<bgKhgmG?WVKka+9uQM74kS!33kF3>$uz7tXA!9r`a`^qv&?or3PaUI7TI}R}AU4
z&nO-@g*i93W58Rem9Q*b1Hf@^gJ|jP2OJ<0-1>w3I)B^x@#*?q(?t(yLxnS3eWdEt
zdM?gix*}pSGB;aog9~t%YxOw-%(&{KqFWo(JS3_28};w%8qkz!imA&*ao+OqQ+|-3
zPhF7;g@d+o9D#@)=%&*Y-P7d?;wkr7woIn1+JGn`IC+<TeV^RL2g5?9z_CnOG~nhY
z%dhj;vlz$LwKFg6j&JCui&zBqt!GoYQ4tmrutMoyx%SlLX-PxUhGjj;NhxuH_4rTs
z05{Fh*tHy*$*m?Y-Vdv&$VCH@;RPv4eWMo(Dx8vKF|j7%KqqG>^*jYHFuwdOU{o|G
zHx<D@!B)|`Hm@L9rY{LOb2=cdKE<zHHbYuwd3*{;hz+}Jo5UV&Xqb$KU38(UP<To`
zWtHf~LtI+16tYAFgySfcPqfkzaDS1teSk@WlnXE@1@R!4KiUoA?=;lKKe*3b=z%3b
zusNMgg`1etuW*wCK?6@l>ZnVgA&YWA-GVO?DSWZlh02w5`JSK-v+~gAcBdn_3~Ffe
zE~4&=q5gN6ZY^^TNSEm=p3Mzno0_~u((=+*SN<E&xogNmiV2F6=>9Ta*O1$lafNiU
zNmr#3-n|IPtgenFC1Shca{$#xqapYK#KO2o7MSnPxh0r_Kgu5>jEdZ_NG25CmT3YL
zn1yCNHaS|RlD59893hR^cf%KbQ8+OZ>gS~jIp1S2ykb;6Q<nO7t$BKF)8VSPlI!XB
P2l~=~;&e`z9E28cqyD&m

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_retransmit_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_fifoless_retransmit_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..223f9396cfc5c53d22a5b43ef5cb29f9843fc70e
GIT binary patch
literal 25488
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TFD{o+>Ul`}V
zJD7oXss;E|dHywQA~yXrZW2G(?ZDnX1iQh&UP0`WfH;m|lUS*vLEigH8w;C#UVyb_
zr|EU(wqI?SbtghAX$qYDJ(tSD{kKU+!FZa(=RYBJRC8G*++V8NynF$TXOoFh9-roj
zwf-S=W^xFHh#Jgks7P<N>qj=K2eqGsv3p@Ji&imP!=V;ex+s}nMR)J~H<ZxdTjiI1
zLpu&~yPq7ZVsb`Z_R{87RGm-x28mTW`DW_9$H-h>h18j=k0ZzeRu|1XfMha4E>#M?
z@l<?3f$kEi^AkQa^EhOUWtzOJV>Qh%F$(0ayCg499gQZ$r6r7<Q^XfBE-Hz+DVyxO
zBA3QipFrdWaJOh<(f*8zi<p(Mxm@X2gu>iug(EpIPkuAfGh^kj{A-oCX^M+UYV%1H
zGb!#lXG`S%r3IF&xv0d3VH052b9Iy7_h${;|KfH{1(=2fE^mBYIZn%jl@qbSqR@6u
zHI5E=m(4H%2&u!ZA|uu@*KKU$+L6;mS7$e0(0{KOu|LKeLXLhf6vU&@g)s{GnrWE=
zSB-)?b+(Ms({qg3D8HcwwM<F|4eozh;I~5pW9-rTzoV)Bbu)Im%dxA7+FSITS~fs~
zDq{lZ4v=G(ih1+Kwgb$IKvEebnq!Px2s%W-9#)yT2aB)()^(e5bA$-Tv832`8I4@(
zWWPLoFz@rY+jRq?mvgg=);%6WpO&lEbFYd|eSm5249YzJa2y2|pX+j=!YsZ^&VZuf
zPVQ)Z*XJg(D*5`|)112phcvbjOI&AsN5zN{&zDeF%Ssu9e~6*Ny`Lf5wzAh8ZGkwl
zDai>V<2(}M3vn<rINbl%_?*j6B0)J7s?MilWFO-P=-5JV*~DacdG3b%F-Mut4S)ia
zDrc_?C$HvF%znF1)@R-GV7Y!xA$OWm)R3dxL$xVbLxDE9J()9ZaoD&BJNz$@!AEp}
zf1Y%_GZcCFtm<MP*{Ly69|s@757q5WR;UfZMR!(VF2cv!J721PfkL3io_`tO8o9yr
z*&zHmd_1z<9P~d?Z0YDeZF9;KZ_4TtmS|$vZx0xxcNWM`m2|>`#@Q)zu0`P`%}`_-
z==vT=oaCct+mG9YLCS{no<;?f^Q%v&+Q<to2gaB_T@N%>1+{wrE`N7bq&S#H>(c)r
zqnq<Ehb@7d4)^F{Y#^q+@8WOxPkCSUC>QE<17pp6sMBNxKthecaZ15Kw9Fjf{HMH=
z^i&i8v?<s;hhO$N?nY&d1$1_Dl_SAgwuHTw+4f^3G%s>9o`4`-hU5>LhoA9-cYhrM
zcOyVCx|c4LradSa65iHz?5GN`i-p<T&Xj>NaBWjaK+NJ6$+Rh9p;8149j=xZlSgA4
zle9k6LDW>OC-BPp-%D<jslo^iCDT-RPv02KasIj2h;_`Jvzn^cH4{b%%BwA1RikqU
zjxSW)``(q-CthZE#u}PVzw!}yZ;8$%QSx#Xo{$%1J9d0uhY|gYfeTRRu|+Y=BP0SG
zWF=vAoEtrvP)bM7hYL7KN^jHqH7zdnwT+|aCzJM0rX`6Z%sGmTp@?Zsf)}>R%pLHC
z<%I7*-GUoCt~=zN^u2_vl-C`hW^3z1=w_cR&dXbg*0)auXda#XYb%ndzE%U`BrXj1
zcyYD6Hf7njZO28+*k2L!<W}(<8FuCf?SJK9Eh~u7%r-<3>SQXIXM`}8KrXw?nL*(-
zwJ~?8r;@fjv2MTClJ55{ybM9j9?Cb0f<^WJL0Bw*FyY?Qjv<l?B9C0ZMX|aE`OG_|
z7c-*uvkF|^)+IaNGZP8OVZ}XpC%<|aY`9a<SpuxP4Mg!$qUSNtT86PthO|xOSr=<*
zz8@n0DnK?G(O8}KK7ba2ZxrVI7B_pG<}N}#j^#A0_RBPJpP7COx$f@jzXe`d`AFam
z-eS8$rlcIIz$2Mtx9S*{3G}dK9>^)$FDW3zn6p<HaJGsy_lSDFa!NB}>z-eta1CW(
zg{>3zFtFo|d%$-F3y27H8~{d;lc~60w077Tq{Ik;$&7LQeluFj8eVJH^{y(+x8Vz`
znixN{864Wy6uqAoy6Be*Cbq&uF=)`yu&~L)kPG*Oy$R70p=Mw4vc8!78tZnxzJF~-
z)-eRL?xJAH+NON+hf0yT22Uk@aI?!u1PFW3Q?A7AFzK%~VL6WH08*2qfx%@Tv^D&8
zWwyg3`teCI&LDVuMq8i?{QQoeWUkdu)3w8U)WzffLX*K8BrE{TX3kK`gotyx-bzb7
zewX1N{){{~`PF)jk5`(!`GBmLl=<xwbp~8C&h!HzHRVF&Apx0Qx@25_z*v_|@Mje^
zFg(dsV~K&YFaENZpT!m|fR^?&Hb7KgyKD}$<EfGHyt5$#Wv(a1afo8=_*ESKf;Oq;
z^nL&ZB#feun;f%WV&Z`-b!JqyZp6kh8>>G?$xIH(g9DvZf_UeHaiTD%NmWbpzyI+i
zTSBhE-j}M!>#<+&4aB$z21dh8ISgr3hwjIs2X#@h{~bpC)qkm{QqtCndUN^nNeNf@
z@7BB&h6Wf>IDzNuuHKrQck{({6fkbn;q1(Zw|lcu#XEe#p`%3w#br?U1VI-ik&v5K
zEB3WIbZj`BEai}P9bW4AuSM)O>tsq%>?}RlX9RvjOr)_fdSxwl6ms^gdQb+-Xz9Z-
zwl>0L-^+KIxf_qS5&POo1`Hb-M|~2cVE<Eqv__ngc4#K2og@@uU0SG|bht-HIh$ZN
zHVGhhsL#foJn$n8;2xgnnJm!!p83Qf>}rjw*dshpT1g=0Y0?L77C6or82oLu2En%@
zAkM#C1m8f;0RTH$ejB?g1J3CJQbNZsgaWeur1f%Y+@LR3&V6a<+BD_VKb}8FLf!ig
z`eOXWJPiX_a>u)Squu^bdL2q5)kg-}2Tg$*!J?N7!W#K!d0nbLJONBooy?3pXTnRM
z#YlpxN}1fp;O<!q=jnEQ$2f``ILhd8<~=61NN^?cyRK=$T`cOFKw!vKlSJ<U7^<`~
zt->QuRB}CEA`{kEz+9|jrIcS`$(=@}S1D|{jy>1Dtjc2@HIBswxOEthzUNyo6~)GT
z&kz2URD)H?p65hbOaS)7VFt91_PHxBFH%_ch+kOZ=!fY1UiYHFB!)8}%6utW`Xtj?
z$83l?on+V5gJSq&X`&j>{6?SmW;m=NUp1GJGXhguwT-BBI13e%#<bYHCZeg2ZX^m<
z&~)K~vVS`l?66x*CJ_fHBO2hn*o-j7De*@QR`e#IaTO5q?Di?L17v6h;qIp&09-Pt
zcB{Cx29zm+g7;lsP_KGu1^ws5^JT*&OPF|ez;m?`BD2Or#ofw%hm+(M@+xM$;c`Uy
zVyHwXQEgY7lO`P+T0|_$Pj;lWp<oUdka8cgRnw;hwnw>iw=6@gJNnB(Y(Yln(1?gK
zKnG|xNzM#q^2HQsOY$}PB%{b{uZ6Ic>QCTmbxKYPP8L`mY|k2&C>ybe!`<~lfHqU2
zD@=9DGW#akH0co*Q(jU<=D)-~|LVXk>xup6OFo-(Zkm_JXfY&B;YA_8QrmbHYDqva
z4bg;%o{^zD8Y+<B+ml*<N`w(F_I4dTr_VEAS##bEpi&#EzBTJjWg}zW?dj9;9OJ{e
zMJ~kToUt!Tm9Gd7&wJ`Lw?#?5bzd@hzwDlpNad(YnH5oFDM=k_2L*E(ZnD<_z(3Db
zrVk1A>cAI{&fB()c9mj2aIYA^xVew270=5KzVyb~Jq@HgIp|(DyXL5gqhkqG6Go%k
z%!V?rc)Z-Ehd`8k)c0m216b{AX*NMX@S{`IJz-VoDA^?VKUtf$1923i!`T~y3<fy{
z>|nuI|MOHgi{-H>d{gK9V=yN=dC94h?L<FJ|6p=9`!8_qId!@`E1l=-ET07tR)9#&
zGoD`(^O6In$BZx`gS-YZ!klmvKqn<be||+>fvQ7<O%WJ~7o|I=xT!T}b0T*gR1I~#
zR%Lde^(4f+wu6`dm`R<Kq3NUJ*W@_ObBC#v3}x@EKA_I`0fmp@1Y(0d`lck?t0w|{
zSuFF11Iq6j9)g{EvRKbz@kRd*!>`T*tz3XAk-%M>_>DAp#4VilBDaqxU|`)-3j~@4
zLJwY>-}q-tWDLdXSyPFrWGcf%<h2VIvQDC-W7@bv?+In4#}fKyCa2ld2JRRL#2dAu
zlWn0$T>QP(lX^PO9fW*zU~Bt+92f$59<d1--cuf?HuMSQBIq68Nl4UH6;a7t3+ED!
z$lOd7%3=bhLDQILe3h!3Sm|VdKNm0-xpVi}HNSPD&CD(X#WIw$np^<rmiIeg$f^~Z
zfL!hpWxJDj&?6ZRXD0H|mWYL+yekwUJtj$wQwR12d2ZzdVv(y<`EDsTYSav<J;-zl
z%$M?wXnx1({q)P87pD20%&rJB)xW5f)$K5j1=3;GMtGZF6ke90g7MOlYq<i&y820B
z*g1F#E9Qo9UR#Eo926fK)57{CMCE04_8+6GQ`<%AF{}G|Iq=ms|Hd3c+xH%o%!2$Q
z;cok8+RZk^_dK7@&SpZF17%-hP!03txvtUuyf-Mq_#k)g#5)wUBJec1Zjl_XOadf>
zTco|m!aIi)zBwVmeEn4-^Y)g4BU%c0^m#LpcsCtLljd0~MtBr-Jk4x=Q|{SPdL#Y*
z1eOb`S)eUTSje>TgQw+t%Po^xv&zlsFl!Ou?BSZ}sOQ)sm-p6{^W*eA0Uxi?5sar1
z0@vr;N3sND{k>!V-;^?KFtv$^^z$;_PXe0YeBvUqbo>z|;nY@Q$PMhLBjDOYvDUn;
zcMHjhp3=5~KvSSAi&wDFo_%=lG&*lGag*qHAtd5B`U5goFQas!wNS90kUFgBj6{1l
zCSr_b3f<0@MM604q*<-P-atE*wkKMZgRcPq6+xYsIh!`B?<^J0;O=3>`Y&PboOjRL
z%v!<rREU~3UgaeMe)|mx-ORh=H5%K!!J{8?kRgjH)bwD){GGK+g-adJhF2BgE`$k5
zloW60BOK}RhV#VkS1+r&a_BejSrN(D6u&b5`NWt$kO2SI{o2nb;t)@Kqvy_zp|F0?
zQm{HIYG6-~>+usELR#)1`>1ZyO7`TraxAnSy)hpROM43l!cu^8K3l|z<_kNVIY`u+
zz>Ba7b0HS$&t`2^7N|i`(DNzKKhl(Db=#~UY%?%s0C(oJ5(9*2(!LeEQ#xt2sxC{s
z$K~g$!^orQ)0{y3jUq%snw3T?2EXuFa<Rx`#lR|`r4Ro1X%}}<>VXEp-D%{qv2j^7
zl0dgDXAo9un=>{+zhB*Z`0a@mE8qJ-9bVCmQOy2Q-dBKHl{?SWPoaUMv|)imYWSik
z$H)ZqmD+v1ZYmaABx2$KO5GyJA#n{a(!JDWY^%Z*`QxqN+2ZMPD>{N!W_h3K!8j!O
z>53dS^4=dTSh`HyNJeQZ4%ld9e1~pZ9Z)$N^_o-!wFman?)?7nJ&_2QK7fDH`bw7X
zGhe?)1Vwn))S7b*t{KIt0vgf|gQ(ZE!AcjX86c-51nykw-eUqYfo;H>bwsRfCk7N&
zXl^xgL!&Eu0#KtL8UU3%uX&b|=e5<QdJAL5ef5+Po`6iEa-z`POfRANu48A;$b13I
z{Cl2@>I3o=laOkXSb{Z-><tCHr`Xz4`m@=^0Hcx3B&U=2Urc}r&%^gbk4n*<XEgIn
zVcH<y18}DbrTb!NJ)kvjuRD!M`M}S`rKc>)KfUy&y`8jRYZke|c&5KBcjL|5+F3bX
z1)0Js^}$WpBpSH0N?7QFxg&|L&4HF|jHs85)}kX?kicrzweII(^4a2}E}MySI!<09
ziPzng`7eD!BBZPV{{H7cV2K>hVd!x`vNN60Skrm7(5V)b6-#J*@{$c56R@+(zGe92
z)#4Rkv}u4C6t3Hy#sukP&j2Jy62mR91etD$cJcczNDS`hYHs703@q0|Fq{KSau>#Q
z=AE+RaC)6TcvY?#i=m*356~%379PZM@?vfleWq2H0e%=5z9|9yLtf2o-k3Se$)PD%
z$5MLvF16n=3^SLM#Ji-8bgke+R_7eb3$k!2jQ>UcT9E*)O}oVFz;^^wNxjMmnf6P?
z{vmJqd#Ca1qh0}@Z@cX63k3(+Jb(Q%&B<GN`3AG@7XFPym@w~2!5APt7Fg{3IvM%g
zU_-E~i21~>6I`9B<0?QU^t8lJUy1KHj^*p~#i5!k+xPSln}&3Z+oFxdOQQok-1jqj
zI$q+-8D##wOa2ZukAZ6}@PfgFyCpp+UtR8S1Vn%85N^?Yj$eiCD>Sa~H0v=9=G#k=
z-KyzdO`-9&L$QfZ>CK*4xc(Prn6=0cFK_*tO(nhDk_*E>+Y<vy`sZ^*XWE}5@eE)*
zcm_$eNeM5|G+`B0l=%*nazh&%ssW1m3fyk5v=mnq9n&FU9i2Qnq1uldGK9lM@@Hav
zNpUW^1WjVDIP1Q9T@r-|craM0mb!b&^W2HfIYw_KFS^zHXBgQCpqR^#yNDiKU#f;9
z45R~U^2CQioZ=(?nCLyYX?}I-bEkD&Weu4+x7ufgLzmt@7>A2iILVC!WUmzML%`-8
zEj7l}(K8N7<my^E7$r3Qg*FOb0!^>N`lmQGCw1hfp>+DX-E})|AX{_u`R5&vPEzez
z^^|wHHn0;dKr@^FXnp0^rSbBu@)qOgm^6n+_`iYaXhHS9m}b6mP1qihJl*v5uLc??
z08tBCZ#s~nTj(*{rqOs~yCY-q)`J)yi)s44L(x8@6PEa0XE8RP%_U407mZh_=xw71
z^ZtkuKzlfa7K7!|^n_mtr2cGUW9Pgm|0BG?wnAR;E1NOTK5jxUy&+EWoE>(R@FXaa
zk3Nc$akqbN8Y@}gfTRgE93t+`vGYT2=An@zy+*f(ohmFFb-IsrnS*-9TDLChzSU0B
zh@J%tNO&POQK7V*PNSTVGh~Cuq~4U+hW{yj!p8*GHDsK`&HPy<(i-O=09yl^R_@KX
zM>p?@)2EpcxX2gTr8?@xT(6X1Q#PO+RtLX(RKQ1cWN}O&>k6d`UTx)+Vt)}0T_*Vb
zVl9(f%MiS){;rYfd)M3p<eREbg|Bv#sUhYxf(E>d10&6(fjKdHFehrsQ=<$F*T5x&
z1n9l8V<NQm_N>3LK2BZQSV&)){?Jor$wl32-d{<<9%bNof9aohYBIJWnH!w$&9Qq^
zB!o{*Y=&5iLY4jg9m}cY5E5K|P;u?lLJ2Q|zYf1iQ%Tm$)8u+**0;3v1J)v`5sLMA
zA(*;CY8gz6lYRf*x-6eZ0aR-}P;hu9hNv0d+-`YBNmrv;r_Vy$z#YX(@1X%oJ%0Ar
zP4-%%W|4ydf=RhZ3rA|wB#G?46;<vq5w%Qs7yyY5zOX^@e2zuE42pL2`#Qg5&&_Lx
ze%xQ<RAqHD<7b4YXlqo`GCSY?X(!_^<%r%<qKU{SHGiJts!(h44yVuunD;}GsA5Iq
zeS!=LP@^_awP>K&(?hKke4fc2UXWwakv}r@b&_U3Pac^)f@N#ICIBhsL%nlc)gJa`
zhVMz#8N7%*?r{U(nZk|mG&og(sh7Dh&e=$ZH|3)gK^MJDX;1RV{JbT$pqi4Od$B&k
zTYmx1*{AWv65UilVyi^D&TwcZwwDDLacUtA7}U)!R9G@QYfq1a=|_;UES&F(u*67K
zAjU2^TG3J&X*oJaIu7#R>*;|zZ17Ci=0*EV+RHIw40?qKg_`H+qTI}G)|fCP=&06{
z-2KhIEMc4Lq>IPu4k41EW4dC|`fYp-&~AkI;!0>0C>>;a<qL^jZ6Qbl-nh4&LVJfj
zq~B4Y8hm<}Jy$4A((Z*;ayKI?cB=H9p9NNg%F9V@qgh>0e)spkO!gj+dmxxZ@r{%o
z)xU_FVcX&0+y@9=BaKhgByh<VD_l0Ca7&A`z)C+@yr(_N69#0hOAKG3wz$w<L`DVy
z1>RNIvdCy84bIujJK+Gax>XnM^>#)?Ti)>>6>1AMRCd~Jkpa3r$)FjB6`Eu^$u39U
zatWTuI}o+%5mx}`X<gf8)h;P~C<a7`qePo4*RGZs;kV&<K&oGISe}THh@%cvQ$-<<
z2Wmgg1QKXsD4L7hJZOaL4Vdf*zZdZdM*D6P(G>7>9;u6&y0PA#dkqG8qW3DfyphY_
z(0lpbM3oCa%WpFGLP&Al@+66Bfih9|kL!r8^dhqfG9elnB<oUC3d{tfF-~%5p9DI3
ziNuvwDr!N7l5AK<7FHH5Yeoxg+g5|z*klcf&0JjwY)AJQvTc7rM0$mP5ucuohw_^B
zra#}t;SiBBbFYYuBhMt7-r1K0V=#j-c)qz74YH*@trrv~`6J^HAlc%N?Lov8nr(^t
zIVH7Z#S_gQ9S8<1;SQg2cx?bd*s=)Nqi6Bys?-Rq;-UphZrPKIzyLih9`+`V#+4uV
zSkCn=)T90ZF>RxLa$Ia5VMSd$kvciCH|g(X?4r)-=<wZSXc8u~l^Pm3%nx|FQ;JTK
zUeelBHAeKU8Mo0_pS;NM*D&fE5-yvpVmP#I=MxMy+mrE<4L8PFE96cG!-`4X`xQDE
z|C`2&0{zUo7m7Rt+YTj+x}3ER5^2L^oD|Ia{N~Fl@8^&=eY=oyM+b&Wvi`A;+{W5(
zX5eiEv^2iwkd_T(1tkFVF|pdG0NJL|*^)x{%#Eyi$4>P=hCqh#BEF_ioax2bCO@KC
z%O^Kw{jd$;K{NkGfw{bEAtL%4!B-84s`hsZpQ%iYQ_sVXz-GpI8MASSGjy*ClwY5f
zH$$>vhk7t0Q!li5;NIk{=hsubv4YF#_ZXC*;Gbj>=zuJ&Z7Ms6RQm$lc|@W-XHg~V
zUU(~oNr*;_D%W;gBeAK_cr?$g=gn>y`oX!gIuReWO!CYUdWo!*)Yhz|1eW|$3T?F<
zIMWrxvV@(HwCVkNQ@tXs1F_u=QNPd>1CjA0p&Nnjz|U3=2Bcv1ODQU<qvS+#@xk+2
z-Ce9}U%P)%RbyWJOdcFPQ`>(pRsi|rWb?O2gAT4W|HXAECMIr{z1iXha>nsJ(#i7@
zNnAZ6`n(us6D5b4RMuFT(JQQF)PViP$5Z~it#P>b3Z#+{r0Hs><KIklTa{c#C@g1x
znF+<$UQLyQ9@EiRbE=db*HDT@_i7k}<bPLPoYF=}i=`325+r?A5i$cfi=<V%k@L)&
zU2$C{FFa$_vUiTdMgltPK~RNX@8CgphsCJ|YD!!ov?iDpy><Vc9a<l8mOG7t`NVL6
zEd<c;bgCXq<`HIm$bc)_s$*8mPZ(1YCKX4Zd!b<qH^nS}fIqr?^BA7sC9A(*40X@B
zve9^PTX5UrtWa#&DH$vAxUt2?+QxuI7LK0RBHi^`iuMOZl<GG4Dq++p*h`&@`2;+x
zn8`X}>tL7aDIwF5c$3)_z-fLeXzWsE41YZQ?2wpjS@d(9{aU`K-=3a7IxPB2lQd^N
z3jUW>A2}detkiXnQ=%+L^Hf@3oY0~1wPEEV@^481*tz-6N5H=W0v^R70SLQH!A~~W
zN|}jWlHAK7N3&Iw^UP5@qLa>0F^P0BmnA7%3ni5(+3dw?t&^}~ke#{)Hji#AyXG^&
z=ulth=hFCuOc_ZHr&#fh_NSWZ+F<T~V(-yWt*o}j7;CfDicto=Kbqj<-x0~Uc<^}t
z4CdC+XD))>p@|(trV8EBk!knoPHK_}j)BfscY`HIV5jGYN`;@VD@sr|u4mC4x2>lt
zrS<ep2VBRbTScRg-f%G=Im)<HmJTLHy<Ibuhtj*d<q3r+sKt&scU4-JFEA2_W~Zes
zE$T<iCr#J}Jd^Z^dM4dJTg&?Q?SYtu4dZ>5tSswHDrj;SiqFjVl&7s)Q-hHjC%cj;
z`uULc)iV&UJjwgT!PsduE?^c+E9)?o*Q$RQ4YutZQ#5#LcNQ^3l{!K{!y%Z@H(IkO
z#uQ09N$%-L3dS8DI<|Eh+6{LL8Qqrz+hF0$lXw_7+saho)~CJ3>R38JAgeK-Qbhnt
zf(NS}!@9F=MXqhfhKw^3{7N=#mlSu)1h$7!=n6CJOF9hO@QxO>QF&g$C?!N8(KRJt
z1bJ(mQizXQco};+iif~g8!I;tOANg`-xUlk43}+nHe{aL=4egxb_Y)_I^Qdbz2%BJ
zL%zS>n_ui(E8!By2zp-<t0PsY4BoIX5*{GiF%<YCB`rr=*8;2pcW{Bsfx!_zLove}
zLNSoV@#>(b9+zSACFqPN@wF3FBb4a4CUxW$_+$QYpJvFeLV8Ng{)+`+!@qGwN%Egr
zH*l)7N!u&44hFBUsoz}A`yGPVy7l>1cg~6ZteZ_|_hI3RPMHHs7hDb6X?i(()v_XO
zI?%49TIXSR6?67z0e_1)g(wiGMc%x9kt@#yD|4d{2MqX`Bj=uJjULGeu^)_M98-Nq
zz2PNtm1XVY$b+~+yz7r@41YY!<TZLraXj+X!nxEwUI)K3o9d2_zor^sAIVAM(As^i
z{g``?Bag-61jZqGwtCv7SeDCV8)c-RjQAV}e7H#P^45KwLM>R{y0-6L%<(pNSR1RV
zmb}!AkM>Jh2fk~Cx)kyxJ#SFIX7Ngnh2stosn~v-YIN-wxGs#$xm^5rpID87O>>4x
zqOG8I&k-Q{wrl2je3_rf>s;!-%drh5#Kb7l04c(V5pOY=3ZX&&LKu6ZzIh<+>E`Tx
zo<Pb5G{j&>RV_!BMA<G{jxTlOb}flt5%P~&B*MjXg7c%G@_Bv~917rhBasKc!`^Mh
z(E*L*BzQS<r&-xGr+4uzq`#BnLvRDmMOz<@R7N!Bghs(F!dBXO_6sBK3N8l{$R1P0
zjo2`9wha~I-z{rWANA!+j%dMXy9dnyBvoJV3&x;1Qi=ix$uf@qcHC4?v{TI-;?>|+
z0hQ5Lm(j~WXV0~300{Q{H9S#iTDj@;er-aa!3=*|rWhZAMHe3~j5t&KN?IK$he&aO
zEo1oY=iotp@ow%9)!CNjh5!G;9K6Li7h*Vq;!A4cph!J6pvD6gdMkG_s7Ra89AoF?
zLcgLK_vM@~S>0}p!#@HSo%ZygBs*lalFB6l{PWB~3hpDznQi5RnaZR0pk>CaUj|}*
z#rp5#j2a0f*smr@#AS`W0G)m%Q;|YK3s{J(l#~N(Ll|r{It;RigyVvz<xJT$;0mBJ
zmoA6zo^~A?hSTi>&wb=s)`&)`mdUYId9Q3<22>tVnP&REVY)k{2<o7~LLlRbkSUSz
zY{aAcCr0^JBpZ#0dpo_*RDCj^u<@=Y51*V-$fexmn)2BobVJzEe*yLmcJ-Tu#6l67
z-q(UJ{?Fs;fmC9#fPf+n0yuB(V_P1zfRH}bRveoYMm|GAK%`J2Oa0*Ao6<@GH=Ur`
zaR5rSd6x-vgC!a2tYzTOpiz9u?CjOH^0Y3Z@keoW*a9~Ih-J@Q3AN|ErZ*G}*&RH7
z(l<>1V7V_SrV9E8nZfq&`MLSfM^5Wrwx9Ac$l+~Or*!9=3B*9%*Cs^HGl#kU8%`#}
z+x@9Kd|JQFe@pZvBy_Xz23!;*egx$V^JIne+F4hWq~miW2+rI_?#XO0IgdDsBgYCY
z6U?vrog<H()4|M=_=bb9tRq{dHUE6aUGe0&?zu+R(Fcqa-Svd>DlY+w*?-2<FxZ%d
z^UGBu*2f^KBuN?>pe*BY0UPn2rRUR%Jl=c(ZCrLL7BJ(;Lkc_L6@d&dRbL7hX0Q?7
ztCcTmWtMs|O5s9%+6mE4ej4Y3^KRrmhr&m(Ab-uDF>$fQ5DXI2#wCE2I>qmpxse-k
zZ69-JKhO0Tx-`+h#7VA!Sg6R6D&jq6eu|z5jbUnCC|DH2iP_b-&fX8<eeGD}-pVts
z=<&6G%EZAH1TGCxG&@~UF2T{i&j!znF-TOfYSz@DnvALI^0+Y6qK6e_E%js-?B#X2
zqzs(x5jrBelcDf`(%<ps{ozWVasW&?p+FJ+;(fh8tiu2*)ES)tjt*Q4k<_&M<ur|~
zy31+om8TPm;_VqS#bpgTb3Of}%f(B?Dc%>PvS{!jAFJK;fV}Dwf>0T1**QuKj}~jF
zkj{rgOF(JdJ8*wJhaD1N^>*VIIwdjoBHhV!q;LNA4t~N_!SU7U-M6wII@D|M`2=w@
zeN8-iZ3c)_Z>9Au9@JMzC$^W`m-o)kB1d0)lPqf*TzL?0x;Z}Hu9+WJ==gCANJEBB
z$y92+TmTg1SRXGn`}JJ!q~W~JqOGf_9d0jQkB<Fnqqb=S2{|_)ssmXAUL}cGbw{&K
zOZ$k7lP512_tMy)qFfSPr;E$uX7udlG@{6diDcXp9kwTujh0wj9H##|(bY@@@AW~2
z$sJ{TPurJoc;h?Rd(4lYuk$vi-;%cQb?)`OI!7=9iI3O}px)9ooP}in_rpnL<^7q)
zrsNiiSHg7BINY=LtEIlhifXGQ?jY^e_j`jFd$T#{2ne5fP-G=Av;f+CKrjh55F!Qn
zc@mJvr$`ZMP3LDRYW940oor#HyFi$eKhNliFu+MVT0RNNI8v-%V7@a#G?{~0uFf1K
zK|dZEfYILUybZO*^pMP^Kv%F#?I%T}X^zPRDO-ju;{-^BWRD@(8C9Cp(1IIS$st5j
z>teTMtkmsDE@dobigTn5AQOP%znO5JyBBvKd|f4IlF4CrcyC++m#ALurAXY0W4dIX
zrT6VtNntuSJ&8q=LBf^MbQ#A1Hvro%_;=ih%%kjtEsOY(!eUhU5JF4h5YotV7^@sq
z*N)IhRX$3=OL{+?Bm_d4{!B^MY$obwwO4;!Ub7l~rs!+v`?jmdz(rfEO1(s3B&lc#
z5Pbme8B|klgY{{fy&AX3jgv3X{o}VH8C!5C&Y<RI-@XEY;ROKXQLExPed2OK=%c^~
z6R3-lZi;K_GL7}Vv{GLBWXI|Y%B53uf77{vP!PF%MpszERjERWzs&NvODaKzjguKS
zK}QZ}6p|*=f;=8$dLnJ5XNAe!P@$T(#(ZP^fK*vRdHS_dRWiUtZCEy)nLKX{VgEx+
zH>nQW`Yj(3WuswB&Ek-cQE`2}1qp&%<d|)90j@b%CyL{+gRUaP0Uyb>Ptz}8c{-H^
zYd9<DI&jLTp>~&0K4hq)KQ$cA)>}VBsoN}SJ1uiK!j3h|sAN%n^~9ui>9GO=h;iVO
z@`rgtPF!76$k>jK!nvU!Bcpzx6gJJGm-Up{Ei05!3%AUX>%fbHrVoLaic~8^j7Suq
z*Y%$1d$&m`jCow^XJNS#1uQiJztlIB`x-2n&&Er-d{P+VJiiDTWihhjonh270!8Q(
z4e^lwfdaN29JM+LsA*RQXy-}x<K_|TyxQq?`m<6>@l7b6^}!l^AkZztzXhY{EF3<{
zzpv6?U)DKElG5jm;Y8(8r*v!L1mgFOy0#b}#s2K!qS^#*oqGrf3LJVr&|=Ejf!Pda
zU+Fg_6q8!XTw<=h8Q!;OKdizJff;xfXJ|Q0FX6UJYxxAF2Cklf9jo9M;gTua7rU~R
zJfhpVQnS?pYkXOQ$O<Y1^?CV>rpTLw{{f^g$|Ma=>{qPU$0Bt8$y%Sk6&LA2S0uwT
zo0Sx#?+(>D5#&-HHumLm&)A&hHmPAvmump*U41LtrZt1~)vX4xRF}l=9)0kpfdY#H
zZwKt)w97Yq^M1({y{9!GW9G~|i5;DYpG0RTy9R24!zzmu?>Cs2?0g@vq4nyx(G!8T
zA=fnKB>X}LTG6*2xOOs`2XU2FOSO!L&b~^OPWD!?GvN#%Q>n#8<}>I%hwvb>Y3lyx
zoYYBn3UeKW@W=6Tx$(*H$rUTUA5|XzPLOxBJAnJ|k8*N(7-%25av%X_sp#cj-M(vt
z?1Dovl(9zOAH-GA2>*wf`s{@}oifY=a31cjPtZn~YfEN-&njct44dTQsbNwEfvFI}
z18&KUsFb8QG|3k7yG5EaN^edzs6Z`0#+j|RKti~7nF$a;yY(upz{IBLR&2N0#Xnmq
zFc>{Z+GTw%F=Yeq*t_oE(hOeB{`IOfqI`!AjiPzWr!m=X?LDePbf!uXd2}3l^!W^I
zp|@ahXM82!(y>9}5OmgaJjo4Oy~%(L^qqcao6_L?cd99+Dm&y|(G>b4j1c_Lt405?
z7@dm4GFakyl&oH`H7n4x`odLl1mE6eXwWcu5s=a1fQjO~8@M;)jPad>;h)WR0M2b=
zI_(r=<aYq3{|UBzwBB>IJK_D)Ou%6tnGo+9aQoWU@+yWB<<=BG!Fc@>PPM~MXOM!+
z%DZ@pZf*|=4>vKvzfc0dSDqkJmFlJfyWuMZ(p9+!8vAS=ta2))l&&KwsSxmt*&coz
zT6aV*fc~-tAU~~n3j)xi(i}XWRubQOzk7Tg!_Gaa{;M=ZyZBjC10-en90qA?mhW+O
zTbDPLud?kju{U9zo?;hlF9w|Z;bF#H*{d*|_K`qS0u8Ar*a@HmTEcGP6T^>={lH2F
zMRqut{;kDpW~==>AdWjue{2I|6n|_^{%eFDDqyM_<8$l{IQ@0K=(K;nb+umYDfl6j
z<CI425}M!UQBo_3;D&r`QLXzY8%Q@AE>W#)&hOdMWv(ZV7QZ7>VTuDa-KAR%n`_b}
z&4)A{UcbS(0-&&@HFP9Lc$#_Zz0%yi$JsD<{hviqc*=8wQgy?s#;6S<(q)Qu)e5o5
z7#W>>3QmoRW%hElwCC%Ea7nErJx;2&p&qJ^W3f|3kqKx@pe2kI*H?74_pp`sF4>)(
zJ-AGn?y<-uRwELB;E!FZ9^f2QAJwLdSL>*7J$wp-diWc3n;Od!u|!d~oxR+&FX#Ne
z+zIwK%sF+;aHgVIW@xBc(h14ouX5fM7F!Jiso6(>jz!&&Gti<t_3my%!YaP#!{7x^
z-}5+k4-ETSxbQ5pGGT|zNQ9K~>8=E-twQ!cZ-7)zl9wvqz`G_;)JkFinSwNba)Dlv
zNGgv3QIe8$KG2ORAZ;gG23nh>iC5)sKlC17@V|_KQuMfe=;;J3&hEa7INXZt*(rZ8
zGDid;Eki-sMv5ox>yR~K^&f;$(=e*sZ3PRDCD*LT=<5ni<YNhfon;=Wc7)jsQ(H#a
zw-1aCYZEeW`a<U?H`}2vC}LFAKveY|Oc2snjxzKdKPJ$XMnpb4e*>_4sluG9JaGN>
z5P|p0tEKXQ+EVYJP_3(HLu8C>j8&Coe7U8NB(BdK(6=ioL<zW%#V3pZgcLq7C0Sut
zFKdrIZ)D|X&3TDE2cY}faRsT$|Itn!`-+tjMtza!P+M^h+_Z5|0XrVrs$Z$Tcj2zb
zB+lghyrouwfQp|~Q21c}ka@74tCXcuo>n3q0LsErKg^?iswFD>y==t}U&Pr4tvx_#
zvPgIB2WL>HT=rp<qCy&QXhE_`#`iEF55IKbc_>ZnK4E(DeDma10bO5iq-I^@5dDkq
zk~>mTF*+p-q<^}~%6}TV_Is8*`AIDfq4b0Mb^Q?;{$zqmFn;^op=(5t!mBlB;5T!C
z&i{1myR9(n)?pin6-OV2U;p*J*Ge9^8==s0Np}T-vLZkE3Y1Zqaz+D}RNni<{rXu=
zV&r=fJJA-u+KD3x8Dvrex};cN1z!OQf!{^ZCnI86@0vPpfq%Jo+n16$E}39bh&^`(
zdJ%}@`VHC_OS$^(Sa>Zt51*Qr+K$uDx1gXpTBv<HwMf8L?vdl%sBs_J=Q?BWQ}f$2
z|1nSfkWYc~s_k2t)?IkjPjGEUFHaw!W~}ExCZRNY-#<8QfpHT6iqxO72q%N3bJJ+@
z1S`O=zDut3hhd+ni^))OT;;BC-hmrhUai~tU1`+)Nl7OzYNFbjeWf_j)31T((PeW*
zraw(fuAr%IQ)k{}cP%zT>F?#QwoX5+$S@sTI??ynA|^Nsl0<~xLvm7$MEBJtS7yK}
z8aOpfyP6x)W8TUgi;`pM?`V?|yytr!MEV<sQCob9Oi$2@zPDd&V*&4Cft_>ZNMtCC
zwvov(k#4S|{HGq2%j1z5Molv*C^xC3gtf<FW+`MOFC9G(>7q@OWcKgar^LWJBz1Lr
zS_~<O`sihMHQt<;xltl{Gib!mNw17D+R_S!yY*y}sCoE56g#5(GUJcO$ZJuTsDq;9
zc$P%GeTBeJhwOw%Mw)#`{&l}ArK=V^7)+Pf{DlMZpv`}4O+HtrGd06m4FuBhEYDqB
z`k0Q~g8eNg{Z%+Uws9?+j6qDnz?>Pbks1-obm1rGU!#fgJp~g;&$kZt8RV~r-zmCj
z;DY$IO%ds+m62R$vQlnJMT(0J?!c&t9fZHfb83nfCwDJWakDAPH2`2SR2!~E%CCJ4
z;)a*8)K(EyOthAVk@apGN838s#=9tQM2$4RA%@-XR3*~9?<-pH^0Ne!=m}Yx>eM!8
z?;T6#JGwS{2>16RDE%dZF)BQ9|G#N)ysvGK#JA(t`nR#dCU=&UvPxF`Zf+o|g!Njc
z&4P+*o4q*|vuIl;p3%25$r$iYotshwzSXiXxp{Z9y_?8{C2xFjfc0tL*xa&oVLH>T
zMc~A8fM*<2!dg^M*e24S9!@=-|A+tkS2&ZFI!c=gk9b?bBH|#X+S~SY8uBSUc46s~
z48;PttzrRAu@U1POr^pZQ1!-c=ass+V-#8qJo-8(;^XCi<}cswo3?>M{!#u&8hXG;
z2=AN19JKsT?~pcfN4hyACz#-NqPT*IQN+?hr%aC{o;fN*UqUSsAMfz-SV9Ez5fJ%<
z3|}MQ-bG1@RJGlqDwQtR0sWH^nTzvdE+@H<UV{#?xs918)>>#6Vg6`(5bmfHdeVXp
z)DYGP*zFaB0nqS3scFZ|5$T@0nz)Bp^Ngf^mxCN28sMe=e|T>m6RAMwqVq;#r<E@5
z?_*DesO^*5;-YrA7@0G%RpAc74xTLGFh|56s)#Yl3*Po*AXHt?o;kA?X$BK6o|ny7
z2Uti9aP1jKpB}4Mmt0~kCS;!uB5C$z-+;#A&o$JY6-HL3yCHH-)ba^ZRVU=Vn&@@(
z!pQN5=3zBqlK<N?0{BxP;hPy2bFkn6J1phP%Y{Wvi`0WehMsqlZZn|?(0*FFeFPS9
zoOcpZg@gb`Ly!@Wl;dyzFMeQ*;|e5m5v_~?h3z6Gp=``S9DE!LS}$t$X{mpx@}5My
zuIUzTh9;-{o0uNPHH$01HRh=w-y|#ENMY4b7Ne-^<z_ptTk}$~TQBb-^VOQiw1q!{
z#Ehv9>te?0$LF81fU_(bel(;Os}Mf2d?nDm3mUu)EUU|(O|*23Rniy+M`27o5E_R6
zkG{qCh*cUS79zOuir#|A*6x{FBojtQe%^n5iAC#$V($vxG0c_cUd(k@RB_epIrf4{
zJqFf>`9t!z)c1ll*hJ0af#oub3v|HZFB*pSpsLmP{<|U7@3{DsG<thIcK;AEPw_UP
zz|bk0VG|y%>0U3ZB$i@kk=WBiEVu_wct#WaWCeCKV@*J=9Kq-Fm40+DssRwQ`=j&h
zr%TtJN(s_-&>->?uG4z!Mz>&9O|`#)QF6^bFiu}+Cf@D$wTb}Yv`N)~MdeJUGc7Fm
zR=Zzid2zFY#^t&J$4iGMNfYjcfr{Kad#tYMMaPH;oG3r#bLvHKyBb1=L(|B(tJ_%o
zP;o_f`mfsfi-x&7i1oBv$662%>O(5NO?Fy7-$+MR&u_$_cCMEJbE_&1HbyCxpbdXg
z9Y77bccmPa(1BVz5+7M=yo$}VZh1<`6>tu`Wwmka6;2J;|5=RG$m>I|j=+K1tWd>>
z$+v`A3j}WhiJL9)h@FnTeAT~c)G5{t)J2~oEd~EYJKssV6b(tRroe1)+>87@SVlyF
zQ&4tKP#wZ%J{4W~%X%7#zD9)sq`0$`<Gw?pVa9enULnf{OHb4O_Ecg0FjQNA+F&4t
z!VWcl6fqOE%^85#|6fN8)pxs6nt4I^a1X#UxC_@P?qV3VGGhKB5RUMkih7E?z~KU4
zzh(8DSzeLQ<3IrX>DLqnu}`%jV5vS1qYPQ#NxcZ*hac5BK9Vu`ZtJaT5+`;Uepb3R
zoW;ErswVF_<5%dBQA9x0t7f6Cb5o!|aPz>E-1<q;eYzgBZlWr1P*`7*Q{Aa6l;zq$
zBvIHn5s!4f&@N_L&j^ZPu<5GY)b0MEm3}}&5J3P$LowNF9LDTq8KJ$r1eQb{*8<b2
zf;<Ibu=MudCN#HmG;3kZJ6zxCc8{I^c3cJ-PL>lR%|e*0heWFHimbwUx55MtQdL*X
z=psHIv(mBsb!KY84Kj0_<_v70r`HRZxz-xCjlxp<yKEl}`!=@jQMZ7rcFz{f8{SXT
zh4mYSaBJ!tsPZb$!lCn|zDWa;klTi((t3ZJ1t7%~Kxxpo3g9oEjFW4pb{)B<TEr4a
zg_Pr!3D0J#yu1AxIIF&ES?%dT6+ADlOFfJ3=pOzHo<D#LYZDSuDW%l7P?ibFjH3;C
zbN3(M=(34|V~4Yyx;j#o!y?IH%}<-7u^678HT&)M^;skC;5YRivH0dKwz>7Y!1n}%
zvBG{)pr$+vGnu@O1ASJLwt$;MWbInCTy)~qfHG`}+Mo--{D#<PkA$6;7c#VR8o0pn
zQaty9gB0CdOv9qlXvII6j;rhCIN28R7}Y=2z=fMS%W?f0fHF<+v#SK;39-Hbi=U97
zEP#TYJ_x0G<$_Wux(XmPonVu;7-#E?nqfE(m{?}m_ua)m)C(oTbxi3>7_rbECfz;C
z-hApTM`S>z!JDh3R|E3d-T{2I()O%Vbg<ClkO8#3MQHK~&7x)xgo<0J6E}#WLSP1h
zCm_&Mgcasl*>%3{+LmJM*~#2_56OCp@zYJ!dc~6q99=?v6V7GdEtq9`IF4C{tHi}m
z$70fX_57E&=zxgI{+Frcf`D0+s>y+vrry)d0KtP!i@H#%ObG@0seL?<T0BhdtgIt7
zi&I+3z3zC5ottLo3T+35!`8QWwM|QQ^A$B@xd!cx@qE`O7$;M3-}gYxOv_CK3lV0-
z$rE5b!QT2Y)h12O;Xi9mu5o|9itYVfGv2!&LQx1eZx^A33u9}UB;uCY$Jll8k=`7n
zbQji9Gv>ka)|_+tCBPy!Zk~&7y+w}R4+#?sd(ige1#wO<lBUMToX26$SEKO6^s~dZ
zbABa@dyW#`pH(EUmxKj6QHpnRiR|>OtK<w$FOi^Q9v3$Yw2lmy|6~lmVLaE}e}Wc(
zAa%lyEX}qrbL>tJ=jelbSSgkJSy4~K@bg#k+mj<fbwAQHdg=j<Qa1Lq?X&dYUazRr
z$E}=tT%O!C4jtvEUFR&!?v2;e5P13tWt=jw25aci*{j?L#weHc_?xn+-YN2zHp-66
zNkK{2?tKF>e-pUeADX%}&k>$ER#D_C7yfNYNTGGOhon2X$R_?uSR#3g6$vDs5QT<*
zxs@%21X2?5o?9t7PBl9OhfK7$3>~Tka!S-MbApBzG(129z7Nh@9;#pheW%NZKT9=i
zgfK@8a0A_uL<ZV?)}V?-GHlRX-EL?4seuG=bH;Gzx!O^{dE1M#69_fau)B4tgTBzv
z9qsn~tyH_n(WbqwwzTtxVqP-pSxaHCjd5)0Fzxlm6=N^VsGyjeBX;>UOKl-@y6@6z
zijFI_%Yl)LHKIVftfx9(5h7Vs&IR2mE}HQ!f6KwU__Psb2GfcB^^vEb&SzHw)Ch9y
zRnEylYK@)U=SbOQsMm-P47J>5RqA{h2sOgm{<3=FB?9yGzFu49L8s&0u2M2lwKACs
zMa^R}pYY$nX6rCF_L`By&@G=4OcN07ID;V4kSS0$ZQkY9kP}clw`4*w^lGR&c@rC?
z6;N=>VPAFgmm;DUh^0t5Z5S_J60dZXe>_8A`%S<?HfT_4zpLT1*nur2C%%JOaP0E)
zCJ$xmqKG+<RNk{$J<l|X%sLwB)?^QS#e;Bb?@RyV>=67cb3^m*hc3EYReNVXSg}pk
zRll@ALALsrxKZ9Dzv!O0vFZ^3FGFy#Cv*gI*plUWtb28dw0PjXW%lSIc|(`AWpVvI
zN-UdMKeE(?tVtt-iAPoF9r%*KAhZ_1l|L+V@4RH_FOlQO_7=DwAkaZczsm$GVQ9|%
zP})|07Cj@2{w7hd=}alaA|5w<Ag(9D&{Q@`vC<Zc@=-WZsA@$9!CXZ8P_m58@R`%o
zzBV~|c))GzWm7UB4P~5$5^lZPp;nbqSPYrXEAD7!Yrw~WrIrEtphO;K{-OD``>ZW$
zbQr-VZ>NbO>+TvJTTrtD^$}TKVcA#C&s-h~gg3Wo=Tk_0z3p7?uPm*W{y7$pR-eQD
zOojo$lyW?53TKZ}@9PL%>>boo=#3)Ll+!i*1kHfVpzm92sDQi(Uq&e4&dakPYq{1;
z)sy2aOMW|lK}D2Lg%$55qI*m4r5#P243HHF@^B?TEKMRd)WFnIM=C|%Wo(DK*r<pq
zi-sP!o}MQ+6`Lb1%&iX4GeyxcdOf1;3S!>~H7u$64$&%Ueh~F5W@Phz3a)5m%MaEt
zOO&+@*vns@mB!I-M0j(7yjgIDAljsDsaHjJKn>vo4!*Ap%dC9^M07hD_U>p%s@Nx&
znM!6+)qfZyS>7hvMJjhwnElsgHPWc2vgtL)Lhf)>c$=MZpz7`_eS!5eivpn;%6^*9
z8yrw)xTB=h#rg#qmioY^m0e`Fo2gRWph>y}32h>1C|+soVRJf#eO)#|>5M1S)sh*j
zo=q;N_@pwGH3-|Bd-u;L96Ua7eo?47fGD~QyEOi;=N1N7EMSZ8>xW7D2DPcBg8%ON
zH^r=nobvoFU$G}f1g30+xC=@baMF3MPAsSFrI5r?pmG>9ncgAsWlvk13W6@GLga{@
ztA!ES?4i<LJyhJ_FU>#eKyOodvV|Ok3~bh+-n@<bY`SE07^ZR-$XC+a=s|A|dfD0B
zvYZRo$A@Y>;JfLhrV+i{PX{LgDG!q+ZLvV48dI3VBG-50`W&&E^@;eyMMCjZ3J{p&
z><TRJQHH#b(*iDuP)xt>USUW+0Ysj`!YT%vviKzDgJ0v)AfE}vqTG6cwL#CNuiha5
z+1|O)HBKz7Zn95V|Cz2t9=o#AV<9>i0fQra+~yghK4d)TqcGMjHkR@v0zo@rZ5OeQ
z_ZLR@OP+;XBag?v`!c$aC4VPN(`=GkhzPOxvTP*n(ttQ{QXl-hNuxgYc!n#ROIb*e
znn(xMEklmT6JH&$1X*E^Cr4Gq^|!li`rkLQu<VEK0THJ{05?m<Vsn32c89R))ky^w
zJEx#7DqWBauvA8#9z{td`g}-2s?xEls`r0}Vd;p)0yghemPFCi{!_koE`1pcG@iIN
za9TTLG^-?Hok9_Z7jige`%VN60{kg;sYs^1zbx$Sv*ixSh917`G(+MNwO7w);tPi)
zjt4F;ECuO|cr()`ibY>0R$GGE<<Fc0>7|NBtlLjoC+P-|Vynat%FD{*6Xl@UOL!XO
z6Y-2fq-_mx27yU??m*7riVzxOsvCzAeV!ZuQC!2=&TD5+(=FV4ywCC7+gkc-=~S!M
z{5(?72wf7_Nh2O+YIEHv0ay9F*9qbYs&O?^WQ*t;KRR7lOBQl|u<bVHc?2adR}(vJ
z*JDHm3^yMObTEyYqMeGdt$6&4awmttt$hG{Zhkv_Q<(YMY_xxE$)T7wrxDt@_GMD-
zuy-dqe<&npCEOX5wzoX4LH(9Vpn}gVpy#o^6!CO$Y4lrIgUw&eY1q8X?c~%ZW^y(I
zSVlr#TR`rg$5N2tSt>a4t-7-i1u8z?*I(aTpo$95{>mM+ppx!pO@=fM?_~70*gRE^
zL<h&{MN(373QhrKfK8)!rfk^h_gkqNpTGpGWQ_w80TBIGLjx?yA!iyHzn*7jP^UOp
zRlbf($)$~2qF!>}$)=B#K(IFnjUwbKZ=DRh0xUdvCU=Rg7L$tSH3};^yg5l$63l}Y
z;xi@1T*{NnbK-K(bL1pS9{7R2;j6X5<ZL61(X}pkvDSEo2{&-$!7z=keg95|5u$j$
z^iOV@#toRI7C}6EMGlr?UV&sq&pQ}X5I_9|GE>pak$zzh6!|UVn{7}RI}cS6K^~0^
zw%D;G$UpsKe_VDF#N8g5`ZdIm&mndFK)dhiCv2A?&r~~iGi7O*@B7e(G8KhOrTe%&
zysatDd=Hy>c=b_1%Peyov8#96hOOQj0b8bhJ3SFW>LXD15`Cf1Z?*HvGOcN32qTVS
zx;WCE)9C=(WMU9b_)n~phnT$I!!K3W$CyxH6H=-WDc2Vhl%_(O<KHHeGY*lcc+@Ve
zUO~p|crVg^;F=TKkCFeBCCRacNu*nZsX@w~s0gqD-56sA+9&MU+ZR;u(pUU4^l(P4
z4GOPALK_b}TBX?oesTRz7942ACGe})M2AI7BF5lb`qqR$AsK<sqMs^<?Pq&vgVp=0
z38I^{82{#x&0qvwqdsmZNi*-6DiK_VMi?*pf*h&@@JGDt?8tQ)d3=)o^F>|*q$bVV
zyJUxZ(GF6EB>HBpMEsjk^AAb{<pzx+NBcGPPt6?sL1;7nZ4vluJGCIF3ZaT;(#HIl
zCb(yreUJM<34@c!1@n&E$E~tpEyy(&-Tgx@WA32Eu`|g;L+5P~`#KVXkklE=5@{lc
zQ|+fN?XbqUn-6NY1irXbr#SGiG~FgHPAjeJ!tlaLJxbs@;h@>QqGU?;?$1~qoA_X<
z7awPl{Jv?-ww8gm?A4f0-#(3|W}YSHuD+JhA&Q%YqDh$o+b7C55&o7eF`ua2$2!sz
zgYa*!k?86K**~lafA1|3B3&682l5Rq?#P4)Ji}vBXsE1b1%Dd^6=mt5nLx&MCPIiU
z89r)Re|fx$&xavUyYOzZ3s&FgSSN0e0>4FUo4D$yCsMJ2+W?vu6#sr>W|}=tw`YpH
zWw;}l=J+(x!gND%A%Kl><b!ZFss!U^eSUaNstVvTJArtj@Qu9QcXpl(U!~~uixiRE
zG<bB(ZK8*_HA&F$sd>Oznn3?`>d@}BS?n%`N;bKBBU_S{JTXJi5A`*>DCT=R1keTi
zjAUtzF56H@v(&@1d1VEhi93<$GHW=NH~D}HnK7THb{_Y9<)2k?vc?jq!dN*|#BpL$
z*3lKa$+<u(4l&(Y%AoD+E~*(!yU>6VY`yojxX=1TC>cR2S-&Z^-7QQ!=a1g`M<1&>
z;|j%}cbbBMhwK$$eg(4rMsdoNrKrcjMbn%S7}riPs2%?2nV(B#<2Deo<Mo(daDnR<
ze#6~%#*1$B%liG2noY*AB5nKfcVD}jdIJ(L*O^?;?g=Bs#?eN>pd!#$ND@OWkHut+
zZ&|mB<mgMNBetI?fQJO}YmtD8QeY|H4~@fc{Kn?8)dc6|KANVBK}DQ3y^OZhUT^7F
z$#sXEQ;&#(KMx-)O+FyVsX)YS@qHuQxO<7EiGdBYT_>m%OkevVZ%qV^cCTST=vEWZ
zIis6sVj8T6G#2k*Nd+J2#W3v$_3B@dN!$ZP53nHVodH5dT@~;Z0h6<Ojx8g`Tsqj_
zCf#p-yib)XUd^33!I2U9Da`UzirKYsmx1UM0y<8HNdFu{)VTID=q(=-2)U5BdLLum
zT3us9QauWBJ-%YVlfiGrI2Q(I0<xIV9H6GKCH!@y(Z(UePbn{91?m{n6nzYEZ70D5
z;6h5aru|H;$c%b?^EDDvwed7Gn7`o}kWPqLdzhu4v%^%vH@2SdKJ(OqZ|$MTINqc$
zwHbdfwz#Q;Iomk>HnrMjP7qOCgY*AL|4VCysf^<s?jK>h$<_+Y5LGzHVGqJ7Msio7
zn@UW5{W)W`T=`(9Qu1lK_YN$qk3>yccSxKDE3yi^`M@1G`68+wXQGzgLNUUZ34tqj
zl5>abT-}t^Xif`+{yEn8nv(eRAEbO5FdoL5&8D{*Xee0pObg_zm-R+Ghr`0{g&6Ti
z{IG3@)l%1$suvNQkoIPHoN}p0PW!Wg<64LVz6JbA%FV)|UJF&nH~Iv-wxyr-OKg!~
zM3&y#F_{d#P9|&Ay<)0d7y)(LsMc^05+%9c=^TU)Yv+D$M-25&jRzS<4Y=n`BeOBm
zX~R$VByV1)w?dKnzS9etUV;AFVBmkvbIIXQ)y{xA-*x}6DRCOd+>nJvYzIVnOEpu=
z1Ui}mnW>PNuF76amwEcw&pw4t@xl@g_pfNWf;|xg^wQG@+-q#3u~kl*$Lh-?otvf#
zaRx=QQS@UX?M<lu*)wIDfa@GGVWgU!T6ZqmlH&YqP+9=eX^^f@9*fSVsD}&(HQ|Kd
z>S#-eZZ0P|(RaoHqc{Z)NfDzS@>fD7hpvkLU#jc&JVbIbr?ijjjKt8lr?}WI`zItY
zGkTi9P^JyaY|5M^9}#r0yt^G(Z7a)~^3YD{8MPjR+b6BU_S71s)OJ5rPoE0QnH@Ww
zDQ*b~Z*pLmFOk~7^v}z3ug^3y6@jw&_8DoAdJk0kF$rFp_1vU5+E!R(74)8cH56|)
zU@wuCwt6Hwu&L?%Lj4})d}U4>44zHnSmY-*YQ#)Q9J|-$9aaP&+tI6S07wU2h>gRP
zr`wnzSx`GBnzDF6mm1{lGI0`(I9w0B1|IAr0hU!X4YDymp7=|6Wu(pJP}GGy1&KhZ
z3hJy<<{5FQih@h8vNWx{0Y8xk^xVW8$(I$kH<iQ!T}nlpxAUSwf6><pHz1F|eE_!m
zY!dyG9P!8gG}jf<UjceYq`N?gu08Z7CH>8N>NxR#*?o0K8ln^4a%>7b;yMR2YEg4`
zB)yLy1jD@80;ZLM&kW069Va;f*wTug09O*w5Eu?bGcxI&?SbecAE1I*5Z_!(YyAiX
z_F(78wTI^@x3Fwr1lnwHQ1#FgohHxwV+I(8lge>Q2W?%lBNt#g1c^s-h1z<l(wP1?
zil&O#&tBK}cmhRmL8Bke!RYv2*n<ge6|IKlI0SuzKGyfTruf1FlPY|25jCw;Xk5kb
zPd{>n#8#V@o_2%=U_NUx9(@i557AWd>=SJVzW#WWkIWyqb24zYWm`@5#0Dft$)07^
ziWL#cipZ(x3;kW7c{|6DP6~jjpXVI&rm!<3%Af7q=c0wlcUZrTeG!JT`9)eF4217F
zhpo9opr@&~H=}MOi!|r!qxpNg{>P%XSML@1%uSV?4^vfEBHAJrx?X*#@>zPKzg3#=
zkK{nPisNcq+tL#i<!M<u3`bCY;mG>ADO2OEXL)32wEwGk(kBu}zMf6VI)nZLVOmPL
zykO`Z?kxx@Bml@u3NNMWX9(EITCUANi$oh7=NdHOzv{ZJlax6b#Lyod#DLswWqczp
z`&}(N3%Pl8+g4<0b=^>Ia<SsFgJnKMrU&hOHDsuckt4)nQ&G`1Scada7>Q)u9wlN5
zD+y4-Q4AcWLAz+CfN5c%wP^%odfvrDWzvCjP15e9IDy{uu~oupg{sxZKA3PEk&f;o
z)_+(&a0;TRET%nRgGJ-7LRf<EZ9kAev-D+=J^%5V_p}sQaxfBw1At2?BsF(H>;~3)
z((Bs)KT?Ju)zM4IC`>`l=<rNVeXkvZ9BatU^Y*M@JJV1Xo_0mzfj<N;NP3dMYKL{9
z0OlN@hy7r{Z?yml0<~f9Qz}H4uO_{m-ju1h-!7&BHzRGQxTJcFd|6&16n&NUrrRe=
zBT!&$<a_58;h~4ch%IUR_SgWhdFi3*pMAhK>m~I!{S9FnuTDjM6VNUL{@t+Jvs%}<
z|8<N*>iDvuN&UA?DW#$QiooJ#ZlZv#>}d7iBi?ku5S*5aRDQ+Eair)p_nHUv!CyD<
zTf$dqVJQ`O>fNCi>pZ%3(1ndF?9<mxB+gfE&Ph-lB-0J|)vz*tMjVbtm2{A;F1{s?
zLxfC;4j=y#wdrQhAxLk$H^SObev}`LQC(E}acx6+49;&ks?D(92L}F{pGR)LKyAT6
z^oUT3=7`-}I>0OQLI;rc<9LEFJrz`kIRj=TUA)?VET;<f7|1daXxnkH3{KflG?TZA
zk_qom3p6Ro5*8rIx2Tksy43rY7W_$;Tfbv38KRsyd<LV`<pp~bQ9i>*#Q_L%t;62)
zzykW03AyAO$rdk$L*~kM>A?FU+?tMxzoRFN^{}WuZHsW8cpIQ@&TiF+dPUK=FfjW3
zwLHB=tq+8%!q0@)^3wOp2Lhe+o$+qiyaEi#Qe-0I?0e~6oa`dca^9m)r`f?h1Qv!&
z8h~`{ztS$$mRLdBULcjFZ4mN!3stjGcjWOvpXPajye|5<dBN5f!I{R0dE}Fv1@9|u
z#0|t>ScMax@1lNp0<sOo)UT1iBl_dw5t{<=H6E}H6JdJ%Lm==2*5XfP^3+#I)G9i}
zMC<SbwrZE+s((qnA}`4Ug1N2;A#L7gvg85<7JFdCi7NMUYSRz4DUtLtfyx_>_hy_9
zk+9?CAWC%d8#k2@h&(oOfjm}4GvuPbt<!S&wg48ANhg{-UELy(6*I5&_+kB4n1WQX
zZi&6XXaKFU#Ft>X!GJzu+vg|5j$k1LpV;sd8OCs`sz@}%XazD*?4gA#WL(RFg7&P{
z(6%h%cr+YCCW)qH*-T}FLC(|Wg~obXpNYi5oI3B-u*veD{VD|vX*<*L6Cc4K`a0)M
z5=eZ5#yM;LJhKHmMwN2!y(Tr_mY2UAl7^`INg+t%m6M72!<Qbrv5V3voWm2HQxoNd
z9sesV#{Y2@%BM{6CWurH;6YbN=>^j_9CJU_t?tB#HtUTdZwck$U}Lt#u;XA@$`J*S
zK5VvIIs&e$pVhe_O6MX2uVyrAy&ia7d2d`;txaW{_oC#I%p$k=ok!*$92)|iRYqbV
z28I}HtOCv%svb?EJ}99WS4|=o)(x_uU3yJwY>lqZ=^4AT>TfGX8?OEKEPq*9d_KGz
zajn3Joz3I<SWLj%btS>wPjsaFr)g-EeH5rVu~@HK^QS9j8UA_N=Hj4(RZ=<oRJxD^
z;!6JyfI3d7+Ufp{OeiD2+m##YsP^Fzu_PYgg^hl1`Hc`Fem^tinTVJu{R8-R+&ZGZ
zG^oKrr}1|H19S~Bn32>nNHCr^G&~-$BMB(#N;(hMu@x#6CU(2*QA1M}!Sl3y>{oTV
z=5$$8IPfr?H<PdH0*&3Zg2R^jz6-7HQAYJP6mo#eV&&oa?_`9bM+;chy)|R8@4?#{
z+%0~ox4GOr(D(#NT6QF@nlV;aKez#;O^iR*NKe_w#&;9gg7!e+)<E1^^Z@}-Q?$@y
zL36O-Sng&c#(8V%RZ2-=G4PCIX+eDv*DLs*+nOwv9k05s!&KVH<?+H-SPkDN1lPcJ
zZYf@4@wW=8){i4%HLAynd5F7Wk2>|XPjkJK@D*;+CJxIo9~`c_A|J8?kVkIzxc6f1
zP^_1?)at$ju52;Bj;TguJ=kM6up_Qi0M+T)s)1CzRI5Pp0?zbdQnW?P5JNW~qOm`~
zT6rxUoYhXYP@E%mB4<BOtl3f220B$h0f4mUp@ri~{DKzDG4v0h3Q<d6Sz^lCyL>Rj
zsEr(U2WG$Au^PX<=<!+kbn*qMz5=lOFTIpnE0Z+KZbjk_Wy|ryx#G`F%>UM4LdTHl
zT`jXK{q+pqf0ps1snyPij#U{-3$rj!?SMItkx5#oqMMW+KV6$X;^||`TlMgS&W6@N
zW|U{5&&1oD;y%W+VUE52Em(E4_6oMXv`Th9L1_NhzLoPI4zHkwxS54$mRogcq``5G
z(kB0g3AKj|;X+?L0D(spNhEpzsgq{_2>jRd!-Q_WI_ZtZ*kd1gjzB6H=>VAz0BPy0
ztPMz6Hqx``EPPU(D|6f9A*55II;Sz}OZ5je&Jrcu%UCn8=q;BfqTA=D@qthZ(30Yt
zJyO$FQs;5lw_c3zE5W}k^lQJ2jBz`3>U){aTLF3j;57H;Hntmd%SyePOp%5^t#6RH
znuG@a!q`7$xDjLyu8Ih(rv`!Z4e!jz*oE2okge%Hew?$aAxR_$a7d?`FhQ1#6!Fo|
z85rl6i^1pNOUgLDx#m8TTw_LuTbUk%H8iGB_K$>Vb+!9(|KY`KucXd0V~U2{CV!vL
zg>fIKzsX<+IIpD!h&Z6!3CeI)e7x)0U2BFHTN|5I372u%a9OT)45kYn1p3=Ql0(FV
z-=D;KE5br((;+m{p+R-$_DYz3AH{Tv)?TVw?4;Z;2qQJi6{XY}=9|_dM>)3tMjo#o
zR0eI^R6b-|<<7ysI#j%xc>Dg%2)E_?<It2;6fR)AGSWVoW55{bi*bcDo3lq3(ZK68
zp!MH#8ajhgoa5WkAm3`z{rte*pkS*60lZGuz85Br{q!z>r}L(dKu79~O+~q;CJ2hz
z4*pdmfaAQ53}Ur#OF-5M%;<a0DO)uoGInE0e}ELaYWYF5DS^+oz_Wz^rxtPikJwOq
zozw<F<*AabJ1!0m9bYDDxFRB7*HQA8aj=W@=qtQHOi6N^?eAp_Ol7S^MaSHtS-r2p
z<@+kY`sLs3{E`!F&c4>%VKTHeK-%@+DPgo7cJGzQqUlc~O7n;!vNLddh4ez`KDQ$G
z2dac%v}sRv(SU6EE4S+l!2j8r(t8TwKz6F6iJO#?*!1pVI5@S<+}m%e>4sN{3SLKe
z9R?k)LVhIKG5c5dyM(m|1tXjr<krrm?1X34K$D{#oK#w~*}!eOpYIboK$c_qiB(T#
zmJ2D*roWg;8VHVcS3G$+x7|_`8r`o<avjFQ8Dg1#6unD<%W}FvtnIck*w@~1<_xKf
z-N~0bhBsbUgn*l2)U0WbrYKBW-9PoSqev+y_;nClrR@ME*`e}7tAh7)3L!PFPC)q&
zKeF?@v3oT{D5Hb{I8M^EnoB>@04LqK!A4UZE1IHYK$&oTO|F*Ftg}&In`dO%KPEFx
z^oWi?m4VeJ8x>r;Y}R4vYm~+~Io^2J)|Vi46eM?fXg9s_cMEE-+p}9~^(tE^u%}@I
zX3SP7ObBlNaba;5?f<tqYWfSnMWWwriXbFt5&5QGy8!*55H*9R(Ewn{l8xwqHBEx{
zLX{p$Z{?M81Pd#uze*>2frSso^Sj!iqf(NvCwXofYmh-+qq2K^D!Uq!_~cB6V~^*n
zBE~rXf)jUlfvtWnYO)^tC{C19qe&InZU4o%hbz*JK_^ytxiWkVN;-tk!j(Tjz9zW8
zc$)LDAk0R1!7^dYC^HAAlW_=R5Ji9b+)>Q^76-a6c{ALz`ZD>mcvUtpYbs-IbTW!z
z4hsMov{ZX%5xs(z*O1NGWwWlybK!I`utB5rfQfB2p>7)ai}oppuZL!SS1cHk0j)}N
zFr?5gQ{aHgqNT?nAX%-WS;H|_l-IrG^LteLM8^Eq+>f;lDQTl_TdMe{b10&8l38J)
z^#TNoCYbZ5n|p_PYFlF*U<)mivSr`Pu(2rW;D3l8h7&Eza!u6X$r#v%STbq}vtv%F
zJ~YVjGHfv-UoD`=aN`@7z)+#)m25NDrlXXw^owtZu4a~%#m}Rs+eECX7Cc02f{)S{
zV~|yboM~BVgeWP*INmtut~TuB8iyvr$4iJdQDJ?nybLa!E57|>AU(ADLc55Z%>et6
zLiQdN%Zi@hi}vb%cze-NF9<73PWq4rMs^;8DIRecXlLQ7y#6uGg?dfVaMK|{()2b3
z&HhE+_(jN6F4{46SY^BWjtOMt5BnxI%pd+@Prl-@K)zA@^9I?t@JzI38CqK^ouvKo
zM_bmW>XV=lT$z2mWEEHmhEFcFT%Hmp&Hrqbq31^7GgfJhgUMjF^Ocmvfat3a^2TZa
zpu_C;X&ghuHIdHL%Ahp!w1VlVohN&oP6jE{>8N619khpO>0NAa>k>T|jBUY>fzg&*
z%=KfyKmwTqb%1ji1LL2HJeA-m=s=$%IBG4947|-GZ?*sBZRtpvhWv#tahN7aV3#u~
z1F1Zg20GES*ppD<5`g^jSB{dxFgPQ$31Wszt9N8;9)nZUuG}TL4)lOCtCi({Eo<1i
za-&R4@dF$#Z<mD(C*Q&N^s%aI>bZgPtsD-*arS`oL)<VIq5!P~d4<Mua@8B-XEq{o
z3qvhLrOIwoK#KSS?O>o@eaPjuq#~>S!25~T=Wgi}9m#I=y*gPb;+{q}v8bO0#09G0
zwpV;jT#yxmq$GrxWWto?T+jAXJU$2b`!0`car-Z-pl%LMBnZ~cF1q#UrNB0TI!n>q
zsZ)Boz$R%_3c~P2>W>I!+(7u@*rpp7{i?ewL4302ex|~n(>X2S&6pb>NS{kb(eC0J
z&z@ORWiw}df#QWXAqqOt;gY(dNQ)K{?pQ4YUaetLBmXX$vOrj4p&O4izAa)lEEtGA
z0^r@O-#{np@fDW;VFxxhY>{xVA#|v{_9;c9@ba9>=s#i06%J7~v0#vX;loD7l~vmM
zSX_!^qtZRJ?*auOa2=H*hN^}Ojw7fkG2zIL1u#@E75>^f;+Jo%?V^oA(Mi&J3FlM%
zQjsVj6_cT_XdXuA*Ueq!-THiCc*E<vnQdGaw~*No8*2m13z*|84MM(M(&pr3;F#!m
z&q0GFAQhX_{gyVXFEurGM!tlLI5i0SzUQ(OwmLf^bhnLh*o85hA3{5^p!^*7YUw3h
zGF+Hr{|;tAi_2k<gK74&2XV}E+Od>eum5h0t>BIF#O!@ZHNsA9vIYX|bz4C|sPI}+
zx-es?kO#3%yILn2U~b;T#_j6ahLxE-+Qy@9#9qu0zzv)4`i@9Ng_o^~9)y$vP0RlC
zw;nr+Z2LgSFOh-@M;^O-{bZxzRJonE<zI8_lK0Y~o4-yZt?J`3PnO8xgq50;Jjf)5
zSk8!aml2PhV@h;b=2}TdpeI@uV6(ox+q+v%f~P)w*DKh)r2+tmOD^u9prtRw#Wq_1
zcFzO2A?`;r^^D69lpNd|)wKKX8n?V&Gh|4=5XPsqH4|C7ZROP0mhF36u^@OKbQh&e
zyz5!l2dpluN4b2f6FF>w9dQOf@53;as}JCMh)xn_jMCphQM_FnmTGtbvk+J`-ys&I
zfxm2FZCSaPjxWVzEU>;&a~C}3pmUj)G@|c>l3-vby^yVDnM?kX6||tP;n=)ZiqQuG
zDx;Sq>^8Fc|59j^s<gU15nKd1wm$d6pOLx`EMtqElLE3sSewkW8}DEF0^3{t`*f|v
zA#zSkVjBCuC-cHGP(e4vq?v8MAb7p@Twb1fYIX*4H4aTw<0YM|*W@jmXp+|j7_zpE
zwI;!&&o{mOwV@CSBXi}unlx12S@y&JgME=B#_7Q{UivRYKUQ?rdh@Za!GWo3C#Wj)
zhP0>&SdX=0h<CFpT;fPrrcFi=DffZ~(M{=#dCRIaNc~d?qpOtZnd&Y$^UbAko1ynQ
zlD^yaENu&j+@V*}E!}?G9JDN<%c`vvRuyHezcpYchz9XAP$TQUln@DeJGv#|)~=UX
zLV45aJwFH;-BQAtE%LE7ko7>&sRxmfT_!=c263SPTN9wJ5vfxu;HTzJfyU{pDLvh+
zHs*EQyZyqm1-Nl_mQZ}JclDjic}Wk(F`>Wv@EojZ>{g?J>fqxWqvj1+bIM2B2<5SD
zNAn_I=m*wcjS|1wseM0nQB{O?Y4O!$r5;pnqKZ%vHLLiB1#T=}Y%%7lv|4}>v4%`L
zmXbmU>p3!|;2F6BZ6tbx%1F4G+7j5tifo){J>KoCxTdS9;37O<q*@o|KV(7hl2NBB
z4F63TwzH%)HZ++dt}Rx}wXJ0CZ7LMF@KF5UBQkwBrX^wP1SY=Q>rs=9)9J#O`c7*0
z`@7B!)`K`B*Qm1>JycRr)t4V4a60U44w=Ef0urUKZK(@vSlTCwM+<GJ9-@1H8YK>(
zrN4!VExly`YB%Ut;WB|KOlR`ICCF*l1|q+7Ee4FQ%>M#<JL>NXsTKxQKK8U=t<!Y-
z`zq5|^QBAYEejnSg#@^D1vdvA&LlcMfa}dohjxtQ@)D%{J6q4D3ykm?A;m!<01^qr
zHnK2n%DiV~^9gtS`9Rj-a%rRKO7Tuc@}Y>t%O_Y$0@=dEd7e-}kI%$F)xZV$lZL_8
z53ZmGFielzcyXc}K_4~&PW;Wm_iPr0uBtO#DteFH!5F~6mmRpe+1LsV<%juN*f6xX
z<zSjTmcBMNvvo|^^mBD%^pe18l{EFum{Lk1`+%#M)+=?fjwncm*tIniwwL27i|{TG
zkiq}lZ*7q5f_I2H$w4EpXe1L`vW7Mqsj61`)bXd*-`i+laJo|zNLb%9q?-Y&i>$v2
zMX11^50$L;B5V}EB)a6*P@}!b&aB4MXU{UL3QRN1!Y8?k&vLTK+CnS^c4l7#)KKX_
z;)!&IlpKS-VGnu%9}@jCciBP#fvlA|Mc8OvJ+;#@=;zB|uY<07oW<9<oWJ=O`PUuV
z)fkK*P9gt7e5i$0F(hYmTegQU<gPfhBO*J0x398AZxhTti$LxN5zl)6YD9*1ds8eh
zUu+`UTPK;da%ferVP!Q`*YI~-SOXV%_1?2nwb7t7@skY5Rs3cu?%(C&9AT>PApT#D
z>%2-StMCl=q(fjNk5i(Bm4>v18swU<d1}I+t2~QwAgVF6xh(PMJBtnC!K>?bCt>#t
zhU%GSH!mA|RJHqwI^(oHTrn78kjTeU8wcu=uBxg4MQ+@sMMOJM8z7=K#Ua_eMv_#h
z<;0pJ=h`5wQ_f8YVzIdjv46gxPgxl1v+EyOEhZAL(in#NDX$qE&j0n`sw*Pl>+rJ$
z?g05M<BDii@^JX3hybeQG}eL1APi`Q8v`5h#{0&qrv7a~tPX}YrS|4v^J7}`Im+4b
zmF$>qKO+_H-^I=Tdw=jf$mxG~s*UdH9yv7O9cCKsuu0F8bj(+uQYrob#Q3&Hnt3iN
zJ(jgdBD?<`0U9Uk#eOco4?mf14%+~kgPDMGjdq@vldJ|s8JTer7b;hEpZR)|H2<ws
zm@Dt-J!mymW7DmA-K#JLAFhuk2m5wQ{MF)P-nNYKw5Gk~<GCe)ZQuC`2=b^em^JE@
zV@xF+zUKR`l7F@1{57Vnc+-vs_}`zTN7Gd8&q`z73kmf)59kkaxAA&aC><dv?-HEg
Xq=UdJ0*uXUth7F2lAFr_+f{%x26@5H

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_geth_pcs_wo_ratematch.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_geth_pcs_wo_ratematch.v
new file mode 100644
index 0000000000000000000000000000000000000000..3f9d6345edc41549e7413b1f1ed8c48d6f627323
GIT binary patch
literal 20424
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%l4f=YY4Et8O#jUB{UHJz*zk8V4~u@m5H!4D<K-^k`}P=?GxMlo@^SKspn
zm0HMbG)l)jgybOQ?NVTN?!w2}xi&CJwnjuuY%Gz<jM|H|&_Eb;qM-J=4S%eeJMGk@
zeRanVx{`%e#u-3YtS~B`*O_t-JvD@J1TrIrWQbVSbR@(7JGrIFiRHeGkId@mtEnf>
z|IzH|D#GrE@%Cjn^&UuG<sWNs=SYeZBGHMPSpPTxTo5cZBiplG8$kxX+=Ka<Ive4b
ziPi5ep&jmvpIU&vsLhz4d%2KWD@5+ucH*mbb?e6CGQmM-p}>1e=DN!^$_Y~-fD@7s
zCgS_X)3Q3+a03zdtxdJJLWpvqfMp;Q8{xR_y39rNDlDYwRp0u7FKJRA1<l>4`P}<H
zc?HOMFYjRo^!!Atti$pxnVU@wJxE0qXf9O$?lG4&_IN^PqF$6Ha+@}77rZFb2qfOO
zlhV!c!<ONHG*AU(tp?JXc}^_HHNM;`ooiD#bd{piU$975lB`#o&HrR)H5kzjoPi)p
zIwFy;lhWPC->eSqGfxAc(obWLAB^7zC~XZ(5&#`5Z?Kk+rH9rYVdf?F3h@U_cOj;b
zUj8bUQ<gGT(v473k?j!9k_&Ks2hs4_*W*D^RoT5Zld>{rsVWtm9o=C^_@7`Hvyt2K
zUsWyXkBX%SJsgIOo5e)gKGU@y$}d_SntlS%{>;m>C~QfA09fq=ZQPfLOx_?WgYxms
z7sq{e8s|aLcL-(1alUq<^d`D(qX;j!lff~~;TLs&12;I6U=+K~Yy7tUJ{hI?w+1)G
zxx1q9;Qk}kMRX{Z9?Yo1GlafIZ}Ni&DPb?4?Euj%U7e`Cw_J`l>Z}SRbfHZNgT=fM
z{WTi6TIXbVA%~Xyrq=qv)&+5PZAIaj7s!PhcL(hy4Q|nup=jHlb%IG;u-K@Ozl1T1
zLAIZuT%>5hhMnAGBDl_vaWp1L#c7y%b>J?R)A?M1Qea);^O}z^!4Vj5mbn7ESD7E^
zZixRg5EIXigD4bT28|xjE<B-12TB0`Fwy@6IbIv1UmMZIXHzZ#N&>6q+hb>y-@&n%
zaiOWhMkt3CU_Ra4Vur7s%BX4(%0bd(bKY7$ciGv5ynw?*#^@yyxPQ1_A+R<_D;yB5
z#j$ON(pPR(Gl>@eAjjc+%gpEmv<SMpmxx$*oJ~*?h+Y4zA-&x&_;RoeWW#eKd80Ty
zk}#a@sUxvBT3^GEH`|M{^KcRYEiXPsrT<8wpZ)7R-xdc+yP#xcEZsrT#vDAm>%}0B
zTGbriv{!cNEEo7d+NZ8sJr(NqrpEn%s<3uK!5~<G-Do#4U{4!|OPk(+tEP7iSB^;?
zT3nfvx^GL(x4ly4t8Izn&y6on7q+V5ruHcBt(vy?_Uv+Q)nAKB+2_f8W_{JVv823u
z!v}NSGc$7Yj_KDozO|{fAzDVf_!5b8Q3Gh*9$UF^J?ql-70Bcn%C>#H{NGPrgEHWi
zp{ha;>@gAINT&!N(UP5kjp7edPLZw#^-np0T|6Q@RYY7X)8o))G*$6Z8>@xABY;7p
zpzG@t6NK?>dUDIb)9MW@u30rIkLY1!tfS4rxV6`QpYtAi<!~hWESf}m9+{uDpTzxE
z87YVN=v{$xrYcK#+ci;xCrV@>*Ii%3pv>DvNozcMzVxV8*|`GrM72r*J<Ez?Zm3%g
z9%lKuc!Ag-to8b^ynJ+Do%iB=;+<h}KE-Wv8A_>>aHM>zmuTKigb9jGp~8CdyRCa)
zkRJbW8BXSOY&5|uB}P(wYgug@YAZ3p$B^v0Ba{&;zB$~-k{ya3zEL(0`JG(G6hO-v
zO9aX)q&x{8ksn>JNb$b^>Xav}VxD8GzlOYq=ysJciBzYyNEe3f0P4h#Uzj1tyb_=!
ze7*pi1_v6&Fnt$!#mlXZ?1Mv|u%4Uqs8(x?7RG=WLC}0t`md9h&~-81>%h%ucRYIl
z>q6zWFsqEGxK*bf#+Fz>x7b#lsQIj*!Ni-X*<;^e%PCg<!}5KAWcgDTgf@Qz+CpGa
zuIRK~>!*AaGf?bgJ#f(loK-c1v%$S`Zzad>=w<?Vpl<0QF@hfz0O)CSCLHRa5A-FW
zhc~`hJpR1M3KEtleg-p9VLnjol1!(t>NzVyC(`5PlIS@pm^c@(b_NNtBbOeq|CgNh
zn`3i2HtO35y2NTb6u)U_py0?Mxl2*O{h+mb-3gJS%5=;6RC3GCF&P0C8fCOMN7LT*
z$B6`dMDJBD^3>k1y)Xrg-H5=Z(0hpY*XK`HcE3w9yI=W2AeJ%!CyV0hMX%BIXoL!-
z=o_ZxK*3?Mno-**I`FAs#Rj-vlpMz7vO$ooXrYAkpPe>ME9>3lKb4D*)dlKw-7Wvj
zhMcMf>D{*=QZz~@apHFZinvi@TmWy4s<1f^apR+S(_t3b(gTD4N;>Ifq(f8kuZAhS
z$=iLajh>^%x`4iUkcvGLTx3-E*w#Nv^k+8#7ly+7yS0NHy*^UX1T^1*q0DoKttwHP
z2#6qn{R<MG0>Pg=2ZroR!ZRoP$vlsczrM)7K`Lde!7diHM;qyxeeG+=9RTe`&NFcJ
z)DC}t!mW&)Fk>tq?eZn@*az%ZQy&pEJ3?a_8175wzn_bEu1?WPAd;ULpwRjP<=sd<
z+w%=(sm+3NXY7E6<VIFq$wlSWZZHg2^~vN_gBPR)WI$$RFx;$vw?}s=|CgI%yq|PI
zc743=mr&L>>&DSmXe&QX#B&O>J*e=M!)*{%Z!*_x!>?4J*mxG$i&J+tj2yIYPV3>`
zB<hJu#O*`9s&ie-j4WOPV7rQQBO#u{Q@MyPE|N9tUMgJ=n^+jcQ`Uh_dRe%CaLdpf
zYGs6)ez{Lb+zrGP2z52`ATqO;Zv^u_hRySvJFI?}Id>Zn;PpnsDJ9MK;18g9kw;LC
zEN3Ctb9%XZ=VzfEsH3ELXyPoOos&C~nV|{Y$9Rm2`3;$PGoHC99Zwjek6#Mbjhi`?
z<b+9^25LV}2I70Ghb49U_!y$Y^0gcsI`86$p|V~rC)z!;aatd^N<A_s8ABuV+@k?P
z^KH^ObXUozGRjVXqK!9pP`|PTJ#X)dgYa$!ukYCKW?tDK45&B|5k#IN`?5^s{K(Ae
z5MxKx2hLA!SC>RdPwf3Jga|@4B#sTZU2!ZI8QhmP@TihWW(Y(bcSrEbOMYogQ->31
z>Qq*eoD$sT8u{@t=nhNVPKj1Hli(rU)iM$n*mA|*Vu(GWok>uwP6sss>XGGIi8DU^
z>sOD#GI47!j?RKKH2Y(5Ds}${^Q3K+RajU;Vo2&7aCELU)MEsULP_Bsh0iLaUsPCk
zMwuqDj4OoOOC1ViQ-kQo2stC^Sr)cS4Q>GD>A2oj!`si=fyRq<k6z^Gm!?X(M8CH?
z$U5aY4&i8jCRV1|mTqK%LRkNml4|LdqW5^|9P|VxW51(ZZwRO_=PMgSG=Gqp_02yc
z#6@#@-NOkUzYjL!pTutvQRFy$8jyfk4tO@lXkCM2C(NL#Wy{r;;FgS(wpG7#LezAU
zYHrsxw>VOpIw?*Sfdd&LKzucxuc=)z%9K+QO5>qxEVMviU1?bT4L}u_0a2&FR{e;y
zL?qbnkQs=@d|W7DDiQSsEnIoZXdZ413d3vALvMmBa%{^{@zC6Q&Z+|H-UDfS)z*si
zU~LxM1f1Z|JSrs0RZ?+WKCF9oVv+Xouz&STSQKE6Wl;~{Ogcn0Y7S@5EAOV;5T3hB
zf(`;2E*G9+juQKV?`(OnRDP6C7jVU)dW*-DJG?OI3f;3IW^)^C{t1<*(@YUfZc!cg
zD%^emeOwrKp6LJ+yzBM|REQ08l{(8}HCX<<&5Ad$Xk%?Y=RqC`?o*%7FXA5_RQ!4$
zh{Ei`SWk0@QPfllK*kGFDDP+&D*4{{`d^F4s7%?RBpH304<5&Ss5er0R@cBrOUet^
zJe^<Ka<_JD;`&dDp>lb#qGfOU+dQZDQ%|v;zzyDPY>BYiN5#E+@YRLBOMXuDxhZ6F
z55>Zr)EnH{s1!6Ukm1_z>!@5gc`oq|!;?TKR_I8L&Hs*xxP&jj_=X%4*J3&-2}{;x
zfeGifk-egKe%oI4VmKEHZy!+hm1DU=kduOgwj>LP@+7HeDlv}`8na_eL3BObHWKz{
z-2-e83F<BJ17+v|-0p`^R8^SvyIL+n^HVwdzcI~NtB8D+$jX^=Y>~n?1AjI38Nka<
zt2&78>F3yQmyV67zuuTA91y@Q#4z6+YULGjlx0NU4V-P^R2Xcl*o&Cfbv~I#baw94
z3~TuC5a9Up+0eC=|2%@bE9dzkidLBxy_}VsrUo5xw&l2&^W7fPCO4z_b%jPHzf?Z7
zTf8m|LtCwP^hkcq<l=KDUz^3vgivb%U2X9#0o|QVl8%rrqBgYJ_?PHW#925(jcd>4
z63v{)J29Kmcw^%nqz9v)s9KVG9p=FwK6bX#hNK(F>;u&(Wv+Km&+Am^!ChRIrfarg
zrDDu-9PBoU)*7P!yw!~@^`sy~XfB_b1KbbdwHp>Ipmj%qF^7K!9gme4#^0%J5W+BT
zTDbmg0&C?;{(kZXW8RcXNu_rgD&krKvfw<UDFuAG;QsTPV1Xrad2Haw<Mg1N=IY@e
z*olJv*>jSI3l9&ZLH<4!?~w*3t6B1UTuf(a#gN>r@nvlJ`Fqx8l-~1u(jAACjPORh
zIONP8UqLLbRQz`vPc{!hD>mB=S%rE164KU|EBe%-`(aBTIp;`JHUiNggv?7s%l$%O
z)uXmjQ=D1GRxH^`@=$pGarms$ZtIcfhZ>&r5Ih#SS{Kzb<K%{9V%&y6N<^X8yQK`T
zn1BIyEWeItQJ5Eym%b<O@N`HGOfOry>%L;6#^VMO(}kt$N)-4X>?D^K$Ko2%Mj89H
zqufK`6dE+&@N83pkyHBBb2Fk^^zPs?c4(JO_9u-B#>1+<9&ziD&m02a0CF!}`o_j;
z^T@{m@593(YwMVaYFzQ3XBn!&+#b}lyS)TT@y5(&?gUiyeQeg60kr(S#7T*Y|GcG-
zF69&`{yvXZ6(M4KsceWls@Y#LQ*-M2jO^>m)U!+)4%QE2o(`&ZZ<!7Z%_wl3!5l=s
zdg@rkK&Kr-`+F$+2Z3bx&9$1sS&#uBiE=Y5M*`h(=~;F<I~~#4>Xo$TBS!LRUGQa|
z+xod8oVR_RHDHX?%mXee80U?y-j**4^SHKDHYXWudc0LQ+zIZXbZ?WxJW6mYQ4^dn
zs5F3$Mfjr=nN3RtvAJ>rug!?`XGh9$!HhY28YUVm00+>U(r|Xl2kSL)%76ro*dV*N
zA--z{;VR##CX>DId}B80_$eFU!W^vR%!(Z*7Zv5iE6sEB?`BRE5Cb>kq2}2I5MvzM
zT%7}orS)r)eIJ(`*VKOhmOL7x4mvQ=X>4Nkw1VgkhnBiw;Wn0%hqhbhWS;q+9dtr1
z|4i?hPCdKS>td_{xpS-g8G-ipHfUq6jCqS_ctg%i7%1z9?GA}+dcNQ{>nwd<F8zR;
zi4+iQJ`#`JfqW99$9NoL8auBJ)?sF;96dHt?-M)VRU6h;1eR3TUC2!k)_-{TLpC58
zo;fsGvWqHCM(+zdDqCNRIINvJy~?t&v&@2i&fpuu!2e>;y9rk_?YpVSOZcS+{?`Tu
zN_%g5zvMnrduM%$7rS?%2FuLE*&{XKo9kU>bF@Fg2wuxPv8>79O84)e{He36?hq>`
za$yz#{4#8OQ-f7$gLoS`>E1A=SzXa{tc^hp#FfH(u773Rx<rrreGbk!PwYP^+T%}2
zraE~0UHC1~>1y-J7sdmv$t%9>H_m9~L)I8(w=Q0cfVpD0AtCRb<^<g1;*5FubBp(j
zmMWbUs$;_|BXJo5T?R|T|GPun0vDujS<dSb(GC(=Z?(b)eZT9a&Gs_bXo4W`!tQQx
zNo{`6@m@CXZ7}a|v_|X4t><ZRp+M{|wB@S*={%p#T^H?V$5?Wx3M3%3;?g3)4%-fB
zZzS@1;>SB=Uo1MhO@+Ifa^U6&xdQMB1N#*qg_9<RDDN~qO&4=Av^PB-2dt$%Jx`G?
zc;GDdEKQR7gjVwfoe0l(PNgUgKh{@=9s32n!4}#<b%f{h?_>1_@hA4EFD!`g*J<dy
z8FT6_3&gMHlSWWaJct8FS|z(l7MEun3R4-1**jnLewi`-gVW0yH)I52S;p0O#i7uO
z^MDO=MIYG>y=vDSmFqjNlGJjH1U$k-p!#Nc!w7s==fB(2VK#ay$#&Oiax>#PcQcOY
z(m^@=gK@H3hR3<B5TE51jo<(5tU2FvMVc`CD{|F0x9l?pmzvOtcZ%rgkJAC%&hXQJ
z+}}zdkSMAm#=3Nu@ScS7vN(|N9La8AavJ#%I{{!3W9odozMk}vED|-zuI(Kq<ZP>O
zQ}rQRYy)@6P_i7<9jHcNoRPp-62wSyRK%w?vNU9l^c|ZLf&Th3FKt?#tAVOLnJ~FA
zqUl*%OPhdJxPLq8rUE#~R0|fc-TZ@G10W;h1}?q!{)+w__0*P?>FVGfcc5Cy2qcqH
zli8U;*)Ac^ARr#3YYT;yozcj{Gz9CWn`d)jooP?v%u8ctWUfc#JE+n;Q#`@#Czo=Q
zX98i@)frVJ$a7y*weD!CBbvEpMXt*vhe3#Xo0U|aG5B(=Zim&}intK3Itsr-{4f&N
zA)2PZsg8Rao)9PoghE>G(3?Xi;fdFW+$mZBeolAzdDIe(o5nARi0#BDC>{>oo_Q$$
zWmB*?C%zANrLe~ziw5_kKlrUsct<Dx$D~llV;eFlqhBkXJx`gRr#VZ_ZIb$nL2bn2
zK<L(`xK_7SXH_jaSCfxj7%QbWbHm;He!NCx2`)NE?|QSmN*9ZW1bFRL^dcP~)R6Vi
zdT|lt_-BJUYb5-qo*O4sBd)4Lpg!7YDirlc|9ZgK^^U}9X%nqbr5v-2!}HuhWm*Fo
zk)F3+@Pvb!_LftDopT|}xwS^;K!fblj2{=%#m;MUD;6M&Dg&AC9V>AwvO1SJONIt(
z1Vh?nm1Mz0ESW<)u<EudfTPn^Pk4%yUGY?0tQaNilIDoc>od&LE9s{1{b}MaAvosr
zQ*XO<LB9jbfQed#i`CX>A>-<`sq=R9o}UD)4dc`T55MLFib>?JQdDDXA<#L<8REHj
zC&Tb}B5L@D&eE9aG79G3&Ckp{A6rrKyL}dLmbo#Mij>M(pM4Te+9yTyIsPe2)Z3<P
zx5j(;vI*u47mcx!SGWU(>ct9dEy)DqgmNr(4Hw%2-R14S>zz9bhIc6@jjH_u4jt>x
zYCn}z!aHKvT^WWv?3c|NGU+T@Xg=+@64OPeYJ~+NC4D*Z`GTlm{Id6VGa|BCD)V^C
z4?PwAd&~)I=vZWWNGnyt$3{Jl4WHWfltMLqdV7!^Sro2(uP9YE8X44S7bi@~KpW8c
z2`#LlS8M>a5cFX|Itu!Nkdg=ZpuV9gjF?cu3;8_z-ZK#R2gQ41@C@8c0le&?0eCpQ
z@v|INNpaXk(ueiB1<P?>??W?4$2ru}%`Kv}IzY4*j)LK%KUqLb_cWba##p9Qw9QJs
zf+NdZ%)S$qUc+zyuPS6El^Ol9Ir1rR)4vVuQz~-*flZe{ds#^k%$YmYPxe1|lNznc
zoh{)J(=yFP8mV(Qk=AZ1AoPzZTQRG|U<pKt)+sR5NRI^rAqtvIrx6&c_Z^=`p?&fu
z{;-^}h{x!ydwt<D7$^klYWTx02xwibS;1G0V|i%x`ZMbws0s_D?4pButJBlG1c~$e
zh!LInbaa~)$0zm7PssIrG<nDEie?WP4@`uzv1U>mx*PHGi(tSNdVcf<Fj6R{e#O3b
ze=y&Z;muU#J1gXmCo~$i>eR-69n$o*b`oIfh_R_76!YSt-eDWh3_#eUmgvac_Nc8G
zCy{<hj08|6QGbaSl=VZd@h1`Zi;nT_{YqEyzEVa%R$90H?c37sxO$CD@u)T}e`1p+
zjts5J(AbM|_=rIGA!V3&K}(u6$-w3uJy#gGDL^@BpY-Qih=SSPLlBAqm2&qz-F`(S
zJlN1;W8zH35FEvDo=SCLYW|W3Klt5zuaM&7A}LeuR$t=uk#zv9CKL2Q)$i0VJ!sit
z!lTRuznoKAD6LeZA0a#DEl@lHgX)qc?speL*~~JC;O9?jaCpn}X!nFRC`cv6|9zQ=
zt9}s|c(Txy*D3cD>B@*H{%Dy)*nh63%%jUNa4HfIzRra&Lt{18k_<S5H>M1hkIshQ
zV<VRBN5hJqqO6sQa-MbitsOVjyx%>G@J9ekE722-H~_A^{iwGIX}~D>*@ox3_yw~U
ztC%ZZm+?;1>$~-vIMYj+R_!}!yOhOpC@2r@o}Q~mWHzB^wuq%1*ByC@hA6ng5Pd<5
zS|1Lf{rBRQ&~n6L+;v~-+i(9Kis)mfvr-sJdXA536v|}EXE^t7^Vg?vP#wM$?T}hi
zBJ!2E>5GT2>2EA`xJ&J16d@qUA2R2$7Qr8BWj8!9Ce;C?%~A*1^T@VsOv)6LIdGNt
z{Az<9JU6&s%+xlxwVdPKly12cnBLv7W1*k@7bU9@<^sp5+n;j^S$taAxqCTe-hKFQ
z%O@bssp_=@`7qN2{&LlLMrx~{OQkso!$$Mb6gX#xc(D)Q#>ncB75E!TqYx93yxW#$
zMwbykjEWc(N?121up;>76AUCbOC>{w{SSkTat+SFOt{(lf(H0teuJLsRgQT}>Ol`N
z4Dn-Iapu>|dsqFdNK+z(e<{>|L95c`&<GSmyQKzNIPBp?mB83-vjkF{EGJACE(*m3
z>zm~E{^Epf#2wnma*b+7kspbTOf&M4z9c}h7G<gaW^Q7w`2?1Xh`OY#$BL#CO=lui
zx}7T0{*QZFR4kM_mkZma3p|rlDf!gx8O++vQDgR6<(FYqU(HLR<r0^S0q-W)NNXL>
z*Cq|%3!}CQ>UCi2lgE8$=;(y4x_pXxgry&k+=|WT!&jsy`M3)gKJwo&C-Bu%`~{#q
zeO)vbwF&Q&95f^O>#GIIr6T=4a+-!Yis%L^;X0~~n-MxfEzXejx1%-HpC3TMhTIC~
z%!ekz_1?~)pzr9b1T_~K0(Fgo$Z{0UMZ0OnM_vY|A>GIX@g!(2l3MjRG+ne4QoTcT
ziMr9jH5Kn11YTgh?P2(qXAfS4FAdnQMpl6|H2M<gLwU?6r>w}CyN6%YqD9d_UeOJa
z%U!z8yoHWE3HZa)!29n^DhM$%FHNQfrL@Lg{x}P+D?Y3!+ayTY-qN*tEZg&U)~U$J
z69m_bQ?6!&hC7QCLiiRLwl&bXo4SDX(*Im-lwiiKyRR0#y>hpCnkh*G9ERa+m6SQ0
zL>n%A>xJgh8W>}9D2VnilYT{4Z`p&a)Pr`9Hby@jRywzzsFe(EH8)^1;1Y_DQ6H}n
z{66+Zd#HuV0r$&=#+@yVR`D*+o?y2IdT1L7?e%*9-Bd_+1spa(^nGJO(*VC@DW)5r
z(E57kjsrei1a{Xl{T#QFQOxPrtjj^!60|H1NqZw&?w}%lTXtdTXp9Il?ydzo0C-jS
zQVrtnWD&CT>!Xmuy%p)P{DZMEPXI<R-)nncnSA@;8>wlQtx51EFG__|&yg3Te920u
zDIz60)I;5+P4ai5rjMLNGhJh8PT~ez=iQXO{vleK-%!i-qFy#4g8OS}2af2aFQ>R-
zs{4Z@qj_A!YpPzQmBY@SuDmoyt!saR(`)SVV*!NFN1hpqp4_Vle@+rky}oxQLsRGC
z%b7H)NGB06k&tGjCum(ODfqW=G(m}R_S&$<M|0Q<Q3rxfiF-v~^`0BJJ0};3vSbw<
zEc{?c_)!CTXepMKnWYU)9@a&@U-sznX^eDxt)Jwvpn-xAwP4Z34QhF#QQu*Ql;41y
z8aX*sXd^T)8=3mc@gB#AbgQYHjf?d~fK{*Un44gCgDuBt#6Ur;X$yHo1JlnY-a2wZ
z$$@N9cWr!4a*4NH*Ua8$s2y~Bz_~IL$igxM%vvE@?DIWgkEdT65SgRP%n7hc-$i$c
z^llZ@uPbF_G$o8w3wTays@ESEH?w>X7nG$Y8IJbE@_SKX1)Z7W2|EZ=;pdq2+QX9V
z?%0Z$18u@`uPUMpGtJ<G!ub;k^MiqRVzJoc5eodsVfK>-n)^~UIFi6~5Sio!M*H>s
z+T8IpI82Cte^2?u%gpQ$i3TRqn#GYY+4RhMljGV~?k4a(W@wIU2|cO=j2QdBaj_fD
zY)<a37S-6K6{^^U+(hyPB`4CMt&{_Fi8Xu7e$7lA>mcWgRIVpGzhry~XU%hi`HdBg
zNl9v9XQIF?*|z9FItS?B<XF|^VB*P#oXF!E@&-LZGWhHk{*HS$+SQ)vO47a(*BR7x
z-ctgx$@?zhURQbYR&);KM$jp!-%4vf9u()hdyn8=9}gez%J$rR687XKw$}SK*jgtj
zs&|^A3wZ9dh;S^^f<^Wcnt|QfiYnZr>=iAFd<DP+j$z7@R?eQoJM<<h4m&)vm{Mpe
z<dc+Dn2FQ^7642Tpz^6BL9JG=<PWe!#`u)v4^+>Stb19Cb?6EwwZvAv&71IK`0k(7
zwOEe7f$qNncEv+9!FhX&d#(dQJ;Y9R;8HLP)5Kq3;T<V|p5*7=ICy$fzVAJ=)n0C(
zW;(D=p@9LK*M?QNLf@`=DO_`lxB%K5Fv%&THDD_daMXnRfoNIH>V4C0MCr$*tL=Rn
zV%|92B`x4#-Q+tzkp0~(rxD32x%L1YW^*%1R~RL^!j1_Hm&t*co7kYHPs)zD+8F)j
z)BQ(1bq5x6?#qWoKtCSDgNC~g@{O1=$9r`Bdx&b<VYcWpRlNxC06<apG&U9WZHAy$
zdesBcsJ?LaY}%kBD;eE{Ggnxyl7>#7O_*|#l4oPD|0^<|VOT&arRo=LH{M5`qpW?N
zG{lDn>ue$>p`m*g*GDc1kydLKY<yc4p`b6VM3;RR15$~69xz8^y+UEMG{|Wtk#pkS
zF<8TD!i#ZOZ1Q)6iYHwHcNy|J>hj*6zew|G0PSYVRdB4ZXcb)Dg<)~pKE;^T4(BjJ
zCY$@;<dz#0Cq-{2wklY(rs!}$P*ky-Oa+<T-2`h`ab^#wiNEX{M0WBB!!JPd&vLLz
z*G>8U-EkgZpeL|-gb1=inG2_*m=1Qx_zuq>N*4IU|6)yYZ#RysHsYIxpYpyoM@_#>
zT2Ihb1bVK@?lV)~1jqe$tg426vt``h#&tL{q?pd908nBZkl23!V(9mz%V&q$71`8^
zbWSs!xRbw>3WMm<-?}FU9FWzd$H<JS$J<*JT|AwikG}Yqnqy~xr%kqhiZlR!mL+){
z5$2`N%uFmR_i<R8o`p`U%EvXa-Bx&qdVR6UckmL+(pC%H4(8btjd+F+3gTmP*3bXY
z&6z}J{HTQR@DI0-{sA*Ith}9nIKu)uO+2=ZZp^E5rpxt>mMC#2F3XM_@)?aCS-~75
zkAhyLt*#EhlTJE)c}uM-YsIyWnpxXhp-*KsEt8Z!q3-m_hkn(xezoI`tr6iw^*sDq
zyF=3fyM<$YGfAdYiSAQ}%XyAAaA`?JTN)gZN2ay+-yikV>T)!Quj2=&^5>+@VB$8$
z1bWN12OSotsstI85e-C|Z;p=yH6RI`dLY+{!tm7Ri+blR%xj}6$Dwb#!qsJLbu9HZ
z*-=Z8b|9+rYg)o|SuTI>+eQGPM5?6d`O;M)VIHS}WZa)@tThW%b7(Z~&lvxLeY8gJ
zY)zpr@f+JO{9=QmMQyOR8b+A7Q`rsEtz$fs9<`ab1<;K?##dRKMr<m=`e|sd12Xq!
zTq!?}kbxYS#pM%#ZTfON7TI$tR<R82mmI}M2>@=2ucg_tHLp^PeE;`$3q1`Mqukn2
z7bG0uAt&3aT&d3t!6#G7=&8p78~#^9<t!+C@~TJ(srnkr9kxx#vhR3MP3<(!T(~(Z
z+|Kyr6VF@*MV>D43P-Pa#M8R=wr71!{X<vdQNV%-wTg=E0FC-xw)v=L*~$zbG9m3W
zv~m@_Lf8tid<n0}-wPc93dB1RX<C*wuKl3G=0VcphKC=*%Xa9uIOBF38P?^SYm^3^
zGKP^`a0tm#*OKvHDX*twRBX<&aWBcsbRl5+f4Dy=)3h{7VKMkS8B0O8U`&oJVM~ZA
zJVGuaECd_k;BD!?1etK|ibK1o)oXiAKTLg2Qv9HC8f0uL>s)TEhN~KjBoQ#B9I4YA
zGQ`?!Ewf|H9Zm<eVhq=Trz8mt388ZWs5@c2=kRfMNzP3hF|eD3Teo3rl_w=hrru9A
zOr6yZYu-!9p2%8>PDQ);9!fj(8Z64{$%*n(HwGfjWoEROu|_35Ns{YEzx?ot6z#?R
z7o4Br+|pct6*%&~UcWM1PCHFMovlmAM1@DX-j}XU3To!x$|0*E>kRy2sPTlgD>?1~
z1an2}AJfSa4$7Eb)7k_zW&J}j3z$o)ZM9}Xqpp<5VsAz?k;O<v6+8K=hng(xQ8)D?
zt-4$)aZX^3ujL3XuBcq~fgBH<03wBNsL2lo;_!QfG<pOxP?P5aBG$NBeLq%(Ua{=&
za)`0BI7ACj-IBx2ACd}5oB`cD67y)KZ$(zbhKlmoB;Ri7Ld>-Dol?TCOsAm0w8}Se
zAO#%$sPyA%7pnK-6td%xXFXm#M3ezYKT+}Hc^{7Bd*hOM-KG6mkSjXLwpZo+HAynX
z=-Ew!E&WgV+5uD2kf2PUOx_kYY7II{n1ukj<AE<4rXy@4%I{-uwY1c|>Z1S9^FhH`
zv^<^^1kYspEOYJg^TEqK<kS?95nZML(IZQyLw`~*)f}ROGYGgOMj!1GsR_fZ?GbLk
z+%-aBRL$2Z7&l=BxSBBr{c1vO@<S~oJw-ZtwMF##E|6>RlPFpGmZ-k{@nC$bW7JCl
z<CfDXDNOvi-7v!ZsI-T9rwC?4`qSc<+(EAhB}RET8$tYwKn|e&)Vpsi7FdkN<OJNu
zci;@6P0H_!EQv(bet8rsAyfk#jA`z^ulB7g_I0bhsAP?f)MiC5DV37n-oGLzBLh3F
zMCD2Xing=%U_;OIL939OTdT@cAsw+k#Ie!=oyOLBi+naLJkhPwbOi$A`}qqZ(UJJV
zjpf=D!@a5t9Fb1eLuODJmWAd)M>)y%mCMaEbd4Z`(A?j_tn?=c(Djr!tt3ClHUY6<
z;0&j{&a6bV!C%(5H2{^AI?HS)TP&niH-mJkPofk$aoM1>%vC>$qDf>Ak~i%52fW1$
zi>e6=bW7IK!0DN9iwV+^I+J9O`SZn0c^P3IXbzolex~&8)}WB1vp=0ah45xI+%g5u
zx7gQ)%TbxwcLlMzbV`fI{P%^jTt!BL7M@YtuKms!p-BwDd-9s5cYi}g&|AzQTs>R3
zc6-LL<>-bTqdDxeL7B{^KJu5A?R>U#5*yv$HEKMOr`h#?r{_iok)2M~!3!!7POln0
zH)L^>7%HT(1;bM0lnvZ(o?u`o)%Lq&ao@65ApWl?kGM9`qNR9YU$nrg);9=?4wWpS
z^+=}v`<d<GyiJ1B7THf$7fJKvs%e4*O?g9e#h4mX$=go-m7<NU%bPq$9QS4gXDxW&
z#Z`Rjk9I=o6k+^vNRr%Qv$1iSb4sS<iI<_1`&4nK(Plg506+L`Y3)P!ATsX|qylzO
z1?;((Za_$HGq{odg3_e}oa_EA=;7LuEeK`h0ubHK2CStN<WH?<z?TCyO%tV9Y^T2h
z!26ag-j_6Ri=aueQKZ6NMESYgfyQdIAFl=adl!5fq?lMsv^qP6eDh@yK%cZ9NQ1de
z+O!XERwVR97s6wilP7ER!b>hY$$WSHDX0oo^}ORCwA^(v79yuI&sup939roWpj|}K
z@G=f_I;B9F_(fWFhMB3t%SbXilEgY&cmEK}W?pQ^QD86`#{VMfkB63mX0BFpk&Rs+
zQ6c0&D<CbL%j;sZabi#RB+ABg<R(f>CZDL^I({i=b?IWO7{T$sJzH>o<oezowok8d
zfD#VSg(Jdsyw<C#$f0H<3w`kw1asEj^xx%k;tr9j$WWRA4%UL7Q{G5=7+>Jd7!n`?
zr^06})?Of)j7EsxeputAT@}0re2~f!59?Df7><N5_>R5AV7p~<GT;VF*G@8p#V=2p
zxcm>=d3}8Cb=O`}Z1Jc6O;snXDs@QcMX*_FRBl4cAK&bx(IYb2mxsy3jz?Ri+Y3T*
zX7O_(i*fCwgzx^*>Dct+!y_avHw)`P&61Km;DEv}52L+_gn{BZ7b;zsg#M>LsV3T%
z;xkk$JBKbA&Sb9AmCZ9piqshM$TV>JP~d>Kipxd-<J+|UGSPh)gHv&eAZwNWViy-l
zvgD8PzIa3;k;pnMS)$OA6{EaQ%2JWs$P-N7<zN=NCV86WjO=GA=LvvLZ!B?yU6W~$
z;*UL79%iV+c*(ZIP}3RJ9bZG6IWQs;dfVdaV8Vd}aihPwe}xf_UF+g&sL5JhR~ZVJ
z^0OpSZWR6s@-xu6?#1tgCGWYOl$&<dN?YF?hS5>kMPG>cm`>}utm5P~KeQd>*p!#I
zr+#f(s?0`~({d*%C!USU!y=|y*S`Ow=?^lL`6I~USNv0myrnm~6>AFIPL74=jV$4o
z8>BNS=Bwp?7V}2R@Xgq9S$&zyKcvT<c5v;tkRzoXO)aAt(|f~!!cn`AwTg^~TTp^D
z;*Jc8?62`|&Wt>1*M5v7;3VO!zV|dWSd{}c6J53(4K+cz;C$~M>p_jx6e+kN*+toK
z9~B;3Nr<O3HSv=o5X*T@!s*r&c_u2NE@B(9aBLySOD7R3oixfy<0?tFA6kwQ{=j1}
ztX{MGGbpd9sP`T5yh~DbwiZybIQMAZV{O;B!ARbDKIDYN?jx>Tf^eL9)4l;=VdS$%
ztq)xbV3@w_fw38{S<%C;Jna6wTlOh_ji$IwA(k_m8V@GqS=bN^ZF9U05_UB}=mGk6
z`fyfg0#<k99FX%4<chp-fww^FI>HlI>a=dilpaCVKEF+Pe4Dp4NU43#3NYL<GZPPB
zuG){tVfgJpm|1;#pM4k)cUY-{(||CGID!>?J`e{M1v1Na7oZT&vYdp<eacQxO!nxt
zqu2QIru2T?Q>pbg*}XuL`)B0Dl=NkDmY5Az6vEE=K(2NfS#e&#P~S`6;sq3s=)U7j
znjnX6?dF_8q&@~y^pj@eKm{_H3=gNhq4j(Ao}bsculb`l`2P+5yl1P9ap*7AJCBlV
zp*GdoVAsNcbI*0*!^K(z6jQs)%=xM&1Ezh>G#@X(|7s72oKs9u*VYU+405P}Sj5ZH
zvio4UcY|u`|8uOtfU{d-meb(iL}TRj-0QEKtrq~znK%sXheR`4_7Db7?NFaJJe35D
zUNhM^KFaQD(gzj67ay;fm-@ncQq|P5@Bz!1wOOqsk!fM;K5^zY!|n6qwDLPk-z<JK
z;OM+j<N461$!_!0IHcgNY96lNQUHEqwM3{aG!FHiDj@S&OVn42O38eYD-wvdf<Sm~
zt7W*YG|d{ysIaapTO*ahHR=x(X$NoOx;nB_VypJeup=-64mCVpGNsG^>{9BMT3w$4
zMa;gQG&>N&ngC&oWfd^WH^>0=2x0sG=<8*9MAz^dWO35<g<us+CrwbpYUJhqq_@(U
zXHhOqw_P6wng1Z^WnRFd-6Uuk+Hx=|7dZC7VWD7(t7W8{IJ)GkI8W!?IgPn|UF;*j
z08z7_Gu<8~<gVx}{@X~#WvMntd2Y4ZZo#GxB*~R1l{uiYE?j*(`+0sqHKEk!AJ>8d
zwe1y7E8UbYl_`*!2mRJ?NH`%{iku$P9x${VfkVQbx=Y;f@du)YCjyf6S|dzU{?Naf
zp|M{0V@&4>4(@O+_D#<5_MYIENw!UOu?TFq;g7^MuSE<ac_+r*&I%_KUiQn?W^!Y2
zX%2`9LJR+^ayZ<DgR{P=10setxd)LxPNef*PY1lIfviY(l8|GfbS+3n8=N-dpAT>r
z8<-+Qre`VI$W?>!CdMaHd(;cwdvmz358=>l5?2ybM{SP6h7p|^X^C835?&ESz#yex
zEI*pzb_rjXH|vo=Z&6ozeX7iPf1KsV7&IgM%AO^jZ4F=6cw#Ue^fd!1`fb{3dZ*$p
zT+git1N)hk#ikoAxM)>&sak=L?3_w);F{VC<ZmDsdrkR-INdqu-{2(A>*{GTlN%E0
zpN@ngivsI*k01IMVIX{5HB#h4l(4Re2T4{Bmaa@DoV`9#MzDf`CM=LFQh4W70f@E|
zRletu(RahtW8zA{nDj5+MQ-46H@N8f37tQh!Wqsc{gl5sAt<;iC)Rzq{6?rW#1Z_~
zIlaV^Ul6Qb+Em1%kZdpHHRU@a2=b8OT*C0%_fo9p=m7;n>O+%z(eM%O&htv{$C~GM
z<LU3mP+}u9#68R6nH!CRekg<rO#7rwPNF*)8<xdre6qA=(CrqsjuB@%?MYhVZ5z0=
z>#_Fl8&%g*!_az)ZF``!$V~77Ie4t-xs!6sMbUzikTaUNLl4XiO>V_@|FS)muw%z(
zWO;T52ECw`f5&ddTag00;;#&@vpHOmQx?ekIip&Z@Jbx^f*bi8P8UJWdXn4<Ij7Md
zs{CI%s1&NN`8G{<kj9qZR)5L?>Ok-C^R4@Ok3iwOJi*^eg~0TosAah)6Qanqcju0T
zwW+>e5TrTzq^bfhYZNgl$Q~}w7Vz&2`EGKLFPW*7Hg~_C`6h{=<!-BL+mGU;*)v^3
zR`jJVz9L_?r*$IAJq0->kaj{Mi#kmy)_#Qb5h%%MyAv?9J+dcZ7jGL^<;zWBx0rKT
zxSN0MgvL+kCpqEMT*MEFo)kay9^R}@dH&cp(pEw{BgYwD6v1%08c$oX8nxwt8bLn&
z%=r{8uJ*-lT!~#pAEhOhT<9rpluRSJyk{h$<^27x`oc@f)(J#{HF(Bd%0qY9?iXad
z5r5-|K22E!AKLe{8;!5$1oDVf%@&|}q?Em)hqarpV{u#w?0H|;@(EB^I=`M&kTq^p
zHT+z6t{ku|6!#yHXBrt|U!)91rE`<G!5hmiKQh2wIDIaE#Rr+`Q%TI8u;!P6<ApN1
z-yt;wI?!I2zla5NR5ldybn!L48!KV{{5JPMlkm#40RH78x~KppB2ATykPvz!>7;g$
zgU;rsa&w=a01NU-MO!LwL;>!L$f<WS)oqD+D&`}fKGu?Zi1Bb$0ji&6O-l|p!?xe|
z`Q*#vyMFRVv1e)W)@^i(e0xn{2`|5}q7zZIbL9X-4JT8jv%>AG>snJ7ASk)C7oaWR
zaxD;<wu^>`7n2{dOHiN4-Kri<O&9I6{LwAjx7h4<91KXxy)=X&GR~k8pUsjtU&mjz
z;k%+Vm5AJ%Lj2#<pgv2K#d$^y`|Ti{KzUP#0-C=r+{)u%K<R9oUz!U~GRF!Sd{j?W
zG_VJx@lddKtX%-T|KDk1e0#?2iU%z?i0Mi55Q5-+mAhh2<q(M6L=P#nB&EZVB4Ijg
z2$wa~Hd`#GKNf(4b=loTZJYB960Im{j1-FN=hIvS>DYz3Oy(c%jXSM}`d%I$%7;t9
zeruET2t{j%g#qu(Lt(gp2)vS8vOZ`X`iTD-X>wqhs4V#R(3Eo`8ni)rAYv9cCtbH{
zD#DiMvptRMI_yaEHsz}N+e>1v>-&##yOubIRiTkG2r=5<3#W-+i+gpIqt-~5fPe%?
ziNrm&x8lEU5@SyCn(98G81>Va54|C*8#>&2h@$OEsjzpm|HY?F>&1L6<WdUarguGg
z7P5gbXeg%0h*xv2Rwd0sZWFe2Mf+j(qskHk3?KQHhRh<NRG3c}DD1QvCm2=v&dUx+
zkBa8~ZvAnznK%wMrP=pc^r!%Ah~;qLtEX4Kx!l3$>5s0$+h`M!7j1H-x>&t98_lOa
zimi_rQyGzD`Fx>gMmV*;1T;~(k{ZHxNkhjt1TI{ofLlr-@=5r%g@dPjN(tYk(W$!L
zf2B^}+NMK=!@(8ZCA(8f`s@z|Y#NuiQm{Z9m|Q<vW2V0`mF7G1hVv87f(0cD6Tj?+
zfpXF)AMIT8bE@Fy)4B@2($lGWaTPZ5cCycaPAf}9H|a2}j&zqf?*K1mvS9)K8+L$)
z{VXXg7yv;$@lI-!&aXzsh(Y!((pF#M-s3DpnGqkT4wrjd+?9@H4*$z(3bs=dJQ>TX
zbE8|vsE&Mt%Q2O10oy?s1t$?o6FIiRe+IOWVF)?*BlP*>)aK=4r~;vY{vk>@#2T)y
zkaj?UPdwuMWY34lt$BwHKMCJEGp^YFLwx^j*`cI!<JVc-kT<<DvGsGfqHUCJA49$J
z>#rhfJN~q<s$dUHIXz*jH4T_`>hIWDCe+Y9CJ%Iu17Z$XYPj+Iiek2<x_hz}IH)?f
z0Stn6Jmk;n1y}Ptl)6`HBB;1>0lTYQp>(?1-*xNYwO!8N&UkIC6pB!0I3clR1yI1Q
zvPJNq^((x4HNtaE;bC1KbWr167v+P=K&Uq`pRd_Lp~F7N@<$fKfn-tZO^v$Bd)=A2
zgX215d%#t#fP43_-0c*+3P%P!{?<k6>|fOu$h4x(x#UJKutWG~lK|G&PB87XQb02o
zo>zLP|9R2CTKC}~-(S}Ta=y^HwUGf&;hv|coha%c2Hk&1y8#-hZpnOxdp=mtW-Skr
zH18+Ntp$1#k(JV}krl$C{98F;JeVnUmZ)N9Grq`Ry1x}~E924BOMGS`V^m(`=Q{Ko
z0I2V@hGgc}alXBFQB#PNS4LvdRCtX<=Mr4rNKIB|syC}w=kAy()_&ovhKWTYu3Z%{
zMT?CLa6S=pf^&D_d>^EjtWmqjqlVf14C3Pju~nf?dNtGTK|e;nx%XaactUCm52^MO
z#U2j{wM`w~$V_u7e@`w{Z`48ug6ssQ=?wUqciD4}ewU6goQTaeaEZ<TU}~nQ%CA0Q
z-+VTjX1}iT$<|F6BW2+mC!if&V%+3UsO@`%tyNdrw+}G9yd13&C-DI;{nm|ptp6Fl
z#+ZQS;<qCCfw8Gh;w%Ep?35zZG;dX2ez-e&&-kCzs6HJb%9lFUKot|4$2BU{i2hB=
zp$?#tX=;)HS44QO&)+H@QmU{~`p<%0u=uTA4IP%B8yVH9Z8U6lZLO-QSb_901Q!(y
z@Ne#B)G*JWNKE#Az++X40qzTqt-KukRH)ry2(PwDprYz>RTto|R)DH9c^np}36i}o
zlg&v==}dCV74*5GhMel(lHSMxrg4fy*WG)Uwmm3N50>sU9=?PvlmKD#l{@;Fyhl80
z;^?;26XusZaTs(0a)tloVmq>WNn^n5Z4q7rfQO#ezk5j2H<=^PZ{p3M7Z-CK5!`Rj
z_-*Q)i;4Jqy*WDxsjv=QotD1wFq9ECq7%pi?5IPKm~c632~VdNUWd5{$aKY<W!PVZ
zwt}EznZ(gK#Tj?(up;H@{uD0e5C}zh)p|5T3GWwNGWAa5t8%$`8OZVmX!%wFnbgnu
z{c402--?BJE|R_>?=!Bq;fzVqhcW<h+-+kbPTYt}IClc(9A*CC)~k)_X)L9hvP;Oo
z@%S)uHU7|ASvh>@dlLHlw$cMuuHX?tUX$RTiYkvs-1t@ka}gDCBTsJqpq?sC)B_vB
zMG+bp>c465isy7}yy**0cC*8Dk*Tpqy9K!3^jOBCjnILHVi?5y1ap!Mcl@IEvQsx8
zGB+&<P#Ud8l>V?CkC}S@=FY=;((Zdn71m(gx1MBn4)xeLcsFc(mEbBt42fgbt2gyI
z5*hn??=}j*eGi3O!A}|E+vmI+L{|p$``{Pn3uddL&(h_#yZL1R5hYqTp~bYOvw8aH
zNv0^0PpX%*Sn?F4QRfl3zTxFqET53_E#W=Y-zJLdy8t4G7HEd(I-I!!kt0#nYa4-z
zd`AVizDxBE*FjeiOl<HlY%^3(H?Gw}xh1uJY!ZX<i-$j1owr<Vw&Z|55^eU7|M_8=
zOKFzHbYm9o)V@QUfRaoWrRS}Kmo(&vf{ygibsH|7-^Jn?u!&K+v}w!k3Fz<o9hB@z
zbZJ$P=H@T*xO^BaQF9{kTbU`Lqu~q|Obtcng8C|k(M0%rov}L%K&oBcSq5I6sTN1Z
z{D)n|^2x=^^sVrum>Z@&;m_gkiGoO6R-Ir~);u7X3P%NcQcIs&p{f1}#$|DRFcX4L
z;GDp?agfPsbuI5J)|}I)iZY-7i7`c8pUyimVCnfu{C(s_SSgVfTWk_96NZ!F8334H
zl~)l)?l(|=Ii%0T{J4K~+iJGbSa!#*M?R3sJ7RWQIQNL)i6_7b3~eUxLk%x8{J+Ju
zx$Lf1YQjKbL+&bs+l-XtEmMcwo!^!1)6-d^)cLOohd98Ui!I?c&W>8D#N+?WvZXM3
z>&K-l5fS@^uu7qy>6t}rLkVRB4JxY%n+$#VD9absA+_ViDpVsEwMJ>lRBPwZOa^*Q
z{79eRj5)pDt?F2E8!DnP3#JJ(6t>Yolxjo+<(kRK?&spiRd7N=ckm6uTv$;gs_UI2
zZt9u52Z$&E<yoBPaky(gQc-wPz3Bshu#-w?mc=i%l9ArQMwBVS^o1rJCPfQnujoe}
zTH@hrrf?f%T{@%CgN*F9C>`X!q&U}6jd?DsC-r4>PPFn<TdKR1dHFy%Qp=@f;QVbT
zUO98BsU!TfnLpII8mt0MZ6dKUe?r{TaNvtLs*9zNpGk5^04zwa#1A}-U3&E)wOq#u
z^i{|xoWGqTIjzQGRP6NGeu7;0^#}51poEVweHPB07nHq9zC>|R0t{2%94BQN1RS~M
z#;0wXAdC##S<Z|r*b-V(U0&T%hATNqd1ZjK;_)hl7rE1F>2jYiYn<K|H_}hV+AUb!
z&&eYq?EdT)UlA|ke>Fz1<@4i3^l~B8tjk1gu2IBJp}AIV30A`j1w?<SPab(}$@9zY
zHGC(q10Tb=9}Q$!5HG`p4almqZv=QG!HhMFTM8h{#-rZi)-h0evjp5f+>Lbul7iix
ztZMU=vBt2(YqL4ayn;uNbB#&=N$q?d?_i$JRzJ;^fpbI;%|1cUj7Hk6ggfXJOK|2L
z`AbT_b#$@b&e7Kbu(W?~G<0PpDnUrSu;n>VErA@$_nK)@x5%hSx?mRrM{#+U*b-}9
z=mr*;7k90kmQ%kt3})Wy_;{-;|4n$DThT)BTY#Q&OEtSVoI7mT*(jHziIT$hYN_=v
z?#u!Q93!Gpi>(`-u@jczo;%OPD&(0XbNC7@r^##l3r#aE$bDnboA;#oe>y%<h;yL4
zN-ukfX89^1RbJtQYv=TpdR2&p-IXLR9x?&B4@U5GRC5zh$&RgNUjgJ)_{<v&6l}gB
z`ufQ`&LOcp3tkN(mj#a`gO0+u<rIxdvrV_7$I9VgOEFv4+fWMih_(x;6yqAS*uieA
z>CHhWeB+mQ_%@WFJD?;T+K9ffGkjL(iajEe{KljSOAN2~xLK51NcbPz%X1Y^q@qcA
zJL?LZnoBemk#(-Xk3&x!5N0D8Q~A>$kxactNL7$2!Dx{fh{~$(nGE_~q8PULIDd<<
zx_&CcWob1)YQs*&*@04BAX$5iBMU)~Vh?v6i8<RDr7C2`L4b4gA9jv!SA-r5@-9j2
z&d9DASrjsX`VOeoM@p0FBs$sNSnob%b?g|P<xZh&m-uDm2M98JpAyns5?qoLt&8bI
zGeGzUkRDCdtO|hDJ;#Z(rT5~t8tw}xZJ#0Bj-oSzcbOp(YFPmX-Oz;imtWr^*Z>t}
zKcu9N9xpd(anpYjqZL>+LOXe>N(2p623bJcFhZRF@ow)5FPpWBRGM+=iH`9^ffXGz
zIYyVOTwd$B40d!dk;hH`De3EM!s+4jkim^uh6&LXvBsP|#dFqI5ALBfGeVCI|NKns
zT3PuD#P;VL)A0<WRv<SH)~-i3dRdYFf<ab6*)vnfO3t42XLjyJY5)FChqPk9Bm4OX
zZEv=4lx{E@W*+g?AO^!Nqtm%f!IOuJC-=UBQI8GB=*LI{M*c*zWrg^Jz<T}zuZW45
z6WnTWCg4ePZ;w$Eh_AZ58B!fUUsIgaz#>oxCiW4(_Vcm7G(D=5Ts{OZF{8SA*e2b%
zk~4SXcg_Taka0I%bU^(sLSp#!(Fu*e&!bCyb{)1pe&Zlv`MDAKeqI+gvLb-4$#w%z
z4c1P!URs}JNk!#yK#4~g+qbG#E-3lOCv(<q;yU!CIF+Mu#G{}5%2pIGAnGUPaq89&
znO4W)mqb|O+Mxo%=;vatC=HhfL^qS%qylOGa_0b9Z%Vh+wMyI^JO-SO_%cwV+{CZs
zpJKj6)Vd~`N=knMD~nIk6b*v(8nxLx(F&dphxg_c7@K138uMBEZ?vdBV5^mIgcm89
z7bk=Af#5b=QO>9&Y!r0`SIN$D^`PKi?2>O+ua%CB0?#q%4vir{ui+++%x6JmtyxfV
zP?m{r$Ph5y^zkUL%VbI!Y_G`?#>#>CHhKpnBmsQEPrG_z@+;ABcmB5Xc7e^Z+dteU
zs!w8cD%sX^^NHD?{XR6y8-SJY_fs+6B)3_2tUSN6F@22RW+JdP%p{t+Ip^7Ng_d1b
zKk3w7&C)R#x$-7B)_J$n)j<^F$+HhYOH`48J2c^fnl<s8`t_Vm#=#yY6s*SYBQ}EB
z2YacXWp11UPG1qj9P5iNVaoeBPv46yj98uG3sN5vCDw2+JF6Tj@1LEDNdi<V89}ae
z&C3`=`V~}h`p=%yEWlOSN>ZF}OTl{IG-@89Kws5b)NEls>J5>1(Zm$RkcfpQ=O;_|
zeZZK|l8gzbi#q|q5tY5FuT-p3_PEquc+GUtux6z6rtn>05j+Y~|5pa{O;KgiA#lw5
z*QyEdoEg2SWL`>$`6v#!MVA3^5KkdCN+Z(!`eI(QSn=Z9J7KsFRLk*=+J%Q2ioUwl
zFd>GhHb6zs=qJf^4c9)*xTY~9eU(#Y#HUDlCwd%ySNjASNe{O!K>#W~hu@N~u4g1>
zORaV12%Uj-8I3ZR=0ZS9?<laDhT=IDK)>2;zF&68_ERL<G)>(wCfOQwqg^TcXkKpO
zf|IoOWRKiyLpJaOVJ!)~YqOkX-Eb%5De#ism@Xf1i20C`)O1rOPCNsUt>7v7R@mKn
zF{okbkGFn41VBKp)Ltw=d%VGf&1~2|UNB}^dF(*5r@0AxCsdFKEa*I>zaWc+35S0Q
zJ<-7wA`1bRYiJc0a4zD+yTi&<NjTovJDQdmjO`m=ZjJtueTbuCZJs;7W2j+6W4~Ku
z070#Ek!h?QMi*Xt_d<80d`o-{@Q%PrzU3}$srv1PCx+Qsh7>B5Ub-Q48`OxcqkmD_
z)YITdW_<y(u~R_=Z6(1vnOh7un~7P!?!Ms>13NBbyQXZN)4$m^&I{qKV!?%_D%X|I
zy-Cj(@(r=HMaq4EGlAp6#(WVfAg_52rRV<hQBNd*oQR<gyjl6`AcM^fj%@VTK~8hb
z%u(~Bkdy&X6z5!d+%G<-;5TH5&V5|Qj#b2R#R`Q0nJ?}SEyBU<-KbP?rmzv_$&8-$
zHEJfQ41wf#bTrAbrfM#Ei~~I?QV--!o*+C*;P*lDpMFfp%Z(I`f6&G)h3s0B;vv9r
zFn0K;|BV0Zq2@vqvN=@dsdyjZ{O|SfEsZUn6flrx*QefhR|wX-4(S7s$4rCnEfe7P
z;FzldUeP}gnEwVexGNTdF?+{9895LW)a7HgRWs21w6-{h$t|F_h28;ISCLy5$VS--
z#78aFL(loQmFjkRnoo0G|G<(fxov%2>g@(~E3bbR@}v%j6}^pCquHwe>^~&HgYJPI
zpw6JAO!@rXwF5IHSbq&Rjr~_W&}7`p*(o(Fc!cYTsX2H~M-Aye1>ny6s4$TO&pA1c
zQuEj@)OVPtN+E}K6OewVEyZ9sGN67<t7)zfTA@X`iBM)jqH`zV0-(OWvP*_9p<;Qr
z&=FJISViKHojAM{_yyiDHXVH_SxH7f-<Wr^;Pfr#Djy-B)r<-8hs5E*Kik7ul;gV3
zpb=heevAK<voYS+y1*YJRt#0Yr_6i;|J#a|!1D*{i$N>+;81j_HWq)z*u<sqZ6@N~
zRkb<D<G+pgMh_#YeLnCN`P0B}^nskZn!0RSONVWTDKq8uVE^h|1e|$vzT~`hjT8`y
z?@TH1mAw?%G)+T6co(hSz9L+ISi1%de)n++YYz(f9}<Dct}K3_sMQKerL6MjtA4UP
z4@Y0@W4%lwG=3{~GL}k(vX$w!l5ESfwx^(FQs?uKY+3G`RR5$^BB=4k`mxx-vwRI%
z|EHaDUinvqYH}wVbridJ-1w`psVmoRbxSZD#b{$b3MLIzj^Mp%bq04yNCfq2*=(vN
zTF%v$@IgLzxprz_EsakGE%=^}(M2fEJP<T&uL->3zQ4F%o#h!ZoVe&5b8II*MdpHm
z@G_L3pDKN1Q0KL@`PNO@-BKat(~-z0i@D{H$%NelJ6kr~ru3C`u4rvg%{R$XkA(Yy
z%XWBNG07!+EhM>$%vHRn>eUOV-mLwc)J6nK`fh_kLp4P&OU$Q<EZ~}GVJ6Y=|1o*b
zo<Nu|@B<#8j`iU{Gl@Jw&&3|iaDmFAkD0KpW)XuEQFkgDWhn`qHrh=wVAE9RZ7kNh
zR={qWvHX;fjka6(s4=hevob6MdzAQC@+Q~_Rcj$&jt%657Z9|bi%$W)OG8OQ3%9~j
z^FwTbHzJwF5R%7WZ7S!T;23YvGtW&QamW{aMV%|D>r_?j+es42vC8rt(-{C;+!TD=
z-JqH1T8^%LgtOGao<3OaD1M_}sfj{|c~F>nIzAO-a5Y~JiOx*f^ju6I2~t-j`|8@x
zn{EA8{aqH(LovP=6Vm!ZeZqz#D(1Las5;hC7B}IHkA!N0hb14wso{Ifqqmm;qo@gW
zRWF6i+FTiJf!E78j*4F9Lv>f-=`6KHRy$WA6O+I8gFC`{b86oCIa?Emadkx+mXp1w
z6@X`i|ITU~l9ie#68=>~C6{kcGvQMFr**ZXDs$m7nB&S*wI4oW=GG2tm@>_I3V@K6
z|H>vUi@N(%q-ld>)bNT}CaW1mB_LF`HQBe#1#{4M)6tafxs;UAp<0Q#o^4y_GQR9h
z0mP1hkanG6Uen0vHyhFwRbLG^;YSn5SQ4+FjgZ1t=W|>i1+@J6O)7;^a@&Q|hDG}j
za^wF|!o(gKY5+(>)>Sxl9NURcimSIlQ6)Nql=Fc`b}wNoTTdo<Mtc$U1z2bBEH>em
z+IKM3UMVdeJqRQ+)`?e4GCHPu#WIHDyGqy_Mm~q}WO{JFg@fD66fvK$q2TJy`mM7j
z8lLiuq`U@Z&#9?&+4buZexIhU>{7sJj4Xmp6d}P&2v6=x7cf0^AWc60(6^(mLpgUF
zF-1)O0_FyCFR|a1DR^A|puD`t8-k_}lwlH(C3(%XkuXh(LjD}(qF@T54|~iC1dzWH
zZUktslV1?C33YcdfIY?`?T(>k)($yw76&!!$W%lNcw04kSN=#~4^cUz(Dq@gzYi3G
zU&KaJ-3B&e5rK8u7aJclwStL?!`~q?v*kH~znm-iuWe3xQtPwV2uO$eFmS>%>0xm*
zO-*ynlxh{)ONHw=Kqe|;#K%BslUkzD4DjkW(JC%XeL5Mcm1BdM&t^LI%XmV4P;RR0
z%V`T!Uo`RBqDpNT8DVy8HgOeq(fYg{@f7-n+QS;xn|F{gCM08}e}#m2c`73nF*lh-
zOQ`_mwI38w&x_~I(rb+^jcpSzR5-!+iy1(7x0s2L9_dQkaB1%74-UR2HcV{fZz4@q
z4d;th@NlBE7<l`h-b1W*Q{qX{H0&QfR$YI;F;7@{;p#D$z%||hsv0S6zvsCmEY#y~
zM(ND-IKnVo@kA*<QOfxFdp>wDk7YRmnA~rbU`e@hZJBir=((r&<HS;fep(WGlwp1l
zsfQ~Ue%RoEc!BYu@3s~eE&6sl_N-u}8&AnKgDuXE7yL74m2K`Ok5dV1Q>cb@DKVSI
z<tmn1UD-`*G{eZmA5!4FOmWsYwwgUHWJaLwuhIw%%Bl1gOSqTIpav}&?*S0)Hb^8|
zTNP->1zDQq67=;z6!n5)FM`QPP`UK*hZy^G7cnT+UF&J~X0Kp~PZE~9YSl$G0gXnt
zgI*?EfU!b=&)^Q>C)S8vyM2lZRfmAxRP!y8^i~ZZfa@puw5+uIwmh5FcE@Di4>RI}
zHu|uogrTE+R795Bla*8jovwGS7{;ZiLjI}3x8ryAUi7*_VVexAdNn*1C~=Qg7k@Qa
zS=QBG0`w{3!G-+DSj&3l#d#?i`k@UeAGgB0B#@MC0v9q)+GDhYYn;A40=iY$-X~#;
z{)3UWCD{kuYPmrV83yJh&n#}FDX+smLjv-(F#-*TV0(&TE)Wl0sl$luN>IE2EQ*Wr
z|KuZ>u|)D8OEp*tWJov!*_Wd})4Peircm-XAPeo$K1Yw?GaT8w0q1@LqKyas0zh8!
zCBE5FhM-v?Ere-2k?~N5`VmaPjIqXxu2al#qVDs4<F8`uH?(x}CiUSWdl_zuJc8Gy
zEplt+ifBaIV~`u)GssLWxH#MhG7ru`D&`rARi|pKbr*USR2vYsVy$~-l`EcEsR>w5
DnTYR2

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gige_reset_ctrl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gige_reset_ctrl.v
new file mode 100644
index 0000000000000000000000000000000000000000..d8619f528095f640bf4b71a302bf6a6f13baf56a
GIT binary patch
literal 21928
zcmV(lK=i+h6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1OhTw@)i}QI+M7o!S2?)#u=9$P*2=Ro5
zEO!D>(K7xoeo}=;(pp&N!^ulbXxylx{S@1}BYE-u1j~2<$-OCM2pGCKIg+M;B`fg2
z=G0rQWGIJmez6=Izq#kJ$b|5qwN);e6>nL}D#|9q$DZH)NYK`6Z;73<9WTq6(VZgZ
zoMsyt{KR~CR;&?poE~Cr$;JZCs3F8=&K*RxvFiPo0T)GbqNpn<PDuTdVuS_sJ#1VI
zvVsQuRam=XZ{td8E?$#eAqeLzUDsaR-nof>m9`rzs9tdmNTUj^3;&`$$aYs{%HRI(
z9COKLwu15Mm5n+4zy>+WGa~yBe?AV^w9pQ~k|=1a9lNC2WX<r0pS#$?l036$c*M|~
z0s$oZ;FtX4W`OL*5ikU-nI}*Zc?KkzNwK6g;jqAo^WYy1re)H>nMH@OBNWtU#%me5
zl9^1Y35!Zf=BzW`VVruz$P%2)!d`hB2Z-S~K3Z3!F_Rc&epRZMAul@_pkoEC8`AO;
zr*wK3XJIKalIeO}aYl2hKgXC-{SuS^iE(FGcESjHpRi-Aja){R7F@<6*H>?w;jAK7
z#)U|n{Ok3PgRs&i(!lE1Nie*Z13brZ$Q7TknoQCnX|j;#+I~XBZODP#O*=z@+lYC{
zlHx~ZzNu2&jU8Z>RO<A%*+{ylD_C{I;J{P0VfG}+orm|)Djg^|Ok^Uq8w8tHEO(Oc
z<l+rOf5LL&;KpixP_O1WK2}s!#L^n?X=l3}fYXkgayNcpDokY+SHR!oF<-g9Ys4&|
zLjlyL@!l7iqOF8FgGwrhVEm;f;Ztl~qDN*6k^hZuz&R-e_8l!llwT@)I%_u@Ew-lh
zJKB%bc>F-EOcvYSw#J`chtYKH&I^Vp+44?=$N_s(t`KUHXE&IMEttH2D#D1^8^PYD
zZ8z{<Fua4N!87n*;)ltV4_x}&58+4t(bEdGkrMK{0TqHJp?!d5&0Q!U*EHGi{bp0!
z&a;$0v{Op5TO6Hkf(q|4zA@<7@7Xx|Ce+5hiwgo-5484^b0ofuqJqBq`JF}Q75?vW
zR1|re`<0Xngnx+%pELDE>y{caVcndesswPfBP!{nN)ZY|n#pf*F9iwzJswkbAA}$=
z$!psTR)V0n1X`tInY^X`iv1is<J)ipjT#{LV`k!Pbj{E>h&V^SF%!VQD%P1lS^pPZ
zXS6#djo?4@UAN7>B?v7Q>Sek9))Ngq?c@}sO4Jo7Fz*MVvfB>?7l`3z7WT0F5N|7q
z7~>+-Ry$QjhPTUcIIQ4LDX;`Y;i}Gn(ZpOGZibWe>x&I_b&%&n9^OtZ`*sReqcbN?
ziBL{@0DQz!ql<xZC7%YWq4?4J!mnQ6+^q$ayOjC#E0;0q5p&HjVL3}sLc$y266fba
zuu&&nBr(gdEbCaC=84eDA+cKGr%0bYp9!s2)G-wUCAbd|Yd_AqS<Dp*ESAvKnxh@w
z;!)re(4ieC3H{@#i|-VEkQ2t|MOVL2uG!DvV^Ge!F6MD&ar8JXzn98%{%S6+-qv)u
zUVXghF1I7#*?`N&u4VA}>gEJ~lRGdxtbSCWZIq-`&S(QDF01S)$eR<;39hX9a^RC=
z<As*A4q9Z9W`Y*&`Tt-(golaEqa041^X*g9QeEevrO`_pg1j6#9lE@ySW8{JRdhAG
z6RC2!2ccdY4J8qXP<~qhvMMjL6Ne5Wg+KdZVF96E>RiwNWDn%Sli(Cz9)=IL<H4Z*
zh{k4!&^~IC>h?-c<Qz9!Ub_zPL2AvLUY!Ni8j4>j(uWb15kQooD27;u@ro9P`X9=^
z%LA%IenLC35Md0i2}37k`vI<{8ym-zNqFQM3_-0|zs3m`IVU*Cg}cu?EC|{mtHvY@
zut^e;F~QiQHq!t^L@YEkdfD<~T5A%{$sVfZ7N2dFe^h|R2@*|$2$?t2Tcyrg;ZK(s
zW0JWdpot)LK@JfJBEo+P5d}hy>tpJ-klcj`BQI1cPFhErt+sL+HK)XVqbI=(9{Z-k
zjK{W(VlTtnia}een`Sg)VW1_H&2g}ph|iF3Db6^6NbB@Z^?VWcqYj>;PzQ=Hj(hI-
zpB8+uXqro=XWUl#!BfQ4`V}1tg`M}O#DuA=-d8K=>~T_b!3Az9DrY{n+u_nBNB{&`
zK^F~t+-{|B3>wt>M^ZeY!s7Bbs2COrz@Xo6z?qJQ(B8mIgorpU3(2A8P?+0%(qgXS
zXi6u88;(xp;X)_vdx>*PYzOZfWq(ogA=Zjxo8AWi#D{W_<k#h$kK%0ItyGftej_#q
zb&uF&eFb3($Gcs<F9)h{MpdK&?!>FdGIgdp1^%e13|00iZt7=z(w3MPMpVxVE-N|J
zUh)BSsxueNTXu|}*+%e_W6~H>;R^u)AI6^N;2~FBgMTUOEFLvunhwv&wOK}{LQH(N
zb7%JW?}auS?08D>T%~6F6Ppn(gzLauW<Fx9$CrK8?(X^OK^l9H;JccPGxR}9u1r^E
z`EtCrmC>)oq9K5F8TY%>5NO!n&A#8AGqo`~$y&LXP@<SWq(@j(u%%pqK)`-Xjnz?2
zZY%=r%_XOTufoZyO8*ITgJAaX6@`ie99(RK9$6HkvEP$eEj<>Muzkb>7mVq)saxnH
zcFeHl>U^S^Nro&Jv%rRb%G}Zjc?Gay-pW6($Ko3{OjAO=s1bqMQ`%2LdxE0W9q~~K
zTFmRy^W|_Wq4xIUT9~(8z!-&?AKh@O>0qj7FRGYL4P_s2WETC}y0#4g^c`KvQ7ftV
zkm2s@A@u&GbS@c7B)sP1j(gJJt)|T-CHiZUnR7hCQ5866=UKmvC_S4%v1BNK+l(71
zhU!=9ACtYa%t7L9$<mhY5p3^=i|=v5+d#0cEew6+m**WZh-Ti6m=P)anDCdONdZPx
zSo{=$F>@Pu1|uBWe%K<m=VElk8gSx))X$JGglf@_?c`-;pNuw=dr;;Jju$pH_LNAO
z3xCQfNS$9got@Ql4N%nb1Q;f#&N$dJo)J5EKtmbRO6Jr<PwN$GZBWc6HRYlV#;MJ9
z1yr#ziHbVJglk3#UC}W)XZg`6aWbw+Ox?V;LSYEljGJnp<sZSHnSxJ#+I}vB6AYFW
zDBPlEi;MoZQaXlUDmLWjkfmyE?n+GkplS0J2X=$bD4jt0<qFEryLu$|e@ioqni1Od
zm^tZzFab3;Rp0-_k(NLB|EM4Co|j{2zi(C4bstOo<^Rt|+x@in5Gc29f}v;+ztLrS
z6{1-d$e6tdG`t&WY=ez-E^HNgcKdG&kTK9U_R>?CXlZtks3h+dgA$hAXSzRWwG_T+
z1aKtGuXGl_JKvyA3)Q!Nt7bQ3!xlY7Me6VFJXJ+k^I`d6S^%d^#%L+A-ZasX2{nmo
zRWZ-)K0pu>@_HLe`6=KRCFk#V)X3ntNHs0>8!M2i1M&xvL4?#wCZ4qB#7l_$Z<z4g
z;|r6bj=45ibR?krZKDK1En+{7(XXY)2Dt(sI1;ZZexI|5eowp2B_oEb170gztLaOY
zNCWQ7*4f4*c3ijfg+jsh=`ZZVUlOWfxPJ+Kv3cy({$S$x2bJ5>6Wb%)Sa!G6AJj7#
zV!qdS$u~g7s)HqCe5^-6f8jh|BMppE?m{AMsI5X>tRtX4K`Q0#v@o)X%YKb_gw|5a
z;O+OhjlM}U_mKolUw7Fmwral}+1GHe8O(#YM`I?F*WJ87t}j|lxE$ku2(;EjADkkp
zK%I4+IG$cmH>VU@qme#hU6Adlip?;<KgOc5uWs=`up9?0Kx*OtjXm9AdGBjUuVQ5A
z_$Z%ptGCb@0FM!zU3vg_UGSLMxF+1v661KHXR{@R`o>9i4h@T=IW=%DI6aRT*BzXN
zaz67d$MZjGfz>&_%*hHb7>H+ym(+T30!f=y5wKV=DFTQDb-R4EG3Y*^^gIyQ?aZTQ
z_(L7Ztu?nafjKx4wRnFW(DJw04;Z-B<!rI6UroUb4pRCA^l(k5`b%H2?SF+$1Olw9
z&$JuQ|FAG}bB)4pV+-o+bB}_aoxAA_O6U%tS3Z|>4!r3oj!H{5YnDB8EhP2Mc}o1}
zu8=WqOim$5{5|{Lus*cncAAg$5WB%&q}JeK@B+QBr&;Mst}x)k()@!ob0OwDl)gtZ
zm4mKTg@TYa4r4wQZ1|O#PdUfeNl8VEC~bWe-=N_+de_Yh9T`>+CGr|4YYD`*rJ$wg
zDYFpoG+wRfEbj+~oHiY&U8)v90lu%iVT-|hFQsuijoTW%@u3v{y2tpwecOY*uuaO?
zp;tk3|5y|B_V;KTxCqDZ5*QMjsPNJh<=}E+Jk8pO-Q<%#`@=U%me;Mwg0pV5#lx@#
zPWO=<wNz3{ajz5PJS9UGslSI;Q|aU1wx#|aT0P4s9rI*NmL2FWbthT{1uxenOUHjJ
zo|a+$(sKg|B0=q2)b4lDPWPON7v|heGl0CyU;8bD-9bq>e}ZE$%d2X8vZVk%D|<sa
zs5V4Q;j&T5`5Ds7Dmcq50hH7VF+dZJqT%q>^?l_z2ZxtAU||^T|78|6ChDvUhRy4A
zYrt)&K?wpWwRvetOM>2P8^Ol)E52A|pw1BB6B@X`zH~K-$c5U&mjU!Hv}nAnjUJl%
zb0uFiBYJ~YD2UAxWS8u)03FI})zu@8P|DR_u;w6Mg5IP(-aj<!LDcdTtU)lNP!65T
zc8_?I$I+m=gPEXojM{`gMR#S)xn;k#-fI^JZT8rniZ%(*gNGam<(b<sM_Q%pBIba|
zJ9po2l01TpMvWN0dZBcentHXld#{}#kQS_gtMufy0r54GgOmF{T5q|+gFn~0_ZsMa
z2yWFT`YANJMMN%5m&Sa4$)yee!G84P^vg5{RP4E@5^*J|>i9pkj+iB-=Vcwfw4gW#
zsZP4%wO4*RwiL#+y%D?t!J=lJzm%8;Dmn}r%&ebzy_8_`@kp0Wwjmk<Bm1$ywkTTv
zMQTSp&U#G*zpp-LZ2SNF*mSp9nUFYj^?T5+Fx1WYszpi-RzW~AKlD^S+G*}kXjl<+
zttkSDfXdTg{+Iv@gCi)3zeSsBz6HY1g;rFmz7yZ2a+{dPBV}l>gx^cIbte~lC@9FP
zPXRY@V<uk&2oWtS-W{3KBh(8*vZJc;i9ApABRf!)pE71L73x*;+CAU2`%=O7?`oSj
zywhm}q_@DEhWJpyolbltp!d=Vo(;uaXM#-o1~em}_w@gN++=Xye0I;Oc_)!`b<w#I
zo!ABS=UP@n-1WqRdzum8y$0+xdbkKuG{!m!>H9xqaVyu8ROuA-$+EHuy~Tm+d|pY|
zHa%zy7=AE+tKxDtX4e_h`N5t997Qx$MroE{9(d_7BN1CBi2db>Nmc6!H)aX_Q(57S
zPA#l%JfTmwwB?^zK}tU8-ge*hV&f=#X)IV6$Fb!jWzQQB3Aha5p$va;GyXCwt3H=<
zt-F#)^Mb~jo5#xw56cuP!mEMP-##BivGd(B8d8K)-c#j&%}_~utNf2KDL%7uOLH_8
zVM(BNDJJ#LF)O`{Wh>pbfOqfXeRxPoW<l2x5gjs4vA~WE5C*Ju3$iL?d)J5%|6n7f
zUKCYCtB03-O4FwwtQ~t^a|0TeGB3{kbcrxo8M@jxQWB|hUW6kA$$hc)h_^j03{Ry+
z*u~ATiqF^Br*%7fd)$R%qC={T+J1Lk!Pxo&BJ4(}DJHj<r6u$X80Tl+@VPz|^f;dC
z4Od_j5)QGc3uy}5H+~|AT8?g>r$gO9pt_FuOGKToxQ8ZIr=v6L(U<UQJ-!<oj1PuI
z**TT18cZMqIAKZ4RYtOHwD9?Xb9PTN7>x9n!};=cH=csjsOPShEnPwapt~ayU`B?!
z66<X~my2LG*G-W=>^D<#jdy&1xTq_iF)1>4OY9ZZZP0cWtU`?KA&g~k@5JBXq{Ao9
z+UN_R3J*nXLVVz$%M+#>lI{ET8r%;1>Dfs06Yx4cMP=IV+rZ-^7y&jN!dYNCFsU2c
z+>{MPq5}=oj3U{&y${BPfHj%=zY1`D?AFF0q=UNglcARr`ek*~8t9il4sWd7r}rZv
z_aXJ<I~}O^8v8)6Rw_!YHdE>${LfX+a1$1Apr=x%-{o`iou;iUKQ=fy=(O{Nsyx!G
z4LCIO6?ZWR*A(G)nTy`V<kah%`Oz6g9E&O$@V>O4AvbydMHKCGB}N4q`YCS;1XoA6
zXn$4WVEV{zl4M+UfE-yu+6eA%@}U;2%KwNYUV+^UsVJo@^&*B!SWBOC5etafFbR`i
z$ecUGrD`g>j85wZktcGgO(^lQv7DUq{h5c%mKFck!SC)$o5JwJo$I9lHqj4Z{FL7H
z4YQhWk-w-K=E4C^HrpB&ahTE1vO!XcRM!32WO>FoPZ~PN)1S&=;3n-`Wa;;V1IiKo
zlHWaq#;)XW6>KFx=``Pl%o%1wku*q}>5LMmj;eLZIm;z=gWywM<0bK!vUu=i=PK)C
z1oh^9cOG8*f=7kP=_Ol|ZgDmEGq=Z&&MOmS%giiXQ|C+d^L*!1rrh~8pBYV;rSLWF
zypbvsla%(BBfn6`SUkn<A;3sHi)M1zb(HT+!i9~PgQ#EFw4_ZRFZSb<KDd#x=uurM
zSEgJa7+toPV6JiFq*@%4ROA`Q@&5e}8V86?hk5py3Ani~$X{;Wrb_z#)a0()PGhz8
zFd-4;GEC}3IejsoxEK&kiCV|<{4i*-idwOIVcG8QghjW^XnLEGU%wtAGJxa+r}&Ep
zGt_Dbd#tK=;BJK-z^GlUg(*|uWB1YtMAhNaS6mFZQ&4A_OT>C|zuGdU8By8xNB5$a
z4csl9@-wz{gmc1-LEzhG5|Yayg`anVN)~OQ<%Gpit}X#n%rfx5vvq@Mvbf>n(oCJ1
zTbgg{ie_zMFr6@KV3FW5dLC2(RGn(svDVeCJK=L9MuMY`<A|rMjA=Iz&HCqHy*@e4
zQkfXgka?@&7=~zS-`sM<u$`&)XF+X>n{@nQu$mzn=%|*_d@BzzYcS!CT;{xe)C8`V
zDyfP}+z&K^@MW$R(c2p}`k;Zld^AdO(KIjgSRhO?EXY8TyYRjM=5n`@FSRo0`~yr$
z3RYE)@H}@({cZB{U=T-B#Sj8&be|*Mu~uxf)co1}bff>y$*NAERd`<F6#2fQF~DAW
zo^1RokA{HSWRGA(-o$!Lo4v5OpeH3u5}`XstL9iA2K2cNT!b(DQ^}f^2~U0tq$}}@
zmKBHquYU81vQJ<0phDJd7uP!1A{OGW$S+sLEgg1z@fv)hpb^Qm<sTdA{fc=2EG&L|
zjJO_Wi>Qg{DjnIQS>_gna`tFhx6YB#sd|Ec9R929M(I*X7(hUTs=vAFa(*B%VK%Gz
zdmITjKb5BJUi3nSxQF?_H1dtu6b|jl`q=`MNr-<P+8sCphJw0U;RnTc=Y#M2;Ca;s
z%M!|j9VCx-qELytpe^u|fSgk#?6c3iJF57ka{$)~Py5VwrI?idTzoVLU(X+Kv?l*}
z_}bIbAv$^5a+Eyh5s0vS!t%Z52ofOvLPnA0=1OAAHW>hA&o{{LA&>j+c7iL;jr<*}
z;;1ePIjx>Qn~rlnLbg-EL>1awuav&9xff`p6veP}dPQ)u;5V*HVib^Lc0xrD!sp}Q
zBv=*!WiDNOc@ZlE)O%@DDE2O>K+fihGD)m#;&96H1)sO#m|enS@lVp=$(qhKS5+eC
zq#i2=!SGfbW`atfe)q7$!ode#qGN-AP79TzCe2`gZ9TDy4Pu}5Toc?CPFjL)G=wCk
zBNwBUz}cK0Uu~*1)Ys#OJb_UIS-)|IBV!ev1surmK>$H_lOJYVBuc89bBMm}mV^;U
zRJ2Uypwf}8pqHot{s^`iQ5a&k6g|JHuJoljZG1TfC}j=9qx7f&6EEY($wcwWi%qy}
z8QphN=<RT6ob=b1-#STHHPz!RBDFV+s<G%Dr|`sGMH=B(UdBCPA8Aha;$qjGk>j|;
ztd*H^5MY~>a{#$a0w^;4zt<&M@&4}(O0#6*FldrW7pPqc%)>rPSJv#ll?;WbyHuOK
ziZuKuTXMn93W58pT}0p{$12bh^M0fm-+%hxqEKQ$ZV_ei+*fw#Bb^)88(qFPLDlas
zFRMc)g9cYYE79haKk`;uHidnV_5`QH|5AJB-PnA$mx-pQcb9Vfv*-jSQ4=xzV$<f5
z7iiCgrTNK>Bty}`<8yv2#_ofZ9?&+sT=p@R(A?|}`j|4L9(dyAdLr0vOX6s1TgkTb
zhl%8N=TM1#e+X%>%PnHirG|ue2lSJBO%}F7{#q%je7oO)0g?j0E#vknA6+Ip<E}eY
zO&J0rhDxtcUh7?LeG-KdzZ~09G7Xzk0hA0ZvwtE=t*#piYYqHam|m{22uhfZwJ0;S
zvoSB)1SR1ikCcHO>_-2^L2w^@^fNL5A^iP0$0u>gi)c-wq-U&iIwB?#BM}T_Al--z
z0c5-M=nw_S?f7^rnxa^^rs)`V3j^<4E>R}gFt+_p<5@}@cn~QL0ui5F|7q^t?1(hq
zap|V>agO1Z+B8p)q2kvsQKZOQp!vJ4)OPJ~ZtOarAKknTXj)9G47(OXjw><Bb?i6<
z56PH<(MB_!)k5^LTVM8}HtxPyL0wkGY)t3i+rXQ3@Y(T2@`S%a3NhZF?zF!-Q(=z#
z@OCzo#+&+P!;*?=^*tAa{!9|fDZ@>o`VnH+-PnMUoaXa}<<GdkC>&mOO9-<LNw5GH
z>8s@XAZ1Mci^25^8g^8v^ZOX2^yW{V4TpdwG^6nr_wu2^sGk=MLU{<J3ASxd^XQLw
zh#A8bU3Np+U(i6Q8$y#S0H*m3O}~NTz3?jq`g$Y3{4(c-c!&)Z9mowiWynmSs`~V8
z88?R$4JC&F!+e~IH+{eAFX<xSpsOcDg6(QQ#}51*_h51@^nXCpa<z^r<`z$1f>|!U
z7YTS&KNS)&rZf1xw{5Ay8~?x`pie`(S6RADcLcN=^howAXC|)2YID`t9t$Es+zI{<
z+4}WB-)w62Q5pl!1>yKbmxClSE5Xkk5oG${KtC-7^`NKT@X-#to8`xu`t?!GT#^FU
zcACO3R@FA{yi$>bFun_ouKi4I$r=X@AYAnY@Iz_XqN#grbW%ep%M^Ti(dRJ>^+-c4
zxMn1pZ*|P9cpe*?ko6-xBeF+sM<;ej<^E6C^@vy2;5W7iTi8&Dqia-xVsm$SA(6Vq
zTe?ID$QsHCH2u<m?<xz$>SXq(L{|8;h(ce3_ms5ki^l4`wp5%1Y44Rg+;pAaSOG%P
za0D)6H_yOB0G}@!i{+qDq7s)gB3)evTH7Pu`_d9V8*GK14#Qojznnbb2%jlo&#}xN
z&VMh>I}spNKsct&-O(<F_SW{`j(kIbbnoxl0M1aJ^}$|~C2YX2T6vB?+`GaVqE8T?
zGvS`h>k-_HDpk=2czSOjnFl8b5Ej|#z9Pi=tan?+9kRUCw3~PZ=ZUDSV^sU{8h?c0
z%iwW9U*^qR?W7BO9*KPdBiwC(Lda7h#4<kWFKmI3!HZV$gU~28ar-TrFt8<|X44v&
zw7D9jVjc2gIZMm<TC_2O4Kz@dL&ker$clukEo7y=8{|Yk!S{21OvF*ARPrIjz;~C7
zMcM9ZnC&&_4;Ba9SW)VctlO^;Z`8I*ZjQm|z!HbuSvSW?TI|`N>s_*=MGZf1>9iET
zFanm42FUxdsqn};IkE^0z!)!A8VdsqD#?r@n5(iTsYc{5>0#9rEcI2~ff8;B&FfIW
z1=wxoxI`~0E6@`^0|)|htoo`R!1<6>=tiQP^ePdEXF<o;k|<Bo8m}|!ZrJ&4iAl(-
zswf1PtF4fmh9@^BZ~gPE`haa*XnQe^pnW5(`BhGhPB99sODb)au=8Wq5)o5(FRAK8
z6!F~d<0a0WlA3wL_QRi|{7{%U@XU(Q;=Q9h+l8)C`mMEX?|uJs#_M#H#q{33$RJpN
zy@Znq_GG~cM@zE~E@$C?n%hpvUHJ?!gbOWn)=)hhq`3=siv9hMDZfc0v`!1R<T%^C
z1kZ91{I_S6cKF7fB2Lq`xHJaPTj+lRc;(i_2ydtaW@5*<@WbgY(U~UqXR8-Q5uGKq
z$GbLD91?%YS~$9bfB0EwyB*25=X_lt+zjt*(T*Cm=z*(x5+S<7f?;jg0-Zk<cvt)W
z|1kLi#~61;${gr%Y?<^_3F$!hN`26Hv=&W8S;g>FBZ{=gfFNA$x7tmV8;F|F#{#1s
z2gyv#4#t6F=$T<GtpYgkkT1nS(8&~u=B|?4{`L(3i?av`N$~8rYx|1hl#&~@eN(c?
zk?;8%{QA31baCiSGjkZfW8>bvdybogWD=5o+!-y7S@r_2q9wG6nP}eZxk}Y>M}8Y=
zQ(H>GRysQ8v<$je>1`<J^8`9_#1ePLSS>#%V~u>Af7_|(9ej4xrN}-xTeGZ=1quRR
z%sqLdL~JR=4F_M0jufC@r%$My1z+%|23L`1Hn@w|Aa8eUrgp0ZnA?Ys6KiWb`~~gK
zz<nl*9MF1g9ZAiE%ahGzFIVaL+kkM;xnVoNQ@O{>fUmV5?~aJncjx8wH4a(@T`L>L
zWvJs3m*Qz6k8F$rC(VLAg<cC!=mjK+FyJ$e9swScB&8&rj!~i_TGv*bdN{+u4a9@=
zlrD#)D&I!;&)uJTNw4T9&AB_tsk{Ko50BVb|1bN`Sy#|C>=={A?vC}EL2qByNRgQc
z6dFsD<6VUd2}hE^Oiaa(u4iaUO1mSg#HD66c?y`V7%G#*9a`~bWqD6Gh*^8A(vYWo
z+M$A-)SJq<MLL!1<4q16hW$lST$MWYFkbD$H=4mc@u*jeaFQ!l;p$!<`W*UaZJ8HC
z>&xaoB(zT56y+{liAm*>&Er@kKQ8;qGL24C<*VQ!%!sSr>uqdI+dy_0e6jIh)G3)<
zP|p<P4I+Y497V2dmz6FR`{SDV<XJNvE-g9THO`|D3g;<#-}^+-2nYLhSybZeV6Vz+
zN`(s^lR5%{9xNvA*`*vzJqWvu=>+@<1@0J}IpDM`!~Q(tAphosPTs<VySKJoKOXkD
zh#MLVH;-s8k`ZbW7GI}>si303Wy2^Unna*0n)h}*`~n*hJh)IUptnSrI;kBNFqU$0
z8q7`eq;y4YQ;d=k>M=LX8*8mT%qHwHh~8#FlBm(#M>2a9dONhF!#x$05<!c8yzsxI
zDEH3s?`+n{41CP{6z@7pAGr!?mC);{VXdKVnSmTQW>U>dY`u<4%|nSd{TCJ_Y6m`p
zXQpJ;^K#lrUNx?TG0oY01l0d>bh+gWZt_E&^LHq6MhDsCG~27noF_vBtKRt7!HQSA
z8?KYbu?DqDLz(<u%{-{+t8TBUrrlnO9V<1YlniWdK1VsF7EK8b{{2!KL($|dcNO90
z;X~a%jrVe7s0OZd>;70;`G%)_DuZ|mGMFSm^R7T=Z<iyY68=-28!=bp=GyZD-p3uy
z1CX3dpk;_bs&T<&?4R(bH0HDQdKo%(Cs%9V7x8AAnuTa(Ua?do#UU{-nPo?jDx>^E
za|orWfQFb;Q1cjOD>Y~PZhs5z(?xJ>G>Vhr(M(UJ(~%yvR(Zk-$T$gMn-}-P^~fM{
zbFlqw;K<#qH8|Mf6d;TjB4Fo-eUOPbF_Ll3d7`t8TVkyuJOqNxbcRFOUY3VaI}oL%
z>*EhSxrk{Ctet&X8la^=cNsR`XnP)LW`1DY8Cqz+fV}s0gb2LwoJx%o97oy=C7Ni2
zgM}1qbe44V9}7A*bBE#C#Z?rc6P_sMzacAfDPkT|R0cT;w*v(&M}Pf&@Q(flXnuV^
z0huyJQ}!tU$kbI~Z0&#YIbru})ZJm(6nipOWWtHnbcVQ#Lvxu5R+iB0d?M|sd9oJh
z65{?_30`a`?c5Z65gh#i*wQ%Hm3|E$9CVYZ1{6!gvJt}xT55J{6Ib7q8LQCZk0J>=
zP>IsZ3L*+k@KcC%GRt1(wb@TReH0C^R?&4}t^N690x3kWVXs8k@79B{*6{#EwayQ6
zIslZ|W3&j2;f;8(ah!Ip$9Y?MoQ_TfmT1)5PJd$D%N|ub&qC?tB#bNKZa>+~l+g`s
z7tgOL6oV$$whmtV|5T+`Pz&ri)h!y&J7l;t>`BP|2$?HGkHZ232R3<tQ)F8lG`z1R
zhZ&(OFlCJC2(7ECLO%gn@y=S!yG#j3a`Ir!5Cwe3O7nJ>7WT2#T^>{jOcUm`J4xhA
zs*qbV^rv%10CpZ=6>i77EV?R@GQM?Y&nhZ+bJ1J3XKA~R087$Mic24n2PTDSV5Fdy
znK@5>bGntLm4F8B^DuYM0IskP&FgEDGYYafg;x2aN)MGN|GT3zt{UNorW6Ob;NbS=
z-?P}$LYe=25-8U?oRR4B+`n5qzhMWA7%x$m|C!8M6BlgyRkVN(U~NPo;AgJ7gD0ip
zq!`s;ojtzwt|g*YtEn7zWZM~X2sFN{6%PHiqK(~6lkIK1jY0YmElF^2ltM2v&r}eF
zkhn6>m#FdAEn|i68HG7gn)11Uq+Kd|YRV0C{uxgTISM>0;cEGpx(0GLE#1(K)E_x4
zi|vCm@qumOEddxG;q|se`-5~Qt)LYQ3z%+&-B7=j`WKT+xBh20*S8bw(0AkL@TmeX
zrMeiUFs=l2Eo{+Id&K08P{6Q6>q6d?*Z8En?q;+r=}ny_WY)H7P>5%STWM<k@+(YA
zM&VbUMvk&QB(SCTC1SzhkD(BtN$Zws4_Kiia;iJ<iPFG$P{K9m3Xa0WZ2X_`9rr=!
zOYHgaS@jGf0e5c3LtrJ}qYh7SBTt4D=uwQq>P6#xK&JaA+-JmElmkfo`n!PAt&2-2
zJqHHXBQNFD)HvkL%|6(RwSw;{+1M{v#&{_S3%`eZZ!;yaQQ)!Yi>99_gj1}*a26p&
z9+t_={)Nq%?=;(sO&b#kI-#2BFu~<Z<GPInre}~wsVQJ^z!6VVt}u7-xbu?l%DQ@e
zAJ;*L6-(Y@G9{}N9IzD<dchCW&uWlly#z(qoeMwlE+p}h5L`?fW<$2~fBLN7McS%Y
z5C|G7gI~}}yTuz4wGCK(i@Jh6cD!$Xeqw}RKHu7;kjqLS{8A!|`0YvW9kYJv)FZK_
z^5@XLjN4Tr4ML<NYbq;}N;!USS+_9@bj^B)9trnDfu)a%!AQlJaXD_2_FEXXASEeO
z%UioW>TA52(j9;Aj$RadCS5J01wFe1?v=yhFUm9x#a@gTh`(r4%47I-BgoFaagn(>
z!}CWaF2@!QB-dSH!cCUJEjM5Mt53}rpX5R4eY{m%j=xyk0O#;*1E2W%XhXz41uY5|
z>C`HnwM*L+ek6Ev%^XoZP6OPS6v2G$bCT^o<wZ;R8ox#UH)ML(FhAp+|J9~_V9^b0
zLcrXDMu6QBAfMyIRTEDC%r(gFJ7%N)%&{ENU9v?SdEXu)=U6|kQO`=*hw2LAUpKoB
zT_>7y8#xD`m&AYS_)<8{cnMrD8W}>`X`>=KaE>lJj}4yWnDhWnU5a06w9v<>hX7x`
zjava5;m7UGz%P=6yOVUHxY#gW{`+D;tMQnL;?O4gDYd<4a5Crho4yYmfZCLbLuHwW
zzU*U=aqOb=iKERU_3SNvtU<K{=aB?avF(hJdf~#dW9`8J$tNjQv|twKJ{^NSRfAzg
zXXPJJ2LV@)Qij4Tp$Vpm><I$+_k08(VrWdf+uqpm9{u?KVpdVL#VS(r<pzng<(!h=
zy60oAEZRV2GuSDv0$_lH|FtVp8HK7LauIc1rI@AZG+r5l5?KfvE`qTiI?FQwiXzHR
z8sJeW2}^4p$6&t<#F2WpY<2S?a4FxMk*hfmL2+6nabLl1O4<IE#WTKs&<tR(6c+*G
zL92yn(sMTLG_hFwEAGnfXd4nq>4jpMV!W@M{Pm`ElMI5Aj{R#K+~RWyZpcHgjwQ74
zo%uNep2Z4sTDA;<@H9BIhd#wrBprM(IFcFGib7{#1a)0Ytvu#k06G#9%`xbR0(Wk(
zH-<)KCLZiN3JuxR8`DNn49bZOhG5l}&4m)IFpT?`qUe`+vFAl~aAltcvv$|f#sG=J
z;nsv0&fbxH1|-{~9F*D|-|Apv>03jMq4H?6BX7a2(B{9P&m^^#ts7Q?UR<^yT}>@6
zY?}?RYNey?Qx4!J<^k%a`#jNVRK+C=8*HA-O#Qt+A?XfVLzS&Ecp;C4G&$W%$&IV*
z`YXJPG8cKt9$o!PILYE`O4rWP!O%CDW@57?z*Qqqu#Y>RIQrZwRH%=VwvX2$ejwV&
zhJtH<Ax#elr!xIvdKvxRForiEYrJ9hM6g`@OJ2^`0e9+$Xpc(sGTFC&2UOPRAX{UD
zXHTrVtWArL8@1l(HVLOe*M&Rdy<YQ_Pr^Zmbz?eJw!{wea8)qG?R5xZ(I#AlSsP_!
zLToq@Uh&yF?_i>!O^-*+Srzy2HVXMh<wA88b^_in{MXytv4H5qugfNUZSneloUV?i
zZVLU{5rAJCE0WUwXSySUCh^fn4UW#$K3Le#?y6pRr=Vns8WG`g`knX@?~Z_U!M=9l
zGFWa!eRnqwzZxhtL$`7SX4X>lLDf%x252k=G@a?HEH>Xo?hP$G8f2P*&D3)gqvC$6
zZd|iSaxvZcFgx|PR{$Bjy{JosdQ;wra{v}*2b@$ihyrXhZMUi|2D7Ja`>t3b%fEYe
zD?9S9#CpSi)1izsZ!#g;^U2nL$3RbsM110*+W|byGxUFyI8#p%g6=F#?x#H)42D1Q
z%FljX3e-7w7*&p}om5_g;Un`vg}vO3cn3ePaHd;fCNu!wBk4fd<Q2tPaIaFSu!g-A
zm<1(L+jK5OZaS*?mu`O!asc85r9bx+v0%ZFe~xU2+{@{pa_ue$wAEa{h|~%ZcQ_vR
zR2;j2D?d)2U*bN8#DaZgKitCGaGC62NZBKq#M)&p3UO78l~)Mjf8Ru}RgyivZ~?<i
zb4hUMcT#Y_f`sOpM;{NACth}TL$4i<nhA=Ak5@i$WjAIZX4D8XofN5AG!m5CW+lm|
z_$-OG7yF6!A5^E8%N~KBGKO|#YtZgRwz2;jA{U>JUMxBQ=bzp%)Z^EsnOubhSy2{Z
zXOym=W@0!TO%GKb9L<=e(}t&G76z*RlfarO5>6j=Y;vZ*c=<^l>Y5RdpX?bdAnT7U
z9AR^QJo*Y^ynG`-`EuuRNB@azEnMQ>h^H3AxScUXiL!aVGGn?kky9u-Q`uNel#BC7
zMOg<L4|f$kdPecw@jwU3xrN@`!^p|baTxu~@N`+-BX6s21vYH4T~Knv_FFyfzks0!
zbpcVT(igE&L74ua)#NRdW~f?Hda~wm=HJztUb=NPT(#UgksT;VDJkv_|MdrR;y`#o
zUCpi`P748sTvhbER6FZft3y{p*2Wm#<fZn|Gg25=M}5~imR5+tq`S2plBu|Ya$b<G
zWPN``@us{7U}!Gf6i9VDBmxF4xyUpMtCNl_4LIxvr^lLa+;rH1Ml4Vu<ePorxkvvt
z!QlVk5cYBwp*K^U$Zu$2Q8|5S^`}llx9r!}{W!h(UX+|w=!+=#=W~^Rs&EP*Q=DBH
z+B4kBLm$1ZQe6Es0jKbRcRFe(&PuiCVIm>4H~{L7!wc_GYi%Lqa9v-pfQ$c69@TO-
zvCES<PZG`4GM(ab(mNDq98}AKd)2<kQxrbe!D%%r%X2|Tip2r1I*yH&6`9A?o3Uk=
zp{PL=Qg`Q)RwRGj;xa{y8~y1|ezA&d<<z6H8r@Vp)r%-go>6pfTx>fg@M}2IQ)SIb
z{tR{3QRKYbvZL!$x0|Uuj=WNDHHOT&uVIYc$sU5Ca8L0a=Zf6qu6hn%%?0}#4$52$
zFgXPu!yugO();U-IyUGaX<Ga<qL;{(HW=*#qMJ1$%(i;=q*qqZ$X|YdfkJwM0z+`t
zdEyJSuPC^_c#3H?hdx0YaQY@YgkKFvi&qeP%Pys8W)B0}EeG>C#s%+5?GTFnExQl&
z35o4N>dixC01dr8bZU4|I;LfU%Oe2P$p@+9TdVz|q6#KwEX5H(tDD)TuB!gFYX{lL
zl#IHvN$X9-acIdJ-OvCer}53~D3@q{v-9fCMMk0eigKs)O$dU)u$i^>@t-F4sZu(L
zt}SWLEzc>&Ng~j2HF^8?*84goFytjk`k73X!*W3UPy-5Q$sT{zMx?<|+R^QvW`NBT
z2X3X3s>W{`M7r(pJ*QoKCtYgMB&I6vF2tc23wHj|-$~d%$tn)Q(KZ7~UCFGsG-6I&
zT5%M60&^L5914QnYL$qhZJ2yMSJY?oGhy<)Ht=zbf^A;Yx)^itq%>@EE}ZsG)Tndi
zn>qZ<j}?^7=QR==Yfg0HMZywDRPH>ebRqVmlTZrZgMeu{u94MD_+$9>@)?ZnNbIVG
z@Rt_iVxe`6u=dvl9p^jz_Cj$!ZTx&KUjtJuj!c8IwCdfii8PaYlAV8sVTmR$@r2lE
z%Zh^EDZ`gnw)kVFs$b17j{uCjwrcaX>Sw{SjI5(%1leI^b5=u{*<6)KmoAh?X}?$g
z3d1Z8)ex-bad^xbQ3H3Eq-o4MAnk5`IM<Ch$3=tg&tlNH^n5dXjBVc)LdYr@uQ#^7
z@keGQpF*{_QfsI@<E=7uHOJRCR6l8DqT~d-34=U4tz^1vfQ-V!8lvTL;+wt@6mzCz
zS6!JLN1eJR?}TMRtZr?PZ$}$R=9`|ZBxy5Q7X4bOGEQqB%BNpHknEW<-xp5s<t5Z#
zg)<`xrGWT7F8xr1ftV|U9<NP<GtYzuuIE-{@1}oss;-(*<EP`$?*Ewb(}$Vb^%fA!
zI6NEswO`*FoYHOnnQ0!Tz2)SJ=d_a5yV64g_APeza$XNceX3d}_$Sg>>aM_znaeN)
zocEI0mG_J-9giz?|4-IJCL0S0av_{*DtCtmb)Q6t*k}d9hE1%&qU(gF3>|;QUnv;I
zQGX>c#s`c|nCRPgeLaMT!0-g%MM`N4DM*eHL^fJlv-<@mPNq*iq%ClIGJ>dG9=QR*
zh<jszDhC_%<I8^O0i*XF{8&X9PCKkCo>l7n^$~|XkY}zt8)4DRa|1Ud!f4s_sT3Bt
zz%_8y$VE)`r_I94?#Xl=3`nQ+9}_QY7QsD_d88JbqE>4}`7LcgKhI~<!tP<!MFE{P
zFpqPrM>X-3x(Gn25GES1qUCEFZGXC(sd#qh!i?xTOX}k67yve*Z?N@j@tX2;!Ljz0
zG*V{hfg0W~w(&C&%8GxLgnJyUr+eAsU7C|P*PmreeN3{laooRE*%<IgcjP+3_=;4`
zVXhE#rjC$JEIH^KxwU;zrd23=sgpH#z95dva<f&3`yPpriqG@Yko^;(ivpfCwrTfP
z__?4ZpvpAIDmAs(6O;_rW%;iLsF)MgSw^Y>u0~%>HS@Gn4cuU>3H|fREyVrxbG1%C
z-ho2*!!nYYT{{mG$<!<s+6FR?R+XaUNdh4pRN9lG7o*+F4P3JaD~u7*&M||@z4Gfp
zo-tO9@`u-~;YA$MP(aWVla_d`PuxkkjT?SKst%bU82Pl$`abf4W#bYq%oW>;@NK5c
z_&DKQnG?Z(Iia<ERb;30oirB=zBeENaHFposrD{txxQ%RQLovd)C9u5c9GhV_3&f}
zl?b~XhFpZ6>u3e#xRIK^+Ci|5g;n4Z^G?8hs9H6vD*Cm(Qj4_uO-Ol?nbTRx&>K2l
z`<}!py8WD4alTo=nQ$Z)ap30ctPJ1XraR>f*WC*OG40UE?31!mHh}-J%0nISe$O!0
zE)uv2XWp-Jz{t>)Ig%HR;wT%)8|OW7n}%cMI#A7=;FPPBYhTK+=hwUJGGF2~xlkL@
zLpM=Flf~vF0wa;uJTl&irg7nMb53oh4JNgD|MF6V|L;}x1(gbZ&6?=ROpSa&@pL8c
z%&X#PbMw#zJ+J0fd`eT0<chgntOhoBD=VCksXv(fTN-cbk)jrJI6YjUz9}~(<cf+e
zgHrTps6g#KX*1n?KwP$u{+7Mid4%C~Ui;4dN3##>OT#kJv4?a2YhQ05fqQ;@L5!7k
z$jy9DxGJ`D7L78&_XOb6jnh<pcnTHnF;k5yZk1d!!t8iXx8i(xn>AbL(PcSx@}o;K
z&P&6oIU8fa6x)&ja1X)bLFO@N<qy|7XOo;=T4w9?H}Ez36&gR{v1eEDUfyG0hTl|H
zQd29RJ^p!3eB3Z7m*&z8!jMSHWx<deSntQJk**hJ{rBq|PD=2ifP{IS1k}x;x(b-s
zFvF?*xMg2vtKE%S<nyzrQU&2cq6D&@HgCrNNl~}`H;J-I$AFWzBUGoRYYeJ4acE-q
zETm3uPnoAZtMET>GT0ou|M!bN1#iG$byT`5h}J+Vz|SzLOZ>z6n90OVaJt<!eCEu3
z%`NstxaorX;Vw1?keRNw6;t@`Nb_grOI`d{q5yw9H3WJI49=KUka<#QpLn-saAQ!H
z<5@;6XZ?F#7-7stMZutB?~!L7b^if9aM<>oToYXjI!|&#Bcs1JCw%GA2gwlx0Kw|g
z8Dz}jKJ4IL-x5qx2l~md3N^LYE9Gir-!%U$9A)XSlX7B*r9(J#wqTz#7eIFfqmTBe
zkj*$A1MeAJKWHa;H=@H;AUu(3^E`PgM92ag)sgkB_cRC%J3klRTe|?C)q>=^L`HK^
zOlUu>ZTGJ+mGVvwSvGXLP@oYpCzBx8uk7**0#fft?)^-K?gel(($URopI9U9+fgj<
z_0_?;vPnZq@@7YeJU=)C`29@v^!mOQQFiS`80$NQS2OSwGI8>#z{(rO|D0Q|g-CN_
z5#t&AHXy1vU&cuWsufON=t4xL>z=>h7p9*N0D3nc2@Y#gPiO&D5$4qCcI}$pra>ux
z|8SKpqjFI6j;rJ^$puv;!3CSv`%Fye;uF2{*S^~oXgqN5gc5wr4@DF#vE%f{Ss!Z4
zJKedYqA+%W2@PWf&8<wu;rv43BinS>=7qrVD7zaZN2N|a)t%*s>vO(z*}&%#$-F^3
z+#qB8=`+UY5^7FdXjAqlt1R%KlasI^>1)TkqM=rzw3oH_!Cx!kv%zI#Kcim&w;Sgs
zNaHk9{@)^3rFk;LvxQQTO<j6vYBC>qrM_jCQ_D+rn-y14=ZmS}01ouYEB+q*26&yB
zi@0tW-8)FAcAfFI@vw6qMPZmKMxOwIwH++`lK`JpPlHJ@E?AQNq|pl9i3V^_cgbxx
zzNfrqr;~4eR=7xX)<{DBbI;*gLDyH-I4FaR(g=>^yad)WZ7XG(k{Bbp8iztn^g8>R
zk}p^=LN6ui6Qqaz+O>q|^HNyCr&U@5EBFJsS*Yfb_;xNa?FL^{)l$ug(W}h7YIIB?
zF@QH6_FVm324Xm=2TzUps^d^UhbdY(`)<hM$-z`&YbP;k=V#OM2Jhrb|Bq{8Xs_*@
z2~Jj_yxAqcP7=hLW`GfB(0l5Wif6sJ1ndCq-!0u=o1cr4XQ6j~&xQipGl;}6XL^vg
zt_pA&zCb$d48?Q`R^5^z=!p8U?nLm&v?BE1lEg7EAA@fjmjw1&GF<*7JhpU?f_9Ve
zeAP|(KQ>1J0l#%I1waU|GKdbsmQuKZrVHSPe~|iPmQJct8?Qqh>(D#vRTotJNl;(Z
zPm;J;ob<q!0O+Hg4l`iX){nz2SS=Yv5i~M=(H(!{+wGy=Qg|tq<DYq6Z&5{cNM@-T
zk^T&+x;{|2Y6_6Hg`}t4Rt<GRb*;y=@S&U#q?E^~lcR;;wgdlrb*G*QM|Y@iQc}kN
zGRi2gx~+xmUcV>L%-5pZ7H2%bv*#>z;lX0|L8Wg}v^l&tpA?XR^99oiNI&8=9Ez`f
zT7L8Oy|rYydR?eFNMy*WiD&RP!5b&(YseOW#CP^7j?ZRq$Z4$uvz+c$0%CC!o)eyc
zRcXQ5K*zy=CI64=a_m7iCzeL(9xAV`l_@K-`@n}Yv|f1wrb8GB((>icD)k;UV5v;T
zZ(m@uPsBkA{h7Jodm$~+G10SkK##swQ@Dq(<zDn!IaoQab4jYbp3&K?t7ola;iwAp
z8%PCj4e@mR7cmVhn;~?OwPtqf03^NN5jFaMYlW*c|32n%HH?(VrqPm%@VLMZ>nT@h
z(f|#i=;LPfcT*tpwAYhn|0P8TJ3OG{UNe@}+s#((OH#3+rFdxC5~-EmxrIavNcOO5
z7JCZ{0%^+2arVOjU&N8)QBWcEkmte372q&O)wOe{ER_Klt&e-1v5D#Ypb3A8auX50
zMEn!|rQX6VqpFU#;^jARV)@}8BW!S`lA;~sxJ2wUsyTSaJ0~eTA4JQqY>)2+xulA9
zq#|&2_K!uCp^ni*;YlZgjYy95W|USBKg)y7+|MQajRjp-V58MEYhJrPlGTc+x(l4<
zXgnn?e)V9FO`(3dzJCmY90DnDUDDc8+7`S_$d-E0PA{9ODp6EQ<$8WMX;LxNwP3{*
z82GM$J}X)OttM$tcq_rDvcagl8A&u4i6=7-tM6+eiSh|g-ujftKKQaN1NStVjO%nM
zm3oD({r{|1%89Riy;NZVt(~xI3b7a_COx(Eq|K{=8jk;v05U|q(}J|n&Q^A<;$m~I
zNA+}7btTP0zz#<j%}s9Q*R!9jRA6I~#f@UV)>SpFS41v<>(W7)-x-d2KSrZko41e|
zk?>;KE2@dN1ql=LJ5miTfF>yfB8EH8t&v719mJ6_lc?4?1CxH`fJ-A@zA@f_IipH9
zaw;-t#Mn(_u><U~Jdi>ZTL?JjCL{TF{4yc8dorwwWujy_HlwKVxOE1a##lmru49oJ
zO+RMSMt(FonRB!dtL!w;$r3V->&)L1K7X9M)=yZ9BCb{zW>i~J2l#a)X^z2)jk85a
z>CXk>8X};1p_T$3l@P`*79s{urDS<=4{;P>nzGj)-(76s87Ci_AaBF(FLlrPE+%L6
zc7Jg&U;bFA3qr=Mjp5tNRFdYOU*63QChvL5i%ZwD=BS;;5hMUanVxhmlYd);y^5Cf
z?*Vx-?wLZC?;~}^Nw8GfK8#=Bm89N$O{^lyOBIeY4zb^x<I123k~$6k(Xt!713uJW
zP=&N*WRov%Q3od>KpVznRn*Y9s^tNl6=LeUJJvINe98+$k-Z+GRORP2?1%iCFc<-h
zjn><%lc;v_gx7Ej6;#A*+tD);6=-XUQpkF7m120}zHe$7XU4Iktp6nFf@baH-6W7M
zRhK|qe;SYf-kXV0;~rivybB`IiB?M~Rq3X48|2~x&jE%k7I-<bD+`ieQQP~iv|*+B
z)$sddz@;8pQbU^wbf`uvmjaiwuVB=02b^i(6vC*2Iuw8WTH<ghQO)+(CdCe_e@*wW
z?==E1!n6p!$=E{cV=7yOtjC?9h86l{7UCEh>I=ISi6>I+u}1uhsy;rIAf&Bq24u36
zGqIaqv+dLq0E3G{Gu&YU8*bf$KgUx2!y|@y!t3vmN(iuWykwOeV2>bMaKc80K(#RG
zAIwQfFY^}W6M#+_(c$}Yg+m!!aW#Gw^68#M!z2LOvbGOQ6w3y126_}`T00j5$$9{0
zVyt{{scD$BA3aB#_xR(O*QTu0UTa-DguGuw7f~~|#Jpr7SU5$Gh4aq-*Jm|c<eA21
z+ixB-;=%&cvnGHP{$NXd2++~a(5Bt6#7~A&T?L07i<CgO7LT?EG=$SHBKDp)LNgDX
zhAGmN;Gl#S5dAnxG>LZ>iI`3^vt@E)3OwY+!J^zm9J=IOD_n2_@f>`k3e~k7;_Y9-
z!#c5I`IM>ihEe>c;6Pjov-rJcqt?Yx_}^d)UP{@b1UI6Gjvy#@Qi;RFgTEmjCv=|{
zeMa<Yz)2gK2UaibnO-K>Xm`mJ17|nlk$INuy|TewOq{_jEAaZ?CriYH?XD`OcHS|A
zehGKvv*K2Ie+d0ygVz57nK^icb~gY`^7}z{N|)e_Gb)q-IY4ray+^{0?oGX2{tv!u
z^ny&fd~X!Vw4=IDv>TX{mSdGdtRk1M&2X++G3!`t83{F@ZH?&wLSd7?&Xb~g;_PDQ
zV%r2elLBX%CCZ=t`8c9DPZFjr&DI1F1yvy#xXx?e1M)Ad$rV2e`(Rc6mK15p^(s6@
z`D)ky!8EZl+#W1L%hJQ8aB&{-M*au>b|F@}_+#k}%g<`v31cQKudIQ>1QG)V^r(2l
zRpIJOF>`1xfIceABt)~*t@9ZLQpx%UJ}bmeJ_DtK^wDjFmmE;E9ei@Iw*Annc9{@k
z5pezp{!!dY`4S*#yEZuJJUUDzWfq0KJ1v04LCBq{S8<8#1z$DcBg;K-K}R(L&UHut
zks&l7_uF@ls)%A!pwQi(Q&Lc_a=^KCJQEg)qVzIw+u_!n)$$H>YuYkU*!!kS0s(+#
zB3=O#@a{t|$WBAzes`uD+E2#PmF8=x*zvhB=HY*>Y?nO9OLOP&%^tu&K{Th_pR4GO
z$Cg9(vXdZv?MxCHkAeu0e7-Z~A;rpdJU}a*KC^f64Q0)`zz1Tc#2Xs6H8dleuyAqd
zqT98hMv6P(1$3@ZY6dg6Ir+sm(ry<7Fk*7?Zel13-XxM!-UlKQ#r7o~_2W}bq94NS
zlj$0_Ft}lv^U2%CAT{$&vx!`J9rkUEEYw`-Gtf`?8GZ`e#qL4|i)y)clDWooyJB;O
zimBZ59J&*a-<m+kfk*---%Qqu6#Zm*K2KlV5k0=-a)MfB;WND0A4zCG(#K%+cg$S~
z@LHQRxL1LaugZ8lWG7!_FgHjwCzp9tcQy=Op_O#GXnN{=?5o_x?IqxXQz;GtQZgTr
z;}+dFb~oK8t4>*ENg8UVfz^V&Oo{k+S?dg+v$N|N@6JCu(jVhM?EPiDROm>+{y=uf
z7@n1!)ioX@7y~yUiq9B4PoR|wop+QTsQB`i$q#XTJ(Vso=0P+Z0IFYTvvJi*R12)d
z#En<?lCvfyi|TI7sDc(hZ59DelauH@>Sca_`f{LQUEH)Ss)MPsZ6$~}=!2kLirwvw
z&h3{DCA*{I>K<CCNI|BJZL7)_YtT7!>tXutQd<0!535Ld$u?kN!yZ2V(>vyDcpkA-
zj$Ze{?e9n)uWrb*am%4&bY4v%=)>Y;y)m-)w85qsZ^CsHSzZ<Te?R{ikEH{{B<R#C
zez^ns#_K;px`b>FAuekSp5^bBs2aChnU(B;^+g`o&2bOhI~!+orwF$3k?`v<G0vUd
zryNT4V=3;rkqXO(?RIV*BlM>Gb`fI)xxxJE9juR2GwFaJ5l&@gRQ0~G;nno!wZ|vu
z&yY3CwFl@CP(q9SK#5zl;>Pi=7INnqDW{>&i!Svi(f!1jyu`ACRf_cZF~DcnNz5}Q
z&CtVB#9je0;&2bN`xq|nm@flR3gf?rb7|NlKTk4qLP@tO0M%eDwuPzzs7qd=4rAB~
zaRPY}Mhz7jk;cCmS_DYB9Zp;wRa0>!F6t|kG(d~F9R>Q?>QPO7Dlpo|OV68e(Vfvd
zeXf^khFSQRsTDo$?Q-7LcLFF)BSjI0H+X)Y2R%aZCHJBACSgA5HGIK^Af|dk^sYlM
zE<7Cj?xB@0pTr{Be?dQh3T>uf)yH)}#0z2_)b6K@Z=|l>xb)p2#Q-aO>}Np{4vn3G
zj`~oW^K^XqXh0q7ZSFN3{$x4KYOpEKgg{pTYH>z@zvI$YDJNiWwYd~mu!>YxN}`w=
zAf&)L-&HP148TPCL}IH`vja|c3E6dTQr3LghTaK;Qa-UHfzZ9kBQ$E$@6+`f?7EP-
z`$PaAM~NIvu=E<ss&~30Cq--Mz!ha!*=`UQXR&jSV6W@WTMkuxbH^uWtbT~3i)LjT
zaW009Q#^yD&r2OV+GI>vd%tD!eGVZt8fIn9yd**!YG`D5F-$K*dKRCT$9?m^o4s8v
zM4#1RU8>UwTojE<FY{wdpou0(qv8QOaZ7b9P(2Z*$v4cf{uY{|X=K?tF{Yrf2~f)s
zTqMBNT@81MZ#+*P*Na^f&{oNo>eO=z@E6V)yj*%^og)!vo8H=I#J!Jlrl>zgiKYY3
z9rYsSNr0Zk@k<W%*{s%S<W2>)p<M4{xr-pF&~WegM&s^?fsE<5d805!LJlfn$Kz54
zdLDexs4_o_n4dF<6Kjl{CLsWzrVIT#%ljzI;Btw~N{TezkCjEQG5|AqWNI<Ck~e%n
zUAI!iIx4Js%@CUHum48|t8eIV|LuG%epOgr4HV$Vb7<{@EntSnHa#=qEvTxx9vfy=
zA`WxxzG!gvQNU!y$aS3SK9LLPl3{q=s9IU8HT~m0BHa7LT#3?QAilK1hYTc$0fV~r
zOnY6|x64<+2db)wA_Uy-TC(m+@#RYUPZV-ommjId_7r%eym$#@y$HI<oPy&4r&!RL
zff_=9;M_F3nO0424U>h=D0D)o#+rtqDX4G4#;|dNre1%jHKPaXyEYx>14Zd5)Jbek
zj>V{FyVvwt<Hw`o4bbxE;!cETqeoF=oj6@^nW9AJ@j)E&$gXPlcxH#tky6gw6|S@P
zRCc@9J=#c4uap7N;q7Tm_4q<vqvf<efOcniu+DgXN;1c8`kHc^(UeJ8GU!?vCS8k{
z!YfUPR(VLIj<(vS!)v#*BLALrp%pE6g#iiUh7QWJhfx)k)@-h+!9t5yz7>UmA&@!G
z5i)8SMvpKw>9vmVR(_nX4l;Kqpc!~_lYIWKiYS)g>Zpn=0|kNL7^gD-m#-X5F+mJ+
zj0*DhvWl}g&|Y)K6=!if2QK;}`{@Z%4rN$k?eD*Gu(m6hR;<O8p(7Q+ma5$RtyGs8
zWFxNG6l4U-6V0JQPnJ?&hExt?9>B8p7JpgojKl_Jq(*NM94+!*!C}vPdfq>O!j9?Q
zib2ugboDU=*Ql7=aj2|yOvOA=e1iW+l_mZ+UK7J>O)AUe%TJh5;^nJ@0)Z=y7C~iw
znaUG9u=-x%;OWR4I$J~V@cyuj%gqM7u0&}3%5Kq1w<!I+j+4NzV5i^X+@C0;nR@1d
zmjY6JE}E>@kU|i!A7pB5Rd2*ad>{5zw9;Fkvn(YV(!#NmmTvQZno_I9ZohYkTz*7z
zH<1__R6-{{ug$;wO@i=v|MLX3u00<-+K6Omd@nt%N^fcm%zjiuNr;@HE`%~CHeXa9
z`!T%oQ)6~DVkrEV+h9<ey28BAifc%fdElZ6B#s#AXQt^8>UJD}ZXHj;g6OMa<0-j*
zPYl+XaG;Aq{s?-(9r*gQD+DT~725b!t8G=z6GV$`u(hqdWfO0q_x#=39|lJhUAVMO
zCOas5=dW+U$Pxul&n2JPngh;5M5W9-lo+Ok#~?LA$EjG<n+9ZGYCVPq->134agq)6
z^^%Av8%A-qrU3o>2`LSliJT-)QPG`+P4qWKC>KW7Gl!8|gNQp|XG+}&-|9=rA6b7i
zMwimio8NZqfFM%g3i5HXo!k%QULTeK(gO(~a9c3;u5Iw>KmBf|lN8#eFt!`;!ut|-
zL+!%eN}_)J2hR(>t68oDcCnLy4Crf=iXX}$Jjxo(EW-8CdN&2-#r&??spR#|KUPsV
zx_sQjQ{dXCN!d+haJFFW<6ra)MXoQyX%O(qYPRk186czgq!392s^nuvwpH+tqR00I
zrxhPAq8l~WdrLNB&PE8_mD3C~W=U%xcpFv@j8c|k8#BWuYjkRj%+95fNDj8&I^2+W
z2xON4Gs(3Mhof;M9@0w!00)EjNUQuzAIWU&%y(4-{3VvZ=Wl->pRT1R6%u*aLgA2e
zL;v2A5F(=<vra6dZB7Hf<;k37TP(T>VK_>_!gznz9c0mJmX$FgAY3TrPA4C`d>T13
z%PeXba4;wKPhJjj$6FyQ+i#mqwtCOL#)Y7s^rMe++~m)HA_bo0R~0L4`UAI-8h~yz
zxP6`VO0(zJOW|b*2<#v$k3H5-T__If84cw`Rg3XaM!_$HJ|cXgH_KzJq<qcs&h8Fh
z150Zq(08dR9eC80m%T<fOlt`rcX|Xkh`b$Rqcd@hLSXsR_06PuWDkd;k(LeE_gx1Z
z)0%vBD^ixqK=E%$84Xxik4~{+z8dozDMVNnGE1;ol>lx3boLx>LsD6~yN&SntE+jz
zaEnv75o|LI7vq*7Y?epj1cUaHc3O;l;LJQ8M;w?;qe#d6MVPH4L3~9^I=wvW4soN<
z7(nep{V>?1;)1xzmA69CVng~T#+tpmLh+KTW;Osvh=3_pP-0R8e!mm`Wf&5gi@oym
z8lW`YAeAGlX#@rMkY?|k_AuIDP{TxxJocV}wL~rLKBh;o-NMMDP6KpAY8nKl8_>D5
z#~&dn_Z|RGK(fz2qohp}M^ny%LOkVmUn=8LfOdIUv@Zsbs1xXTP4P)T7f_~&ynkV#
z8lRHC(m(!;F9_N58F)n_oCQ6=Hd&y2VJWDI8tL-yMyu@AAM}yi9x8AIP}(lBk-AVQ
zD*GZ{wF~a7NwhsAc8r2tX{CHAckpDPjG|QH2yV!04^^O$MV@W=^5TMq<u-fNC9rIB
z*xykHXcowbjD^q<K2U!B@V<HD-#D$f^P}MT;e89Cs0Brxup%b_f&XLfI-bR#bJXp%
zL&>qLcudWjZZM=esX7M)*nkY!gk7SvY+pWGD%FhzJ(!(FEtsMpH0w>3rSAssNAS^i
zm?(Z_je@y(c@VXHgf84yE}at_sk{$RaPXzfGG)(^NC9?2_CbM;1?Z0%YP5#U?6v~Z
zy7=jEQCi}raf>B^9?1&6BNIPT9SXZ40Bg`+7yf@GOsOWTE=eR;9+V{vL4mv@OPKFX
zfPwwhYmjS=T`vx1a&>k-)b5h-fsI(Q<#4>e+al>|tW<a#33lx#G)!*q+S~0jeF|%{
zq>cBe3ah+Hb748Z*1Ai_^tt<3W<$%Ilq4ZS6CS6`uk|3|h}~NP^@LV(!~oz%W9PJv
z3@Sy{P?@1w5O82Rif@h&;;=nf{<+OCVKGqRp}bsG6@-s|((?HbxQamwTvF7o+c^Jb
zf;+a#=~Y{zj_kHrE{Bqd(i|Eoei{Np6)7}CBjzEFh|`^p3m=CSly8N<epqV_oG>(V
z?PCfR$cK1IY8U$nML0q873-!V{k`ZCeUg-eK7?I;bxi_X`u0M}4ZT&-Zfc(PXAcT$
zs#rIv$`za*Y5F2c;$0D_c;s_|Ia|2TOH%o7dZplZBY0@{`%I0-KU&?lD8zI#91(FI
zd`*RqAo<qer$RlJ5)C_hZNVp*>3T_+QY<RoxdJI!i5q#dGVzPJ1~?Sk0VFvpjmW+Q
zsUABJt5ULi1-h%?)}}b7z4y#|Be@Dgmh+@(IO9L%C$%^koe@r3dwiL7n-QpCgC9|f
z+GEA6MbN@2Pf+IDc7ox_Pbk|wu+YWA>UrtU<K9c}fA4NeF$q~sA;peqv4}o`Aq%va
zK@AWg$>#QeAj)8BsiJi+^EaH)xKpBc-33J7(NP<^;w|IIF<$+owvS_wwk~bx6Lb|X
z8__A6y9YNN)sl|92ODf42}RL3nY>kQ{>VTuz()ogLNUW$o&AGoPs6py{Fk-U(W9{@
zh4r-!yn<N=5H4Y>^5+OISzZbKs=LSJmYQlhWxDw^O7ZIHS^6_`+QcM5{il8ov*Z?T
zFKcW#NHb;)_Jt?jrH|nEWA2t1(Sp+q8VqXRvt2^*_8N6g%C3d7M}b`p+g)%5PmI7Q
z0fL;gzWeEnuSV7;4Ee880hO%ywW+J?zlhfs%v}_fEbv^Rv{X}SGy3-Z%9CL{G+P9d
zzFIV|C3g=Xi1S3&1;~AT7aeE|Pu}G?T$CuFAfKIE<#c>w6O%e6&Lu^h)?C=XTGI%d
zC^+%J)7P&vt&q)v^B4CQsIhCOF03z@>O|D*&RKanwkr~_gy*yF%flaV@|yllgjnF1
z;<+Kz&P6&5WeIRow@b?VY_U-PC(4bn#(O(pdN>)0cFRiHy;C~tn5Eg`FkgCqr4~&=
z?(u~ZG%hTep6<n<n~lC)a%el4zC6H0J3J#8a%i(3G4oDY9n$Nq0Pt&W#<`e}VMt8{
z$CUWz5qfevwFoo>Kn5xKl`w7R-Hb3olpO1o@4B(%VfnIu-lLcGtmPSX>Tk-SX+d`Y
zn1mdb<v0mMPKGF@>=Ya>*A?dRN<V~kFWbM;M!8ZO-4QO0f)0u93)ug24ZLSU#S*B8
zd)CyAQAfXrg6IuzZ8LYEK0f(!EkAE2$FGI4MlXMgUkRmc-22#q8^|a2jEkp=BcM?u
zAAkpYA-I96j>TSXVALG~6_!8t0d`if6SoG3!Wme&^^<X;oXg|YX0Ls<l!x>*C){nj
zTb4c5$(Uci0?@*j`%_S>&|X-p4aTri6n18>k$*<$|78llTR{OQ5-*ieE1UdjvlS%Q
zi4}9#Q##DqS;6F+VJ-oUVwtX!uE#^J2Skyr>}nHdN%B&LdIFb*EgciZoD^a{iG7bi

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gmii_io.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gmii_io.v
new file mode 100644
index 0000000000000000000000000000000000000000..74c3ea3ce7267f1c4eeeec0a98003ee81222f670
GIT binary patch
literal 5704
zcmV-O7Psk(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1P3%;MrH#;ob0k&4bSKTu>Dse)l(leH=
zq+M8^UJ96?%UX#@N@dmA_lxV{X`PW0qcP}#zWz%oK>a*!5_-ND9jngw1tc2|6{X8b
z_j^zu?KIc8p;|2a72l92?nh(Y;^_d_1~YXEGz@1>X7}O1Z!zC4Qv?YEzLR|s4p||O
zFt;efQPF{U%AuTlD(!Jqd5?AmfC>BmS@j7WzRpGiY)uBrgll9R8WstH-8{pWp|Ytc
zqQ-s|Z7mFRC-wKS@Nliy18@+nBt=Qha-snonJUA8>gdmC$0VCEm98$XNt_Sn2QbSY
z)jb%P3GZ1l)Sh{%S?r>)lw`8F_*oMmcJxRT4VWxL=-?Z!y#(U)_uoX$^ryv?LQiPj
z;7r|%!Sy?``-kEU=PaNpY?=&cZf&(oJg8zIS}viX<lqTq*Br2f=!Oc1A<>@lTTIwD
zz=6vkYBjO?6liW1?TX8hpF>EB<&qZBnh&^nuLY9@`X}SitmVOVkENQ?Vcf+45vxc;
z0Y#Vgp51;PwuNZWan-gj;)7a9hSQ>w)-HF_E&rw|?HEo=RB`DwvgC*PSO|Nl-ep@Q
z&3G$P^L;Ub3@MNIqB<c;=gcTZI&5CF%QX^$fVAY46p60s$;9mHNhLje$Cx7Sa#tT1
z8%=$JMCm{jq$LHxUpd3}_#o8t0ST#t6-GcQj%CaYR3mt*io5ay&4v?jJO~U@*L6kK
z(Sd^rLS`ZzQ_Kq1c?eb_qVjpDq;TB`h(NX4uXhnSRU&y=##%+-FN%~OVPVF{G|HNw
zhf_<SnQ^dB>URsmZN5)Nh5n{$&&@@Hqvr;{=;8p71K)H(yLZ(0zYn?(btjdw_pvQ$
zXvXfr>0ocw5a-WkctCOvb(ant0JitBOSdLD_-oZ=s&hwd+A+uPPZrfs6JCz^0r+|l
z`Is}rn@oqc#Zw^;1*ggpmmMgt1`o{h0oC@wRxLzrxCtEq!PwXgnse4&ENH$o;=#*<
z2S^VK;X^0YGf}2@VR`2xDNZ$M2B4uiaD~CPfZ`MOOH#`cx1iA}ApWO8H70RtPBP-}
znmhblqM0|GvP%_Z<;0GJDKHFZGsX4>8;g{vjfS!zi2%a!&<@2UM8bWxLpZRK^}Em%
z9o@MNISS8(E|iBK77Rw3M{(HC6TI?i3oT-z+!tH{cUlUEkp*>yk!rwbk)e}>MdD%^
ztDz=7LN@$=mns@xKD+6ZM;Z4_o=T&Fw@Cl{2*rj;zIA{<h-3fJ!qZXl=;Dgbo9($*
z8w=kGB#V492{ESe1=Yd(gT89jobpAJDVWwWCLgx20QqAyMzUY%JoJq#IVGglZyx)q
z0R0RmgO2xFM(1?Ac7>v~{bzs~IB$Nu5LBS&{F~!v$pI#$i~_E9nTc%@V^Kcb%DQ1$
z87N|l2LPq7yoF}EXbZ7)`;fbPY@zw9{f7X>4Ppcw$@+3NFvtt-f4FFFqsW4Y;^7Zt
z4<M~UAwblJaM(#M1_sMQc4|0}8tDN|(xEBNr^hx1dfOi86Ei^V;~%JbCvbrr&Z0@G
zVA_yObs;qwH{*`i^y#(h=A9yK0P>lFgmczvK~TECyC)H0WT)<TWJkh4A*Y{Fug^WB
z9Fn*v>I&Sc8`B????r@*>S<olSwfy~F^(<{DVuj;{Met!$(z_{*070jQI^~}+MnTu
zj3IPOQ1)3-hsK)>NK=Dki@hsC^pd#jH#JjQ;DA~n)yLk5{cq}>r8stX65pI5pvtXp
z5d@T?58lh4sFpn0ZkpgLX&Iuvqas~nlPNatvI<xhTdEqe&0)<TCbp2)QA$5S1kqRY
z9nUW6D$wIuB7b}Um_DOtPII|7b?F;8$`{0XN`BP>IplqIxyP&~uV6K7554c_yS*3W
z)QiMc?Cy6s=X5r4yNuN(!<%stEj)}g=;`(gH?<1u`)Da!l^4;sh>=IlsG<2&ZJcIG
z^^Z4-Z_!r0@BuGFvt{n)xE--X8s@eFACk?=(w_Sok+!UZL@SqqS(_|6RmQ9fhB`uE
zx5JRTXHW7P!H{PG%vS^;Y-OvinvaxKpgwcaX~E^iJWTdApm%e(kjE&{+C47H<Myw%
z9sHOgh#PcxM-otZ+t?-_(UZ!S7^POC)fr!GLevEVV4eY|a)Zr&1>2R4r;#jOh4rXH
zbeewC``-{O_RnZmkbb68EqT5A`Li?%98WU!Gi<oXidtzEEVFJG6HmPH)Otq)1P=^U
z>gnQiN%Dzf1aWmeDL^S65w}W8=3V%bMiyK>@b<?qwsqr`bs@5V^zcebaz`aJekl)+
z&8TFvMB1GxYM9cD$^=5LC$jGa7LNge3tk6(p|e<9r30HS7{QuCSv~$;{lQ-95p|MX
z0L28?J<75+jRNoiMn4f0r5X4E#6S<YQ!`q^?E)6MxOJ{Rk>{PJ#Cbg#@ingCPtm{e
z)`NGmUApI@SBHn~_1ywk>_RW@X$$HnQMrQle0@FX{QFLWdV5AAMexb55DNT5dKRmP
zhuX>(9RD33w_UI@>~JjmWIl`zAQOy5LgN-DVyS>d^w5`<GMpAHzSC{4PVF!%2g5!~
zyfBSTrV(_9mPRP*Zj0PqyckiI$p<nOkYFfwx|i%kg0E=e@F7~3?G(xrXK8~FvxI2N
z?6(Dai70Ks2k5;0&a|L|&J3@m!x|*%`#9@;)e^B^Je(qKM~BU!Le<8vwO4FzW0rsV
zMc?Yj4TqJ4abebl38ttxp!Oanq{c5fD3Ij^Y2AQfPTH!*6YI<+s|{5)UGd;|b;O|I
zotTqJJL<M+6P@VI7m7|F@PxsUe-sfCQ;u(T1;bs(6qJ>|h{+M&mHoVpp$AV8G|y?F
z$?0K5(spyFUxzFeKS5}t5ajg#rp2;{=*0DH&opZY_F05MIe_Cph+{loP^<zrc{XP9
z<?G9)&zq6tl*^iR`pq6A8AL|@hAys{0LP-cS@qIK+^n}ze9=h#tc_<P?I2<0V1tAA
zIJV1Nh!`FQQY8BMnIf>fodAc6^F<QI__6aAMsycP+CbDCwiZU9p;r1V^PvaayFqy?
zbT}l7I_#|V6LCaA_^RCsioI>m7Aq2Z-I(WyHplw4%e%C}Ymw^g2^1Dv$jM)&5}kWe
zhN8(7g37m|{y$On>|KSdI%Q%=?kcTOK(CNfVxQ|d<JH<U|JFI!;mSesr;REaf>o=l
zq1?<&F1Jk(gk*gJK1S&e9~=COPEjA17k4oUNS){A!}~Yxp3KljkLt3viNWXcvYuUe
z123kRqLd%gxAX&Mc~1Tjc@sI_iQn-o?$vjKu>bqQy0M9uQT`Wg0G|l97@4Tp^jtd1
zkubdQ<+c6>Ks*=AoKMy5|9J8y!!{DgEZ3>(d&N0&TE9oCA$}-n(@UO?m>-fz>1J|Q
z6CfiVDHM=Sv2hXfdiP8uw4F4G^ECRKRdB#z><iR0N_(?8OW}D-G9<xPwZ^3Lxm~j1
zBQ;dVd{~9%=H+7Y-X25sN#Y!3nvR~ZSPYygs^x-Js=m*Q3Xo#{ij^(hxqy__ogk|N
zeNK;@#Epy-*)Mv~LeJqvif3@|C=2w;ol&_cpT86a>~P?Xgyh*qs{i|n-zlPyms7zP
z6=04LMIL<K9_HJoRK-3r+{}Xk6}CmEepd6LFBb#oBfUQ(L_g5}<LuzAa6gj8_F7YW
zZO!PU>g--e%xUa$ns(d9+LsGlR|x`+X3Zqch|3a#_B2{J_4XE8tQh?01A!YUNRD<@
zTc2h8)Ps=kt%+ERSmpb>3LrKSwY+BCzqFrt8cIneCvfT3qn;o4C((ECZAlxcs^+we
zpA+50cJVTnO?kw?rP`=7iR9he3;wC<9dvSis-%>Q#rJBczkYVFC<i2TknHo=KHyN?
zm_iMD(E_-p0lm?_vtFoA#8yQdlLZ%rMaJ4}m)0w`B?jf#*7}Fn37<0!Msv(@c4Ce1
z4=xGHs__b8wWvhG8o2wxj?fBbWNY2VR9GiSaJNN*K85hj;Wrob<!7H=`Bt+);20ot
zWFz$@Q)ZohqGH4pqJsyvX_KF|axS?3JO@o{=sAc6G+dmddUV0Nu2mxyVYKd0sNn>}
zO><#3$mIbmak1y!<I)A>e6UW(Ztqk9^-PMHB2(IuCWVJL1hCMlwG;Cxu2!_)tt=vr
z@>Y>1kP{8*-~W{B%EMF%<l{l?d^JBulk)NBM-_o2B$0_mcODs3GflqVH1b)S7qsEg
zW98xNs^FWPJ6eKM?awRiH}w`R7$Ys!7G{l7fiT(tEri=f#I}Hihya|E%t`%GT><q&
zpQdpKfbd&%`E$4M;jVgPKA|uPO}>sdSn`|~=^W~;-dY{&TJ9~=UiBXZe~pLwg<;?6
zadyWWE9}r0Q)8L<?o<XpPg>s001HBW2LYe8MT+~lX44pLno&hJTFnW+aoV>>%nElg
z^A>dd_cgL|)dg33qVn-DeCE*2Xt4}bHupN*7M1SzP?IWZv7Tc@Xj1Vs2(zktvEuUu
z_5>uCx)(yucCC^T-~nZxp0#UMp!R>rJ}Lg)gt}%7sV{Gk7vnB0R<y#}`O$w9U|ebK
z`K;><ktQJEdgt;T?;OGMxAdhQm!IZ63bE%cOE0Z7)>n=`>j%jO6}JymY`yg^HPFyl
zyiG}m7otCJw~`S0n?yoKfMQG;RZaC=(c19tG;hZ4+%?Uzx@MsKf2;qcSj?1`^mC%O
ztB5p_s{Z&@$A12uw*s!U7~*Fy8L9aODs^^^HECfP`vB_$r-#?-{5j48LoZF>P}c`V
z9MZk5Ek<7z>4d$D#c-@E8BwIfRh!Y{zx}~t<`@8ucRbSt6T;QO`OKa!Kc2vbIjz8L
z#AgOoZ`n2f%{^!I4=SwKqvR3Pd6Rdu^{@b_>{z`~O}@#%e}VJ5_<Q^qg7;Ph2t*Nj
zpWK}0*utI)a7xPLqcHW^7;-)Td2PSehEJL#$@=^JIz=b3a81-XAhEbP0^-^nA-UN(
z@aSY1hgWZG&E#W{<&U|}vrRe^?ifQ}u_5060~iTaLWH=v(9{IehJ_{WLx{44fE%m`
z8AyO3OUKrMZIuCd*THnG8}%2b&Li%wDzm33#5@-);D<3=QXy3l;Sz$Ij2W^)L|+|g
z;!wOGqHhjnMmJz=#Oqpe0BjsLE~?sx!6LR0%GjR7Y@^rIFC(lg_a_OM>#3)LG~fsM
z65jc|hY#!J@VCazuZ|Zjtac5CxDT$^4Xog`_AN<w3|RDdY*J^m{t<wPW2+TdO$V~Z
zQvrZLag_p-ifd<xQF}U>#Jp>*X$k6cybV7#`l2E#0q*-3lt_@m9cv<o*n3Lx0H9z6
zm*G=T%<l|jLBGdV%}w^L8eK!);#_Go5Hc>!r^mCXC->bqYx635rXtf@ti@6aV|n4r
zqU4ApkwLY*tq&`t>i|oK%jaQhm4Db}@M``S9>mcSjcKw)*oimmT?->lrT2hCQaj*3
z?)sIOs2Jl7$=g2;9R*Si$O-ZPS%+<WEKGy_vZfE^#$dhWZBV^75P`_k?zI8hqKGV_
z?XV6_4!xNCXQ(Ln(e*KEY;?{Ncdtgw!+Xni8(*m_o=&0RPxdom(;O5JC#jdBFqvtL
z-i9EtCr{n71KP{Nrk<I^7C#XGS>1ma{2jOX08mDd>lLDSfG%(Csz+LrS?U}uXtQY-
zrFPIY*z*^P**dsrzM=YN1&IyU4qQUath0bOeGa>)c_D<lUH5&pS6-b~`hEGnY5-gC
zVa~wrFX;)RDvHEL1DG4FASb(1Y?Q=IHN38iikQEG(MMr~VT{}cfLPvrqYa7;mc&Ay
zO1c`-Y8v#DDDzn-9m4SF1EuNXc#bq@51T}CTe&Ra_4BJ1pkY2eS(X8vE`teMgu=|@
zi;2dvXu8v<**mdf5z3XGT(B2M{!soe25O<k&gWvT#eWl1D(pTTlHJRUM)E<+?uR=-
zk=zyt9=yEr@&nIq21ACtdr7&zFQ<lhag3h_E4({W_qCc=@ny3?NnBOp8poK?<BDyy
zyM*1I#q>DVs~(HeCOX(32w0ofPLa$N+V3-|Ma50P!S!Sx_%fg}5!>N>tN2UFvj|pu
z0MMQI^%Ee-^rLzuzaN%2h)20SCQ;t$m#NR%yH$z=N+X)cRcf)dwK^jrQDH0UshIC0
z*9&tP+_lARnH8fF46IAjYc>;N)1C8e6(H+5_E<c|?0fcTYPBm?gU}wJu*c2J4Xxg|
zH(R;mZTa9_hU#n+A0FgbCFaU-b_Y~<qd&j+F<Rz;N(*aEI&SsU#d#?qL50yi<{q-d
zHuN-T)9UNE#cb4+bev~4$~V-%H8A=ltsR(W!x2+c@~xy<#&JYcR{z+BqxEZ}%0$0Y
zb8Bl+7ZO_lKDX;r3iw9XsB`eUpN`xGVALSIN@G2WQYv^wg4p_5NW7_8CDS87>7MeV
zd|SVYL(HUqX@pG*2<I>*s)Z#BmGP9P)p*fofl=j>cvENtf;5o#ty|>0BBh3A*IdU9
ziE5%w*HJ`sStqwpDcDDz7kfg-33hEBm+p?|q)LKCby}#Fr0fnM>vGR(J9{{)nUUI`
z*DQ9d^)s>(9~k<>>>1s~=_9JMzmTPz?_v;U95kXhg_K0`p3I%dc%*Rv>-k$3sed*8
zQo4huoH`ln8S?RH@W~DI5L0lWIWjxH<&M)x@OV^;5RB_!n?-V>Ypo~ZZerql{4C|8
z;fJNI8pJI0h;d;gzPQ*AHZI3QXr<3zSph9M18D|mT6Zy<@TX^>Zb)y{cw8K5{8xO!
zW#&i*{mSGDRiNZs@!C<##WQBHkev0T+LttDC0Y*X=RfG15)pEFgN9N!hc4z(UP=P<
zW82Usn{yTPX^et->5T5gzRpRf)iwtyh_}pvVB8W6>??CkJI_rUMG{cEnc-6}TonTN
zA>qaOyub$lCnTU1)q^_6)k5#S#`Q^l6qfv%yw0nKYY(^l%D8W56F^q!o$XEk-Vk&C
uEp`XCpLH2RxZyA*G!Rg+MJKE@r4N?QqG#(xd*O!`SiO*D%Ilskwx(QG*)R|Q

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gray_cnt.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gray_cnt.v
new file mode 100644
index 0000000000000000000000000000000000000000..9708472c35a23f3260a74c4791725f8ef3bb6abf
GIT binary patch
literal 4136
zcmV+@5ZCXE6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2+CUBhMBf@B_;~*ub>dW{YS}v<{dy9HT
z5H}3yVjdu28A4@t<R8`;9@HegtW7#52$vi%^w?1r(|@3(Yi98^xahfYH(km<z1@MZ
z5yXXt;|b8L5%*T@3LJtmT|6a%XKs~wTOTkagjx`Kzw5N5!PLrUv;<Px7+e{hSj|BI
zHpp61rSmVm=X-v67JZfLS<*Z~?I*b>MJvV4$y6!i!Z4UXE)mi3n3ypUti72!k+q5a
z&A9i*wLb=bcO=y1G@|kR8bKWmqyXOml{bn7Oe<K&!x&vkR19d{XA&vT+_zx9deU+*
zt@?V6;B-6Csl&+nL-TYWAufPv@2fO_ZpfC;H3XJCsv2pb!Co?JWeh(onwRH^2ZU6~
zX{<7{^b%Pu7tDmBX{T7_9{oy3V$gc_Y;vmd)_1r6CK(dgis>1vaHnC0wMa_*PABFQ
z6()4ph*AT?o=oyv&37O_<xD&Ux;Bh|P%nv*IDr3*!{_ly!^1oUQY8ee%%Cwk^uz~S
zsY<cx_)<n9zrm5fEOJLtbYL^o<3hVy`OstiVY?UnVnZz*uZds#*2TYc$UY*YP0pmd
z8&f4@xOB_GmE6yO(mCaploPxc<$I)X^7UHmtROiIok{PX5+_YSx(0JnkTh$QgkvLl
zjnNy5-oR7JH)ZrcxSp2vW%_4+>g$KA)@K{5T=p8Im;v`rVQ{!9<P4|=4T8YHaRdP7
zZ3i`>GiN%xCX(+)eoh}VSeGPFQOHv*%-zb2G9;A3?|^dpqyeTRCd4BMhCIS!&Q`lw
zP1)x4fO)L7VL+kT+Z)zC)+%qgiHNf5Kq71(di}rx;!+1n=9#Q>ukC;I3ov4>6x2cC
zh%Wx%-z99c*TsKf1v<>`f>CO;=%S!$&Bi^n0Bccm|7;O{?73@4wRt48O4PITIEmMp
zKD=oRW)@kx&SdotD?^7h^??EZXH$I>uR2m%G1dt^5Q+(2byh=MDv{kdsl5NoCKDHZ
zU9F&f1Ai&KfOEbXF5X)NL+c#H$TbuS^iT|7GaBFtEK*P$mP|xnyf*|Cq52nFY-I28
z8}H)QdVxI^{y={X+oMs3TbcR>U+;ibqsr@C(M6JfUFs{vCtAW58fqTSt!M04teTcC
z+yP~B2VdtwZWmP9pe@4+QWae<H>X#f_Yd=ZbS(9+bZL8QLWp`RMB(D#Y)H#c>ibg^
z8#nPs9*>1=(l>prB%&I3lgfUBKrsZ&o^0qoT3rKF3{0pex%cN6KnB85k8yu(lnG8`
zxP1jR_1h6ziCXouHS%u{5N%8UYNLALg)BlxlCX?;1OP@6B;asCpDc&iH`V&Cl#Pv9
zMFkXPeilW##N;jdI1*#KorP9o74Rr7oS^h3Drm1*@t0dV@4giJ5$rB!L7TrKZOF>U
z+8*EK*6*@>R>${PO<@W)86UU&i=IqSux-1$*z<GFmiS_IyAfr#zH4xlaqf>;K7<Kq
zX6wVP6MJx8v(_MUz7^lHsd>%Bj%6j+zm2RX`WM%RE|iRTnnO_1+tl0Vbpd>{V{Oas
zPz?&KqkE}sQ+DG491IznZ#@zP0_*)e<(k;_6|I_MUxg8>ApiTF-Wyh5!UO4i5xBSD
z!QoW_Y_q3fj#Jl<3@?coLFXROtN_c0gdbLcqHw)z@}dL)7pj9`a6pNjvW(TMd7WwG
zx~<S%-6@1eFJp3_4q;)U+q``6k{`fbha6H@g$zB?{zo~{>>4U}d%{ePolPWpwc9)F
z4qmn#n^J;&MxaWWd{=#a(rA0m1}nx|{H~Hgoqc*Qr{8t{@r%3z@<8rmn|!+JP9;Fj
zNSE*^28f?+=)l73P&8oim@yMAS%~jWOu5b^r7-^o7yXd^`pb6ybSwcRm4@raC<RgZ
z)UpUwrW^p2n5umVeg|!-S%N3lBsZE9L1fkDb&==1MmmZy00h``{F+lLFzKVNrEY{)
ziU^)GL=OuCL3f<V-ikzN8weB!wJ2sIVKV7nF|8%U@+JDXbj~4Z@#fTD<U*>wuF^dz
zR>~;LX#M=RheD+?-JYI!iGGj@kMX7xHwqqTlbG8KAQTHxJjI-y<>kpw`;i%>L`);=
zVkOmj>L#OVS2`)bgJXSp4F61{RkS=JmaeS>r1;yuYE*Y!aLF-p?r3T16Eb;!VJHsE
zuG*I(u6<F?Ax?;d48YsP@!z2VntL(+y+?rD7Yf9a)!fm&IQg8lX9vt_3@xCEC#`~+
zyU^rL;$yfSDFb(4_sw<lPJ1kKb!nnt?)!W7$javi_dOZUU)OMausoS8GMuJV1sN^A
z#bxvw&QUTbpFcAX7Iw9Q15y}iAI%=}DXJ{IM<#Nn{@B)Oe22QlZ=+!g@-$GPlD)*Z
z<O!nbPV6(|w>1}Kq>6nN<>^RLiVM|5sn}zCS>nFQn|i{7U|4o|-*4i?CD9$W@Kj0)
zu&ogT{k@Jxq%FzJUsDQBgQLTlO1xm`__r$X+`ZxNuySYD!&;aZ$8#VQE}9*$l%6F0
zqlsp>xkMpfACyGh$|gF!!z1qh+~?HzpaNK(s|^cMbHI*?HaX1=IuK9Kd?de;GWFs4
z8qKFr^hX5&sE2-G25IFS+iFT;D#_l=*PT^MLJ5A-2Tw#4rM^W~F2Bk?*Jxdo&`uA9
zx5F@`tv6iNyRM>;7P2x8wxSxL_&%jz<=SS1|82HgxS3+^)p%Z<`WAi}blh`!M)~=&
zk{p_kod21FkdzO?;8-XQ9}P5qD2QkfpZiE~=24=Cu1HUlXc2uFcCv+sO%$U<lne{C
z*gG|hn`yKWTJ2;(ANW4ybSrxCw+WQ?>+A}(cr=sQ(~E{<%4dv~TbsJu|KX@wx!uFU
zAUShawF96#)dUg+U5uoHkE}LPml7S*Yz6fLNp2%I!dW0v?_z}h--FK+SQ1QTuo1eK
zb`uP{q@lsm8>E(LOg4M1UQ4k?EBd34@~+jHJj`8qX>Zh~wWHmL*{x_|qY*BYt9+2l
z!%tzICR|@DO^{3*;axUcDknFpl(y8v4;7Vg96kMMU*pTKsz@E1azhYLLw(FqD)~Ra
zWZCc`DRM!BBVeXsb9<8usi{Lf^aT_xUO~2S@la&li7DXIOlF-##~v6US0Z_n_&2Ow
zZi;a2Xpd;bP3|D8kVos)y|>C58^r!9X<-~fon44;+*HANs~uDa&N*W~1{nS#_Yf9?
z=1YJ4F^2jiIXsj7T93>zxjWOq%QSHwEwlOof1U6#z^V)6;wRae#wa;$<K@_jX*Si@
ze{j^5#XRLnbJ|K(W{Gs=aFyyU52mQ@k7X|dAHj(a_JI+#w7H;jEkoZv;1Qfp?!Lmx
zt)1&ZU7bg&LiYL61hl3o`iErQgDi0!HgB7fUSTD8ZsMw&fG$P*=v_5;{~U#9<gvh<
z%<E)KilA|d8lDQkf3b&Wv73<5a$j9lz=bask;I5Q#pE_@jlmA_CF&hI)X2_1d$=Ax
z63$hOq$IQ7e7C<YQnqPIkr}S=KEqM~Xn`l~WH13-WkKnG9WzT1g|fawJyqWvWR&m?
zq`@O(4(qEC#?td+yR3!r5Nu%#vpMFACdqb9YX{G{?*0@?$qr;<TKV<BmVGZrp*(b%
zRr!}vXnP@0YhOOF8>pFy%W5r#RYL}Vnz?DgBKmZVb2N4(?{^m-)AUyx8o+s^u7kj&
z`q&^Fw(4f395Jb3K&XDEawH%)C#6l^WtHzR#vb~gMzkz#g+MH1#o?3&@E%o=lHv-o
zN(;Tr4_3bVNETTIJhO~j%mwC)nVi@P_s?1g`LrC1Sa{Dq6E6%5r)x5e+!DRIv<nZI
zzSP*S5|>YCAq1ogVNUa=GwpF$9^)Jweo=S;q#)ejS(t=`@jnAc<G2Ra;rsfbbpe3)
z_h{B4qMq&$ASj?OokJky5g{oj^ckQZnDMu1LwsFwTJVE`QK)`>AZ<sQqwkGHaum@E
zOq%FaS-?q!f2Z{vNm;P8F@R3C-k7;I^*AWr3#pzDetEVSJWua=yP0?vCYrk<n@2i6
zoGRML4xZ`R%1`RNQ)}bnCFV=YY+k4aIDg0pd4QcU#qe)<H7=8O0U~EZQ(HDfr4Y*u
zuoMUSeC*6DG4s=9v(Jb=k~E*56UdBH`pLe)S))`wOkJm^`!xEtm-a_iwqe`3pBJRT
zGHVqcMQ7Z+3sH`Bw3MS856VfLL&k@?vYpa9QcoOQ6CMO<G!n1_cR1J$1A;ic`gk)V
zdyeqW!s|lp&ph$j4<EC?cDPEu9Cu*o#l=Ow!+OwI(tYm=-e#s@$uKJEfWZxfa3m?7
zqT$hZxldmuCha7XnMsw11xb$XhGSe2-9Ekyiv;NtikL?t-O1+Ww3&H52&d!gp6lOS
zfL2omiiyT3Y@<=ph~J@7)6nW`grxC1RFBBwPMAgFO1ppTG0SY*cd2e1FREz$LMLnG
zk5go_VMLVq5*pW?8_ePyygs?=9%nbvZ<++RGp@afbK00=R3}66&t7e9Nh=<tczd#K
zYRQPA%kR*j;oJ}r%KJ4{-gzoP3>#mmRibRy9*55)5--AE{Vy=mo3PtUz#Q$`5h1Sk
z42I7;(k&I5al{K@^?0Y#V`c5mCL)pEbJzn0A`PRkJkS^OUO$@B@?puCyx4?LK|^z$
zY?EX$y%>wTUhkxkxmuMg==6yERXP`TW<<@d^P0&E<)c4H=pn)KUXQGx`TLa5Xs)~s
zQG3^w5^ZF$3ZQUfok=Y%s|Q0Qh5NDzxYdVmqjYfuKTq!uTY6qZj^=&1g>K1jf2v%1
zmZ<^Q@4R$bL=A%R?c_#S2+0*8_~LSfyQmtPXE-OXkkw*vN!UGzuu0>Cn}@Y=aw1``
zO0aoMJ2Iyo$A4{VDD$)O3B|mOF*0D)S?{!LC%7*ntF|#krhU!}3Bzsw{sdO--Fh?)
mh4UhPUEm=wp1`3#{=nji?RzsK_9@;|B1wTkP&R%LV!o7xDf18j

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v
new file mode 100644
index 0000000000..88f96b1a7f
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_aligned_rxsync.v
@@ -0,0 +1,285 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_alt2gxb_aligned_rxsync.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/strxii_pcs/verilog/altera_tse_alt2gxb_aligned_rxsync.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Siew Kong NG
+//
+// Project     : Triple Speed Ethernet - 1000 BASE-X PCS
+//
+// Description : 
+//
+// RX_SYNC alignment for Alt2gxb, Alt4gxb
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2007 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+module altera_tse_gxb_aligned_rxsync (
+
+  input clk,
+  input reset,
+
+  input [7:0] alt_dataout,
+  input alt_sync,
+  input alt_disperr,
+  input alt_ctrldetect,
+  input alt_errdetect,
+  input alt_rmfifodatadeleted,
+  input alt_rmfifodatainserted,
+  input alt_runlengthviolation,
+  input alt_patterndetect,
+  input alt_runningdisp,
+
+  output reg [7:0] altpcs_dataout,
+  output altpcs_sync,
+  output reg altpcs_disperr,
+  output reg altpcs_ctrldetect,
+  output reg altpcs_errdetect,
+  output reg altpcs_rmfifodatadeleted,
+  output reg altpcs_rmfifodatainserted,
+  output reg altpcs_carrierdetect) ;
+  parameter DEVICE_FAMILY         = "ARRIAGX";    //  The device family the the core is targetted for. 
+
+  //-------------------------------------------------------------------------------
+  // intermediate wires
+
+
+  //reg altpcs_dataout
+
+  // pipelined 1
+  reg [7:0] alt_dataout_reg1;
+  reg alt_sync_reg1;
+  reg alt_sync_reg2;
+  reg alt_disperr_reg1;
+  reg alt_ctrldetect_reg1;
+  reg alt_errdetect_reg1;
+  reg alt_rmfifodatadeleted_reg1;
+  reg alt_rmfifodatainserted_reg1;
+  reg alt_patterndetect_reg1;
+  reg alt_runningdisp_reg1;
+  reg alt_runlengthviolation_latched;
+  //-------------------------------------------------------------------------------
+
+
+  always @(posedge reset or posedge clk)
+    begin
+        if (reset == 1'b1)
+            begin
+                // pipelined 1
+                alt_dataout_reg1            <= 8'h0;
+                alt_sync_reg1               <= 1'b0;
+                alt_disperr_reg1            <= 1'b0;
+                alt_ctrldetect_reg1         <= 1'b0;
+                alt_errdetect_reg1          <= 1'b0;
+                alt_rmfifodatadeleted_reg1  <= 1'b0;
+                alt_rmfifodatainserted_reg1 <= 1'b0;
+                alt_patterndetect_reg1      <= 1'b0;
+                alt_runningdisp_reg1        <= 1'b0;
+            end
+        else
+            begin
+                // pipelined 1
+                alt_dataout_reg1            <= alt_dataout;
+                alt_sync_reg1               <= alt_sync;
+                alt_disperr_reg1            <= alt_disperr;
+                alt_ctrldetect_reg1         <= alt_ctrldetect;
+                alt_errdetect_reg1          <= alt_errdetect;
+                alt_rmfifodatadeleted_reg1  <= alt_rmfifodatadeleted;
+                alt_rmfifodatainserted_reg1 <= alt_rmfifodatainserted;
+                alt_patterndetect_reg1      <= alt_patterndetect;
+                alt_runningdisp_reg1        <= alt_runningdisp;
+            end
+    
+    end 
+	
+generate if ( DEVICE_FAMILY == "STRATIXIIGX" || DEVICE_FAMILY == "ARRIAGX")
+begin          
+		always @ (posedge reset or posedge clk)
+		begin
+		 if (reset == 1'b1)
+			begin
+				altpcs_dataout              <= 8'h0;
+				altpcs_disperr              <= 1'b1;
+				altpcs_ctrldetect           <= 1'b0;
+				altpcs_errdetect            <= 1'b1;
+				altpcs_rmfifodatadeleted    <= 1'b0;
+				altpcs_rmfifodatainserted   <= 1'b0;
+			end
+		 else
+			begin
+			   if (alt_sync == 1'b1 )
+				 begin      
+					altpcs_dataout              <= alt_dataout_reg1;
+					altpcs_disperr              <= alt_disperr_reg1;
+					altpcs_ctrldetect           <= alt_ctrldetect_reg1;
+					altpcs_errdetect            <= alt_errdetect_reg1;
+					altpcs_rmfifodatadeleted    <= alt_rmfifodatadeleted_reg1;
+					altpcs_rmfifodatainserted   <= alt_rmfifodatainserted_reg1;
+				 end
+			   else
+				 begin
+					altpcs_dataout              <= 8'h0;
+					altpcs_disperr              <= 1'b1;
+					altpcs_ctrldetect           <= 1'b0;
+					altpcs_errdetect            <= 1'b1;
+					altpcs_rmfifodatadeleted    <= 1'b0;
+					altpcs_rmfifodatainserted   <= 1'b0;
+				 end
+			end
+		end
+		assign altpcs_sync              = alt_sync_reg1;	      
+end
+else if ( DEVICE_FAMILY == "STRATIXIV" || DEVICE_FAMILY == "ARRIAIIGX" || DEVICE_FAMILY == "CYCLONEIVGX")
+begin
+	always @ (posedge reset or posedge clk)
+    begin
+     if (reset == 1'b1)
+        begin
+            altpcs_dataout              <= 8'h0;
+            altpcs_disperr              <= 1'b1;
+            altpcs_ctrldetect           <= 1'b0;
+            altpcs_errdetect            <= 1'b1;
+            altpcs_rmfifodatadeleted    <= 1'b0;
+            altpcs_rmfifodatainserted   <= 1'b0;
+			alt_sync_reg2               <= 1'b0;
+        end
+     else
+        begin     
+                altpcs_dataout              <= alt_dataout_reg1;
+                altpcs_disperr              <= alt_disperr_reg1;
+                altpcs_ctrldetect           <= alt_ctrldetect_reg1;
+                altpcs_errdetect            <= alt_errdetect_reg1;
+                altpcs_rmfifodatadeleted    <= alt_rmfifodatadeleted_reg1;
+                altpcs_rmfifodatainserted   <= alt_rmfifodatainserted_reg1;
+				alt_sync_reg2               <= alt_sync_reg1 ;
+        end
+
+    end
+	
+
+    assign altpcs_sync              = alt_sync_reg2;
+end      
+endgenerate
+
+
+
+
+      
+   //latched runlength violation assertion for "carrier_detect" signal generation block
+   //reset the latch value after carrier_detect goes de-asserted
+//   always @ (altpcs_carrierdetect or alt_runlengthviolation or alt_sync_reg1)
+//    begin
+//       if (altpcs_carrierdetect == 1'b0)
+//        begin
+//           alt_runlengthviolation_latched <= 1'b0;
+//        end 
+//       else
+//        begin 
+//           if (alt_runlengthviolation == 1'b1 & alt_sync_reg1 == 1'b1)
+//            begin
+//               alt_runlengthviolation_latched <= 1'b1;
+//            end
+//        end       
+//    end
+  
+
+//    always @ (posedge reset or posedge clk)
+//     begin
+//      if (reset == 1'b1)
+//         begin
+//             alt_runlengthviolation_latched_reg <= 1'b0;
+//         end
+//      else
+//         begin
+//             alt_runlengthviolation_latched_reg <= alt_runlengthviolation_latched;
+//         end
+//     end
+
+    always @ (posedge reset or posedge clk)
+     begin
+      if (reset == 1'b1)
+         begin
+             alt_runlengthviolation_latched <= 1'b0;
+         end
+      else
+       begin
+           if ((altpcs_carrierdetect == 1'b0) | (alt_sync == 1'b0))
+            begin
+               alt_runlengthviolation_latched <= 1'b0;
+            end 
+           else
+            begin 
+               if ((alt_runlengthviolation == 1'b1) & (alt_sync == 1'b1))
+                begin
+                   alt_runlengthviolation_latched <= 1'b1;
+                end
+            end       
+       end
+     end
+
+
+   // carrier_detect signal generation
+   always @ (posedge reset or posedge clk)
+    begin
+     if (reset == 1'b1)
+        begin
+            altpcs_carrierdetect <= 1'b1;
+        end
+     else
+        begin
+           if (  (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h1C & alt_ctrldetect_reg1 == 1'b1 & alt_errdetect_reg1 == 1'b1  
+                    & alt_disperr_reg1 ==1'b1 & alt_patterndetect_reg1 == 1'b1 & alt_runlengthviolation_latched == 1'b0                 ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hFC & alt_ctrldetect_reg1 == 1'b1 & alt_patterndetect_reg1 == 1'b1      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h9C & alt_ctrldetect_reg1 == 1'b1 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hBC & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hAC & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hB4 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hA7 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0 
+                    & alt_runningdisp_reg1 == 1'b1                                                                                      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hA1 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0 
+                    & alt_runningdisp_reg1 == 1'b1 & alt_runlengthviolation_latched == 1'b1                                             ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'hA2 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0 
+                   & alt_runningdisp_reg1 == 1'b1  
+                   & ((alt_runningdisp == 1'b1 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b1)|                                                                                
+                      (alt_runningdisp == 1'b0 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b0 ))                               ) |
+
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h43 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h53 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h4B & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0      ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h47 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0
+                   & alt_runningdisp_reg1 == 1'b0                                                                                       ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h41 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0
+                   & alt_runningdisp_reg1 == 1'b0 & alt_runlengthviolation_latched == 1'b1 
+                   & ((alt_runningdisp == 1'b1 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b0)|                                                                                
+                      (alt_runningdisp == 1'b0 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b1 ))                               ) |
+                 (alt_sync_reg1 == 1'b1 & alt_dataout_reg1 == 8'h42 & alt_ctrldetect_reg1 == 1'b0 & alt_patterndetect_reg1 == 1'b0
+                   & alt_runningdisp_reg1 == 1'b0 & ((alt_runningdisp == 1'b1 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b0)|
+                                                     (alt_runningdisp == 1'b0 & alt_errdetect_reg1 == 1'b1 & alt_disperr_reg1 == 1'b1)) )  
+              )
+
+             begin      
+                altpcs_carrierdetect              <= 1'b0;
+             end
+           else
+             begin
+                altpcs_carrierdetect              <= 1'b1;
+             end
+        end
+
+    end
+
+
+
+
+endmodule
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_gige_inst.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_gige_inst.v
new file mode 100644
index 0000000000..4817a5e6af
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_gxb_gige_inst.v
@@ -0,0 +1,288 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_gxb_gige_inst.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_gxb_gige_inst.v,v $
+//
+// $Revision: #2 $
+// $Date: 2009/11/05 $
+// Check in by : $Author: aishak $
+// Author      : Siew Kong NG
+//
+// Project     : Triple Speed Ethernet - 1000 BASE-X PCS
+//
+// Description : 
+//
+// Instantiation for Alt2gxb, Alt4gxb
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2007 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+module altera_tse_gxb_gige_inst (
+	cal_blk_clk,
+	gxb_powerdown,
+	pll_inclk,
+	reconfig_clk,
+	reconfig_togxb,	
+	rx_analogreset,
+	rx_cruclk,
+	rx_datain,
+	rx_digitalreset,
+	rx_seriallpbken,
+	tx_ctrlenable,
+	tx_datain,
+	tx_digitalreset,
+	reconfig_fromgxb,
+	rx_ctrldetect,
+	rx_dataout,
+	rx_disperr,
+	rx_errdetect,
+	rx_patterndetect,
+	rx_rlv,
+	rx_syncstatus,
+	tx_clkout,
+	tx_dataout,
+	rx_rmfifodatadeleted,
+	rx_rmfifodatainserted,
+	rx_runningdisp
+);
+parameter DEVICE_FAMILY           = "ARRIAGX";    //  The device family the the core is targetted for.
+parameter STARTING_CHANNEL_NUMBER = 0;
+parameter ENABLE_ALT_RECONFIG     = 0;
+
+
+	input	cal_blk_clk;
+	input	gxb_powerdown;
+	input	pll_inclk;
+	input	reconfig_clk;
+	input	[3:0]  reconfig_togxb;	
+	input	rx_analogreset;
+	input	rx_cruclk;
+	input	rx_datain;
+	input	rx_digitalreset;
+	input	rx_seriallpbken;
+	input	tx_ctrlenable;
+	input	[7:0]  tx_datain;
+	input	tx_digitalreset;
+	output	[16:0]  reconfig_fromgxb;	
+	output	rx_ctrldetect;
+	output	[7:0]  rx_dataout;
+	output	rx_disperr;
+	output	rx_errdetect;
+	output	rx_patterndetect;
+	output	rx_rlv;
+	output	rx_syncstatus;
+	output	tx_clkout;
+	output	tx_dataout;
+	output	rx_rmfifodatadeleted;
+	output	rx_rmfifodatainserted;
+	output	rx_runningdisp;
+
+	
+	wire    [16:0] reconfig_fromgxb;
+        wire    [2:0]  reconfig_togxb_alt2gxb;
+        wire    reconfig_fromgxb_alt2gxb;
+        wire    wire_reconfig_clk;
+        wire    [3:0] wire_reconfig_togxb;
+
+        (* altera_attribute = "-name MESSAGE_DISABLE 10036" *) 
+        wire    [16:0] wire_reconfig_fromgxb;
+
+
+        generate if (ENABLE_ALT_RECONFIG == 0)
+            begin
+            
+                assign wire_reconfig_clk = 1'b0;
+                assign wire_reconfig_togxb = 4'b0010;
+                assign reconfig_fromgxb = {17{1'b0}};        
+    
+            end
+        else
+            begin
+
+                assign wire_reconfig_clk = reconfig_clk;
+                assign wire_reconfig_togxb = reconfig_togxb;
+                assign reconfig_fromgxb = wire_reconfig_fromgxb;
+ 
+            end
+        endgenerate
+
+	
+	generate if ( DEVICE_FAMILY == "STRATIXIIGX" || DEVICE_FAMILY == "ARRIAGX")
+	begin
+	
+          altera_tse_alt2gxb_gige the_altera_tse_alt2gxb_gige
+          (
+            .cal_blk_clk (cal_blk_clk),
+            .gxb_powerdown (gxb_powerdown),
+            .pll_inclk (pll_inclk),
+            .reconfig_clk(wire_reconfig_clk),
+            .reconfig_togxb(reconfig_togxb_alt2gxb),
+            .reconfig_fromgxb(reconfig_fromgxb_alt2gxb), 
+            .rx_analogreset (rx_analogreset),
+            .rx_cruclk (rx_cruclk),
+            .rx_ctrldetect (rx_ctrldetect),
+            .rx_datain (rx_datain),
+            .rx_dataout (rx_dataout),
+            .rx_digitalreset (rx_digitalreset),
+            .rx_disperr (rx_disperr),
+            .rx_errdetect (rx_errdetect),
+            .rx_patterndetect (rx_patterndetect),
+            .rx_rlv (rx_rlv),
+            .rx_seriallpbken (rx_seriallpbken),
+            .rx_syncstatus (rx_syncstatus),
+            .tx_clkout (tx_clkout),
+            .tx_ctrlenable (tx_ctrlenable),
+            .tx_datain (tx_datain),
+            .tx_dataout (tx_dataout),
+            .tx_digitalreset (tx_digitalreset),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted),
+            .rx_runningdisp(rx_runningdisp)
+          );
+          defparam
+              the_altera_tse_alt2gxb_gige.starting_channel_number = STARTING_CHANNEL_NUMBER;
+
+
+          assign reconfig_togxb_alt2gxb = wire_reconfig_togxb[2:0];
+          assign wire_reconfig_fromgxb = {{16{1'b0}}, reconfig_fromgxb_alt2gxb};          
+	
+	end
+	endgenerate
+	
+	generate if ( DEVICE_FAMILY == "ARRIAIIGX")
+	begin
+	
+          altera_tse_alt4gxb_gige the_altera_tse_alt4gxb_gige
+          (
+            .cal_blk_clk (cal_blk_clk),
+            .fixedclk(wire_reconfig_clk),
+            .fixedclk_fast(1'b0),
+            .gxb_powerdown (gxb_powerdown),
+            .pll_inclk (pll_inclk),
+            .reconfig_clk(wire_reconfig_clk),
+            .reconfig_togxb(wire_reconfig_togxb),
+            .reconfig_fromgxb(wire_reconfig_fromgxb),              
+            .rx_analogreset (rx_analogreset),
+            .rx_cruclk (rx_cruclk),
+            .rx_ctrldetect (rx_ctrldetect),
+            .rx_datain (rx_datain),
+            .rx_dataout (rx_dataout),
+            .rx_digitalreset (rx_digitalreset),
+            .rx_disperr (rx_disperr),
+            .rx_errdetect (rx_errdetect),
+            .rx_patterndetect (rx_patterndetect),
+            .rx_rlv (rx_rlv),
+            .rx_seriallpbken (rx_seriallpbken),
+            .rx_syncstatus (rx_syncstatus),
+            .tx_clkout (tx_clkout),
+            .tx_ctrlenable (tx_ctrlenable),
+            .tx_datain (tx_datain),
+            .tx_dataout (tx_dataout),
+            .tx_digitalreset (tx_digitalreset),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted),
+            .rx_runningdisp(rx_runningdisp)
+          );
+	  defparam
+              the_altera_tse_alt4gxb_gige.starting_channel_number = STARTING_CHANNEL_NUMBER;
+          
+	end
+	endgenerate
+
+	generate if ( DEVICE_FAMILY == "STRATIXIV" || DEVICE_FAMILY == "HARDCOPYIV")
+	begin
+	
+          altera_tse_alt4gxb_gige the_altera_tse_alt4gxb_gige
+          (
+            .cal_blk_clk (cal_blk_clk),
+            .fixedclk(wire_reconfig_clk),
+            .fixedclk_fast(1'b0),
+            .gxb_powerdown (gxb_powerdown),            
+            .pll_inclk (pll_inclk),
+            .reconfig_clk(wire_reconfig_clk),
+            .reconfig_togxb(wire_reconfig_togxb),
+            .reconfig_fromgxb(wire_reconfig_fromgxb),       
+            .rx_analogreset (rx_analogreset),
+            .rx_cruclk (rx_cruclk),
+            .rx_ctrldetect (rx_ctrldetect),
+            .rx_datain (rx_datain),
+            .rx_dataout (rx_dataout),
+            .rx_digitalreset (rx_digitalreset),
+            .rx_disperr (rx_disperr),
+            .rx_errdetect (rx_errdetect),
+            .rx_patterndetect (rx_patterndetect),
+            .rx_rlv (rx_rlv),
+            .rx_seriallpbken (rx_seriallpbken),
+            .rx_syncstatus (rx_syncstatus),
+            .tx_clkout (tx_clkout),
+            .tx_ctrlenable (tx_ctrlenable),
+            .tx_datain (tx_datain),
+            .tx_dataout (tx_dataout),
+            .tx_digitalreset (tx_digitalreset),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted),
+            .rx_runningdisp(rx_runningdisp)
+          );
+          defparam
+              the_altera_tse_alt4gxb_gige.starting_channel_number = STARTING_CHANNEL_NUMBER;
+	
+	end
+	endgenerate
+	
+	
+	generate if ( DEVICE_FAMILY == "CYCLONEIVGX")
+	begin
+	
+          altera_tse_altgx_civgx_gige the_altera_tse_alt_gx_civgx
+          (
+            .cal_blk_clk (cal_blk_clk),
+            .gxb_powerdown (gxb_powerdown),
+            .pll_inclk (pll_inclk),
+	    .reconfig_clk(wire_reconfig_clk),
+            .reconfig_togxb(wire_reconfig_togxb),
+            .rx_analogreset (rx_analogreset),
+            .rx_ctrldetect (rx_ctrldetect),
+            .rx_datain (rx_datain),
+            .rx_dataout (rx_dataout),
+            .rx_digitalreset (rx_digitalreset),
+            .rx_disperr (rx_disperr),
+            .rx_errdetect (rx_errdetect),
+            .rx_patterndetect (rx_patterndetect),
+            .rx_rlv (rx_rlv),
+            .rx_syncstatus (rx_syncstatus),
+            .tx_clkout (tx_clkout),
+            .tx_ctrlenable (tx_ctrlenable),
+            .tx_datain (tx_datain),
+            .tx_dataout (tx_dataout),
+            .tx_digitalreset (tx_digitalreset),
+            .reconfig_fromgxb(wire_reconfig_fromgxb[4:0]),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted),
+            .rx_runningdisp(rx_runningdisp)			
+          );
+		  defparam
+              the_altera_tse_alt_gx_civgx.starting_channel_number = STARTING_CHANNEL_NUMBER;          
+	end
+	endgenerate
+	
+endmodule
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_hashing.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_hashing.v
new file mode 100644
index 0000000000000000000000000000000000000000..d5085c1ce9f42e05342814f31ab26ff759c647f3
GIT binary patch
literal 3816
zcmV<E4j1u@6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2KZF5N?XKMYM`A6eT*@gY$>5@K!S$_ru
zR$zSdJLne>R5@r0p)HlU#535nD~cZ=u`^On1}LPUA?O?oDa1RofCH1+)tuan3&4==
z2)l+TBR8!oL!o*A1k8`vLyYs`paCJTWhx?*I9`S2<$#-97ugo&gV||slvN@PC`~~Z
zkgAS5xiKv)Y4qPyybYjD203ul{K>%6XG6=;zrXAdtd!={sjvUQ!tn&7z0#J=v_-e)
zv{66=S2lO7=NLJd43BpXmK<6aJGH%b+sOkk*#c6={XTvFjDfMvQZm)GsqhzNBN0(s
zwc!2ee4QgTb;di5{RieDS;A|S*=`}4Q!e@X=TeS)ye(Q|5$q9=#AbB-TwCSHwVbX3
zxpkBBRY#p7k-Vt1F7Nm@Sdm3TVlb#BGdhgOg>M~Kd8AS>!zNrPczB(Xzi=qwYtc_+
zO5(Z8(_~hWixkw~=e=j`Lou7`)A~#;M9>@LJS_ob-~=Wbt7v>gyy@a<0L7QsaMF6%
z!0HL7Xbe4>kvXYg8?qQhz%xMhwOa5u6Q|qe|K`461xQP5Sxyt9e{>icZNiw8q?`tX
zG$>R(*B@Fe;*uy*d5&Yexk<q0p6O_DioYszNV+J&NF7oP4I7rBmb;C7sA+$)?Cxqt
zW_)mc(}n|%X8jmc$fQ1=^0uvnpME~qPJW`Ta1Cgm`XY*dQvG`z2{8Q9p_pEdYymz~
zGBD&suu9wOX@1y6=T$;VIKyrHqSr0}F(DFmtZ{dxKjh&28%GLgF8Dg0by0ru_Rvbc
zan%-YIp!+uDj}8Mkgzd&a!uA071=q;ZtuL3m!Dii`&6sg`4UiDGlnO@dMG82!3_>F
zVoNEC57?$3S?`ActHPIVWRTxvPCQy-@+;QXO{t3v%lEmTk(x$x-$kl7hWG$cYQHL9
zS3#LIX}*&!vM!gjuUyU_T6=xh7rjY2J9B-Jt4^{^kmqi83nNFIZOXko{JZjdn8mBH
zQ<-BiAg6;HI5D`F$t9PaWu45nOt=A}#&&gz(AMyl(ysON!KCUR-N?t|!PJ+{3}nX%
zBcoWLjOe@5!`L6>b(k~d8c%67qZxrHmuNX{rfpMP(r~_Tf2x4J87*u0Co0m^MI5~e
z!<Z~N_d$9}XIcP?0>dmv*5lU#9HpkZuUhqVm)1}*?mh`|;)_~y6f);If5pACie+~P
zVg3jbhr40Tn%pKgcGum~IWpd^^c!09;5sW+>IQKGk{DSHb#M3Bz5TZKRrBby<8S24
zM~5;mt6}yOv<x7CyHCVTQ@$R=diL-|_<FyB@SB$4cdGmF?yn+(q<e}EWnl;T&abu7
zIKbf)0Tm_Ym9X?Atdo8Uv_Cx0qGkB!pwi^`3U5ciX!n(ywL?~H{U}hAPhW7uD)9ju
zo%AFGFU64LVCi2yAN$H%n&8%SCcQe??%C--U(6N%D9$?NE0TL+Ed!av5+kqrX<4ad
zn$3r;keeBmLWe4Zc^$&@^=zdc(sM8%WE$clt}k3LTjJFI?c~_bV66LR#TB}bfOtPr
znzOoesH<8d%6<`Juoen<`56~Qvj+c3RK@lE7b!-PHSPv<9jRS+bz>Y$>({||V|qH}
zQc$0Rx6z5vY_VIVO+=sn<V{zSOELLkYK<Z@9bQfMkm6T=>*6!?z8__FaMwAHl$>K7
z*p$8n8-gt+?MZ`zI9@=!^K{T7(PF}wjG?BdWr6Mm>ERd!`)d()wHk#;<Urio*p08i
zd5&kvMnc4eU78&vrJI*P-U4mYUGp>Jxw+pfncA1N;s!M84p8h@>%&>N3a5Go;g9Bo
zrq|3>h}aTE7^~6r`tCvGlb1Ms+LgU;)J)Q>E(9pdeV*p$&#`ng+v?4I=PMG$dO38@
zsP#lJ<Z9hgB5=l!qF@9&>njZ;pZNZsDhk654x)wYnSU(a;B%4BWk{Z*ViovvA~~WX
z7{{qL!&Am5l&hG|4KRMgh49EBl>){0rmL|vdJ7@?>5$7b5aS%_DyT{J#UEBP5(PZ1
zo}zM>M}|lfTgy$}=(0TiiGDV_$~0eTv7{O;f@w23-dicfH0w_&S<Yam<)8+7C+4?h
zeM==8r*4P@Tm`dpO6dImW;Aw+)3K|vi=R@BroXT;#|M5{20%+d#&CDcs6>0CBNRkS
z)yw0|D+5%aCGVg2n+ZUe10o4u`VdtZqGPi&d1%cnz((Nvx@AhLhFZ1e0J`V%D(EFA
z!F}Y&WI5PS&88XCJ@k(6&DbnfAaNnz7r?{TZ1>+&+k|q1A%UMd&%aaRyl8&kAlSqC
z1`=s$*Qm*|r^n6vqz{wvnVB>M!CK>dj#W>(IdK;6Zi}9}a*YdKab4~Q>V8r)O)rvr
zTj(QP-IbT@0ag%tlTaGmm@Ld35L9a|6-mcu_+L`KuMv4#TvwUa$RVVP`OooCa|jLK
zN!_E>>G?^5C75%!U(79bzvsMtA@g(z@8hpwz-A#AlgxCvQSz6-V=fedA*u=tnXTo`
zp71anLi2`Aa~Cz~8-`(R@d)lVZkLcHNAC1biL5Moez=hcw!^}u<VCJ0Ukzrxt?EDz
z{#9smyG#k|G1zVrf{la@TZ3%XIJb2m`Uqnqpd)1U_FuM=->gF)fIq?7R5UQw%j&S;
zL%7`+D0iqBge<lro4d<YU_YCOn}aPDS+n8|Hw17oB&+xnE&_aS{Kh1!Umb+zFj;a~
zF@d7@^KA%-=M1y<MAE@CP~suL>6$HiE-syEjnTRe(H1$Lm|K1*(w(ieo`&4Xu=*Gn
zVC6?t>*p7}zdSJi_}=@9vxIEppLl4`LHLk<kJAs-aQOirEB028magL=;TvZLzg_3?
z*6Pl!rmSSI{@-e|$}~q^!bgKTp(Y#M_Fs7|gpwdJax28weEcmbYF3dkm2oBhw1<W&
zsk$<|9UHsyC#8<_6GBrtgg^0nxDl5mrBs_vm0YV;EnI;P-?Sm+%@$%OTVM6N(sal&
z4Rnwz#~slm-^r4MKPgPyQ69i#Wf>+DgavPv+5`A*5=hF~zWW9+KS*hXM2DhzK22HG
zn6HVM8(`vwX20GlO+2rwS-NccbLD#XRSxGjEpom+n~DA}=G(WYO*KX=8L50y5+K``
zyU#~?N~93TPwlvQkc1tQ$w|?Qe`asUD;cq2o1?z0+v!{0+IGOe8(IV%#?KBk6h%=K
zx_9@~A|RIf%y~YBKc#ivDwx|mK8+-y<Dg`Iz!(3z#R3f2tKangP6)9i1<?#5X4G$4
zlCF@XOHP?OX6@vFS11%3%w1Y$#v#Stvv%w_&%^qAq~P44g5;6=v>j-C0?{fvnr=c;
z%QWO>gJ#Gxe=BHSPXc|PF9wpnj1YNmATef!XMs(lNoYGIJX<0WeaFgq+z4s7h$Ooi
zF>;4@%H(CGIgvvK+Pc!c=||mc7#>G=Zz@`p#rF5Un{?Ts>%(3ryR!1<jR(B@=*!R(
zM-vX7lep?oa=!}Oj>TwM*)_mkayZu-<VWzcAmB47kkG2)ZEA)!q}~gSc^k*oeRrI<
zAa$?KHiOK7%?sv4g0sEbxbx6j+^RVd#l$y&$CI$)jc;k3N4~-JiZJ`~SabH@ikHUU
zxcvqs@Sumb=d#`$MgGnOQ|QYvRsRY*Xk9Nc6F=J=UE(+{NAI)M3^%Pj;jWHwlCz6E
zpmTUv{Ckt2As;9nV@~Rp9~f1g&hl`<`P^1+wmR4WtWeJe=(WQ~KBFsjVW8^*mq9Y(
zgQ2kc?llH?TA8)T%z-arG>28DLJsVwo_S;u6^q9gK<yJ^YV@4+o*$SsLE^CLsd^jx
zqrMJR*7fp9#ZKD1z9M<0kcm1mqnov)oY$o~BQYb}s>49Y$J2qM`njiies<2VzMgWY
zISM&}HN4FXQ(>gSVmCa}-%spIS_8~WZ>4$`*;@<?#+cxMWd4Sy^x(MN@)yr~`Kwx=
z6-WCvAh*(+ks+!!4>FzO%UEfALu$pcjRC><GE|9(U--@+*<WPUPmAa@PA701GtQG|
zp@r(A;_-KvZ3lD~R)<Nr^xb--k=DEV5%jxbDD7&4$y4|lzw0vJhR0Y{jO=xi?vks2
zytHcHCs<z$+AJY2e#*AD<ms(s(Ce;%c(7F7XM0Y7SeRbBlN5`pb(c3<s>Jbr;VI69
zd>ethx~9kePMl>>O$jC!CP{v!oKguOxdr|?nmRDO=)$AcPN_a<P|?%JmaNHq3~|_d
z!C2^IszpCl+Y{nn61Z74DA2qLe)=Om4vK&NIXTPcqOvfp#Hd(HUft~X`P%Zg9nbHj
z3Aj-fB`@5Yu15EoQEpc}zK2W$zF0Z7>$T?ipW`{)%9dys;r79PoY)U%Iiw+atJ!un
z8u8`WDQH>muLyS`;k%ME>qvl&!UEWMtMqf0vef<LW;aIAnV!$oSL4gRjH2II?pJ~x
zAe&7QiO@NFQX;GAHX56@;~XNsE4akI5kY2EYgJvVDjm}YsRPxKB;0nw#t$*#=KKOw
eY>p}8$DPdqMBNU*;82ci1v?&tziM@tOH58NW{<i6

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control.v
new file mode 100644
index 0000000000000000000000000000000000000000..890174256fa30dc842e67e2d9c87d090bc667845
GIT binary patch
literal 11712
zcmV;xEkDwW6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2%Wn16NY))BR`qrERrR1t(3$ftZV@GqM
zrSbxUi(YO|lL_2!S?_4H$l{k>pN-xn=QDkMv-uc4JQ_&NK5u`xCWaya7aTAoBcW0O
zke?!l8@W`*qy19gyYo52zl!!y4ppuQ84PbheC$!0Xbq$nm1wPyb9OljV_YUFU^sXu
zn%vCrd;O&dvuf<vnxCRR;)xGGvma~$fuv}AAtCC*d+S;kc#mDnu)vlu@gRB^jIA2(
zpn>pJtK(h)|HSuyu&?EGqWB5MY3XXZ31Xa1{|&kx$Mmd9uBW9mcE0TBf|HWH7w4rp
zrAMY}cux7d>B>6YJtov9$ZVTQyqYnX@*|QJ*Qb6!)ZHndXw7z(zV}Nq4oO%W1jfds
zp2|Uw3puS^hlsiZm^y-qeAZJavqbHI5&$)&cUh|{biv3enW6Jas(-&AJF$XEj$r@O
zQ+#|g_`T8G{UPwro!c8X^D9hnRfM`hErPZ;CO`?ypo>Yh|11f?+>x5Az&4y;chgPf
zxT1u6l}o&SfYoKIeO5KMl~@#+dQOCZ*>CbS#|BL0B~_x7Om_baq&@*4x|2K#n%9=;
z-$qDv;V>W)JQ+7Y7lB;JsSGnX*-}&lsreYOcuA5v^VVnsP}&_YWX1{$jO%*x`g%Ju
z!)RF%3_gxAYWv0N7i=D*2~U+cJE={a2&m<im(_wbSVHHRpb&G_(s`Zg04~uo3W3hD
z9;sdtlMx;ABIF=?hD@WeqCJpPl<VXeRR3MD(iHHuP^cDJ*Zzur=;X}BLY9!2_Vzi*
zGFetaTRJP855KvVCG5pQ4__C@T06I)nm{_CA+ep>`r!PEK{_<$^DLjL!}I`Z?pX2x
zwh;5o1vdw2$F>103+`lQTr>zT@ePhs_*?9Umw_!g6ZCP(e@-X0iq#s-eEV0JAD2S$
ziGxy&k3^?1K)q}?1b+i(6g!wcMS;2A@c8K8N^Q+1zEgy)#&wE#4%iY&L!r?s{gfH-
zK2x#?izY2uF11_Da$T~>9{O3}-0_8kUY790RC-n*Da$cEW)Bvg#1Mr%2G-cZsGNE>
zutIIFEq&r^MZJIG*p{a(Ip%%#20bLZl`7$RDlhs_lQ9DiD*F0PAJ-`>qj;v0l_20e
ztdg}h{P}x6c=dQWjy<hB5<7z+m9?c*mgA8Zdoet1hN|QjZS<<02-)@D6H5-VU$8%E
zLfx<DmQ;jjx5k5CF<x9ZxM3wM<eSsJefyTmKQ3KZ=5+-hVey+9Z8pE9E#nGbeGb_1
zm}#zIZnbtP9x&^Y*l0<5<>dGr4h4&x+)<9uUi(z<&Ppj`@=*i&c4VLgnfS;~<h|$H
zvmh{ax6_9qZDI|dU%*Yk(y_qbtTU|+ahBN8ScwL#0A?yzqj};at|C}T>#nD$ZUqSD
z{K{!3;EZm2p%5x32YEUHG<2#ghIjXLdz{^f4Q68O&LrD&kC;sFV(jc~qQbeUPz~zR
zC#HXCCcB=9I^TUpglPz2oIV`w#&boK(<#Hc8Ln?OUoJPscqoDa=+rX&(yCrkn0$-q
zw6D`}P>R79w$vgs^_PLO|3{xE8h@(ZiX=|moknZfX@d^rQ(cb4J^4>k_0x@9HV07V
zQdjIZ9hE6g+{v-SP=xg_>2{01uES}~g}^&N^CaRJNMM2^w$iCompEc<z?}4=S_i(j
z<mx0n1h>5n*c=6WjTu&W5t#3IxTUsOLX~}qU@<Z)Rpe9y6`fKT>MCOIaX1HsiAvS*
zt5rb@GeXzw4)8k0erT1mlroMS>q(XAQpoP*-^9mWe@jVOc=@kU5ZlLd<o4v-od6ma
z5H(+r-CLTPd<@BN)#tjhbS(V+3I|$!6Wx?lWwp~Qj+mBs5>?<aE}I$al_r@FDciCB
zne*b;Z+_-vktBJDlsIwuRzt)!5lyKPOQG@7%Z$8qRx(0ODxRkWESt?6X!VyL3-AQk
zxB~MTf_xg!P|gJFeL8%~<h_+$gR_k2;)&(sTMx!FBs%7x_QCM@xSJM8M`FM)-}n8%
zO-N~MY*SPE7hX?l!h>(NR(QKKXyA!I+!-~Vr>l=*?3KF~P2hUI*RU$BPPWWST7;fp
zAyI8vYt)E#@t?Ox>^KEL$jpxETT!!)yU7x_!WZx}lgV3hkbc0|QVk$tBo0li&`r8%
zB{fcU4}k>m+hpd`s#kE|SLqp1i%Y=xW(w_wfzV~(T_5tQ_{;6_Z^=H*Q&yG2_htau
z{}*&VrO`VlW$xWE8D8igBoHXRkbH={awj<k8{OUn7fj_DwwT_iucT>AP<r9){WbS@
zMzy|G>038}6zm}c7|0uU_&0-5=#+WMY(&oceq=Qx+!h{UzQ7@J!)z}xKg;LFnK(+C
zzvYU?p-FrkhBg{Nd|qXM1bvdj__KNVJEeaA8o4G7oirVP7EeRw+iqAEB1Cuc2~f$4
z3u0!Zgwpml&Z<_<aBCuJbJOy6r$VzKF-+EW$Q8M1>}f>GQv{Rwm5dhw6&Wgh+T}=@
zrc#o?bLK<bdl+_NIY_Z=2(<XUCno@{BQPJzRiKDrNw5hUBDu|gY_i)H;FTv8>?v#m
zJp+Uui7Cq@0K<rxk+ucC58=4-m%)3QynwCLb&-dsHZEL|boQPTgKLGLrxk4XqbZqY
z0QXo;&G7=Be@|@&K#%c@;AE#)5!Fh7`>P-eXyUFuJ;!`rx?GaGas>&%uLUSd+$_Ry
zY=`*|VYMUx=16CkQfnp8!{Ms2NwhTO&I+vP3UGI6?;TgG_?3kNt}TwT#>iZ;zJv}L
zme5K~^TDb4;hL#)IM{ZL5OiYYCuXHDB0Gjic8|YVZ;f~e><xwH8_OA@dl35boGmpq
zap_F7St5IbXnl0A`~cf_M?9N?&?;$n<-4aJ7nt6*1^M;59iVh7fV@is9vmQ8mMP?x
zN6wb5*DuRtne(=V%!n=}1!vRJg%zr(+&<A-N9L)^nty%d9h?a(M1Up6mPMojTrhy5
zcyE{8NETtkygC?6SwRoHg8SY5e(@|s(J3E2o!to{V!%acA;1+A9F91CH`88$UVClV
zk)%q~J%;H)-x&4)uLGr+k9$}}+RlIuJ9j(3G8NV@ebiTvO?SU-v)(?aD)u;*f!odk
zwQ19F>zB8gpz1?I8w-rL0sCm@?AW6Q-ttTNle9C=4bxzDp|TZob3IEqU!4J{%;_Gh
z0Qc=Sv2IoeiG-^Jn<7^r(KgFcR}qOF5MPG7zs(WB_r>GB&R3IRxq@76I026>qhB($
zIGeKes=US(50wO_ctnrWG5ll1puVh!E)WQSGp!)6_tx7mc|SIhInHdj9WM`z*Q~;T
zDJe!b5Y}5A0rbY|z($3&kZ2P={nuDB6zjGdD$I~qUy#4j6RSz&Ji)d`9*I@GLs#WF
zpa!J^^2wLP6L$<%v$=Q=(or9c47e}^u0N7oKSAk6eYY}4JjaJ3VS->wR%HuPql8Z~
zb%*|^W3Rm_-$Ke&#c|df2nLo7*^aH2<1Xlcg*zW5jS_2g*)DOC0cLpvYx}0W_I#E6
z{Sr<q@pxv)RcxG)0<<jKPos+8V7{Kbx`B138sxAhdA*SU_rY0q%|I*|j9^*xE#cQ$
zFsiY#^9)<ep@Mg`cVQ@a8F7cit)v#mIEO#$sk21_7~w;rA}BUBxdoE^u*{xBt$5z-
zqg)QSne1UHI~(LFjXUc`liPFbw)vPJjspGPhCx6LZ{+JKrchCRfjR=8aj>@_yV==c
zU{mqR6{)1AP}HHJ`{f+Jfb?OYO|w+-=9u>(!%$r~p%QnbY%wl3p$KiMOGP$jEf-M`
zQNG@^7b?H$I#zWmzWBUaE&^b_M)G+_k9$t63(~@rH1^|q7xufDYQgy!E+?|`0;zTS
zy9GEhI?eM;p<nC9ouS~ml6Bn^O9%AR%7Su$zQEYHS-!>b=p%irVXHmccz_r;u3X__
z%W4<l;2@EI8)p^?hYdU<F(5`p4sGU}-f#+xl!|scR$4^KHm!0Ay1((K?foX_CoSH)
zX4iSXQ=SphS}1((=QRGIdVHCm!RbmLQ=S^>Q3{Y26o`$dnG1q)BjlHAw0*9<$q<O%
zA{5P@0BQq?)G}=F(b=*ukO<7o3q8n%9;i4|K)QA093$~pM%3#jXj45VMXueQ>0Xw0
zBl=)nZKWFDF}1!P{qYa%q>t_Egpb>{5E%rW*Vq33c%&5#MNrDqk7&vOYRAyU=6)wv
zph*>e@+|i^k*-P&A7+jrUtI*3*S=PP{qlBuz`#x8?Tmra%70$lNH0X7uQ!W^Yqew*
zN+xv0g1<#&O0S9a!ZD@#PZlND?ctDxLEWb3^A{QlPZT-IxkbT7x9D42Dh}r}sK4Ok
zX`r7c^GGzcsebrw<x5^2!9}0SO+s(?H_B_@+`ysZLZju=54{TazQ(@zvV^ddg~*Gi
zrt-e&V`i#LG_rD|3VYoVbhERrG?a5TT@Gf*&$}3&r{rT0uiK71v90J?Cu~|;=nVJy
zE3@Cf`Q|A0CcFn`jD(Qu0_u8V>i|_UtYq!y=Tq-@`k%pgmI<*Z@Y_RW3VsLI3H}5V
zVR1lCR3JwIHqogano5#$_N+G+o0aZKlf9!yboUJ)UL{f&6?_olMDEkZKIqu@vSPMk
z((4fbOI(>7z&`g|c5H*cj;CosNVc($(m-FP*hvq=$*0l9D^e+MkI&-^i}rCyX030y
z#hwY>=dres0USN_enI?UlRKGiRqu#54nhSAOkc!u##TN3u5?Bj&}^yV^E*JmGejk$
zKh)m#MDNyX+Jx$8a}wb(71lc0)#NG>lw+rMst0e}`pcXJKvdPMhg9sc(QM*b5=*|q
zgPQb;2nlC>Be({RJT4Jom2{vpEN;ZUyCXXWi4%?>7k`3<04WQFcvZOG@&NZ2$g_om
z#r)+D#wnT}TZeo@F20Yu=vEUP^Sf3ApFZk#xFph%@w;mIM)OD`wRe>e2aRe=Lvp_B
z0?=**y7d$!sl5|3Q~RyRvIR<Zc0B`Xxs_Opv8P<nRmdhLLlCnd5?w}9(p-7Nr8&VA
zfowU<GKDZ}-fIe<`fC4C^<HACWVQVO{PT*Q$(xwn{FH`^tdzp9J-6e|2(r7}pn!x~
zY-!ZvWiKGouTa=bG4{q>ja;7GvLmV2S(XS{>V375M@+|-mMv2nW4{)u_@}it&yfW0
z7@{=91pkKt8Pn@F@Gtu1kZ07@&>zU-&L;IP_YAVY=b3}_uT=bgk>52(ubd}>gUkLP
zFY_>&C0+~pj*Gib!hz>?#ZG>i=)QTXAI~Ou4B?}@V5a&t_{c0PG&>})1qD?<IlGc#
zR8kBt3`L?{Q=&P}3oD}xSgs^>|MU+j-7?U^Z)UvX3RG?G49h19cfLqp@#aKi+$(q5
zN3tPX1lAT_rhOUoBC~L*2P090Zd`X5bB^&#{+c-GR$2N?tpN6vSewXu>L=DFfbrAF
zUDlZ8RgO$Vq~$Q>8+<ubtVLKB$E)Y*-k&Bk2<TYtl#4k@I1S4vib8|%Um;ozYQPaH
z0mB3bepYl%mGnm(!;GBf729ZnGe8Q}kTx^xZ1SR8|FDN6zRW;+aajLWdjj9{4c@I4
ztPxi2B#6URU*YF?C|mFt21Ad5Fs_I7s!4+@wSbHI#2Emwa4<c_53-Qj*(Hh{cDWJ;
z6QG%tbf1*kZ=^T<?<iTa0Rp}LAp&xCb?Yz(Cbi=h71=bM;JAre*6|MdBXMFYUIjY`
zsg^Mqp`bsfzs(DhEem=L{JEe4#=Ch@XNt-6m?Gg|GYPl)=UAYfu7A1(elQ`w?PezB
z=+1Hn(~24cVX8EC6wgnlI#~gZ%)5*)3JXpr!xJi8#bUm5Po6CuiSZbNxXISp#`(=!
zl_%@4wm()#_hDEs^dmY-ky3H?|D*eO8uQFG<P9>@5Aixv&_`cp$O7@C+uZ#gxw$Jl
zd4zT=7dJ}$e2NuATNZ>HpRdX9BsP&YUZ{2)=24&}Zl-m^4Wp-C!9n4KL(rkzt>jp&
zW8tC2KBuou(K-?Mc;Y1tP8z!QLNoe$q^Id{H2f^TOIa>hLabKrWa<uLENKv!RS(De
zb#j@XNLm{OiFZ@X%{vVQ;t7Gom3C%?@2Q8H3Ye3W;CqneT#|CU)CO^5D-{39R4Q&W
z;w19C{8agksMf^$R$ySE97OG!0IdTh17D)R7NrH7081dMGA#_vjKj6_fZ0LU?7%Gf
z8T-R)^R;G9ICgLy-a+c~+FE+0<nKs?YK!HNr`Om~(ZrNbo&Qp&XE2OHB*v<BB!=Ac
z0|UGKfYO}6JC)O0a-@whsarvFEMfs#i`&9F2&uKt{3=QDh7{mn55Vqk+}f7iwniEa
zw9zGAXhbFmF{jm?xEoxatdnXGcgEW_KDDkq{WxY&NHUhELfvdDZYLF2g%KSNm<1@8
z3;#^Xu*vl3gr6DIWwVBySB@vZ=0tUW>DN-%8{@4CF6slFc^-8>V>w;XP7)v`!r~t&
zUu~O2bnu?kkJooov&PmWVfa$S2gHD4JAcC3^d7!Zda;%7|B*xx%=+lTdluP1G=7|u
zCy04(O7sK{I9RQIA&wY5VBsrg4%^wSP0kRBuiisZqWI-P7e^nk>ZgXb0Y&VQ?>#Y5
zMoc{+1TIL4_9UQg9uvPk7Wvw%u(1Uk8hu*5YBLq0@%mr<o&OFg?UKn0Ai@O7xJv?E
zOhNqRNv#IVl{$3vMx*iUEst3gVQh%7F4!-|FRC1;Wdh!qGZr6<brfrNyVuw7l2z|}
z@WL-9i@cJC>_JW@xNtYzHp%{+j5pC|^E8b5aCgC@_E@~n<-&j=JzsXh0zo&KC{|Q=
zYgsa?ZtN!J^-*0>;5#Xot7=!I3PqSRlal%dh~Km!2{c4i8*I@>xfwd^kIWZy&!1i(
z`|yD=ibT*qtqFzXHi0g(^l8{X2i?t~$NEK3HtkE|v8{Ga!I|i<J>1TkwJLOnv<kGl
zAscMLKy)Fc9xG?~8<P|ysoBnyDQp&4eh?|kEok&gdO^=8E;9_o&Ka|d-R1ocY6XFF
zFJM_LoL{6KfOgIWdp{3<omh1I@4lk`Z#wR<#E2VVVWuA4;Vv@N*VpS%kR<`c{Ck-Z
zrzcfRc+6@~cSi*SOy!SzKI!tzm@mYTZLTvvik%}0O3z#k8wfmX;1pV6dJHr^A74K7
zVDn>muxt8`v(SL42Q4p-`m};Rmy+y)-NtPF%)=J*iz|w^(t<iU$zDv*>p_d+x&eV<
zNF3(2nvF)_wNr5YuNveD=+C@SuL}tp$p&Jqlrv8jwn{<{57{a;(?;%M;s&P>i7O!$
zt|DMG-}ae*o9-peb{X!Xg>D`?qEzi%lE^_i66d9_n@W+x28xD<>FT?!81AM2b4<PO
zygbzSdcH4?gg;JWaNau4I+;}wW8MOV#%m<&7xjzOCIjo$Iwi<Q_<N3Qv+}ZH{oeUs
zm*@+Mi@0un?gp8k=7^z_vO$(*?o&JIu8p8Wx~ypx65c8DFYy9$H+P4Uz#*;I^#n*b
zBB>vVxyP8W5cJ|K`5B7by)kXE$=c;qlRC4IB7fl1uEb8wKN`u028{-X479*UkQ1_9
z!HL;q+(gXPicbCGOwti?yV{Ytn?jB~DCPOvr;=Zl(@lYSTb1AvYLpW-s*zNqi{BlY
z>cVcP(?N(m9LH_U_i_K8s%$=SE15Kp?lXxqaox{9+>aQ7Am`>)g9hqmy9|PXk-Y`S
zHK&$rW_((1m%B!1@H&!CO9)scC$<E3Iz}Je4YkXiqhD@Y4Fa356>57QVaoA#KI+5Y
zFF_TV^UL0(NkFNK6#Wk#>flP{sw8eula5EdjWz|zw;Eny-Q?359b*h{_b*9Ybf1O>
zb>+2zgZln%6-e2Yj)}}~?D|f(GLxudcT_Jp-)ZR(=6#BM`<#hhu?$nMEKB)r)srv<
zkd;AjpS7Nl!nkwpkSi1iuk~sQ=B}{D%PsgNXQ_xy?p)W>L9}8R$zSY>eyyvbzk||s
z1(SR8+>Qt)4VCbqKxRjF9DA*X*;n)5j|XrIreo{@`$HSXdujLrlWu)2A$Ii*HBZ1}
zg<n&s<R!;l302Rt`)Of7@GneXNWhpTj~-SvIy?x5!F7nvLtAIGn$f1DvsCVwy@(K3
zNT_0@+FUPcIZ9z?f540>sKES<j7`!~$$fICvrhZ3$s|YDaQ{AJTZPvS!{T|Ybha>c
zw|y^w{S+>cqg;GSPZ*Ye86SBS%fd}~KDlS@O_F77|MZdtpgng;(BxAcTM5t#;wM0a
ziL`%#Oob<eAE*`5(a4I#Oztmux&Zf9s!0yFFsgg#tOL`#(53kM)RRm|iGFBP(qDOf
zg8ba-fVm2fwqp!S?ug1p`6^X+VBy_j63Y$}?V88H>ZKF3*`409sOyw_u<fD%c}-bV
zGx0)+C^m^*|B)n|Lt85$TncvG^jI||%MErg0i%>3{|$k>Gf2B;V^Nsr*W`1~hYs5+
z**gIKV$eA7=lEB^91voQZ8O*le80}$x1E;l61Gi7MY?cX97PqA8cGn3af_^^FdzbC
zC~e2gVksXMyW#-D-^27HW3dPea^bZmFV!xqSY-B#E}vf_tH||~c>Al~#VhF7CyS!O
zLXIN9zy?!|%fuyVN#ZZ4mit{VH9v26Z<c`o9a3*^uX>`M(XkX)k0)w{_FIV78JA{b
zE(CmeALTLdaUtIf5V2?CoZ~XPz<kp&HNV<8>5(i-acSDWEB#eEVk$U)92oAI=+#GI
zC6x>a*svB|ac2$WG<3o_xMU%DCgC=0ulP~d*_Y#@L>V$MvX%}C#D$`k*|<OxV}&H_
z$l)T??g*w_<){9L+D-q{0Og<Hjar22Lb8)5d#;jKDojU%<{&93lR_&J(b!VtBQj)y
z@);Bys|z^Q^)ulgLSjF;`!Zaa3n#jW7Q`F6D=b(L4Vglg-DX`A*-L7|COP;6!ano2
zZjqst|C($IfAb>%jfi5*f@gXFXiW?5e_b$U4N*C^mglXqL~UVP=KGM>K;xoAZ`5-h
zV6%f=^er$zAvKZMS6vra$z9SihhGZQB*i&=&vmu0>K8{iwM+VV=)ZXvHT<TcdvCQ&
zQtCV~3Tlx6p;>lQ+gGd3ScxQ!-lLUbr2OQqf}B34sOHImb)ux_i7S}I(uUMg(6n>X
z3u1#jKN<P9{X!d0Mb*`f3A0!+?i2~ULDsDzIGw-r)7n1g$}V63<tbzKxU?n+_PI9g
z#zVpGB<(n1*ECj(W?HlCTDBpB=yFXe*ulONyt;4m4i+GzY{9O_g87nE>7}v*E8Dv5
z+&B7S?zqp3Sx+a&=lX+u9J);BIR{*#!Z#>`AYMaBYH$cG>fEP}4AAcJ?Vy`mNl#u|
zhs=5#_WjM)!o~G-n;v(gpSGB1gC%9~)YvHfJ^Y9Bn<SPY(Q}w0JCO*!G6a&@V*-_m
zZ>wZBn4CKZZ~p2NkngcTx6C0X_g~W`7jab5?}V~6c|-Z5j`)a_4BvZ4#Arg(Z(I)v
z!9;rc9KR5|3T+n;4FCa9?sKLV&SY>^VP^-_s^6h=Dfw4Y0m4QGLJZhOb~q+`fyWc8
z(_Wx#WmG@esCKU{op)aJcHRmK&m0TA-7tqL(!L;j<?g0ADp4WN>mMmaWoSs=6Qi(;
zau+@KTj%P3=f-Jw8az~C%G`5PIg30mj(;We14;d%QJM6kFN+>=3$hI3(rL}K`gnZM
z0;)I$AJiocO^}<c@q@r>VfdggMmzBXCQcR=#l6%B4s9PFyVexzqKy6UFGAiRUVUkn
zaE*;(6U5)D)Y9>w6rYlFJBtoE#XgZ5+IO;`=}a6^LK9N{?TA{mKBSPhu)S9a0%z*C
zI*RC^iL97Ww>@;=8F+Cmv(Px>Qoiy@JbE=C(FW9Wh5H@13D}l`NrV&B$x*uG#(LF&
z4QwMY4Z5}1hklT=0tT_(h4}SeoyK6k;2Ok`#ZVA<2?Y$5l-EW;8VNn}tRhFJ@aHWZ
zTBl(P<cv(=611u%NoMO2NHgHhnX8<ci5aq+hWK}4O`2$u5<IlcRq!m`x`k1&U}pGN
zgk^@5sbNYb<png(@j;exSi=0KvNaO69fQmiQXUc`R3PQOH5*I*^;9swUyL$##{z{X
zVGMbSE)3XystS?4T>>S^?pe^CrAnqRz9SN}_Q&2qo|}Sp-vkFjlo<^|PERWOM(I>!
z)B*b_%z;u&@s3U6aj4&Xz+0kuSUuo4FBxn_1W}3f)G6X^CbaKwALK98(<CDy%5)dO
zx<;R!TeDWoT8q?pXbNus2OFu33hm70&^nADOPj|k@mOHL3wVi35E`Hq8Ev>ls9y7j
zf8I>g4-5Bp=#ifYyJ95719CJEj}MA;Y+bDvz;xzn{y;ji0KnkeBFdu|Urp(LY|4m_
zfWX#cR}z9m!<a(yzg!4opPZop1fSd?`oF@mWEdvooxbn-?~z&uw#^E^3|TaM6hnw<
zx@K(2@Kw<DGSZ`6kWcLqrev?|O~(z^mf?P3;8L@pIvf;aRE_VGUt1Kc&?SG@JgI$6
zm_)dgJ$9qs^7c3>8fOsQ2Oo$5myO2A96m@Q7#|N(heKw!Z~I}JG4?0KMXag^BPh46
zHMkq5AG|SSskGP_%wSH@z-G~G=QHnCaNrbAqM%;SVO(eOKlOqs;ujicB(A>)6~4B>
z#Fan9YTB3)D0W*Ai5BxKj@SLo<u6@8tSI|0o~dIt0hwqo+n7dH>tuXo8lYA_{I>M*
zU0Y0C*zl6l6MFC%NTYcJ@dvAQcTw6jbyzWq00odZ+Ao;lo-)chOahIjy4q)Nf`tE8
zNFyEZdvqO6k{AQYJL_fZK%xw)FZg^!BoTYOFZ4uUh<8M{X{7;T&&+vNQe*hwjv2=9
zy<piL+&JknYb;#L>S7o5c`je+?Nf$doFZj5Y;;t@hV>{T2muU>Njkxn?l2myLiOUq
zEA}}zDo6G*2sN&e`!j_<z{uB07t|SZS6><hp1Qrtamn~>7OHPIivfLHk5XuSyM_<z
zOM$dHtQmpz6mCubFbq;hgbKmW5_i5$Q*k$(Tz2bTS7n3%7+<gvp2(HEY0G5x-I8s$
zd**$iE|K8T*%|ztFl7EZg~j_iBvrYl4P+%JC&V^B-E!;kbjyEms}63y+eq-&=Y{G>
zxr}zzP%!F@R`n^M9Qt;oj1HpN2PWsV3vK|{O``EUyUw=CarzcT5gozYO|XCT!Mx}a
z^bF8$P}xdM&ApGstjBUhw`a&>VdfS6==;?~m61n<4nQZDfezT%;I)_0RyHsbkw_OY
zpjF}e`?8_=yjf=VPM)op@1Q%$6l5LzYLKgG)$XM$dG?o+UI_L6*;ANxFXbA0W)2M1
z%=W7p7&YGtW^e^ThWsL<!=0etA|Ap3Y5;r)cSsDsj}8nazdz;<{Y@ywDgC0)cMf40
zxDQ^zr=-QABVRfc>AVU&awlvzMXzMB12Day`i#O++Bx(1hNTRlh-Fx(>b1c|e0*%d
zOWzPZ4Ya|a%h&j*S;*&{tS`U|pm&2)b+P0&cZz;qp8`NE*k+Fv$5!^j<~|s|LZ+HH
zFW%@!1M1n}aBSj$I|E(Lf)I+T=-ljZs_B^(^J4YPBvSr4>hVxsV!o{HpUj(Ci~B6`
zu!0|9a&#YxDSZwj8NZPjVItCdJwO*Ev=2aJU(54fvT<H)@kgh!Wnz9!FoApW`~H#b
zj1j1*rObrH$z|*^6<#3|B$CUc+xXw3K&L&;BPUq3&bd5%&J-LJoRu@!$ygUY0mpw+
zsBDA+F!QC<-e_Q-%UPdWW}aaGFUOo07z<aF18<p}=t=wBQf=CMo*$gO!&O++<fbNZ
z=}_g^+Ln2sT0AkILQ=Y;K}vQuKQiCJGVS4Av^RZ(@4E&ILvHQsd)wrTZ9xT9h&!<H
z6Cy)}h@&;Ba>BBmAOCBSnr2l~7>Qmb=wx#|^nKgwtfYn)O}#2}HmtQb<k2m+Xyn+d
zK+ZxBlU4!!0RmbO4zZI5!?>9YqDyrdNbJQu&Q|{=a7>ZhHoxsIWXBS^5;NG!Qqhu|
zs}vUE#2+_imPzm_B7<T*RK*_L*qdDGqSRKX$`2&@CT8P?`=P`77Xd6r7x$b3KA&}9
zOyIka4tVs-%X8+0EZNmH?ap)^HB1Ea{|Ia{xjOV@-7ecqw{-dx{*xaJqhgi6Dv^>H
zh|S*JDF=|gVA%ZySwvL7Xs)*ElA~h`Mc|#bng97~vIXhOvYpHLiz`O0*AAy%JRjUy
zJP0Xq!W;ui%R>xYDz$r9D*~XT<NqdQ9Vjl?>*c6TnJKmNu7UMBF!0u3Ot{RBzF(`D
z?<>tGIE9(33a0qjG?tCTr&zGIJB^r7rQmNs9}EOCnA{NP*EpZyo7It$5&U%O;Z$df
zH_>Zk<|@l++qFGah_>=)f=)&?b}tc$nlA&LtPepa=z_;jxZiije}3$~F&blKBlb(L
z8Ww?3Jl`{*;HKg3Se6RhyvtlBYq*1)qGz^ZPH)~UO=fRnT1Xc=4w#&>vh$d~I$U}T
zs=wO0u<4p9#<k@S`97Mq8Z!l@6drlt^cMqGZWbfy=o|`!r<**OsS)-^`h%W@oqsTb
z3cAHzO!trFuRW&LDj5h>V(#CQOdsDD*%bHws}}TJ-c`)zujIZ*-2z8sL-6rZXPBgz
zZ;HqV`ma(VBb+!P&eGtHPnTHp04$nANiLgUeBP7ugqBUS14wvvpKEd?OA>kDnZL&N
zQDf(p7F4YNt%NPU)*pAXK5*x%leu%2GDxI|Lqc5IN;*Ah)EkN5i()2{TX?Ip`|Eoe
z0YN70aRBDyLs3AKkfObDv}#GXHxk+Xaq%32xa30Jn2(Q#ik&Suwqr#^g~>ZE{cE<P
zxqiK>5~`1*m!b&>;E0`hb~wjVUr*QES|#X6LELyE&sYRLvPt_bcp(QT@+sb}Fm(;>
zVCnDP2-<xSZrb==nKeAZOrwG4*y;^Rh=z?LV32#n_;<ImwHQGcM0{#AU8?N4H3pHF
z%KI&F)gu7Qa8TWSflT^63`5^e1v_ft1IffyGvbThtX@InC@)LPz58_7aVz=C$Hmat
z;*FfG7_y;$MceBw-&Ma&+zWSCmB2U+_beb^8K>9cQJ^$T-`g@kHvz=-tQS@_>MGCH
zzw|?KDC)5b|1cxEiX|}_a7;aJ%x!;x63(8Z-Z8VQ+F?lpl7QCPqRfm5kDW6w@d_!&
z0o|Bsyh1-6FZm}e#kz~LmdV{wkC8)|v+&y&m;0e6OrcD$$)LoOv^8smz7&<D&S6~>
zQjFvC!5`ND54fv4M!INz*l87Dj0lg7A9KXXUSSJ-e&*w%y@V6@mLQvTaWlc))JOgV
z<hGpU+7>titIiN5ao~6&%UKX&34eudH)~`>lF~JTo4C?MJWH^ZKW-C2<XEaRu0T0Q
zp&D|cQS%z6ad!4b<`$#Y_>|Z$F=D~^-P-YMCbDXGFBz315wzK8&9&YKx?3iprS)c{
z+}||DpV`)I`i=z{JQRqN=@IdMXsm~WDjY7zZ?*W>0I09P{%;Vz?hHA=7k){dBn9yM
zAE2G@QNfBEcD-39#usvOr}W>9kF*kes|AY<ENAkrc71-Sx+U^?8@pFyoZ;sJmyrJK
z3|d7e-&A-dA7%4*lbL^1f`g_8>1)iA)3CS>a9KT3SwhhZ&fa&zExbGcnbPF_0)SaP
z&siAd5ha;ksYGMuqa|zsi4dL2#haM^uw%~$xOV4T4RqAF&NqWpC7;E+hR4f%1-TA@
z#o~D}qA5QmRH3!yke0c)F8;G&_w{J?8f?mc1<jd8p(_&7T|0gxK^sQ;OtI1Pv=ST#
z>+WGi9KSaux$#AHhq*k6<Pj>WWuHnZUTXm=XGHbz3-@fA0Tg(c`IC=|SvG!E_!GZD
z!r8Gq=JO=r%d~7^jjlxr-9n-qJMq3(LRX5~n`*Y9HAYt+k@v`=FWFe@XOlXfTo(X#
z<D_SFAMNN{5FUj>wZg(*tu8nGV{d3=@nlVcuQ_@IRy&ecH%SENs~(reYp^i%i6y~l
zbd!u<8pgT9k|jc)4Ysc7V<jpeji0p`XUt8{&JW5ykVeh&R%OTodkEBOe|h36(cAIw
z;V}EsDaO-^+S^)m%B0HPzxeG&uW^X))2=9R0ltWm;GvK&hYl3)mcw3nHNH@?tB91*
zHZ2j1cVw;}Mrd8(vk|FbMe&GUX`2jn&rwp^b1LI@XP9p2AB6bsFL49iy)B)=(&aP8
zSw;ZYn=GwRpfroCOXK|O7d6`jwpxjkZwPw*&xa=!#IRfAZ<yeMqITg@R+lHVx(;}=
zmDl;yp=RY97kppk-U*R+7PyJ6XWq=&3I<>NbVw05B3l8Y2*pIjDSjbTk)?%{hBOhb
zXH>ocwSh0;%h-*vJ|Q!kpYO?fid4uCq_`l&?N*D#&zLb9LfW>jZY41u7+cAyZWgga
zRIJE@QAEB|C~Fa|h8Q&DO>sn3)X>k|+#1b<PI$2RTaxr1F7ydutBkS?ZC-Ef7EEO~
zirtkopYF@SQQ}kQ?f6oM;;nPA&`Mpr>}rA-w@YYZQlyP@<PyCxOELNAQq6v4&qIPO
zW*PSS{hANR_MuC4m)997aGAs6VuyKZVB3)kV%&&-77kZfPy%JkMw8y~tIfgl8l0}_
z*$S-m$cKGISbu;Y=u<TSH=kH;(jt2Aps%t7<j#OQsojcBU-_nYhfAZ0Aary|rA}IP
ziB0>H0GPJvBNbnS;I6-;D==wJ((lG+O_03i&SX&jzpR{Gv}2GuS+_8xs3qsa9PU&B
zIzKcJ@zyfWK6o*9^Cph<?M2aNSQ(IaT?SVU-0%C~mur=S4+JdZhUQOofW5SU2WPd&
zya{;lx7t2OqM*_JmcpzLTbe>u-2aFswZze2yap;gm%P#!to%|`nh#|cAbgtQ2Ly|E
W^0cZ_NuGB8>+zD)))GGfjCNPX6~Ima

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control_small.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_host_control_small.v
new file mode 100644
index 0000000000000000000000000000000000000000..0f5fddeb44f9f316f95d4c9c62c1c7d03656013a
GIT binary patch
literal 10984
zcmV<EDi_s@6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Uj{*_S@Xc`@@
z5fVtYYS70Z);HW5InK{!0H(IkASP}6Q+la_Xu~KSbS*5UiqQ?vLMd^XD^RSr<T3XV
zfgqM-!8PC>rSkQL7g>YH8&5BREpq2qRM?B?ZSHwb$Fw`FEm<07hM`}bM$?$l53TVZ
zR3F^dBH`$BFuw7R+IPR{Ae#HOv77-CF>yI9#FpX=afX4sE>c$;d8ZA;E7Pz|9;*M-
z^Ky3ryMMLgH<I4EQUG#nFKq`wSk$QWjFkKXAOE+DG!lrrs|y!Qp$V1!QcZ%)+;Qfw
z_w@IzQ&uDZP`raNXS_1p`W(6Ia_Z3OI9wd{VL&o7>J4gP-9en<o6(G<l-UHH?QdhZ
zlglba;@DthLR!I@6jDxc<*GLwrYOMMJi<72uN(6+GC<d~zO*)PHId#foY&4cegzGB
z444Jp=I`Ah1CV8(2>1W+NRNB9LVg+11P+BoM$6%qrp{KIh(f!cRSry<-3f+oFP@a|
zov9l5UQyfgR-ll&`X4zLTqLP54G6lbivu!r>)3=*OUgJ<U9*VgAod<*zrdDoTsb`S
z1i#^s{{&<iHnHC_PI!c@xzvfQ;$TY{u0R1F<w@Q7e%Mup?6iwUBaR{uf%9__93gw<
z46xuy;*K|N&;ejGG9|0zuIf-3!Vb!};1bqts_d#j9XMBL=s4i`ID7B}u<$!YDwWJB
zPB}Dl$;v(6OAT5Kn6Uab``>;6-N5Okx_SPn(5sM{MNP-+XE15}(XA<x6FEbZz&>l3
zPB2Ue8fj{8-|v2OSMEdnG>N#|R-0+x+coL&!xH6WIFHABAS#UKxcRC6lt1S8AG%sA
zQp9tE7G$+gG7BA^e`q|q8uqc4amv#*9{A-?Qr8z^><|HHknjkUkr>sf_QS+@Tb;ro
z$8nJiFFVUk8GtA%=W6()sn@BjN8->8+sH<q@)VK4qj41wzC69hbFtyJ4kE$ACHlFh
zo5}wo;PQ^dn#`lJi_Q@eO5WleWwi@{TaAk$)XMno(uD*Mj6cyq1t}9X&sN&|T0P_{
zg@fPo^g_8n3j_fJ2pJ%N2c9G09x#D)uhiR7Lg;3k^BG^YXBaYx#0u?pOp-r;=8$7C
z&mWUSoen!K^gH`kjoyV^iUH8B)F5EFU~|zu54k;77@Mf&%<yu56jyU5_=4Vc`*LOd
zp>Sx0L+9cO+^)s$q9(3xoR4SFw$-Ru-Ic%sN9rAzWd!>}=6<O*9@9Lxi}=Zb8wg;0
zP7C|ctd}{+g~r<@4u>s)j8Ychu|}o>NqCgWvGLu0=c)X$GUuinI4??B1t3IeQ=sYR
z_~)<!U=!@YR-oua@x9$eEgOE_xWwZJ56T{zjxqbQO$DWlag;*KF4>YEh)HjahhP-1
ze)w=CVIRp{{z7!4FaM+vwc$c&fH(Cc=b3}8Y&3xJkgbURyBD`GPyQ^y6vG~k;o}Il
z<0b<K`0bj0(7c3S{%q*dk-h)}9^Er2*hB6X+GP(qlM@QSh=i<SX8~aMGmJx^U`mip
zF#E`3QJc-uW(a|}Tz6$prQR&X>fg6d5hr@8n6$Sv3rI~BJ1ajFqq|&)t1EadttIK`
z=C4y;>(?7c{iG^hwKHI`i!*|E6&0r%j;4Mf(rV@9fkM7;qg&PZv%WtEdM3QuxVWW~
z1@rx@W3ML8g&%<ThBrCCsP9qv`rU(icLq}hL5T48s+GBIW{MY|T}4Z0EmEEayG&-)
zM;yZ~FLJ}}W>c~FTh%?{47SHNeZA1ZAeJ2$#T7G4VY(Lm6@n0u8xU9iw&(qD-?|J)
zn1qY-PnKf8%4EuF>BLC#Ul?X81N1*Ujc7X15we0(|6Q2=t3XYI>j#iH5G!t&4VqB(
zSnV!um%U`&Qwirg@&nL3(siuZqQyKTMJ5~OpWGrRo!U2pZj;m^Nl?QOnAF`d7R?q?
ztUNS!wrE#SMK1l{NtDz;*ww5W3+)tM-o}?E$B-Za?s*?rITc&aEusXDQ)aO1VxX$u
zjg_yQqCq|zYF1q<s?f>xX+<)5uu+)}Z>|-Y*LCaGIhZiwVCriOa>GfHJSv3<21)Mo
z8idL+0}&j7(&FmAl+(PhBo5GO%0PUHzAV3DY76N>eORGizb~W6Uxd8VUY6Hfm!Afi
zVVhC=|B%Hi$|KH3^=IL~d5AmjgLwO@+%FFROgj@}oz_mU8YyH=LE3+<<|I5z0a4lw
zWayp2sPLnr(dW2p!J>BcsBa6(N^n(CdP1r55W7o>Lr;Q+a|oMUu?9DH3W|vE=Om&i
z4};EoT%XOv=yW-&8@A<I>)WbvZO~o<s?qv1vru8@#Bqe`O`-EyZ46|M8=o_}?~r1c
z(-ab5qfY9dffoMFnHZNnCw=#PlM%fLY?^J>3Byzlj=wT&nIuHn4Lx`@?iM;Q55S>D
zxF}&{PJNwtQ{{U-_=lA6nIwa-c13CI(9}<8)QbN`rS~~GMzqBrbOupf6_<>WF8wv`
zUh%b{jAm=&y+5_~*}v<eZIHWle)yRF;nPO$lIO`O0{RK#nFE`woT;$fx#b0@1$)iS
zKzZdLRFg7dS$AF4r5j5Wv2ce1h%^8UOS?i}$fwvGi@OYw!ACo~*uE9P@|emt5QUjx
zqu1!VYzc7G2yz`l<a{iOYU`ON_>p)^uLe)*qoT4y<Pbzvj~$_8isw^xTuHnVvT3%*
zqc%xsOuR9)rVItpkBNaB?L!TiS@5DbCn%zC=GzW*^s=K1s-LpQExQimJJoNyi@r;!
zaEQg<Xtp_J+6CUXhYz^!HWGp=6+%h418E`o>rA@;-b6Lvs??AZ%cP6~k<Mj{^-?t}
z4AFfOyy<(orl1B~{fBwTS?8Ls1+;R-pK4z4!fw7InHPcnmMkm1VE*6_5d!OfnfN{-
z28d~*NeghLT(rj3H{dT2(2fRs3#AG(Y!DLc=lo{?ruKSGi=2mN?%?)}@HV#BFXTzk
z@s3@QlKvoHeI06gUeXKeginh;nCvC1PG;&X<QZDfNz0m&EIQvfY3VXPKQRrm4R3Pi
zg?w60^|!nQb45MIUbCWZorQJUJa-%W)41!n?PGlRNnHn-AKS~2wjve3Dk;Qs<gc_N
z$v(g;1nF#$PGrnq3NZ+~59sLW8zz(pP~*8b?6D%Aq@Z*rc?Y~vfVY#cfL~ZW-6tDX
zYB}fNKPG}0bBe+7Oav-t>T<OH8i>Q&z#Z2wUH2n!SNFKFpx01VK+%~R&<;fIvVqzF
z<U;izL8rlf@5L<Dn|?@^a?&PmY+<D&$?A8R*McN0OG2#n$}?offxZM9ZoX;Z&8mIW
z`%4?u5a-6NLHTuq?jm>hPwgcY=Wh0T&(>=8nr<5;!Y0VyHR1~D+Pt7VjStuQS)*9-
zz}PWWjTB2MYJqI=?c`=zNO&%S_%n!~UHF0d-JER@S-(Tr(&I@-&)R1n_@;!V2z1Ek
z&wSy^Wr!9puj>1Z{gRZ=wT)U=(OK(<B<$k+KL2ZuhXOa~olmOVrFZc;#cK1(eu___
zmi<njhuax5>AJD?*ge}m=12u~{NU7L7~mnOQ7%xCIWG^by#cQBeT&KR&Vq^xo8n;L
zCOzf{rpxE#h;EJDFXY9i`LlJ+LhCIiz*G9lee8Swc#?CcZdmJ2)H$M(SF{cJA~6^H
z+}=ixUjW8_)Q3-#d>jX<xKf!&L>x6jsB-785J?%1NBmn1G@RZSzUBNiTSlg*)Rs@F
zsw)N%HT|1`A6CYOXv`5vz^par)6ZSXEF${9Z*G#!nTy4O)*(pLR4W6^*B<&_i%2y7
zK$nw@1PXm4*Y&$A*N$7;fIZpLneDlaZk<Z7h9iUZwQ=bW0Pz_3?{w4Dh){E4LTuql
zkFpKKP}Ff^U5nLwm3G=is;Sp+OUhwIoYj+0`IfmXBf#gCBoG0k!5DhzU7yTv$ZuXi
zF4sf9uYY^NZzm++${sbwtdur;d$H6R#V9B^vJzdpqt~cGtSUm`By`bAELsf$cK*~F
zVOK$$kAB-I78H;679BjeT8e+vCB&l}q8d0DXQXQ{E64?h6v^O{xAm7#Xq%CufAc)W
zu{k$OovNZ;x~p<{DIoI7`1bW90YvwuybR>@lM+3PYBZ9a<)BH<vX!C7SOSTt(T<lb
z5Ye3N)YD--e$JXBJr@$Nx@HJDTzSucUbQE}Sonyh^S9T=_v0R&JgU(%@2FS2Tn-b?
zYfUuK_cYENwGP_T_#~eL>N=aag$nyjFTmQrTolvKw$Mn>t}<m_{bz$B1eA#liAq>*
zuYB)8%?zs4{e~{g5JcA)Gw}wrxW>}!4bNY+hX%B|gy<PK*UBZuoK?=SSqP_#34N%;
z;-azWoV_T!I;PlqEY%*BqI0Fm=BB#IMklgM^X@jG2TjUI%Qz{XTsZ(Ud=YO@=0WJD
zj%(9Ye&0$N5F*yis}M*aR?yra^EiX^rdt>Un0^ex<N($Mxi7FcZo4biAcXH;BK=Wu
zDp>_099qwOci_)yAHw+DG)gmKM;Ve@RaWX%8f0bStzi$LHQ!0rL++f0PO^xrz(H#q
zv5gOke7JfbmAD%u7%6F#3P$n{R7`nHZ<_1g4~R0uIE+49+Wdzq$3|JK1e_1h;?g;z
zRTNG9X!*bVGparb{O+tcf+PmPgve%(x1reEI)zyGK%Q=fh<tKG7RKU$lVt*Am_INI
z3G~R0j{}AD?`*!&#2uR?iq(5^Y8LPff)Jf2gNy4c+SC+l{}qOEfIbaa&v>=`;gd<y
z)Az#Ib;j)QgkmBV@o<cuEu_HDaonGDqlu0PLWGu!c`EDozqZZtV!Ko!G47nJJ$|qo
zo>x4^zFfK$I@qFh3~-=P@1F4YVkpf`UBw9ff43(0K2Dspt$;dl#vkzm&4ogMZt#J9
z)<4v)=z9<XPCxJSVD2)ww{n9(7FEmeOm#XxYuc|iURsSyhAtJ<>#3x^pqY;|k-{__
z2dptX6MCUdZ+b09Pl3#*@#a)g`D^E&&FF5Cl&5dV$wq`rK+O}Qv`x3_Vwhu@pJQWa
zSNI}U2;<6XW-ZvaG;vPCXp*_53glq*mlb{T#zJV{W~%XDoU6&)35`2Hc*5Nfp3ug<
zfwKMXx=0GBYtvDym%u*p$9FfABt46o;sLIBrvD@FmeQh?2b%09VbdOhv#XAWcI_OL
zN0+*V@8t$!Vq~rEQ%KSJ8W#8nLmJ0uciikHRe_gPkovGYo=oVg0tl%VWDYLEc)2eL
z=MIMX(5xHD*q7>2BJ@RHb3cy!Qf#u4b=3Vf8|(NE3x4`=+YmZGU$V2M_=S>{r+lpS
z*y7f^<f~QA4~Ptht$)P#NtocRhy@isHqnP@X>e>Z*dw{Ww+0e{*KO$}`uO-k(P+iL
zZ5)>Q+ES5FiW^hd*BS$bb49)Xy2p_RAhrP*L`4`R`3Za298TGWBY3X2G~_%<=L_&a
zKO#7fDx3E%8-#LOG~JNRGz2jZvn$czPyoo`6ul&7fST3|mZVQ?gRvWv4B_^pn{#*E
zQG%RrD2%C%q31({>&NF#nxxXwpenxRH?{c#(4V92+14U5obNXAdBd+|o2G$^VJ~wl
z@}mc{#^VDCbZ;iy+6Pw{kBY2-JDNsqNpz*(+1?!b+HPZHYm9e$p=YssIaQ@_cC>T}
z0<wDX%%pS|I&J_{5L<{$`JKamgtTBz+4+FjHzd3mKye^A*1v^;`|mSAP?`Ux<fK|J
z5?PHs+lQuw>!++5&OgExaL>9?YxIwm+1Pz)3+AfFc`s2>-{6&C(NfKOKpQXXx5g;*
zW-Ro~{B-6mK0Hr!4_Rh=vxVU24~4V6R^vWFX4^|>_dN{PCDjYlIX||&@eo)d^p9ka
zNCo$HKsDmzqv1W~?v6?KG^_2mN>^|=`FNg+PGb=QrpnfA7g&(NOGv8JyPOrNwieW<
z($1Y)Az+M9RkK%@U^uU;jwvOjn?`6A_0LK9uRptn9W$A6=FSITR-xOX1>d3S`=KQB
zRW;|PS@Aw`#?L3aD8jI}*jZ2=W)1<Zd(~$!s(v+X<66gVVm~pLYUXG3=Acg!m%i!z
zj1?G~qCMO)F~UWP$-A7H94Z@6LS^3W$mN2-xB(L@ns(z$^%!zX9`0~nbG`g<h$Q8*
z5<otaDk{?Ej2zLswm7k7E5sH4z+e(r5RF-r0Vi%TikDZ~!zx|XZkMd6sjKAhi$bK;
zsdP|KXf&_1Hpc_wL4NthgpdO{Kl`Q9S$#9Lp1olp^gYP93<tZucc7(c2e0sEu@~C5
zNs~paTzTC3HiQihPK>;z8BniW=_Rcn05Bo@UzdJ9MEKn<Cj0+&*>f`K^H`5`;@-bf
zp>;V_opj!&m5tO=gsse<VcC~~)KCjhUbX;#63?DB&<M|xi%wjjAMp_wOFxLfOZ8s6
zI&<!dB8ufn+E_9&>7(ItYVL6VB$>J+mP)<DmzXOI=s2~^==YA&iZZ-HgAd-IFYAZ;
z`a@2C@Qk}5nsAB#OaV7Rpa!SJF(IUO9XrGKH}S**GzOuRB|sSURb0fp7hWXgES*2Z
zmQtIn9ABO70ck~YNdQ?dsk=Xsckg$p4JjZ#3C2qlFN`iYuxN~k;E$OXm)y_FJi7<3
zPFDC`Ene8*&YyG@4Ilv`^A<h>;FZekLi^ya(|*N?ydin)FDp;(z2c(06Ro1R!i>Fj
zO(A~?Br=6x@%sTt-SI&S*A%4HYU1+tCwOs_XU=c6f0n!=(rEOzZz5RnM&t@x9sViB
zgyV0ahtJDqqPT0d4rQts1+vd>W#Di=0iGQ{Pz@zNP@poQ6TY8mD6YI7ve-Vcz&T+A
ze_@$~V)cJ62vZ+R^yK)N5&6enIl|V64AbjczXgT$a2W}LCIVKTVGO5Z);DHoU~JdG
z7T1~QQ#F|%CC~*UP=tnJD58!RnSL$WCsX4dMz$G0u;*%77>J*eoeE|F`<bW(W+NOs
zoqf{W0DI@5H1=0Dkzg4a93i?xbCuo*d@Q=@t$Txd2K)Rr93|;#bmGlcHCh~Yw&K5)
zO7Sz|gwYK$17TB<5+5QhQCWJNwIhtwl^kVPChwwQbLEsFnR3_{h(q5|QWI?_GY{QW
z)>>OuC8}H*@m6U}2ghFLRbT2W+rFbQZ^Fc5s|r|)3KqvJ5;M+(Xz_L5)5Il@D+I$o
zv6(Z7PG&|i=Z~zrZRT>u#2nwq14^}6n82I#+^9@_qX5E-Al0XZXr_xfP&@zJHj+)H
zMf*uwj}&5zs5`Vv-BtsVUW=PlOxCvz%@KeoApcn0<o~vi%LN7Y*D5|Uc|V9C7cGGk
z+_7CJ_NJ~|bto^7^(C{u*2&!n!v0zv@|-5bqD43d4Jzxa20>-yvwuEEo%oVxzD|Wu
zis;lBJi%V;_s05;9gd6BcwNxPIP)!48AA#hsLRZ7YW4sjm>K)$2U{6+guGoBDWJa+
z9O9^QNl!CojQ?f~I4T=pT8hP~0CYqo*~8}Cwo^z=G5E{*<*I`Crkxlz)zrvO!qv~1
zc4fJB23Gp%j=r_T`5(nrD}iw7t`|X8I5V+zl8D8{$Ih7i)GE<AO75VeL16Q1QXBjv
z$#^eoSm3L=w0jOAg!L3brdNOk8-BVk8=Yj7gqDVun#ZJfq%{2bOnO^!)-*4j`7#SX
z*lQi)GmrPSh;75#AY;4Q#e?;Ke|ed3h)bJ<RbGtO$`lc)uxoGVko*$=2~n+Ah&_Yy
zi{hCpuA77p-QYGx$`t!1NeAMSHw#25MOINeT~&Tq-W}MlzI<{N|Cp>F%FTp4`{$J1
z?wpa5tr9a2S>qTzKCThY;5z$M)7mhKov&Yxc*O6nN=+yB0_1K}b07kel&F|83S97t
z6t>s(?N!$VNSz^2;MiQ+?&w^PKs6nw3XfFwU5k8yH`iHki;g|%Kc(6YGGN#VagZw6
z?fF%UG6SJFkh6mzxTfR#6Y|Ls7tKjG<h`OlNy#n-^O!<&FD0BQE%~k4Z;hZ^s|ASZ
zPUc12vfOjI4EU_Iac}g`JezLDF0)*gq6vmo|5;iH+aIS8VvWEApyM9d(GyyRQcPIj
zJ+ec)7tksY>O9K-@r`uJ)*Em?UCPo-*9V#=Y539D%BU5^mKzERh~ulPT}-QZh^{cT
z==5#D@1SUzT_P^j@3p7+++PLbBC+mxj2^toFQdJc_xjSkf<Nd`n%o#jIv(y38&wlp
z$i&Dt3f3_8tFwc<N{uebrb(mk#A0jemLq8hWgN7xu$3X3d3}$FL!LX`*297H)K@h+
zhg_3-Bj#A}(%k-AvNG_&#QfMNBxo2mshlA(_)X$H=j+IPaA>L<%aV;jWO%<J<&2N0
zUs~06uO_d}9Es>!*w2OjE1oTBk}7@3)4xvNcj00--l%jZ3UT_dXT*s)0{9kb4x6{a
z+~mIa_e5LHX!g%}WVR(|YFI#*_wVhQDA!E{VafQ&J^dG{HadvezG4OyFBPDUu??4W
zwAMooH9%Lj!0@3r`RYJ1J}jUX%8_uOP3fSA!8MlT<!`UKT^n#5%tK`^Ww!hs;$p`o
zflE1GC4L6w9H=8a7f>^JIn|aI-k7Y5Ta1QlH5w(Rb40q)n(ly;7vjz{kn>3v`XP>K
z2Lo$bgM`}C@jRPI>H4$h=uHeKcaSVC3v#s@jOAa|^cPR_?(`VnuVxJAfr+DxehcQ!
ze_^aW9Tb@sfy9y9>)Q4dk_Ej<c}Ou@w<T8d)apxRBv+c)VOnYRXQH5#@|O`M1_~O7
z6g(T9JR^@9k>NiK(7*_f2sPArEM;@Yzi6kWOF;rJ^XtNdID)`>1T&@vcwFlkzvEJx
z-MI4S&gPJcYYaqQExzRejh3GLgtd9mffOmGwnRZ@Abz)V<^+KG{*zeqF<p4|k_ltj
zzT|Yb?tHml_=m(q$$dK4WVE!#*A*)s&d5n%X%tu?b&R4$ulV^48Q=K3uef|JRh;Aj
z(`@orWP#4#SN#Z(zzxMNCBXI*T3!vHjFnPhB1I>bm{RA=XeUKV%ofb+@Vm1%R&y4;
z$_e}Qg#j^+$?E(4%`_c;ijzsv;oC$S!tMi|?f3@<t}2~cwj&)-!lpxS&CKa@@0pvO
zVSRP!g(9g>I3u7zhgC!TlPp;t3Wm|xY4v?vgJB9gQy78pyX#hkmZ7&bIV8pm6^o=X
zD%AaPeTz3;9}Su!YOTQwtS#c?%Ifb{FfzIRwds#C*)yVx!(8n|7UZKFu*MRJ><z-?
zh@vY*wDJpnuL%WdKQ}lR1}DJ&3n{^&NHa|`DYLe-?sm$RmrBSJU<buyl(_K7&|8#G
zG(oZ3n(Q4TpS1}RF+%G)91S6h4PrvuhbRJIn$xyRnjBJ)HgE)K%_2ssHkwSnK^pe}
zZ+tcEva0^u=&977zk&Fsf^Wg>dMkV^e2_Vc`y3mWTcvx3r8tlP@P1!e=-@?<55Lp4
zILOk<uL;F~w4)Nd7z6xPi`W(WaE6I>JKP)@JHau4xBl6AH(>LL9Ob4TB#)446!|JH
zhYfzH^a`5N)EA5o4<=h%!%Ov^_1-XLQYmQ19YNLUMJhCebCERZ$g}A4%LpNhFgeOw
z84a2<o7E{%IWzMdE(iQwIIW8Zqt%!rhmdx%tU>ZWl^)Sxf!^23_-^J%ABXJ4{N~xO
zA<*TRhl1wNy|YMx=9%rGj<&b<R5v)7+4U=}g}wf+v)~Z8r3&(z@^MS92`GgErg5F%
zM921rtVlPt{?-$r)Ut$<YTLyLn1sP_V~6x)jsnY~iO#$+%e$_1mR2BiMo}eAm9tXU
z6Wqe3#ucB}dRxd(Syw%5Y<D8H^pY>~hdrus2cGqP6+#=U<dC4j(op#-WA_OG)l_f}
zn%iRTn7Fa3a>QIU65zYp+2w<`p}&!ae40$#(3Lyn0HZF(!^y4jv@QFx<7%ct(7LTC
zYUh;~09>WF&Gkn!lP71zlER4-xb!Ae4CVY=T-Yt6<n;Y5g(i&z=-~O$6TIVi9~uy6
zavNqp-NSr@oA6<wCGBz%d$qnz>H#3fFGVI)qq>SG;=6*;@7EcszaxL((@F#p(*^+O
zbg(w#o#$+mW`eu*nHPlipjS?RtWm!PG&<S4CHqYsp11MjIgD<J@eDQoO%{o((*-Rv
z{7b7qIr6wXmFHoS6Dv$(H7;AxpsdevM~Pt;+~)<e2Lp;&4EYz>wX~TKxycG>`ua}u
z9aUemG1QPw#RK2o9_J|%S_F~GGtAVIH<RJ(-zspyv)Z+71b#5gJ$Z$nM{hNtArcwa
z9vs^mD4f5kZEC@+gxR42ny%1`C{8Gt1!Pa{lC1c%Q_m=euo|;-!q*Fn0C+50AUw+X
zheF5#&etN9`dvcro*m3Eni)?!7P76)o;A0E4j{<>3@_jXmq3_2Pe(Sm`+gq1nb@5V
zHp?O0H;R!wXD;<IGwg2(My7V**&Df48f@&GkQjB}fKeYud#4^!N#mOd@9g?&1EzkR
z)fqGPyXIw|N1)F|`M$=e5@2DT9Dq)MQ@j@h<Vh`e{N{BjSFm$*D4;Cz9y~rxU>gc|
zffbF@;vvWUhE`nalu~R6+CeYTU)8(M=e`f{x7<RipLBq<_DMLS`Ny2v8m8MN6`n)N
zaGGq>@d4@00dywkqSp>>6Zufh+(?3Ua#sI)^EFq@i6|%4<vj_N$x1mqw+R*Y3~5$?
za-PJbj7cA68rPF$KA)`#La_oDbsB()4pXVv?qB2Oke;zJFES9#p(cP5dJdMQL_KjO
z`dGDhdSJM|r7XDL*=f$L;R#2=@;vgs)4G7;oH4i&eN!KN(qEul=b{<*S=UMFa6bEo
z*kD|^{@RniFUGhULy~MmFo}4=cWI7Fov3N8#vMr!McJOOPDjCz3b!QG(hFl~jlzI^
z8Np{y$@*^c`PHoJ`SsH073uFZwX|mcIxj=cxw_hC#=V*>kqp$FlASl(Ujt22Iw;}&
zSfTE0l<Mui_xINr$Jfby@8qYSnoEs6BLvv3cRD+f$(%F*@OunpXQsgBE|#nO{b;%H
zXr{I7mm6)}7Ogw}nt*c9{s0Dst9A)XMX-a6pS%zi7jT$lZN3>$k)+dv!rg7S-{^!s
z`qm$E)0DQx@FtclOe}kc3VAAsq_8aoEBHW*NQ;asMv;e2oI%X+LrBfb76fA_6NrZd
zq6L5obR=_uFI{0WJQAQT5Ur+;!4H(E8caC6@QF6O-r$$<JD+<^oo*Zw1~Qrc)F?@P
z>Ll%r32w_S!v8n9HaHva(mw2*NhK+)to?`X**D|&|2vjZ8J-D}g)vEnEpq%BFUcs+
zs`7NP<`KSaKg_DcM@sP;RpA^@^Urr2Cc+-1AQ}z)N;(4Kz$)3`z<e{p5546*jr~Ya
zV}JGBUeb#q&z$N0ugtwCXtRkEzc7?x-9>I!EmfxK=7BI+Qwf&)qaeT3R5zupgd#+j
zQ08Px2(wEk6~X1pwb2GA!J$z!W+w*74hpVl6)VCgLWY}Vi?;CCT|>MA#mprq)bJlf
zWP+=?Cfr)`1laMKQ@<Y{GFf4pL^bD=%pSRVUZ~I_FKKO?HVewZ>p0sI#!oiR10{S&
zQw~fSshHZ%MRGL=dzsfvEyt>O5mu2;K5svGD31+$RgK;-g2M085)1VbT^yhStBO+f
z-QvTIYBImRbwOcW6Uwo{x1xB7HFW3&s|!9d!!1{C4@r_}oIW#?FnxC;hF;v;0*F*4
zq%e<cG{+8wcab4qcuXsdLH%=-&EkKRa%cUEDIxF2l8&zWVI4D)-7Rj9D1F4m)r^~H
zECs8=k&l7_Cm0M)Q~d|`yY^Ev@ZI-!Gm)^K=ovcl|K1}UDndr3^QK{a*|n^Ovn881
z56}|Eqlrv=ku?gmWnJh*r?5FQqSm*@ZzKYhwOc0low(TKCkgg4j*q(m4Od&zLQ2pv
zVaxQ1i&NJb7HEx3P=TA}`vz1@AmM6PybW~lzrbjyL^xjkp(n@2KqYsVy()M~*O#uo
zO#?}%_9!+VGT~q!WaK*^F=FiQJ1eX!@Cn9tNoNGDfqC8cu(X7z#2RKle?I>yEEyKP
z>oneXEU!ZIOx(rrhA>;@R3EbN)Jba~FTXQM-&M=p%|Kai$uaAM3g(e_|BK@R0dcXY
z43{yI{z*;PnjsX(8gi*CodFN-s=0ew?M4@RWYxzQja1^jGOXELOt3st0CwOkY*`2G
zQQO&!T&y_L&-$;9#-)5^b|e7Vtrow@9X|k64xIh!c6PLYY1@d;u`zh?ZB12A#9z+V
z^NyG)RFjky>u#!MkpK2#Brt0YjynZqc-o9N61acqsrKkRxY4@%d-zrsVxN{vc5j^T
zu4C0&S-wBeSpU@~sCs_%MxSW}H|KYkD-=9qDut1ln}?)pf9gV^@pywA)-H?<wrcwP
zD|8<I2*c#I9qsZ%!N$DDU`o;H5S-2PnFq(54I1=eKdR6Ib<D<16(aB$s-j<sPWkt=
zA$&Xzn=30d8%)cP7bXR1)kJ!BdWdea5v{=?o`ARoy4Kp>!jaP>4aw5!3v>w`NLPSs
zPD?-=XY6m+%#gts+W>LP(F46h+i5*^p^DhIRot`X!YWZt@=*CB`L0+WO$WUXo%8Cs
zlw|6#n%+U_i-CR&Kb`if<#}V#&)qIYI~1LVXz@_m<GLpzip7A6VXQ&UekM7MF%-_%
zqrX`3ONapI%b;H8Drm<z9!b&op?dO6FksaGCw?Ava<KIA7c{z~g;ms-&twBpnxHo*
z#jLOKk&NwaYzRM)002&5IIIN$V?pxlhC0JKT%DsndUZAYWGz%x%!xSdQWo_UA;j$8
z+Tug-+n6Cc#3D9{u{a0!gL4T(7Y<HQ=a1+Islwr28|_0ib%GuAtp4INDicfvF%>Ha
zO+r|PO;V1A7!}*#_q0O5#e@-?A}(eKsR|l%<^OB*6*pwIulxjarUvCQr98`;YdUqA
zdrC<D43@&`lSJoVRz(#9Uic(Go6jQQH-eXs=17=YuiB(N)~jdPO8jHOBka|6GdJts
zF9kwzwc-I8l9hAYLmV>k<tsa|l<K%>0^KO4nQI@;eF)jA;GG*wE&q(QLhV?d-Nh9q
zih=au#^&~EeE}k-ph=UzV2cx1aQxH*a%NFp6MI@VVWd6R=KBJop7!Q^`xO^jH(4s3
zrfJusF%Y0Hj!|b>bES*ez?!yO7yDrTWMYsW{hE-Rrjt%qf-qZxu*|Ya0MPiP`QoFa
zAI5t#PULhAy{jA(HkPIeX^S2He8?k<>k@G!j#f!Ex@YxLW-@W_SLf^P7Szz9C;V4H
zxQG@MXr#+0=)2hz5Kia|auy20;6kwqf+l7>_N9fVQudqe=%+G($&bd@CyPC?Sd`&{
zG=#?aBYir}`y(mmddI=wM-nzucJ*cA-iqgJ1K0qOVhe2Op9=W&LzXdf$<%$h+z#nG
z3;6GQ!`djx!GK*_?j|;JQl40kgQI2wsEYRrL|Wm!6n`66A?(BS!9dS`%RU|`H*ZTE
zyB6@?exaR(A8wDkqWNFM5Ss@u+jmw-BI*RYa(^)Sv=-kTiM>Wvx;9a}inkHihdBV7
z6=ade@q?8xj;@RW7XRNQN{Kk}`q)v0MN1Fe5q8~`fnX42{2)D6o!jL21NC5SOsTMR
z^)|3O)zeLLc_&9CTynsoG8BWzs0#k?;<BkhZPWI<n+tgAt=`S1H>X6L1gjtC*cTgg
z3VImiN{vBkIH+l#e<wv;lk7tC9Qk=6wT5$LkFG?CZ?SL`AmRtvLWA>=e%zp_J#<$s
zVhj7Gj)!<ySZt6!PGML)?S1*A8imd5nrpk8SR=JhpuM!cSYu}-V~1lG9>*mJ6M#!r
zoH{71m-NRrs+`%d?O|#@@8}^mV2bd<H?ZZ7gY!}7XDq_AYY};h%REY1AJb}W^Teb2
zKarDmLB1Ri!Bd=hLY-RZJY*+maJ;2c%5<F_=VD10UgPpXGwd)u@B7MA=hOwa1S0ZM
WPae|V4EpIi7Aw-)xXQggn_Cz}ESGNp

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_read_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_read_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..f5e99e20587caef75e41e7602e4752b9c5025d40
GIT binary patch
literal 2816
zcmV+b3;*<s6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1~s=|Y9?^;Iygs6WHuo*KV3@P>YNw}U<
zP<4~2?nbaHSME5o19)rX3!wIMKW!WrzcE3s%y<mHYk!E178$0XtI$<1A}gpbGbbd)
z7q-J`xe(}^?^Op9G4w)6N%1H{bH932V(H1Wvb-hA_m_>9zI3`Eb!ot84^t{RYut&$
z=Qk=fHJF3Tc6w50k4_H8{g8==rM~dz32|kgr(|Y6@UeEl)FEfmLi;g?iT&IgGFx5M
z??pRK^V4w-(_O8yBeR9%A(c2|i};V~ayKx9=J%d8;!Eo|53Q<+G8x+X$?Rk%54$dS
zk2<EGs-l_G;I-7@@2gqh1zBwwF<i)B3l%)TL^*yAj*|84N7~?=Swqg53(fofm9Fsq
zUXm?!iV~3>kDStk-77s;{K9&F9k6Jg_zB1)e#=b#euR6jZnW*{QO67=?y0m4e2>&<
zB88qK`rL1Cp$JDD^>0E*si44QA@wP-5MYkeVs8cJEje;;2bQ9TAtZuINO9LkDf}Qp
zQ%Kh&uAfYf4~Sx5FfEh(vm%oHp|9yTl2^S?IrBE?p|bWk;j^zfUAZtB*yZw6J#qf%
z;_M}Mmm)5nM3LoL)h^j^4ycq*`onwm>5lYI`qoV9Yf1PV7Q@H5w6NToeSZbp$Ln&l
zSpp!2d!pU7eU@|mtx<y)M~6}p<)=yz#TaR3abSX$TPLP$ABo(giNG7^ahEG)IFXW?
zt#TU2{6WsqXV1-+GbfK6_x$7}s=u?>tQRsQxi$f+qy6FYqXSkYCOH>{y^xR+mb?w^
z+WRv<AdE|WiQn_H8A)5$gy4%7qF**9LcmOEkE?Xb{VjHq1|Vr(F<H@^!k|CNXw=E{
zIB{^l;*B9=IPDDOB%s>HZAKkIrZQr28X~9P63!EC9cl}z!Lb6j?#>mJOv+1+M(nyY
z<+d_)+}{kX+yLh#uvo7_BJh|DKzlUiHb$=<nttQDy4i(S+xW5Gak?~m@kjOqp`+^i
z7K4w(a<6w&s1PksoaLO=wqkI*xQuyHs$q(^(n+Vmfj=Axu8n}|${PY~R0QNF+qwMJ
zrXQ&Twm7<uPHY+&e(PVu`-ZJ`8zH78<O4)D4#i=YkuhFHXAu|D5k#yZ==F7QN`^l+
z@g+6Q&#Pr1VP-xy?7qj9oPzmJ0RkQUfn?3Uc6e#fFlBQ0T0ip3%s`olgZiIWb_FfO
zu6AV@l<M{^`YofW1T-zy4gW?;Aq?>4jT#UjO!IfLzg3(@po2^`j8qg|ajW~wH)A!r
zI5b@l%O%5CKuJv1vv&wV`7S>^W~n=Ct|YIez%@LdKUdA{EYJO3I_{huZ0WvYTH+Zd
zgT=_l+RgOnb`<KCMg9@H3JehgGQ~r^6lPwKVqLv!#<UDahb_xb?*~QZokyv+-83`Q
z%fN$uOc6_sb}dua_hH`QTnn7ZOostPzBQ0SG<jcAWXNfkES!N+Tv)5#=B$+{*mt(#
z=R?(ZF|`C{@hB?0L-*1SFDA%u7}(TBi(Ck<&hJXrTSTy;JIGRm-^!j9Me(v0qzrfV
zAH}JiO9aXXDfa?~r@u1kI73@VdF|M2d&gE|&<WuF{ow=SwBH1F1S~Y>LWPsA`r>)&
z6jLQm^pR0Xpd~pKJt8@qH~NM#G}uE#mcL<z&|V5ZLai-d5OH)70k1M5gfWvs<+sus
zW_i1Z2D)|UGQE@*mTX(cB)NH?i&sTG+15y8FV2wW%<uNG&Tw<-QPvlw^8lj8hIg8A
zS`*{LQOi7|(;o21C*4d(cGu(%$7SY73y7SA&#OVn=!HGIo`|xRk?1cK0{?L^IOJTS
zfG#17EFmCsKZOe8YNz!~dHe|+gMjPBm*;2r=dwm}>Ga?lOosAFnqkQi;~Ml-%J`0X
z`_wZ!U%2mF)&#5DT6HdZE7tN&q%k)VHS~OONF8T<r;Dz=yn8Mixy#e*2#_f0q+6v~
z|J(w8oCi~$9HI>2qQ(el_Ubd!y?hq8z)@lM{7b{dwO=p%Xs4DUcQR2@H#y!XmNPr`
zk*py#Obi){a1#nFu00K-BbGz&EvRRZ#sIXiP+B;Mk|UFek}RoJy_AtF)9e{q0(EOp
z8<cy3ay+c!mZy7|4hhN@<DwhEaLO0mS%*GP-!vxVj@dunc6h`4M@c|WaexVGpZE#<
znc|7luJG7%WqlIynZgQ0w0=#lMoTW|P;@b^U>Z6pNCovs6?>_8tY5?KOJ3kQLl{Wx
z?}kq$6HB-FQtZ7*^co;l&qg;>1&0)=t**t&JLR9OaKGYR1_(_hTaKyGE%^5b?BAlA
z`xY<)x9~I>WJyRZJDKKSw#H#&(3|8CbkDdKioMOmUXmSm$D&9$C)L}`LsnhEqDM}3
z-^(rTnI3vLy9&$DkECU|cBPn!UhVoTb&%^fOEQ^^lu9H`-5?up^g#>`rm)1?wplza
zj3>J(Q++b|Y~5Jc1b#uVWv}1AVW;U4#Y*Cub9`G7aY1~{dd?cYWS`3HLKH#R&Ug7F
zp48fqEAdFtGg}GnSLI)2WE4`^J9)5CJ{~=}?bg6oL?#5fyd12DgBZg8Axexk31x_?
zGpfH-pV4tbcB>vth)Fs@7!ACM8ZrdCVT)!`_N|y{QPYhM#}XZw4=f`>q+E8krJ4{A
zu0DoPFb{q;SouBNKdTVs|41MM5QnIMyFt8M9pZf8`Ctw5$fsZl(y~XtHbUG-vN6N;
zFB7-6msWr9lZz+DCD^K%d&%Cc6dWvLR-a$p@{4mX1d^FNRMSMpMd~-%_L?3`OcSr#
zgWk03s~R~4)Eg89-LSBGzP|cL><)x612_iaf|p5UtEkFy&lVhc;FxK$yoJ?I_&2%Y
zX#6X*)@Vz+7P_`~WPSfyth+TQeXqf@%Y*Q?y>`Ws<T^J|7tl|LTRC&{O*oIYBuZ(I
z^>oham26FO&ncw}gSlAh>%L#_B+9L$Zx}bFD!vd`4Mt8R@KG+9rF}sZ+YjNlN0U5&
zKizKn>U(z-Vx(jck3;}zBmtES1AQKu(ijHehZooqNoiQm-X`suLIer3Q$NCeWZEHP
zzg}06rE0I-F>#qFUXZA#b?W^uo~UUmu;vJuUA~&zDMwfz-leNXLD*AraDH^#t2(5=
zv2Vn`yZ9)sh>}Jjh_zVP);z6=R*pSOejgq&uUPHa`Xy-Zhb6p2NTU>_f0z;EY!U~9
S%AoNfK%`3J2nLAV@>D4+G;%ut

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_wrt_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lb_wrt_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..eabe4260e1ee363009b704c5da69ab4ae74be814
GIT binary patch
literal 3312
zcmV<M3=i{*6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1~s=|Y9?^;L2K#;B>&a~s_Kg0B^z{gOd
zilBq`V*KH@0mw8OnDzb)w1HG;4!*AsGWLUe96|0!D<wEMjly{BogM5E7-QrRKqWUn
z;&x}tQ^*DV#q;+4s{}F{5;G^e#*xMwO!Z?8z>M#XzYDrz@KBbPDBf6I`rX|~cOt`R
z+(cAoUBdLpXfP@5sfY=GtkpvjVjDamn2ppxfY=Q1sV4w1in`yB{1}&qwXw3$*l9<@
zZoKe8MeP%wA>|**<dfIkBx4h65Hnw*rJT-m;ps`fQIV^^vF|E*p7O4IQcAj&z9QRm
z2ksy9dRlkv3m1su0K`|%#h<=7Jp^E55c)~a>+j}-&G>>s$z98yPj9R#lu^8+3EU3q
z4uKHLOwgt(3K?oDhy~v?t)P%{k_sah?cN=2AvQ7R#AAjw5PfH;hN<Mq)23W;U&A;#
zrB;pf;HKZH&pt~&s@d*fo=8ZMaC`M!q=>yGQ^f-t+=9l5pOO<91-WTU>a70!6WaUY
zHbb98O~~xJuSD|DyYm!*+hxq&{|+$xGXfST(DM`RBhekDO_#<hHfn5^m+P-%Z~F^8
zlta~0mtX1<*f^!nIxCFqQ-(@2WBcWU<TYnw1<Cv_zMn$q+)J(KG(LRDQkqC-C14J_
zDP(2UIT26ah%vI8Dm7lvoSa}VWKrf<tH`CPMgRN^3>2P&<bkuK&hOiM-lwnJ1}1E}
zm`(QHapj6@b`_EL^=ep*k?@BnruS%tdLUT0wWjm3?dVW#n@_wO$epk0K-cUyr(}MG
zM54H!#pR~fR<X1+m+7Ya>FSMFJ=*fh9;tqTb#6x|2pPlp*i)4s?_!9wHp1_^UXG#1
z(g^3ogwkS~Glt-Q-P2D}YKx&q`X3ebO_4`j79e_|6Khs@QI%D*Xf;libKfW7q2v9{
z)3MLo?kNB9*r&04L!r80c$xZN7FM1^zFvsV;*tz;+Xdugm1TR0JbkaGBwG)~HwTVX
zD7)nsCKir(#T8y(J}zWU;fG$Akc5z7;`-Ql#Bo20%s9z&qC<mC=~k`$wwqUTXjafk
zO>SHbx>?J-iJDOc<1KOcCCxVE#TuFXogpVcv!fS8bxnP1{A=-`oE%UmNV4f$zJv*a
zxJiFbMu5QeIX1*N5JL?smb6P#gqO(Ew!WAC{id#4RljLW3LtXVadi;UtZ|=TM}##S
zvlfP1!uRJqD35ScH2mDjsHQuIBg@2JB?Lt=bGYRu9{SiEcD+H(Y#R7z=o?a^K39$e
zp82+Q&c5oyo_19>Ls1HvpFxY&a>N4*R)_&iPiq(8wF$<|Q>WYwb9BQ<P70Kyohv>=
zH;LQ05Evn#*SP1x<21MZG@`~barxowDV-$TIUuo&UgnCeU=J6f`d4Gp%YSpoI-ruw
z0RSX+l+s@l%;*Bcq_)!fIl`7u=Uwt}4CdvME1kP!58pW>{IY`2iY-cF?j3ao!~vQG
zpOiuR6FRRV>@SsMhk<N7%j_geY4AmUT=QK!kQ}aAA=A%i{i)3(H0U(V!kfFg<fvA1
zC)`9zUq1L98B~ZZbtb)1kt;^JmM<4bV#a+@Rkx^StPACHGxU4AD!R>S=U<d*Ju^OT
z#q$AZ11zWt9bp;IeJKC1htzovvAD)e>tNCTh~>g9mFq13a*}b4<h}+~NR3qxd(&TS
z?mXODJkl*j>uhYZ7}O!Gs(_qP>)P?D(3}6f6&Nf!1-5p?BJ;mimP;4Nk;+DM{g$6>
z>Fcrmj8QPSNf9rzn;*MM(N0K*RJ8*7^oUPHVUj|GvV5d@lgICSxrPNeQ@FC=@8*(n
zg@Aq#FEkFo2+s}$Z4fgGpywzOJWM``f22}TKxK`Q$>~Oa$xpD3Sn+SXw(xEP`V^MU
z@ipQ?&0~AQT?qzh01_G8^f_3s-nkEr>A`w3qHlDgBSHytU&X02%_``8RfMoKfg$yp
z?}hZJvFbQ%g@H!(nBAdKd~+PY7@?k9N7ehRB2xa%TR?b!ksn}9R<8YXkB0%6|2(+L
z2uxP6SK-0dQ{g)~ojFyq0Jo&!mIU}p0&sDU;UUJ>3M1nq8O5*wg6j0#<(cUC{kO{w
z{G!JVp>fX@N^s`pGmjV`{QP>PQ;b2{ET9B%LwekqK!iQa9^WKbjKFJis4Q*gQK>O{
zPcz)f#J)4}&Y=7Upu4=Mplzv2mVOR1NY=+p#zD-hRKtstfkZ2Qi9inggzm2^vd)mC
z;vCexMbvV65xeX<chnL!Q)LD9o4-bTeH)?+EwG_S?k9EVf}iwrMv@7$I9_L2&_odv
zC!-v4%V=W>aR^c`x!m;0$7e4vWHJ0n_6EJbP(-zSyny6!1@*Y~p}?T-IiCw(S;ZWM
zqXtuvrY~mtz(CVI5>(-WA<?XWL~o;K^E=W`vW1<F0bBwzwz?-XR`wXNNyd!15UBIN
zevZ4~q;X3TLn_?R<_&(TZvSRF>8Its{?h_Pg=~Do<h!b&G-sHlUq~eJ5|rOOp7pVV
z-%uCh2q4LUu!e0)*0rnB4FQ-%9l;|XytxP_*uhayo<mGBB$PcFSaSB#^g5KT5^--Q
z%j1-TYDFu|zBsT0zLSX`*-y!)N)|gcx8J5Vt7}RIQ+f)c$3a#b=4_i>vSbpO8O~Bd
z-CpC(i*)~q%P(X|>G{fr_Zo>x4aDT?qL^-qM7~eVIhFe<mM_;kI>43A?DBAO>9lVS
z&Zx7fbv`{QLQb_~R~kUY3%6PNS*CzE=`R#6i?|=991T0kO=X~pAX)naFF)>A<f8Ws
zzZY9ypwC&drpJgFq_A;H0v6mpU33}X+o*ljz7#cv{ysOxz%OXQNm}ckf=^UXkS=g4
zGD`Jqs{$>P7fX^^gH^4;Mr;dc8<0RiuRTW#o^emUCw_j~Xyi<W36(`;dHDoCjCKOB
zG$sL_U*cw}m~5h{+9YPMGOO_eVyDZGyZpdDspBhT(Htr4eg!jQX(vD``#!-53;EWJ
z0U|EpBTB1m?W<@tl_S55)_<KA#LwaTl>0WY{~%Wr*%*U<PC9YJQmtGtn5)u^+#lxF
znds=Pb(@`9CgL{bSgz{iO2R5VLY{i}(0aF%d4e6mA4#LaILuZ$VRVeB)QM_dnUg$3
zIPX}}p`y8?@b6+%u-flWJND^-)t-mpvd_BQNtP)=D=DjuHfN0T+Z-2gsn{NOwftQR
z5ff?|C*wSc@dFLw?*@i#c9<T~AlNtJte6SUEl3Pw9*sg^=>m!E*jCwhK|E$4)RdvR
z4y9g~_E@Tv|G=!B-YR-D`ZR-AaXC3rIV?az<=l)auBC9Ua?sr|mm>nGgn#t5!RG#b
zX>RCY<do!6)zEgFMH?dD6z_qj_((P}2rkWc(8VH5i5jasm<MGhrsoH?=&%kj_xTY6
zwb(ZZ58>YR+YJtIhpXT7ZVM2a>&>6BnmZ#l-jkR)g_c9#19QW(Xi{!F917gLRa2ph
zwVpsL@-U1-Marb^i8R=r1F^Y}-BL>mP<`pMzZ?{;d6N-}-(yd_9BBpF;`1fXzok$K
zAHHtz^(}HJr@e8+%mf1Xpoyn6%CL)cx7sK_Os0FH3}2s|hS?C8nNirb6-EI`Klc9b
zbW|ROdJCX`TU8Jq%^~lneJGMWW31LQ*G=31JYzgf0p-DX_hD_;HC^8jdLSz%ZqWk`
zI+Sh_VCZ)+cO38E4Dc_qdw|K_0|(($38}GP0Au_FQ_t~OZ!kYMoY3HBF62|HA-A1G
z%`_*`ud=;i4~Z#nfXK+=v<HyV8uM6eb1*uHZDjDg{!WhJX%(rVF#9Dz9#R7%KNv;b
u0mYsXibDIMEFP++m|T@O%&6K>0->d@l0<^WAK1ITEX<RbU-?joB)!68=z)a*

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lfsr_10.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_lfsr_10.v
new file mode 100644
index 0000000000000000000000000000000000000000..59f1f571a6c5e4c1a79eb65256f419a3e316c784
GIT binary patch
literal 3224
zcmV;J3}^F;6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1bs6i8AKb^!sePTMy(d^g7jk37J=R?)c
za0{XPS1Rd|A;qKlvWRMd#qV7AuaI|yG}OqigWY3_JNJP{ufQXmL_VUKX8%{0rqOg_
zL3@kS<gAHjijwslB3@AJD(BL0t!uyZ{Ivq;zQmtWUSO`G`^D5EL@VxPJ|i4+UlopY
zY%d!$UY6SzBCSsijop(TxZuusmGQ43bHFTh!tEc`A!V%;0TGKZxO^Xh+(NCCPw41|
zhAcL8)0W}Cg_v^$o`CPO{U{XC;5ZL|t%ass-x)=xoL89z#IB&&G0|Oj40}nF8tDZ_
zcp{$I2)Uq%r8G-2T1c7d`1h9hOx;y1Pb!_Ygn(IV{}!egChb@UiHCQHKP{^}R%}tv
z{exq<M-7de<5)?p&=11GrwVl=&Po&_Iasj)`pJr-XM+{Rk|ev3LOqL)e3`=u)ryMR
zXN%-<($wB>#v=8b7%%-I3f$eI+6iZNx%XGls&6+%t6ELHOnus`4r#8LlFS=7-g4-w
zl)mslUxx=iB~nBG^W(-RQ$$n-6X7}M82mpY|9bXZO96WrGP8)k9pj`K_1`u1jF!IW
z=!-u4$1u^eT|_q~ym632qVhc1dTV6$1$k|>F&ABBvCa5C<*Shb`9D+Y_k(RQZ_G4M
zwA`ynev3rD<>R_ur{q6W2RM}myCO3@fuAA{E>ZL?H}Zw$WQnS_1k(PJAdErLh{ql`
zcu|v%NW~U){>pk!#nB5r<7bpuK&}gm5z03*6dWDbXEo`>ZB)33oPbf2XC`E7>Y@Te
z4-EbT@u2FGcos=$6)DUurQ2qM1MRfkdFH)2@ETK`4L6?g0Zz@6C&8S&;#Z5r(0ZqD
z@Keu69XPrBt%I#7iC_&uZ?EQD)!%AEgRq^`Ghov4ROEB8l=@{&+0#_<WGk2O<*gBv
zEtFUSG53(AHe!Jk7S6bcO;`GgC$(r_3A3(_Fr4#8IO8RL2Y?d9O=pnVew0o&4*y2m
z^R3!Xm1ppjUZ&P|<9FiVausBFo<Eg$YmwNwVw4^FaOR}&^yxzm`1yVT7+`W?<S#>f
zp=0SlFx-K7e4bYHf#ajwZ$6=(>sb+QZ3-r!dj>QIAr+C$=zYb=!nnp##I~_OK@FUP
ztch#z>IZ|!9$AO2k63_dH}u<We0?D`Ap^K%(o<^l4@33N5v~x-dPe)3@Awmvi&F|H
zFmC~M#F$qWo|nZhnTMR`K}eqNf^VE)i;nLG#k7|io&R8J*L@T=aT<8iJSxdP^G%g0
zB@NK|fwj#Vmy|$+C`sXMe-O6vrd3iy<u}Tb?*IK)Z&wZjd~1(CZbc%o0Gd}A#K%mp
zMg3Q5`u7nO8+z#CBx*DI3`-bX*3>F?-%g|~n<viezwid=zBOz~9^k6ee<69ao~88}
zE2W&E++UB`-2lfvXjV9#Z@JpsSz1>B1jeFOX)c~X@nZ3N%2F=oM1gWLd{#H3j?C0&
z)q=f<^U8e4{}XqveQ|dSRk}Z<@OXttt1FXIz~A60*Lp1~`$T>I;+07>$^Si!PMWQ*
zwES!@_m4`ezh{P30EG*RGo-CxfUH7J5{RL1uLO7VxY~iN#PxND?eq0))1!T!@4!r#
z3qtv3WCVDqO}?$R-MJ%h(xiVawyo=NigbEDGAA`V%^tIf6>ZqN;;#^WJJ6-%s2G%s
z<z%U2(ghm}@qudz=XynS>8d;}DZj@voB7&mdRs&wHv$L?%ot2hUg4^0s{x$Wk(hf;
zNxXi^qF%~J_mrvnZZ(4_NiSl)9)j%Bdvx=;7&UQL>T)8q7A}eGU&(DNWakPC{83}2
z4&iNbN-Nm#Qip^0^G_oK|NEntyG7T7?C%QYw1KwwteEP$0G90cl7E?$GIt^m@XIU;
z^2-mzow&MZH@qhQW$f&Uxr23pNfkX>yo0IZkux$Rr2`9u!YaG{&Ei={J4AM5(kJmM
z{pA3)25#t%aO9WpZV3tQg`(<IZ~$vM9ZjAa1BL$y%!^F{yL&#^m!n52V_DPb&SDb<
zktge0qZn%S^W&-B1pr<R*QYsqnj#LEf}=k>s6zm2YCWOD9A1`e1C>N_Q?lK3qLrJd
zrBjcPHaX$q4!R{p0!z7B8u-Z^)L?^{mqiKzVL!E3U633aw|JF9Ni!z13Fb^jeNU7s
z)C`{WpA~$KgFpoHPB^FSg&jY}05=09>?ts3<*A?LX}!L@u%8HoJU#$H7DoXCbSxs?
zgrTR+kIG+(v;3|DD!RVpy3;B75Yso9-KQrAH+IC=)AF}^p5nWAccxygEPJ0Uaf7`2
zQ7~%^-X%`C3G8V$I$kxTn5MfBn&v`KoCY|?`sjTR6%DZhJE+IW{o-X?K&FuUS_)IX
z9A`P-CaoHIj_P@KkY6BX_!o=WI^e&%?GpqqQ~NR1yh=2saGUNjBmT;vQU1)`+ZaF7
zK+6t^M=e?xWtD8@CWkk<!0S#Izk<qs)JLtj!R59s;{j^a#~DGtxLuf-)10cK&yA)0
z+78)>Zpvd@Z8{)>5?V6h9Q0?2Y+`=+TK%Ut)pIsr{W?^MUaA>VE*L9llu;!p@Je^t
z;pXPp7SjhusJYC9*e2}8C9F*|s#*rvG!2hq^*tqj;zL5kFzX@P^av4$rdINo^G~2k
z^!!%N7y^d+$@|N#5w#!K`dT!?2AL;Y-1UN&<PJyz+vT(p!GZaL!K(djmJO_95TKSG
zpCO5f<BerM_W&+Tsa$%8FfeDKyTtdG@U=SO+7phXJ7NEb{02VC?=&+r$zD1GxM&W@
zGJ>HS!sUO!2?1#^drR|FpRUXN5=NgYWSpTo(vYczYfRy1Gg2jTdO|c<J#9^CF->=8
zXp{@@Lc{vm1z_^L+ch!Ga9Lbl%B8dxu>DrsUV@Jk`z<0o0N~uDl<4skN=?<5Hd`oO
z=G=(Vfj4JM`u-c$7%$nJJl^jjFFeC)&Vfl}D}@xGQtPe4tPkOS%;W;~;l4>puiP|k
zYyZ4S?HP*8ON|<%hz&1Q7B9KCD^HsSTh-|7J__fMEv?GnLw4TShz{{xJzG>j(jEk|
zQOo6UDN1|Z-mXX+o>`_N7C|?DjDmd7K~R@V7=m9RApkIJztBk<+5QT^_RVrcYk7!|
zLa|t4gQo{14uk^J`RB_`&^6xFyXs$p$LAYYkbf+gFZK8kH1|UZ+Mt>80e1~V{MQZ`
zL4KQoiAb(~_j4pjUma@q!0E&b1E+9Ce{mD(%0^HC*q+SWO*5&<s$e(_9G#KuPW<f;
zl7rzK7LyykE{w`}Br)%`TSAc`;F}n3@#`h@$R7ud<^lp*C>CfvJzw%GCI(%>3Fd8p
zsgEj*GW+7E&d%`M`5vwe>1)VMW@o*{$RaH3GlNSjv3O)V04G(;g88&wewslJ$&u>*
z$UM?hpH9x-_zW#sci}vCeE47&&_SUbfU13r8Oa`&*7zToj<28<78alP<ES|0qrj)T
zabW?;r&y$2hko2!QH*7XoaW2cV*MZ)GpRVTZO1y!fcVL!7>d6Am&+M;oRt*yn9WeU
zBHp6Vw|rPau+s5(LdvmjznDD4`OuR?c!yqE2p>2|<I`hUjLEp;su2^3(Ysv7_0dkv
zx;3+C<4Ld%qdoTI4K+&Blh0%Tawo352&^PUq?5bs7-p|wX`ebD&M!uc_h$Wd-)N?>
zVgN)Y66)~}N4?h%rL89su^vZcHKU*ptxU^zJQA^(;G&_z<!T?@Y#=`-bzg&Va!i6b
K$Xf~UapEF!&`=uy

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_loopback_ff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_loopback_ff.v
new file mode 100644
index 0000000000000000000000000000000000000000..6ac41dda187fb455e010ffa2964ad0495f00938d
GIT binary patch
literal 5696
zcmV-G7Qg9>6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M38W|SEX(j;oTB*c+UFYkfKzrGRvL}<9j
zLtx1oLAmpy6l-oavlKbpfuR-<qA^s<QgJ?BUqfYvWgp$5`<_qZh!fLULac5_6Yn|R
zXNb>SAR<?>GTI~aHjq-TFWh{BMTj9Cj*R+E30%?v08Lf`Us`OO!Tv-CkyC@CRbx-|
z1^Y>-Qhy}Mab5AmOx?WA6LU)L!>=pH=b<qk2~tK3)+V2}A|me-S?N&HH}<9Wzg+vd
zB(H1fd?vAca#K8Rx)7CmFMJ2av8H;;@+2J>kOS;@%CQEmXCet<jpSP9mWe=vR@k_o
z5;i$J<uTmxvg^TBoHewA`qkq@N4`nS+}%eHK|Wh<WXb$ldK5yyNY9sX;8b#KzTFxx
zzL5Z=OSuE7NI#lCJU0>(65%JySZM(3^M%#{oVzE>6Z!NzDo6pHb8)<mH|wpZ4TVi7
zVP01<PK(0>mO5x5rh>Q;wW#j44z8h-J<8gsC&jOr2+#B_9TZB-heS?II<JUU@yyn%
zDMQG@O4eOm2LNz&ZD!#U{L>j;JphXriOvje2VATt?B8D{oEZI1ZHiuAV83a_g@U=)
zW3bbJx=A$IdMi6^N2BCQSp`4Cp>%^3$_)ng+<CbvM;ccriLl#mlh9Uz@809G^akQn
zxI^C-Y&H%t*ZgYQ&i1SV`y|g87+E1f*(9|F)4pipQoV#3`nc`U*I@1Gji<V;piy>8
z5>g)@Lb8`#2WldP%%uWwvf3dtvHq^{mfCA<C6j>6^b9ZOox&TlJI;)D^@E!8Dw{yy
zp(xZSRWRa--?4p`8c}0N;lcdT0+c~~QvqrZ-Im*7@w>LUhaYL5XPd*4A76<uC-EY|
zFd~3j=)N7bx1KwwJnql!G9(aMU`wXi-HL}zZDlkBmb5dIeDQtUK7qaWg6;kH<@-ba
zBtfxC&0Al_!bk0AxpK#1m}?u&o^_Zq-n3#FrL7DdQ`_Q(NxNJB8KAL6|AUB{cxi?1
zlEvA${aEjlC=KVqn1twA*awqSopRrX9y3D^edNq?r6ycN&3C77<F#}-1TK(ZDEW$d
zzK@?g9Rbco+&P8xL1ZSNajaMZ3#N!)&xyijCRUQsk-hLS2gW{geO9_z;Y~6(LwNTP
z>#^^Q_*R;Yo;X!!UF9-!+K5&7e8z55?J*KILDf4m>zy5cqgzMdBk}H^-E|s~)EIL1
z#r=Ek!I{c8+xO@=-%*8BD<g@tM(%WME3k#KUxw0h@Iunb7dEXK7aCQog=(;^%d!d0
zM+7qEAd(@vBB%MDc+GabqpFdJv_&pY`erQi68(X-P{qwZ04_&`<TmetRKNBCzlOEC
z8(Ih#X(}5VYzF%V=k}7|y|EOC19Z3vgHgFDO4DdgzavQjA^x;%m&0K!0O)s`Y00+~
zhyZK}=fjFy^$T-YW+#Q>dvyEU995<WEmcua>R%QP_`8;IVW{!t5H(mB$ZdpB@STO_
zz|z?Z*xo;0Rl%4Wk5edxNOp9MWx2y@^Szm~w$;JP)(}lF<MO~Bhz-#PA73=ZESxvb
zxh*TJ(ux$%a$y=S*5sbuyn5h>Hk+RzwiM+oL=*IBJyodzz0%;;_SCOTiAaQeY&p1B
ztF~?%w9d6(mOUVrNZD<-^blg$X?m<kasM$8c`!ZI_B#LK<tF43p>sKf;~dVUO=Yz@
zr9-^^-UR7BIiV)ZhB8L^DvKkCh|y5J9H>U(>9R`<393x6B|-3;{5o}zy6*)9&=886
zm6~AxI!Ku)lIdFA;m3_;GihMu(u4~CBxgHTEI_V*AYTd~gF&)Ue01ykITMNl=8x@&
zfyPD0ZTLH-2@NI#U<V>)4!c^mHvB3=MTWg7%!gV1^xs`fs0bF*o#KIpx^U{g?FNN^
z6@EnU(?Rmo%{caUA_Q5e>28Z`9Y1KJOxHgtY{|>aa1`buMribLeUwJMPdMJu{Qa0?
zO$e`O5h$}_RJJYGAre|mU;OXoYKL3P>daYPMUsU4`#2S!hgzoY@8;By;dv6u2mj6A
zTW}7^b6}DJQS(Ri6~Hd<u&hVb?q4KyhGg-Z<V0g$(+iPEe|WJU=jK&}k4*L$e7*{d
ze$FDXZsr-a?^7Us%>w|aJX49S{SptUJ;F+-6;{VE>GS_7gV;x{HNpZO+Lgcg8<Qge
zYp(MBFv~Cw1X=5>J7x>X)v}=GT!5lgupw}=6=1n}bE;f)DXuEJ_8(baGUlkhvD#i6
z1UnBE%4HYWdNA<nth^-6!y?;ebYVB77b;vNL3yj%yz}(kwSpeg#-vChrT6UE=rRUx
z2VklZ_AO*(VFfA@vN&xw?*Vv(1%URMydI^F^yn#25p_qaY3Q9^a=?K%dyFy6c`(at
z?l)n~aBT`MflooUJRTENl7>od!4#b>SP(D$>*u_ZnE&q9HK;>1G-3JnP48^EfCpUe
zdjm}T3GWZJodW8|<KEDByXn+!RLqa2MZg)Ox9l0Xk+&JV&)Dzw%pLCw+am^0S6vcS
zkD1|ZE##|6zWpnCUn`djP&-pagA4*KD>=<Uz9M(0>M$z%)ui5d-a;~}!WQ3``+<S`
zEQk5U(H`x3D9yg%jG;^PUnmiMVy3a4JHwEIw@p{901LA_U3dER5M9V;s>jnXmk+CC
zisOigyl}I#XC+daFrlZ~!dQ#^6F?u?ZLw}TZ%cw!<hc>qC9F8QTtmc|uXNr@@@`zg
zjHghTSE@C)ry5u{(MS)`$Rh{V@8{_KwlwbBGvYM(=jMek8{4144_R_7IKSw*p#9$L
z;+!#47ef~tw;iu8W)^j0g~iJuqb?kf05~iHtFS^w?6<AL(rz*79XI3KjX{UGKTt@#
z&|nMW0HZ6b*aPgfixfw{4tv1aQGndZTyrWG21=B}V{^6J_H4=L`Tw;Hw^&U;K+;bg
z#j`XW%iObU+4cU`)vIFJ;l}CapqSCu(H6-}bgDTs6@<&6N&4ta`@yKeRtJS@&Kdgm
z#2AK1Ncj=yg;Y2K$t(@*Lqe7qt5?_eJSwd2TTF`MG}dk3;nhX4OUQN4{*FS=>>LS+
zEmU&ui)*K62q}Na>e8Yc0bkz3Y>f$1ke&?t@5%OdSM^Am7|M02CK1fq<@y65qc2QY
zl`{GTqcEg2H`b73@~tDwieF3!%$mr*1P=Dwsg|Z}JG3$fJQyLDTHJEt2AOHKpXy}|
z4vhPt2Bi&AA)q?p2*}hy#6effj#dy7OT|CfZ#JL5`I3UWF|U$e$Q+71XO>7<6z(~N
zJ_rV|j~2@S`GOCy3wv-y_fhd-o4{#?wlCeFP$7|ix?S3{5135&8>-Fl2`mORkY^Hp
z@5{|SqsbY)|McGZ$zR;O-SOdkZQ8(-jPjAoAq~3)_8&y#l?xV_6eE(a3LmUQ^M8G|
z;ut#mK`-gLen(%~I0vsIIkXQkG0vi72AQ-msM-BacRq*hzDFdTvMtR8K@8bqdN{dq
zjS_?)s9YzF_q5L^x>t(%o5S$}b6qw<EjE*)WW?nGg}2{)<%npLf@w{hL`^c4+_|3~
z7WDaAk<u{2*A_T~({%d!j)3bJx<Mi;UkGJLdHv9-bzfkUkgi~sQS(g&5*$&pV~9+x
zTB?dyPaJFWur3P|cuI~}mu>SwV1;p%{-Bxy2Y`$e^q0qQVAvR59io)19<%kly<>+t
zzapB<(Gr`ZxpBrH?6pYItwPxa5;+%?s5%uoa$hdW2CQSOrAI;0N}%Cx0yTxRUbLV`
zAZ-2dkU9hftL-e_-7VUM+2lLJ9`JHm75wsF6l*5w%ULu@lcQRpDSX#!2((>~EAS=G
zDWhUZ#P{@6quxR&ZxBreRaOB;#c-Wa0yR|#SAVMYI1|BluAl}BDtuZYRANUt#Oy_c
zakvcde(@QJ_RnfS^|tEKS|?2D<zo8Z>BpY2$>WBKfn;_`+?xp)0$zBC2aW|_dXB*S
z`vHeBau*y%=;H_5D9WqoO^kYZQaX~)Ax&Z196@L|2?NEoF$Q|cdHiMcfVdnIiSzpO
zo^AXaHLIfjp41G6-6BrwPy(P4BE!G4d5&71alN5QWod(+BSO>78>u(V>awoV;iBzu
zuJ`u{dIldHe<D_hVK*`)f5Ef>wdbrdo!zZKbg#dO)Qydux6-8};4FM@VgWpz7B|_N
z6pA;svjTNRJe_FgP4$=c?;W~;Ph<kvTfotyJ#qrJm=zYP5rr1LzUe5ZV`0;n4Mocd
zT6Z`}H#R+ISTg*K#<q8U3XyE(Kt130ap_M-&h##0IFqx(jojO)lxy1PlgKISyS~Db
zi6nseP~JHBKv_o<yfjBw%rFztJPM4G;J#}uXDWT0GrS8Ha#e^Ic>)o>aYSJ%(|!-c
z#frFU<2_u7EyS9cWk45hIzcOw;Lq!5b3jWaRW*44$i@daOyg_#vusS$gP*^%-5N6l
zV^#E$gAB5{tD>7ds09$BZ>sg0d8h#yod^a@ev@y%8#-kxG)v+M;DN2{10Yx$i+8gE
z83s=ZJtu~Li$qvjKdL&#_XP|W!kbMrMZem3+}bS!eTi#k&nnVdu*aPxqc2~z?6)`*
zRlU#=n?}xAcO!f1LrmszU5}gcumU==UYSAAR0N>I-%QhAp^0#$+`{~(*YzSkLm&z9
zpGWj)?hfAg={Qsf;sU-<Nkvtr_V#7M;gUo{_#4p`BfoU=geRTcAr;tM$w!N_#=s(a
zFY{?aF$(3fG_qFv#Li%yf(7n^W-;ohMl*o1jKe#zpc%Z+t!P;w5|)u-vC6nA-245r
zR;LF;N)j&qscO1_dWEInVpP>s%$VefNA|o3EsFKgbJGLc7zj&~*Zmj$a}jAIT=doZ
zyx+hxtja^2YNDnRWYYgKmPIF3=UUNdoK5YB_}{)@x~IirqV0UFcs8J6^f_r?72V!}
z7*MYPAsoSB>}UJO`(<a}f#P9=+`sK7HxFvH%EM2HOp+}r@zuAUK@26oCjTY(I!dRE
z5OJKYZyYeD5(oJy2JseIVXhY2z-Vf=J6=B{zIy~JtqJfpygi~fMioCDtIkZsUpp4^
z&=`ZKT}Z9c+gRZ$8CqFMKrwUUzXLw|27C|riEwc&&HqLJIX)c=<@F_!E&Q{52V-7A
z`eGx^?y=J&afP>ct1iIvm6oSX^VmZYWOc6Vj3Zx5NJdH(x5e)~1n4DRHab-<x?st`
zA2{T$*2j3WWSMu*U^k@-k)|tk)DDXf^VN%H2gVL}jEFTEKc2s%HH-bMMmr_+EaZYu
zn(croM261Lpbl|u*h-#z-%aC2MiMW^F&=E;lj&wXV7|$%5v3ZqTXPG-o<w@#PDutt
z;+SNm{?eZlq(v~NG4D&v^x({GLIEIB)QFE$!JJVQKB<Ck04aqZLwuPJQ7wYnNSgpe
zM`N0@e#zW$Jq0AY@0;9Asn6Hd7iR>)x^wwB@jcUg00Tg&fb{e_{7ZR|N1pXN&p~9}
z!3Ami`4)W#FOl}2pW1UPIPCpS*~J~)_66ZhH|ge4Ww+fRSs&U`uI6{7P6d~^++C29
z-O!lylBe|Yj*jDxwawf8rAT>w|2!jS7~7f8HU_U7bm@^qcAK9CJZy5ENCtBa73w|k
z@x>L`ZtUe?V%caBvTHJTJy1W|)$cex0f-<H2Ym1Bvuuhm{axZTz59#bWbdWLtn<u<
z@uiY8tw(iYJ9bxn%<B4;U3b~#XsvNe={ierd)p|af|9N#3di(j+W*t9lm1VxD-`{A
zAw1!(CQqKxeLzy~Pi#0m1tZc>kygo`%cFW{KkK{w`~P1B#UkE@U^mR;+nOUGcms5P
z`W^?VPXJc1woCC4DTk~3i~}*9xh)O&VJqG@$TE-=8rXH246VxZ#%$_R^un<7{*4y9
z&k^z^DFVuuH*kf?Qz93J;u_LQio@DR^||?PV(J8-vC;t_U-UPp^jo<%Ix5;349U?f
zOh2;ik#;N9Xi6^0@@;a37=|#lT-S!=Uc8a|3yOvnLqjbVN_24G-ujEz?u3;7$ust6
z6IP6x@LvL$^bQ`^v<(wvwT*QUOn)jLz|q@vfK1y{X7Pi+msIjUdg;>MX>PV+`&_{y
z^JZ*@FHs4k>)Qy2xZ@4>rSbrJE_v^FP%A7@XmQ$&17S@yQ()*Izmbfps&|peDNp{<
zsUNEk`Lu-wkT#2S*FK>Ue88kfoVtx*x;+p&vBZBZmB_Eq4kRA}CU+I*m=ZEtUVI*H
zzIVW<5Nvs{<u^C=O=C=`h%O5&PX3)gi@FMd6HiEOK5GX6F&^iJq(_?8H{=C>T*~yx
z8s!`yQvWO6p=J0RKY|ZFOG#uyzc5`5UfStaX1G|QXX^+nD=K3dk+A=v1v%0}-DSPX
z5(iMQx^Ggi>FkGIKcNj<Vk@RNm`Ek2?<=+z574;k*D+A^I(F<jpw*AN5o%f$DOJdg
zAi<1*05rpoaJ@+^WWcXroX92dY7Fbdv;S>)nVrgOs)ZOArO!!a7hwzkdYLk8;G0U_
zTZ8$`N8^5|z#rS2@|ML9!2doCYe#<mfu5X}RNP5!*Vs@n01V2DP}K?%m?Nv$h!)si
zY_I{YkmeceSEh}tp>#-*6aya6b3PN``>SMS1L9TMf_*)(#@X)lR?;w8u{dd{K(sE7
z^X@ofmf=3h|N9O#VAf|u-aTMsgipuvjZ+1c89z$G6X!j@qyaVSGl5ll!Om`af+)_h
zH}`|z=Zg>=B);FO?-eGi(vG*_3#XmlGnDr_CKTyYR{f{5LycRC%D7B>s~M~+#B)lw
zBOCL}CkK&;EUkBGcf01~6g_F31^<ER-3VPB`Dx-*1);4|g%Vul<ev4P0-aY7PXUVj
zuafeEncFc8X;Kn&qS_;wSY1(gUC%WKU#?qL42wjVxGZ1r9}KwKE^PjDMi_=gH@Ryw
zKM2UosP>JRQXQR~d1#vJMGW0Nb^7YN41gkgOCvK5RM8qozti`$e)d5N0{c}syq3B^
mF$hCBtr|5AX?Oif+Xnszg~@sN8vZtVm?$kS@GDo1y)JzNvJI{P

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac.v
new file mode 100644
index 0000000000..910e585ec6
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac.v
@@ -0,0 +1,393 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_mac.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level module for Triple Speed Ethernet MAC
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_mac /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+
+    clk,                       // Avalon slave - clock
+    read,                      // Avalon slave - read
+    write,                     // Avalon slave - write
+    address,                   // Avalon slave - address
+    writedata,                 // Avalon slave - writedata
+    readdata,                  // Avalon slave - readdata
+    waitrequest,               // Avalon slave - waitrequest
+    reset,                     // Avalon slave - reset
+    reset_rx_clk,              
+    reset_tx_clk,
+    reset_ff_rx_clk,
+    reset_ff_tx_clk,
+    ff_rx_clk,                 // AtlanticII source - clk  
+    ff_rx_data,                // AtlanticII source - data 
+    ff_rx_mod,                 // Will not exists in SoPC Model as the 8-bit version is used
+    ff_rx_sop,                 // AtlanticII source - startofpacket
+    ff_rx_eop,                 // AtlanticII source - endofpacket
+    rx_err,                    // AtlanticII source - error 
+    rx_err_stat,               // AtlanticII source - component_specific_signal(eop)
+    rx_frm_type,               // AtlanticII source - component_specific_signal(data)
+    ff_rx_rdy,                 // AtlanticII source - ready
+    ff_rx_dval,                // AtlanticII source - valid
+    ff_rx_dsav,                // AtlanticII source - component_specific_signal(data)
+    ff_tx_clk,                 // AtlanticII sink - clk
+    ff_tx_data,                // AtlanticII sink - data
+    ff_tx_mod,                 // Will not exists in SoPC Model as the 8-bit version is used
+    ff_tx_sop,                 // AtlanticII sink - startofpacket
+    ff_tx_eop,                 // AtlanticII sink - endofpacket
+    ff_tx_err,                 // AtlanticII sink - error
+    ff_tx_wren,                // AtlanticII sink - valid
+    ff_tx_crc_fwd,             // AtlanticII sink - component_specific_signal(eop)
+    ff_tx_rdy,                 // AtlanticII sink - ready
+    ff_tx_septy,               // AtlanticII source - component_specific_signal(data)
+    tx_ff_uflow,               // AtlanticII source - component_specific_signal(data)
+    ff_rx_a_full,
+    ff_rx_a_empty,
+    ff_tx_a_full,
+    ff_tx_a_empty,
+    xoff_gen,
+    xon_gen,
+    magic_sleep_n,
+    magic_wakeup,
+    rx_clk,
+    tx_clk,
+    gm_rx_d,
+    gm_rx_dv,
+    gm_rx_err,
+    gm_tx_d,
+    gm_tx_en,
+    gm_tx_err,
+    m_rx_d,
+    m_rx_en,
+    m_rx_err,
+    m_tx_d,
+    m_tx_en,
+    m_tx_err,
+    m_rx_crs,
+    m_rx_col,   
+    eth_mode,
+    ena_10,
+    set_10,
+    set_1000,
+    mdc,
+    mdio_in,
+    mdio_out,
+    mdio_oen,    
+    tx_control,
+    rx_control,
+    rgmii_in,
+    rgmii_out
+);
+
+parameter ENABLE_ENA            = 8;            //  Enable n-Bit Local Interface
+parameter ENABLE_GMII_LOOPBACK  = 1;            //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1;            //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter USE_SYNC_RESET        = 1;            //  Use Synchronized Reset Inputs
+parameter ENABLE_SUP_ADDR       = 1;        //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1;            //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1;            //  STAT_CNT_ENA Enable Statistic Counters
+parameter ENABLE_EXTENDED_STAT_REG = 0;         //  Enable a few extended statistic registers
+parameter EG_FIFO               = 256 ;         //  Egress FIFO Depth
+parameter EG_ADDR               = 8 ;           //  Egress FIFO Depth
+parameter ING_FIFO              = 256 ;         //  Ingress FIFO Depth
+parameter ING_ADDR              = 8 ;           //  Egress FIFO Depth
+parameter RESET_LEVEL           = 1'b 1 ;       //  Reset Active Level
+parameter MDIO_CLK_DIV          = 40 ;          //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3;        //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ;           //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 1;            //  Enable the RGMII Interface
+parameter ENABLE_MDIO           = 1;            //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1;            //  Enable magic packet detection
+parameter ENABLE_MIN_FIFO       = 1;            //  Enable minimun FIFO (Reduced functionality)
+parameter ENABLE_MACLITE        = 0;            //  Enable MAC LITE operation
+parameter MACLITE_GIGE          = 0;            //  Enable/Disable Gigabit MAC operation for MAC LITE.
+parameter CRC32DWIDTH           = 4'b 1000;     //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110;      //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0;        //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0;         //  false: merge enable
+parameter ENABLE_SHIFT16        = 0;            //  Enable byte stuffing at packet header 
+parameter RAM_TYPE              = "AUTO";       //  Specify the RAM type 
+parameter INSERT_TA             = 0;            //  Option to insert timing adapter for SOPC systems
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1;         //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1;         //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	//  Number of synchronizer
+
+
+input   clk;                    //  25MHz Host Interface Clock
+input   read;                   //  Register Read Strobe
+input   write;                  //  Register Write Strobe
+input   [7:0] address;          //  Register Address
+input   [31:0] writedata;       //  Write Data for Host Bus
+output  [31:0] readdata;        //  Read Data to Host Bus
+output  waitrequest;            //  Interface Busy
+input   reset;                  //  Asynchronous Reset
+input   reset_rx_clk;           //  Asynchronous Reset - rx_clk Domain
+input   reset_tx_clk;           //  Asynchronous Reset - tx_clk Domain
+input   reset_ff_rx_clk;        //  Asynchronous Reset - ff_rx_clk Domain
+input   reset_ff_tx_clk;        //  Asynchronous Reset - ff_tx_clk Domain
+input   ff_rx_clk;              //  Transmit Local Clock
+output  [ENABLE_ENA-1:0] ff_rx_data;      //  Data Out
+output  [1:0] ff_rx_mod;        //  Data Modulo
+output  ff_rx_sop;              //  Start of Packet
+output  ff_rx_eop;              //  End of Packet
+output  [5:0] rx_err;           //  Errored Packet Indication
+output  [17:0] rx_err_stat;     //  Packet Length and Status Word
+output  [3:0] rx_frm_type;      //  Unicast Frame Indication    
+input   ff_rx_rdy;              //  PHY Application Ready
+output  ff_rx_dval;             //  Data Valid Strobe
+output  ff_rx_dsav;             //  Data Available
+input   ff_tx_clk;              //  Transmit Local Clock    
+input   [ENABLE_ENA-1:0] ff_tx_data;      //  Data Out
+input   [1:0] ff_tx_mod;        //  Data Modulo
+input   ff_tx_sop;              //  Start of Packet
+input   ff_tx_eop;              //  End of Packet
+input   ff_tx_err;              //  Errored Packet
+input   ff_tx_wren;             //  Write Enable
+input   ff_tx_crc_fwd;          //  Forward Current Frame with CRC from Application
+output  ff_tx_rdy;              //  FIFO Ready
+output  ff_tx_septy;            //  FIFO has space for at least one section
+output  tx_ff_uflow;            //  TX FIFO underflow occured (Synchronous with tx_clk) 
+output  ff_rx_a_full;           //  Receive FIFO Almost Full
+output  ff_rx_a_empty;          //  Receive FIFO Almost Empty
+output  ff_tx_a_full;           //  Transmit FIFO Almost Full
+output  ff_tx_a_empty;          //  Transmit FIFO Almost Empty
+input   xoff_gen;               //  Xoff Pause frame generate 
+input   xon_gen;                //  Xon Pause frame generate 
+input   magic_sleep_n;          //  Enable Sleep Mode
+output  magic_wakeup;           //  Wake Up Request
+input   rx_clk;                 //  Receive Clock
+input   tx_clk;                 //  Transmit Clock                
+input   [7:0] gm_rx_d;          //  GMII Receive Data
+input   gm_rx_dv;               //  GMII Receive Frame Enable  
+input   gm_rx_err;              //  GMII Receive Frame Error  
+output  [7:0] gm_tx_d;          //  GMII Transmit Data
+output  gm_tx_en;               //  GMII Transmit Frame Enable  
+output  gm_tx_err;              //  GMII Transmit Frame Error
+input   [3:0] m_rx_d;           //  MII Receive Data
+input   m_rx_en;                //  MII Receive Frame Enable  
+input   m_rx_err;               //  MII Receive Drame Error      
+output  [3:0] m_tx_d;           //  MII Transmit Data
+output  m_tx_en;                //  MII Transmit Frame Enable  
+output  m_tx_err;               //  MII Transmit Frame Error
+input   m_rx_crs;               //  Carrier Sense
+input   m_rx_col;               //  Collition
+output  eth_mode;               //  Ethernet Mode
+output  ena_10;                 //  Enable 10Mbps Mode
+input   set_1000;               //  Gigabit Mode Enable
+input   set_10;                 //  10Mbps Mode Enable
+output  mdc;                    //  2.5MHz Inteface
+input   mdio_in;                //  MDIO Input
+output  mdio_out;               //  MDIO Output
+output  mdio_oen;               //  MDIO Output Enable
+output  tx_control;
+output  [3:0] rgmii_out;
+input   [3:0] rgmii_in;
+input   rx_control;
+
+
+wire    [31:0] reg_data_out; 
+wire    reg_busy; 
+wire    [ENABLE_ENA-1:0] ff_rx_data; 
+wire    [1:0] ff_rx_mod; 
+wire    ff_rx_sop; 
+wire    ff_rx_eop; 
+wire    ff_rx_dval; 
+wire    ff_rx_dsav; 
+wire    ff_tx_rdy; 
+wire    ff_tx_septy;
+wire    tx_ff_uflow;
+wire    magic_wakeup; 
+wire    ff_rx_a_full;
+wire    ff_rx_a_empty;
+wire    ff_tx_a_full;
+wire    ff_tx_a_empty;
+wire    [7:0] gm_tx_d; 
+wire    gm_tx_en; 
+wire    gm_tx_err;
+wire    [3:0] m_tx_d; 
+wire    m_tx_en; 
+wire    m_tx_err; 
+wire    eth_mode; 
+wire    ena_10;
+wire    mdc; 
+wire    mdio_out; 
+wire    mdio_oen; 
+wire    tx_control;
+wire    [3:0] rgmii_out; 
+wire    [5:0] rx_err;
+wire    [17:0] rx_err_stat;
+wire    [3:0] rx_frm_type;
+
+//  Reset Lines
+//  -----------
+
+wire    reset_rx_clk_int;                       //  Asynchronous Reset - rx_clk Domain
+wire    reset_tx_clk_int;                       //  Asynchronous Reset - tx_clk Domain
+wire    reset_ff_rx_clk_int;                    //  Asynchronous Reset - ff_rx_clk Domain
+wire    reset_ff_tx_clk_int;                    //  Asynchronous Reset - ff_tx_clk Domain
+wire    reset_reg_clk_int;                      //  Asynchronous Reset - reg_clk Domain
+
+
+
+// Programmable Reset Options
+// --------------------------
+    
+generate if (USE_SYNC_RESET == 1)
+    begin          
+        assign reset_rx_clk_int    = RESET_LEVEL == 1'b 1 ? reset_rx_clk    : !reset_rx_clk ;
+        assign reset_tx_clk_int    = RESET_LEVEL == 1'b 1 ? reset_tx_clk    : !reset_tx_clk ;   
+        assign reset_ff_rx_clk_int = RESET_LEVEL == 1'b 1 ? reset_ff_rx_clk : !reset_ff_rx_clk ;
+        assign reset_ff_tx_clk_int = RESET_LEVEL == 1'b 1 ? reset_ff_tx_clk : !reset_ff_tx_clk ;
+        assign reset_reg_clk_int   = RESET_LEVEL == 1'b 1 ? reset           : !reset ;
+    end
+else
+    begin
+        assign reset_rx_clk_int    = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_tx_clk_int    = RESET_LEVEL == 1'b 1 ? reset : !reset ;   
+        assign reset_ff_rx_clk_int = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_ff_tx_clk_int = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_reg_clk_int   = RESET_LEVEL == 1'b 1 ? reset : !reset ; 
+    end      
+endgenerate
+    
+// --------------------------
+
+
+    altera_tse_top_gen_host    top_gen_host_inst(
+        .reset_ff_rx_clk(reset_ff_rx_clk_int),
+        .reset_ff_tx_clk(reset_ff_tx_clk_int),
+        .reset_reg_clk(reset_reg_clk_int),
+        .reset_rx_clk(reset_rx_clk_int),
+        .reset_tx_clk(reset_tx_clk_int),
+        .rx_clk(rx_clk),
+        .tx_clk(tx_clk),
+		.rx_clkena(1'b1),
+		.tx_clkena(1'b1), 
+        .gm_rx_dv(gm_rx_dv),
+        .gm_rx_d(gm_rx_d),
+        .gm_rx_err(gm_rx_err),
+        .m_rx_en(m_rx_en),
+        .m_rx_d(m_rx_d),
+        .m_rx_err(m_rx_err),
+        .m_rx_col(m_rx_col),
+        .m_rx_crs(m_rx_crs),
+        .set_1000(set_1000),
+        .set_10(set_10),
+        .ff_rx_clk(ff_rx_clk),
+        .ff_rx_rdy(ff_rx_rdy),
+        .ff_tx_clk(ff_tx_clk),
+        .ff_tx_wren(ff_tx_wren),
+        .ff_tx_data(ff_tx_data),
+        .ff_tx_mod(ff_tx_mod),
+        .ff_tx_sop(ff_tx_sop),
+        .ff_tx_eop(ff_tx_eop),
+        .ff_tx_err(ff_tx_err),
+        .ff_tx_crc_fwd(ff_tx_crc_fwd),
+        .reg_clk(clk),
+        .reg_addr(address),
+        .reg_data_in(writedata),
+        .reg_rd(read),
+        .reg_wr(write),
+        .mdio_in(mdio_in),
+        .gm_tx_en(gm_tx_en),
+        .gm_tx_d(gm_tx_d),
+        .gm_tx_err(gm_tx_err),
+        .m_tx_en(m_tx_en),
+        .m_tx_d(m_tx_d),
+        .m_tx_err(m_tx_err),
+        .eth_mode(eth_mode),
+        .ena_10(ena_10),
+        .ff_rx_dval(ff_rx_dval),
+        .ff_rx_data(ff_rx_data),
+        .ff_rx_mod(ff_rx_mod),
+        .ff_rx_sop(ff_rx_sop),
+        .ff_rx_eop(ff_rx_eop),
+        .ff_rx_dsav(ff_rx_dsav),
+        .rx_err(rx_err),
+        .rx_err_stat(rx_err_stat),
+        .rx_frm_type(rx_frm_type),
+        .ff_tx_rdy(ff_tx_rdy),
+        .ff_tx_septy(ff_tx_septy),
+        .tx_ff_uflow(tx_ff_uflow),
+        .rx_a_full(ff_rx_a_full),
+        .rx_a_empty(ff_rx_a_empty),
+        .tx_a_full(ff_tx_a_full),
+        .tx_a_empty(ff_tx_a_empty),
+        .xoff_gen(xoff_gen),
+        .xon_gen(xon_gen),
+        .reg_data_out(readdata),
+        .reg_busy(waitrequest),
+        .reg_sleepN(magic_sleep_n),
+        .reg_wakeup(magic_wakeup),
+        .mdc(mdc),
+        .mdio_out(mdio_out),
+        .mdio_oen(mdio_oen),
+        .tx_control(tx_control),
+        .rgmii_out(rgmii_out),
+        .rgmii_in(rgmii_in),
+        .rx_control(rx_control));
+
+    defparam
+        top_gen_host_inst.EG_FIFO = EG_FIFO,
+        top_gen_host_inst.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        top_gen_host_inst.CORE_VERSION = CORE_VERSION,
+        top_gen_host_inst.CRC32GENDELAY = CRC32GENDELAY,
+        top_gen_host_inst.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        top_gen_host_inst.EG_ADDR = EG_ADDR,
+        top_gen_host_inst.ENA_HASH = ENA_HASH,
+        top_gen_host_inst.STAT_CNT_ENA = STAT_CNT_ENA,
+		top_gen_host_inst.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        top_gen_host_inst.ING_FIFO = ING_FIFO,
+        top_gen_host_inst.ENABLE_ENA = ENABLE_ENA,
+        top_gen_host_inst.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        top_gen_host_inst.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        top_gen_host_inst.ENABLE_MDIO = ENABLE_MDIO,
+        top_gen_host_inst.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        top_gen_host_inst.ENABLE_MIN_FIFO = ENABLE_MIN_FIFO,        
+        top_gen_host_inst.ENABLE_PADDING = !ENABLE_MACLITE, //1,
+        top_gen_host_inst.ENABLE_LGTH_CHECK = !ENABLE_MACLITE, //1,
+        top_gen_host_inst.GBIT_ONLY = !ENABLE_MACLITE | MACLITE_GIGE, //1,
+        top_gen_host_inst.MBIT_ONLY = !ENABLE_MACLITE | !MACLITE_GIGE, //1,
+        top_gen_host_inst.REDUCED_CONTROL = ENABLE_MACLITE, //0,
+        top_gen_host_inst.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        top_gen_host_inst.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK,
+        top_gen_host_inst.ING_ADDR = ING_ADDR,
+        top_gen_host_inst.CRC32DWIDTH = CRC32DWIDTH,
+        top_gen_host_inst.CUST_VERSION = CUST_VERSION,
+        top_gen_host_inst.CRC32CHECK16BIT = CRC32CHECK16BIT,
+        top_gen_host_inst.ENABLE_SHIFT16 = ENABLE_SHIFT16,
+        top_gen_host_inst.INSERT_TA = INSERT_TA,
+        top_gen_host_inst.RAM_TYPE = RAM_TYPE,
+        top_gen_host_inst.ENABLE_MAC_FLOW_CTRL  = ENABLE_MAC_FLOW_CTRL,
+        top_gen_host_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        top_gen_host_inst.ENABLE_MAC_RX_VLAN    = ENABLE_MAC_RX_VLAN,
+		top_gen_host_inst.SYNCHRONIZER_DEPTH	= SYNCHRONIZER_DEPTH,
+        top_gen_host_inst.ENABLE_MAC_TX_VLAN    = ENABLE_MAC_TX_VLAN;
+		
+
+
+
+endmodule
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_control.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_control.v
new file mode 100644
index 0000000000000000000000000000000000000000..86d6870e253e6e8e09c1d4a9d61f2a7242091028
GIT binary patch
literal 43512
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}UTef5UWcT74W
zD_TaD+4HcTh|H?$-eK|kv{j&b+Wq1uG0>qZ)lQZwV385WU@m)UXSU$Oj&kT#{T|e|
zJw!ufa~dyz6%T-1RA^nv$Sd-^QNY9J0<re48N%+zA0SmlDA^fEzUq8HnfK~IwZ~Sw
zTiI_yb{zZtx*(4mO4ODreMEm3X{m>|L%rNS%@qfOiM6CdQH9ve^3Lj?enk~^t$J^d
z3eIbBj}l3R{q`$LsjgH_L1bsDotb!hK7mPEpn|r@l;ATBD3xBk<KNS3tdI6OSKXxh
zDJ!8^98=2X2TG!R!^>oRV9UcJD{m91(x2Z4d`q5MM%$&1+C%m~B*YO%RY2-(qhaCo
zYDGa|21JQ7xmZ797kLOvp#TxZt&M+wbL=e90k1#}DT}-gIPZ*;Jm03y61$|q5*5Ln
zAvm&Etp3X8ir`)%c*z4yb%mYbz0nWR+))(pj;b&MDr&|6lkO83GwGo_3m|RJ7Yv53
z3spgLMMG9=A=Ohj?5t8gw&(j552G{J?idrxE*?)bACTF1;?%2PSOT>9a^zVP<n-&c
zgcP#1L0nT#wG~{bOSJ$OVjsH&9GYEUY7iL*T#}Nyf8ygqs4LTq88CHhd@2P^DELC|
zSz2LrGf_-(vCG>P*|<Eo!n)sOc#HW8%)%#8BgrphwvE)jhQ+ab9>uTs6o}CR+Kt|m
z`t1uNy(O0=cUGH!O~hiihh7=6Pb-SDg6e*&gKZc8(Ee#CY1Yuo$V8fg-&136=PtM-
z;fl!pXJqM}Tqg@gT%w5^h^TOTcXmGAC~nMF4aeT<1;HB*{xHjJWHAP&^5>b9(8!s*
zuItwA9o1iN=3J_fK6%xbR|xwG;|M3;3%)3NEhGar;Z_nV!wxRZp}pMNVUeg34Y~Qk
z_=R{EZZfBKkYNldSxB&($pO@GPcxU=?vp9$7WJJ}h20*tLF5vTfqUCOy*8V{hWJ`B
zMKq>A#nr(Wf=6TiJ}*Z<__Rh8rBU^eS9MePNlo1{n6)+Uw^fh6k+lp+4(aq_WQ_eo
zTCLLC`OKa@Ma&*e2q!I@t2EB!y|l5D3P2=AB-}02%j=1;(oTvArwxhD{w^I^VJ?vi
zjt%&OhDDvr(dT<f7uc<TfU^s|)K_o3BU91wBG2X_u*N#KnaT#)#bpZ5$wX5;8WOFI
zj-uL|5ZsROP^tq8;;B~4of*!`ow)%dHSVtBgvJOk-?qfHv|&!#nPKU>CVosmFYVVK
zfKm7LQe^SbZ6UpbTUmVk1;stXs@id(o3fe7zyR5*JCo6Dibh4U=T2zT)1GvOn8&Z%
zceTZ|a@kM1{?V&NjbJ+SZLAVvGMP#Z0lYmOWNCD{{=4qz$!Qtm0DyxM^LHWLK~HO8
zv1c?)S$_}&o8JdaYTjWw!VKYBbpZQG+tVZY1^Xs)Ah?LRhG8*|;5?$-+1l-ya}07-
z4~~m4DNfv}wfGp`C-Gh?6SI4YCq@!wl<S!q-IGQBxMd*yb;MJxugg~#)Nnc|Uq_Tr
zq^=H2nEuYgo$>_R(U#E==Numk_9p_gB*=BSEzjX>7hRZ7P5hie|2p2l38nf3;iVop
z39PjjI%}4uORO*pDA_t3Xx1vvHIh_y+5dqloZDGgo7(Gw>4X0chldprqv0)P#NE@J
zNa!DKMw9J&{m<lKv2`GfTnoN^pSX2k<7GF0Xhqb?%+lczMIszTqk}^?$-#(hK;GYW
zL`$&(Q_i}nE6^Q0(=0MkNzdM0l8zYMXbB<FpB4lFUC*;?hic!^9KV@wP!dLtn}^yB
zV;NTe-lB$ah~)8XPn0(=VwQdx42yC1T9j<Z<!T+3XFK?NHWutL$<oAwq(qOoMfIs4
zI)j{qu^>B3kS8xyFbhet)KGcun!rUL10p6w;?)|(Ur!VD$yG7HZztTvrcj<Bj@kn>
zNVT7XJcVf#Wq7`NjW?JaruMcgbAP@VFnlu<=LbCLdyr7FV5%c+!j3EkVi}g|lJiU;
z3d~^>ztNyV4fMhw<7@SCouWq|lkD(}2N?72-yy(*fD3#fG~9mPsoSTs(k<GVK3A8H
zx^WTxKvP@KMw`6#mFd6_j05Amg0i)>XZ_K$ryIQy@#x=m21K}n_Eyc`EB$H$0eK!d
z!|TuFkw?)dp(wGR%r$aQRVvYnH4@52smq~NDdL^^zixor({S<Niu_J5{>zp`=T($G
z2XWrc=_%vsb1gl01SYF7Z|Ie^At4GL5}1XWYexS`Py8;Pio_6t97bq77`BvI8SJVf
zCZ1RAHH(E27&eU9O6zC=V!t}AeE!eERK=6HntT7kYA0oDXJwvCW%g{bL4IygJ8JxK
z=*%WLBc`bml*N^_ei@PDaI`%jEb`mbKU=x<Mrg2M-UG<0Qb&9w4JUJVh*eGy@%)h`
z#$O#M7%9B&7hIf?Yt+`{v4Av30-bDs3C-Vu`LnQSFdACPUQb~PJA_l@_1FtVLKnI`
zv-$msJG>2>t=sMNEP()p<*QX$m-}N;<hp(jB<_TGNDu)L<O4?U)yz;;*tT&fCF%`W
zbD6#>-JuxGsrlhpf+)yn*o}#H?L3rc+H)iu57!?pDr~P%Y0Yha+z%~dv76Ccn3dOu
z&ZaUWpL43@%nDOtBh6%m^#Rq}?ct1w*M#c)=6AQY3LKt@dhOEZmu{@F>LZij0jZ?<
zoO~VzOf<IN_KFBq*Fui(o`+EohugRC$`JlA3~O}E=n5<Y+^+C}{#z#zhiOu0CI0&P
z4plOqB|;+J5O|vcQFA@Z0oQZJUc3>JEm+Ibtja8I^1Ulk0)l1NIyrigXfC_=_H!*C
zZ&7-Ez{CuT5@A5=-myydQEpDO2rS3p7lx4$*trpDr?GRUP3@d}#$S6+uR{nCwJ|lB
zhW^^oE)_la82``Ny{45du@p+`bOveE1OWIgt3Wwi`{ww86b%@1!k_mI&#u5Ial|(8
zBO9Whs0gmZtNu+3-J*lnV;@Ndxf$N0DE7Qfe=m}Y)ijx57)L4BAXBPoJrvTaktMF|
zs+Lld{*%ZoVV;ZwfEfL_PT!zTsq(Dfe>G#E@u&r`Hb~Tt?*)s=ttH~}F|M<~VS-@D
zd{`TeM!_K+pROzEwT7b4{Lg2WOo@W@7PhL7qoPcHBOK+|fm|POzy`(~9O*^1Z?6fo
z_6<6-j>2u!a}!`4$R$h_?d)bOdq)iT4%yhAeU~@|2Nt{t2moF*jS=vUo5rO|H_SiD
zR3Yy^*fCt;qGKpwR0|i%hQ|XIGo_nh=Ba7g9mJJ?aeqc|j<YF}2d(pl+NJ322sL%q
zIFR;((dRHG_yVLXGJ7PLBZ@)PYWlW1Ai|t}0qzajU^|7?fvt~3=YR`@iGwrfnaq6>
zLA>KMhLP_1-4U>$T}B+J&yEI+01mC?mKV~Adkv8#F}kpOwrtWAu~E$U(AVd8jh-<~
z+zY5UauLO|A;5<4`n)Vs0IuWmWX7ERZzWlJE|?G?xsO>I3a_hujh>_eYOMd>eJHcu
zS!)}9f7$c0z7%X!<<T*^aQat_>&i?U{AX?|J)Yxq?PNL$sWH{-@_(^pw%^jGY%9ob
zw+>z<L1nsMUq@8l9RP0tMh6YNH}U@Y-==mbk{fy7gQC+VdJ3`lh+&7@3aM^XD!F8W
z&%ko|J~W-cE~+XSX)w;%XACYge~t?0UJWzhM7`g(;zA1x7{k$jH>Qhjn@FA++MRv$
zJ}Y>;b+bg391(11=c9EoDGU;<LI@7V8h(?vkfooA!cK%w$iC*Y>q{w&@&t!7cue5d
zkRDy(7F;03X?(C$&G%OGE*AP6XNI$!9kmfJkGQj&2}DRttyoClCe21F;#^+Jm(fH5
zHL?HG0x(I!3isYCd_UqzLf7>^smV+ylq{XVA2d7RF7n%aTzsZ!?~&f{y<q8le~MU;
z->hzy$KnoaV<<Nrow^!R{?y%t2)!jzQkhlfa5~Gfy&#Ol<m3h}aapV~F_eIL%_HpA
zXT*lL#w0L>1*Q;kY|31lb%v`?lsu4!BTYK2b2P~VEnI?6gH4$kz^xOjUEafNX$#dF
z^R0nk0nC-L`ETl}JCex@NA%C}A=zQQsR#ckDxdoUjq+26MA#{JA80q)QK><^!^q!O
z!I|ou6|oz!tQLkT&o1u!c%2(-2E!(gLV__$$#;9X%0~lyfuvPI78!rSj$*N7`Xevs
z5$6H&;o2p5t=E_iC4h8E!-Mx))JT=0s4k=3mZ#{rVzs;vIA;0SV&*@>iHZ{~;`qXO
z25Iu<C!CMXv2*+VhQg08o(A0pkkwP84Vubk`cpLN$u8m|`F6z;y+o`quL8$3oNI4j
zgg!Ib4IoOvHx~xh@emVp(zW24Do(pxBA3v!#Fuy=M@3EEn(s=|$#I!#R_{PxrxdZ>
zWv`l$YL5D`v!YR3D(j#SC_s=G)`QtVYR%XAue14XqhUqVP>zA&dQDN2t9K293!eeA
zpyNXR@y&uy0HSYm5$v_ItOAk;g@j`IvZ7Rsr&kQrDk7HTl;4ecH>vHE`TRrb!O;O7
z^tcMAy-SMQh0ofsTEn`1>#u+~C74+AycvtUriyEydnnUh#-y!v;%LU8n(RJYC2Y$_
z|09@izY^ow^-yMr5NygKE!_BALtc&@q&MlFIsc8x-Aw%ZngAS&3~7YJ4aPTydl~>+
zn_ZDK^E-TX$3_6&_T!oi8FicqjKeHRbMapsWr>p=C#F3d`C67~yY+S4n?#!Tqs>p+
z(giO>5bwGyB0f?&Y6U4m!hYluzIx`vw_O9bxXPrj&5YJ2mEOQZ8B|0m=uFyEw!s|@
zuVUk5Rd3gJK&ck`CBZ7(RL+ek5HAasN`jD#X3!w^5L@EpMio;}p2b$l#YRdAj#*tR
zrn@{TU7ax4neq>VLj37fE3q2Za<s|4V4PG`fpFMEddBIkRz;iCI%L<%s%XM0vo-R$
z(TNleorF02`8*&ZuTBj)x(R)-qpB7xxzGUgcW^AM=refbWK--V3Xjfh+RMyGl&oD7
z=+cQEZsqDD)$@`#>0(E*>N9!f45>04Te!2$EDSP-$ZD)GZ*DUv`baTBBm;Vp%2j0I
z&fw*8Yg9Fab2DE}g(#x8>>Tr~{<R{ZHMGI4fC(y7dv-X<;dT-9mL+tL&x4r!buuBf
z(jMYF6(|zzC}{*4n)TH8*-m-P+k*IOhtli|$r02rvR=*sW4u5I&7+a=XJN0&62$&j
zkSRk;5{iroY(0d2jSH|iv1m26FUZKK!Au9pzRA;kD{4HN>GJvufEc0UyNMDK`{_KJ
zFLa5M&7C?pxvbLc5D8GjXD%)#93{B3>yS;kw`U)%IgVFNk62SzDY+wisP!AO(p3b$
z$;)+pjVOTgr?t7O79i-pUF|tPD#wv|Qh-u@z3an<Ymd$0s<5fjnC~%-g7<`7hv$&E
z0-oB{RV6pz?aH`(R~INsV~P_(>SbVPbbj{?RkxRELwEU8M20XN^j6i!khxiVtTvL=
zXi`;Osun@CZLzdQH=T2TY9+;ATTz`t4iuh{2HAQsmP7$Hvid!eAS<~$uQTZy`E{|P
z-ZWG!!cuDZ#DE81CTjyJz2GJg%VYx38<XZidPd|FUO6JlBpVb|OhckTP3ROTnh*rD
z-$)V1jwkC5HpB*F6_4G*i6=V?=q^$pZ7CgDVN7Y|IyuvGgMBKCa3_<iGBnkxvEQ^w
zdAJ{tOGbqo66knbN>C<q@oOf)>O51p;$nef!U`DmDu8f3U7rTMA4;&=8AJLYa9)rv
z*Zej}*Blt*sd_lN--b9^BVl@Y?55dNzD{YTFOSzCKg55WbwH)pA6A18K`Lfc-1g{7
zPdGr-2rRt%z(?DgGM>mzA56!ccm#L2np6c|{ts)N28}6*n<$eTh&F7>bt4bPcWD0B
zW!uoPgJ-Z3tJRj&tSj-OoaCx%rn;0TMKGG~PMx2-wD4gd1H!ukYad;pWz<F3MArWu
zK?dg_riNN<BV8Qw5h81O`BNcHO>}k&<k-}jxAA1*tVFREO7dUc;;XEOB$vPQ+g>!r
z$_Yc(B017d`u9E?PL=`EO`5P#^J2>4m%YZY%$P;+d+rn$R?04!gEOHWYg0sSufIBt
zS$&MgnM2H9RVkP9v;_a&7l-!IKKlbIgDM74HP@n+*pknq%^Vd(I3w~K6jpUAODinK
z*X#HK7N4)Axnm_K7%i%ZCP6^odM+oqoA=KqS5{o;uJ01VTB8pMjYY*tdp@^=%yyo*
zm8up8`H3L#I%Wr;rg5jT9Y^0)C})IYMfB#;TyYBLfCp`e&qNAZ{ea^K@PA6hzw-AG
zpS=I=ApYc&8vYJc8W`WdG%BfwT&ihU2%uTXO5&Frt-TC?zybW2O;us0KL?t$8DiYA
zngqPc`X!b#a4}4!T0x>;!vST}h><MbA1;PW*U3EfH&8-b4J>J899e6tPfdQ07w!RB
zAhvF0Y5OL&F^&=8D#M~p+o_?>(xNTuAzXV<0nbvd7EDZ**E&Lvf|ksvVKBD~<O<>X
z_S#AFHk}ECG53HvbxF$zAa+JB%l@Rl0S?)vnkmQh##P9seU9?ObAyB4>Xa#J*x-|-
zeIWaj)uX;g+jrBt-GOnj!m5;pm3?>W+mVo!l%b*u$r^GeS@Ai}dp;oQ^u^%p4S}t6
zMK{hOVJ*Wp44P;=^x}as3%!RAN#zteCq#tppuC5*a!l6K0|mOwhE|WtG`2eR9{=px
zZs|n$BR`3Ycnwg;vq)eUhl|YWgo6uGTmv*E)T&9}+R`?a*p-}pg0IhGbetouQYoU)
z@g^$~hx+zC-og>=@*{cU=dQKAt3z3sXrYo?X{SXpWr3+2h4=V{1fQP!u0U}!_+s2^
z?fmc8!rgcl(mT2XDRD2-mG%bEM%Pou7C3K3<~)zrk^2Fb2)KD}8C4x&abcl2mz0<G
zZB{lKSsCKwTB4QP>zPA^t<x6kRceG7#@2-Jgu~*!D29SXd&JRAfI)y<q$jyQ%efx4
z(y>5FO)&iaY;O=qpW^S^2*_v>d3_`)V2=S+nhO)6gf`{Y>-%7NAaf3d{<VlT!Cvek
zCAVWF^bwv#9v5_KBW>L*R&=SYj#1eUQG9mM>X}R*+Tr?z$ra51S8t<Cq8+{LkfiBW
z$^4z@fRB_>*{wsidd|hh7kD23MRr&T<I;{EM9j?de;!Pe2^~;AgKn;R&{2$W)(^Q!
zZBnBJ3L^x?b?{>uizSnA`NGlHYOxX#?R(jd7K~nIi}3;0A~cHu;iop{vWJ4=;fZfN
zVe*J|=z^F~&xtnj>4b-G$|4W;JOwXGl^vVH3r%Z4Q2D~Tjj|X*Da5`j+_90qyR*TR
z@)kw-ITr9<niswqGZ;`+Wy^^ivQm(SZINH#Fc*RL;TH6(_HOg1c3k)Zen+%&9NQ=B
z_T^&Lng9h6JI+aOH_aaq(PbDn>B5|~_p4AE(WkMDiiL)$B9R?+U{NDRBM{ho5m%p~
zc`~7~T@2taN4(^*Qht?Us#ARVKJ+=ZtZG;z&R6+RsM$OSGdUCt-I-Q<6X-gHjRO9H
zlE?)m8uc3(*zuYLH20G0qThIA*s!P2%z@vl4rUGL=KbkVrjo`%XRke%Zt<T$t1yxf
zyW7YQ-qkSKNR;RH*bRe|zs)KI%meqa^?|-k`dF{6GF?4X+O;kGF;@j@5!~4!k+#(1
z6qWOL@icF~1TVlr8dS$vj!WzPggo&Tsb_i(vXqF8+H}o)HSEun)zRZFNk~k{B$WWk
z{*Xh8(5a<19fmhIUz#owpEp~N{p6W7q2$sT4Nkch#cx0`@<d2~2V%UoH|-B6BEUeR
z;hoy(7cB>)FmpX(YDx|CWMApmqigri)!%c%R`CuDyrt7dT*YLA2cw(%LPB*qXrdhY
zw+XfcRd@Y!aNniDB#MP!Q|qypdxzLThf70S!QKax@x9r%+RTH#4qTY=+c5vEUG{Bv
z;dX|gu!z}3z)p?!j}<2Gu>m&E7J8%V^gWW-Fw-V_M1UPUlY{pJ#R=WT?Mvp24Et;N
z(I$DjXW8kWJ*rdrL#TGGN3ddta2I<Fx-#9s#?P!~$aID8riqyhpP9``J#`MZ6$^os
z#tS2f{|GzsIHVy;Xa<Qcf{o@|uuw)z&AG$-u@+ZCKBugc2?4@LO)7T|o<QNS|J2R2
zne*hEPJ4(&U6|PeB-$sS$>5ir9v|lC))-<OSFvM3l|eznlTQ8l%+iUfFF7h`a<wM<
z&8hpDtC*bzyKPiv4|V$j0okQC2ni_Xau5Hzdd(u>jP9@_436T5Lx~Z8fh>c+QF-6d
zyU+IK%gmaMpFEzFsK(=ALyz$$z0lRoo9A8v4+LeNhJ$Ch9EShqywOf#+`UJkXEk)o
zWzQj)f$OKhVoPmFb1fY#_px=a>fm_W740m`bRWT8m6BBvHPu6=ef4vaguS65wJmbn
zD8~aQ<QPJ^X=$YGM%YQh#s8823g&%gEN8*96oQC-sm(z=eFKhbIJVqXgq*B}beNZ3
z583Thw<{;{a0i=p!~96~e&@I{9lPksqDt#X<FTn8_*aKh+lz+Gf})PFdyU8+$iP$2
ztT2=t#d3i06R`L#5^-Bb?_u(cT?gn$sKrqqT}Mq*h%9Ob2@)$51oXN0H=0*EA=yXK
zV*55ig*Po!uKrwp)%RyGf$e;%Fvz7zbCb(!-vhIq(`i?jHZ$mLWvySZSpxwZGI!a{
zO(9(r^&+Ol=|4HmRMqChNZv!$jmw3{%ulRt)`9~@p_2Cy3H<@ZTOAQ9S@wOPly@4B
za?GO|fi-6L#vjP^2U;Ba;2${48a<Or@ufI#Wyz!exI<S`Kp}Y#Xa?sTtqDmu{jQ8{
z`6i@CTgN%dcD}L4DdjSrGOYhRDlVVmcign8{MYPrgyMb4b=xfkD?B=c-s;?s6eMZE
zkQip7l=W9<yuKr|xRNcGh}Q8MxiyU`Vc|tut6#SBNR#JjT**BcB}%iwRWT=Q`HNH)
zgqQ#pc!oQ2xx~IFfaHd-EwFB|1<a+hEF!01*t|{?wEc2;6`fbXTrax8=i>OOdJr#+
zK5VExR4R-`{~E1-yu`+U<cQR>tBtxq8+a{{S)&Af9WW@<%|_>nH<`x_;;MF~dZah!
zJ@S$8j+AhN9xq(JN23IMJ_QiqG&Vbp2@*68G*O`Xpsk_9*zd;#!m?J{#kJkQqIv(0
zuxXyd0@O;{fcM;2e6rO8aLbbo6~6`(_)=+y<J%|rCd82cakT8(JZ3X+N0EF<yPj1B
zCBk=ES&>cs9<{iw=E05L3Y#JQic<ntKo`-hwfgnl6BYEyL8QI!;ofO999dJU<wC%W
zwo0q{p+tPPoh!WrXdT~E&9@jLBhls*Un<m*%<b0o@zn+_`u5}29OA=C)`I#(;Z@=8
zjPynu2a5B}nnRAO$&LDug1VN#-rSift%ht!4J!rNKntw+$pvnxFvr*j1oqqp7Mp$S
zPdCX^!1rYvI+JAO_3<_GIoYo%bGCSr{aKXIq|7!!j2rjC?Qj#hvfrw;Gb7k<jM@`g
z3p#D;Un8!rh$4Kl<3wE?*fHLk&1Z-0exCnZ2@dx7n>oaP?9UV@;iYi*U&7>qV>sir
zNuC;n@llkrgp+DezFJet-aA62w3E39IG^hb7V&+$CWu)%NkSvaJx;1fo3XT+dJ6*v
zz%X;yd2oqYYNwAsO+`5`mUO1K=^U0@!=nP*oqod?!!X!5cWRMrSG?Rk%@5n@)Zr*$
zJmMg&pOP9JRGQfAU~+72`7AXz#fQF-4qM+UCo8Q~$&pEWFIU%J`+jvoHOTPMA8`+w
zWC(2n#pZc?8%qk2Gl5Y^dX1q*DeUAgA5Y6(CJ+_barCkD`XXtt!J_E5`3@U2Y?bIi
zL?AgG^<nLMTqTMDU6<r2b`%ZXOR*!f#0d&?(CG`(t|i0``to~$?AUJo;zKVGS-|RV
zaxr%CQ6vvfldo3qDgd47DxGU^7*d|Dj+rTjB(Wc-ZF0Y=)mny(=x*>My;9OfE~jX@
z<6{$sW%%Rs`EH>}QGV$o>f^lm1G<&)CWI<rRV2Vijw`qg*0Fsn+d|yTO7sgl_-MiU
z>?AaG81h1{+!ps|^sU$nUuRTygdt^P!e+Dwn(i@=FPC7Z$OesbcQCUX=1)_rZy&?v
z9Dpp4g%LngVQ7~fI<wL}z4Meq7~EV`e8!mKY>ObKeoD&%>9~|Z!dd0Fe?eUyo(V{8
zqW{*r5RKG2<ZTKl`aeM|zrLuwIhj)Cd&zg`*<_$ZWKxcrVH;E->LQi0@Pg)J=r2W?
z%?R-Y-FH2zDVXf2wDWcm2q--PWgG@m7=7B$57~fl<JQC~-l)&GFaKy4u*I3$P^}R`
zUBf&z#t8bBm?H^9_m3WKZt?w0<x@n6ovFGHDzCO-1;qv$?ZnIf0YBBlnyLyb6fp54
zwmAyEsAma+P~d*^o=e-|ik}}uh(o26hmUmG8r~{&<v|!;To?0>=gK!RvC=Zx3?fJ1
zyOEhnnX(V)A-Qy=E5#SX5#Bc@cL&K>$>q5ur=-(cA^+MD2nPRzhV6CM$)phM${6uz
zujUQO^)79?7Em$Eh3|)N$=+mGZ#bOFg+4#M-3;!SdCwy<N3<Ezx#rPSO%6Oq6Y8y1
zA%rx&^}IM7r$Sw1+?LItIdPm==qvs5xZ`YJvCvG+C-Ia!%)N(7&VcrZ`t@56wM@qz
z2<oy<FWtsH`gJF%{|{4vLcGz#NLul&^zTaM)cbp=ury{5(D7VycGB9{2*)IGxmK9~
z_iyi3^*f7Cl`$75GHQ{{p092N;X*g!gUNh=WVOdH1nO*>I$4}=%UJYxDJ*@;nRgdn
z{Fy3`-ybXHEz^*B1hE$>PQzIiX@~DRBNdX?u)*)JkVdB=x1e`|FLkM8KVJO!St_kI
zuI-#!sO}9~HJ9pCE7|@r8sEWh)Zcoe|A_wlk(F!6vnwG;thh{V+^)4IerSVb(9X}4
z19ywG5j0f&EpYS>NfAU#n!-lh)RUchO@?TlTw*}Dd)?^#`42Mjh1>upLVMr$?@aUf
zRZt-xpO;XJAO)f<mdXlE9jD$PHkN=FLz~huU;xZC5l(SQI3b!owXXQvVV;J+wz{*~
z$2^P!?pB`~^Q=Q!feYy!i;0iqvt=^)S8LO(WNz_i?EYhSt)8YYS39g<On=(99G_nL
zo4EVg{`3y_pi|$Ez&+H3>LjQ>?HUyAIRq5?a4my<i8BeH+Rt^&8rb^$PenW8#UCct
z1IP91MTsgQ$!@t{j&gUyyOSj7i;Ad0>5)!8JkjiEk{DL%{BfjfIV)8DRLk>ILBuS7
z>A=$0&)6`&$G0o1)a@F6LzBEj*oZJSdQl(zXijrlc%0i7X~PGFbF#hpJ5?^P7ttTf
zP(o`y$JWF;MR!ROU9PYDoD^vN@D8v^oy+!rV5o;<*>5v>7W5d|rz|s`J^ULf+7+cb
zUM6V}#cy~Z_rZqo-MhvjeMTk4z__37ycNw2QokLp>Pa(vi*is6^4ZxR5wFyr0tfe_
zUTPTZJI0|_C9&=dp1b}m5JI9ytZ(8%Jg(|a#g5GPHWBeWFF;Xc?GskBj3M84mAJm=
z8c+GmsQSb-M_%FXHI<b6sB}JxR}SOmgId$yH587+4e%G>@knf!sqKg$LP6{O$(<xU
z^*dEFvR2=DlrW>ApJ{W3Td;UI&$snrD79@_vP!dkAH5q+D`h{Q>T9TP8dxsN3<RrK
z)#UxZjqqn~D0;eS)4DGstXrjKugqfl`t8xlw{BWlaw%p0`jY9jP{Ghv-!b=rmNq3y
zra{(A52Ql_%idK|8`GB6@d8@Qcz^&KAF0P)?RKM<+6VU&>;{YA1N;`5^wwtz3BNPj
zaZk<9Yo-4^s(Yz$1y^?S10w~|?v0o>M?ZTQV4ukvCB862>_B&3iLQ^t;n~JZMuzC9
zjR?e-c|q(It4alpME=HNF=Kl(=g$v7V<=G++T;x<)6g$yHK5`Z0SsuOnSpEaK^b0{
z+J3-s_r_dmT<o<M5)S^&o#w483I6YDTvsc*I}1aFo$~N5)=lY-eg&JFFAVcKlZlcg
zB{M13*`>!S8}1U6O<C>C0Vi5{4`G=Jw^L!S9Ju)o^fNc&F#X;M!;oRbmx(&c3OQ$+
z#z$LZ%!IokPqs3{y4zQV1tm#a)uU9}+0q4F4q`k+TJ9<?ON_`@Rtw~&ueH;*?zjx~
zxJ2=?o)v9E3c*2dPg}SK5zi~J0o6^d=TMj`a>>dGLZd1rF&9qV5f*XO5ia&Z3&a~!
zR3>h&(k+Y}8{F5u!&Q5$zL~;W0NrBz=>3GAxL(9HrOxA>FXss_Zh~jOdds}M_U^AC
zgx3EEX+V0*DIXwgFF++t2Lc5iH9#3^7Th{(Lrp}=xi^G5w^a{W0;kFLE0Pb>ovT}Q
z_>gP8Ya1p&5c^X)NLN`jTX#h@_6MU@I9$*boxO79<r2NecGpA_v(0?P5y2c-mg;>H
zfBPynGQAS2XyF-XlPS%ze_Q_s32^>!N8^a<_uUtp3sD@V(BPeSZNSff;&FmJ&w}3m
z4ZM_MFzs;|J9>D{Rhe|<nqN%b5iVJG={Fmf99Ft!|0b^Nl~UIh>**!XIlMQ?dL5K@
z*d*kHt|D#iMNLHf!a$%_d&ib$?8}+K&g*}WalXQ?IGTgCV^v?hwPdL{k2iiDVZFR1
z<po`i8#pf^>W>);D!dk^G{HFckoXpFmsyTY1A~MT{2oc_zd3!aow|FYzjF2j%U7ah
z=}Hf}p-X>I!9%!uyt_+y;UXRWKG(n?$UA9FuK=71D%wE<5A}PUZs!*e0)@o0+*O@u
z8`p&fv;^9=Whf_ufFlS+Q#x@08aKHp^)@Popf;e<5V5y<8Xh)o|Bt@%1ge`%KuIF3
zxd_KfL1X^H>=S)9Kur1-B(|2M{tHErHWGp1(u#=QUX^d3PZ?(F-C`NEmFU46AMyW{
z0dX9jN0p);lf4vTdh%pYZ3j@NLcmO20QqO+b@lV5qXQe?aSC;YF}Y{n?nmK(^z6su
zO<{ETTbyWTx&|9XIgAkEMTlv!-h?7)v+}CVFH_mOE$Yy}a-#_3G(I3L|BdC;K8IrP
z)kys~iVW*udQgq5+QcGJft1=C)}P0}yB<1uF%ydG8~MyZqJt95pQ?}zJPY@nXbHmX
z<~acIo>Oels04uce|F`UsXK=>%wIRB>d<2cEXtbUEh7r)#GJ54Ur1N!r`ZyNWg>y>
zIr4ZjKXuz18`xMOUKd<BVHGVyv+8+*tNPhh8ckPBE&xoV$Hp6LX@mMqs2vmvpUBb*
zM2>{cP{Hb2n~@+!k7Ze!)v{b0w5!`(8@rYYUvjeq;RD>`ambM;xN5SHmk&8>8fcmk
zWE2b;R#HGheC+MQihs*~hEG~0iW#n@8m{(L>0&TUvcCjdTC&_XN%`?d$aaIish{ii
zP!>tquLInA=6)SOLE!AHZ$W+dMO3IF)KY0y!nOno3zc_%0hc+WiS&rUZvat?J~6WF
zS((-5aswB$`px%m6O4j=(GEp6zF`TnH^M+Vc^V-YQjSS8dhL@Zp8}3FtzpWD#|F16
zpQ1AzCWh`8D|Z*r!xD5#BMIP0yfQa&iv-EpGuhG^=NaWxnAc}LecsEE=F#&sG2Am2
zk;5JxuNR%`L9iac_XTFM#NkX(&xirFqdP~=RSUf4XsxggOmC3P)M+}bqYW@FaErlH
zYKjB|>BE26{eY{LBSXq3kjhyws$o1S7SQ~go%2VsVZdT0+QC!<)!}>%@wv=^oDUW4
zuZe8>xS9LdLXP(;&cq80oD}L3(Ab<QdFX-qgxw7oT9y`cfkN{CAPEwWO={T&=$G%U
z-0##58tNc6?Fz3U=*R0wc+Nt^jy3&UUz`y~Bst_qP5c|Xq5zW+tw;0}$$oRGfJFk~
zSPLLl1+Gu^gIu0Nz-h;ULfQw!#t-ZNlAbr|<IJ1VkA1@`%dnq9su=;D=b><%&?)@<
zus0$=^<S6?fT*K4@A@FDa-p12NcWk`_72Aawk)#z8T$ph?0$eU#@FM$)|`T>74`mP
zk18J|K>3M+d&lH|xW}r!`4pCpSpK({`v4&3Mc&O)wM@;+oh7e{G;hFgLA=^h<ge4)
zl!`(Pu5f1Oj|H}0(UNMCfC4(u1R7zx8^Jm3qU4a>n?wo5+C_z4DG!jk^V?qnSdm~_
zv8T-{-p|eZf9E!))5>QbYRCoi8ReF5vgb<RfwK+7B`JS5wGZZKOZoQu3nuXN_98Ze
z=-IUIGd~~#<SY;W=AsbegQ%Hz!>Ls6m<bGqyvO^=l0uc^PW1IY@TzZoaoCG6c&-th
zT6H&?jVM&rNGF~eTQ9)BpR+<bu&88(466am$COp;4rEPawil`|hDW%1<6WohRa@`c
zzIqE`zxdsZx-Nzk=05*!{O=x(C=t`=zFBfBH&0MqB(~$<KRRVlqy$2Ls7%M`GE#$q
zwwt*99}Sz{uTRSSyVYWvE6W?YJ{|z*&1Nr+{oY9p@2Ds@vcen^M|}*lLil?=)GJ*?
z?sZ1iV@8gSY^b<@U2Q%!TKI>5(RgF;u6xY{OxzL9h?F4hi*$Z_gecOK%jK>AQ$3w;
zrS8d|fWqw#FoV~VpB#@HA{wiKQDUc!$sc(6i-*hOekjbl9;P+J$bmYEV@HK^>7U3f
z;KL~4yW7H4sS`yF;=;5MtxX6=WsV}u%Jbyns7N`K9G(dEWQ!P7{YKWBYt`t693Z1C
z&|o$Klio;azNoXOFuR>+>jp^ejh<w9IzTrKu^Tb0492qIOLPn>G4fG8bd2AEU-&e@
zqFE47y0n0Bg^WXSWei@zgwdEOUGNYgv0Fo!n9{h_p3H>npBObcvPOfBW0HQGJ*k8~
zW3t|NRER>%&Cy1e=1dW}v<`GT?zz0<m4BulJmtm|kx=i!Ne`j2R12yP5cr_)Jx-U|
zE+s5Dzd2Ft+7v^R^ku<J-WHdd&ELFsrC4ZhLWFB4uY~F*l8zcTVhJlAcFH?@G)Jzv
zqJwJFCMhEznL$7d=O4NIjPI<J%eol;Nfbr(y3v;6ZoFmd4$rqXq+B<@oRp>dji@w_
zw^I;d5&#985JIs9!J-$kY;XQ;kh~^$w;o?PoMd6eFRe7odE_T2cU~XVc^-gl{aN>_
z?E5v%T}B8GJ|epR?<;3Bh?|xLP-Tj!wzJ&f!Hi{~d<dW{ZHVQVc)Pl@YkBVU%!hEK
zBJ}@~{VBM02K;}E<MR5c`>g9?N8O`+vyIP4g7{78Yw8wQae`usL<Mdu5N6i@EGvpT
z4e8=GJh94drwa+J(Y%1GLgf0Ouql$7r}il<fA?TF+<hs!uxm`^3I$SzUz+ONL?%hK
z{20Z}br%$SCMz#q(i-EA(PT#JXBAFFg9V|-Tc0hFnJhFo%%zWY!Mz2QRzkkVAPGK;
zGHjktJVp{6n*i(;V#EkNpcH?eJ?T({__c_KzDb&%1zJ7X@=JzOHxxQEq@R_2ZEqWi
zkdvwzQYd9YkmMfkwC{`3ShUSgR6Yd=kWCowIqp}M`#c?KxMCEHMAJo9slRdj+?RJB
z2%xfYW1IFJ^iTtW%1y{HJZ_vRHG7TfCO+muW3+bG&I}Ht9!A&wg!{|vZ5O<VcBV#R
zy~={0)P4uQ?al_3u~-#Ufd-s=srcu6fbn3is)P%fFnarVcaeeT&>`!g9NYk(IRx1(
zShiJY<Z_Lu9P2=JSr|gPp{-c(5?Fw=QJBAdZk6e!q7NYl6>P85XLy~G%yNp8m>ZO;
z5y@Vh3WlKRxK|)4#B9omfVu~bJ{$XfhpYFeg#^dxwV1q-p$LkYsIDr~Z>#_m*Ejg9
z#oUUb{;tlmhiEK4e|CqHH8F&k+fUwt&L#7yydr=yfgeXu^SWIOGE~CNpjTJM77Y|1
zfJoV4D>OH{mnFYr5T3tpCi!#9J-ca^og|>gI{06MHO$;^A7E4Z>B|D-)2E~qff+Nm
zX+9gPW4&E&Vlqu1z<+nwL_BY-sFqbepP4G5puFXeCjS=Sn0Q<tQVPkW_jp~9(3L0Y
z{+wC-`m?#a{FMf?wqL)x>~cx)5iVmhP2>C|BkN^M+CH{5XWne->u*+?tn9N&O=ORf
zuX{o$IxI%*)5wezh2O0-xz5y~*7iP|y;rf9&WVX+WwA?bK-s-)2=5HseBC|)8x;?w
z<Y)}#@g2p0uMeb(8p|XzZ8hai;P4>(m2sytr9xs;X=T#R-7q@u?p2kpFqO~lG;Vk>
zt2RBJGTNyWP#i+Z^Jd8hlTbnx?a=rwrWGb>puGE;o9D5@mfLXSKBH)4Fl)w&niBhc
zW@HIEH*Q{lV{1_W*2GOda^VLAD&n#a(-Q&XNSdq@Q9H*i2HaNX_il){xU~gUeSCv>
z<6L|D?An@yd*PG}J`2&?69<w~IT8HWzp|mFjKF=$?o(TuPyL3AcIPN3Q*0+icqG0r
zYTSR?w!>i@?4;aT>8dq}dsS|iH^-=oX&(~{mbmaw&xOltAE6M$fQ)c)C(U%i*mH^&
zwoQ2yKhKlu;)V~YgvH@R|0>c_iN&oN&+t%bJ}=jGK+fI~XL@(I9KuF53uY!C>cP?Q
zHxdmo6x~f?))-JTokFv%4SnP`3!@j1!v<4>gyz=njPvNJ9c5x5K~qHZBrUM9z2Jx_
ziXm<fUSj_4Q3>r23W(%S=C5Bz4E@FakwG{iv_u4>-&25p{BQCy?9z=5{p;#hAH|^U
z!(wDS9vQN+mnZN9=+Ete_3_^PBsLx|nT;=ot=+x^Cg|Us3Rp>lr1X3r;zDzON83sd
z-GCxBGWwdxC8z1dkhiX}Z13+LoqUH0(NG{EN)UR(?jj|gjT7pGhlsxLvA0~V8%a7=
zdWd>FBULITFi!l1PD!xW!8f`3B~c4S6>P$jV(`}Ecl2F*?~t7mlEPa&r)(?j02eKu
z%D`lRh9tnixCm$npU~O7q`bgG4j=E>ZP%rih<O?Y2$6Vy7MRWCro~5Dgwm>Mh+Zx?
zve^ZLa)#UKr!&wbWUK`kT$6L>-|<6d?Osps2^v<E^MiMs_Y1SIqx=wet)jxV%Ez;W
zj2_W%c!Rn3C~|e{xiZL?p#<(!)F$%_DZXo=>lHK_!ewYQFxOdwSth2gzy#mo{INM;
zT=9g818H~{#}C69;neT!f^JzL-cK&wtlT3WyrAO!8d|=E)j4y81{8bp1;lp~ZJDdC
z?XFxOzBY$TbSZQ?bF1R!>(hTTNT!Ar#&Rk8RRH$=F4-}&mhoyi{OIH(BmuNI<-HPY
zC*e=jChE=>f100{oVxB8PNZL3<HsShze5G^QBlLGfq>``&};7WKl7pe%fsgoXq`ia
zu)n3v&9Lz?UoN{0JLT;+@wPZYk!Ii*SZc-7)A2ZHKybLyrY}$^Zi-HuF?<KY8#~Uy
zOOh;x>W2w=*|q0(=2ss$O^ph9F^D}4bl840);$HtAPZL&AFGt_T3JZ>z=C8Tsvgj!
z5VafDFB^5Wf&@W?pG>ztlmOUZEESyaI9IwK4lzVgiN9y_VA6W%PBS4Q&Q0U<a;K@Y
zB3Zi{l0sCQ@^bt#xB%aL3GanJ#EydB|2=&|JvR(9aAVD8G)@XTaxazF{PaC=IC+H$
zuMgKJ^OR0~x~PEBr{&CZeq%L_T!9-RR!SyWAn!e0AkBO(@XuW}Z3w;;1n6(nB&gIB
z58%{b$l}Q~q|mJ7%R~a&TP-!cZPRaHLYb^7bFd-#)dm}NElsT7h$osIu$B*@lrFux
z7z4UTuPbY8O)u6~*JoA5lIQBJ!D=*DQ`&Dk>3YHO)7;%G(R&Clc0aeW<Qh*685?4B
zu#Q%QHqAT}hN~6(4e>{+v@#==V7yJyHHYJWXm-~()N}*PInC~vMgTYKJj>UT9vp1v
zSQ*;JdwpE(d28>4Y16hrJ~sk5E?=mFbt~M^ki7Y3TBMG^FUOzJyc{y2NmF;4a~TRz
zHaOQ26svd<X$)s-<h-#Lwr8h~S<@KcgAMd<hsBK(7wruje?8bs2ydqIsl4)6!O56}
z9Y!1{>~>%Bz%S;^X=d6^ZFLe45!6P8Nn=@ohIym*3N`0-a{hM1%C9{m7^XWlkpK~T
zQK-~IxWb0e7^SPtx18QzP67G9yjAic7>qixB=1!yr>W$I(4s#RH3Mpb^TBi>>HAe)
zfMb1GL~Kg%+d9tacvPxLd`w!XK0@`LH)-{qe~eOt*uJfP>|eGwL7;kod`;OxL-8A+
z&5MC<tPiKFDOk5C%2X7fQI>&Cy}btXjQUV5)u($U`QH<0E{HE4h7#DK0yGf*VEoBz
zZgO1&#Wz<iok;}b2zZ5dspF_-L-xm{IFYtI5B#+<qyCRgrAhPmwDm&%Q^pZX-xt;7
zJ%2@`k{q!AFT4E;zJIBAILz3HXwUJ|TrCmU-y-PVm@VpOtOk2tEHEaa#nOMoqA}JM
zCHbZ={=?UUAKxfgfG?!Ir4<W?$>6C&CByNzXOnDqgv^+?Qy9+6)q4|@SNHXbkZ~g5
z^4E)+Re31vWaV+tc-JyDUx0{+?g~Jxworof>NC8U9mckA|922&*yH?^sG!9L-PJMY
z!D_X2`rKEUWOes3I8ze;`>&ul4pov|mwqC@KsYX^gDiXMHur$&&GHEua<mo5BLsq~
zky2ocz?+kqBRqH&37RzGSZzfpq~TKt+(xGd2RjRFhH`vAmk#-w;$<FxL|qxkY4_Yv
ziztG$j!{G#i5)v9n`Tk0NnO=&HKjAbn?nndk>?mwRqJTAg3r7jc=hCM<7(=F?vjdj
z2(u;Bk$%Frm}3u}tuc(R^OENx&I9veXt?%cIs84k`W8xW(^#CmW{XMF!ZZr@ySA|4
z`wA`44GdJ*LUE6i7*ybpyLy(ns*Sl-Sdu2D*rt>jhWcJ<6W{pp-e1rf=|thC_S5>i
zgl<{=j1M^g`#w*|^mmDtaH#H2^G?w(<H22!tU@JDJ*g>eR=w|jjQ-sf7nOa%5UDsR
zkxI|L>Z6nbSbmvksQR`9)hd)~a{Su#Ql$zU`bl9rVydhdZ9Cog8cewwei(N~5WosJ
zYuiw8lj&=|px8zkW30nWn@;1iE58}kPj=+w_POj;M~o_cyd%|4l0!{BM<+*D>&Svi
z*CYoBzGxzboQT8bls-Jm1bgWrjhn<KUj96v@|mcpZny&J(-y{6?Py&Ar3C^kx6{Pv
z6>)^u+Cu>ij}eMcf`nqWELOnB2)eIWK;V~EB?;_s9L@@Xi~+-IwRf=R)Fs{X7g&1<
zC$FGFfriTrgHoyhVqtGXl2KP%B$}rH6{f88ALi%a{bnE*lk{*I;EKhz1^@&$V(7^!
zbkAuG7dmumBG6laP%M(JFZ}?^X5HjA+>X;2Xjzhg42j@YtbTZ4y0@+C0%Pip`kU|O
zR{h7W7@;BY4Mkw7X?1?eO;TjfMd7%B<Ng`t<?>q67(~R4r1`aUcOOk{q^>%iD}SVP
z#$$4DZ&RC1!S-SoUw%Kcv$x5~pMru|6<A~UOgo(JHb39*&^Tp)ek?}s$tQf-gORMM
zByE}KEUVzZIXrxtdcE{_HdmV$I=68>Mf3C-5vCW!3iw-5q~;+Stq8VvfzUCUfnkCd
z<5`jhK^ve%$a(0B2IzkXq+T;+l$WIuj7wB1BX8@Glba%PxR-NWH6P6tn9zb1NS1r7
zwa4nY(9$Z5)oZ@VBemoXnXgnZZQ2#%ls~Z&6G?2y+HIYht2gcOdXqV@so^LfSGpUX
zHXSetuK6=<s~V#M+Sy8Ad~-%LMXCISY{u5_;!hOE#DdC<nNo;jeCVf^<)jE7O%WBr
zff{R(m3%dTc=ifaeO^u%ZCKI1N;SwfDHJGuTgUjxJTp3xl0Gj9OD$tzYxy5=z}%p|
z3Ei{2HdL#BBEKj^_XX9qftHaFhkbwEgM<jA`RUOQu;z2WU?YEKL`^j%BvM7G1CLPX
zE>o<nK07s3WPq2RGXeZ;hyA?h2p}@+IeQnRLp#_|*~o%6K}S7oy%MiGP@^$EfLNuI
z`-B{_z(CGd#JwE^a*a2kuZ=<EGlZq?j#c0)>mFuQdCd2X9?OywHKD~hE~DM;4s(vv
z%6&_DW0=V#b-2ye$T^_WUNfv4yrSIy#nQb{&$?^7l69ZolSQJue*l^R11T+7YHqu6
z@k>}Hl*x{6V%KjrPGlcY_$Rt1yq?d+ht;$5&xgjw<ivqFu~jGx`3M3nmzGVgm;~v9
zDrZsqfgRPmJ~8q}<Y`Np7u!s^pl_*+48dCuYm1g|arFqT7@Sx?qrv6WbMxT-njjbO
zY`xjAFg31`W`t$iwsPrsdkc25_yVbJmrrC!_OX}pr;M-hmPZy)wQ(W;C~)AM#F#rE
z*Jo^h?=O*|w)FG$M29io)!{9mcAlSlNBxHK!34cnve66ow$fk#A&Q~y3vDBe(SA2(
z;qa8igo*kWo&%arvyCu%P0XNv-@EcAen`H*7SD#TuUkg+V)_jD3ZMcaNNPfwN2fhy
zLvZy8PT^)e#070RyxG}|-ziwMcJ(Q=+0-msy#7X_=!=_@0!IC`V=YzXx}p`q4fpvC
zICout9ndZt?vbghuN)9~cGn|nTqCq0HSt)NU2$>W0Eet*nJkRpH)GK>H8rTopa5KJ
zYuFn4wRD-Pc6sEOtGu<gC+>?+6;BT^Z;ZKq%Rb9_ONc-S>?Qz)FEJfgo|EBe=eWpA
zi#&xIcv3*r3_@BbtVLwh`V|gv_EPSjS&-Nf?qy)a47<&NnT0^W;)bu=`U2eFv;}%2
zF}-8?haNQQU`LO^;uL%-i*l8n^fKUk<8!Zwk3Fij3t;lO*UMIU))^z`J&T;QGp~9e
zb2_f5P|T07IZKR`)Eb6hv@1|V9}=|^a_-JLOyjZF8LIKKz}U3&0i&SPRuhI+Fk8<5
z1?8La$f#=WbaMc7x4^Vbf6RdKY&QTMZsxUA7NN$q<i-vu*w!RgqcPt$(Wuv;z$i5|
z?xluxd<T~L8frgQ17{0<lnUx4mfA1sE;*3>7tFCx4e1HeTmmD6-&jMMXS0M@Md-r*
zjm@fb2n;ioJsBj7y%dpno@W74-&wbunUMf3K+?Yj;v1#fE7{Z(oL8e;@9#>)IW(xC
z{vg4sYXBM+udmQP@Y|-|NXBE(oqcl4b5T0cJ`#n4LwCFN;k(TA8$y{8s#tVwlCwMP
z!z!M!Qxw1?Aya7@mJ_w>J`Tbf@3Z(3PVfudp*i5i`M=U&*6S~+mcYC-3K{1y^7ZI*
zj^0r7mVOFrhU~Nj9_Of_{6z{|J<)eWTuPy>?Ou6GWH{Ff$2_#x8gBm|2m7#pq45ZD
zV6#h<o}~iOy{m5U`UQ3XApR_WW^%P8YD6pVqq}%7EBcyb*~L8nl|pGmK7ywtqKs6h
zVyBt#<ZiK3rFbk|j=EQEzzjBN&&WO}^h$8y)@aL&F0t@7g9!3%rI(|cBMB5lOJ91s
z4PDpjQkK9agjb4fItv-Jd(DssnL0sy=zpsz#LLZ{O$V#*9v;X-@!NwOTU{i^1SK>g
zRG{mrcm-cy^xXgI@@S9<PFDhb>S;q_N*2h$w>iX(Isc-siDel}hBbJE_-9@{D4g_<
z`?)`*V}~$qAZg(jV&92CCH|+Ipnu8smg)1bx<^MaC$Q|7P<|}oHr<qvL4(aXD%i4i
zjt#o3RB-Xvt^6L=al)$KGm?qwbZ0T6W<uRS?Fl8g{*WQ?Pd7ko4BJ-%g@x7c6A2H(
z*YpLXvO59A=c9R37ZXa1u9dcZM*9w6WGeP(<G@x9>S-^4v7Dxs(hB+XzGAXk)tnwq
zyYm@o;2O8+QLp`LZ~}9%6h)>#&eucad^x%N(pbkwe^m3-5gQ0~Q8h8?;S`aDIhZ_0
zEmL5A%D~WBQQxWsnc&=p5GBWMijV{C!3WNuW(R~T%EB#AOdkS(K#-Y$r;Eeg{Sz>H
zS=a$MU3<q0bn^_XP`pp20_6x&c(rvsmr6~?$UY@NDq?&RRt3vxI{$ZT7`P~ltR?6$
zaiKqUKqP3t(%ML|p*FtA>&Oh7kUVW(LnZPh{vIWP21U5fht)xJf%+h;^Bd0uqS{E>
zQQ4ROjzSkprun((ueeJ~iZ&9It!75~Tv!HkHpna65kgdogEpxE*m)A6J9pIHl5r^~
zQ0#1GgFKnP#Rch>h06QL(<(RT?s?{trFMo5_2~E6Z}K-kJJ3Y?Ix-=}zUyA!xPE-v
zCN=N={OnA(Y@A;a5)wgP=zAmj?sN)c68}}H_zpWY|3c&yCa00EU)5qTg!lmcR|ECw
zTD}^gbIOK5EipK#J@yT+@9Ei$k;_FgFC!rUFjyOaGcO4N@aGtF_Cg+S1^?^Yx{>U&
zX#u}-zC#l>u^akx9^0!9G5Y5??w-L&I^<F)bTR;UP8Z1e5tPNqVOnVRhU7`cv7*?c
z_TGMro*H>-%zZ>N3869giYbouX%6Eso%@Y?!%;m8uDvnic16|aM55txrYO|8@W2;`
zk(=neUY0_u^i@G+A8*)VoQIQJ9A!^Z^`CifYC2Wroh_4%dN!NRL8d@hOt?y$0&3w=
zX<OlQlL@Z+m^&wrXDs;1UkYBgv0`UC`^q-V_V>WN?FGiH$Lcmn_JUrmj-!pYhoEXR
zE(T{@DCljMbdow1^OgZR!ER3`^!4M6M{39rV*NhhA<&Vly2fn$e^owiz=G!IXEQW?
zm&NO{fV?FH2nc(<v-@G@WN>(BBKF;VrT?Iqf~O*J;XDN^qw7@d#coFq=u#X9Z#(F7
z1%TsialubH+i0Kz!xi*T>z<oHXsj{UKBtK7?cWXizT+GYE~PnR$hZRAH)E7}b{4At
zgq19kRXjf8sou2D0yderTtr6tSA|#O<_WFL+uXZLjvUPQUa0Yib*eC!#x5Z_(aU%^
zVF<w_D{^Yfmmty`d40mot<L~2M31=2p*TIobBKD?vQL}`8G(3+lb_|5I*>5O0A%1h
ziy$$5hMk_`SBc_hcfsle26)>Z6JnF+0uW=Tv@{be>NCJiujHl%<rS4MIrF=-v?BZ@
zmV}`IG{PFEFS0SnNaQiwpS1WhAy<bj^wCDC;XKT<PMTxKYJ;8<ds5#!iQY?kO@8Qe
z5fx2F7PSn>l-pFwq~}Hr1s&m#z6o;$r8Mur_Jt(xUbd+$Gd(ywyOfkMx89naEP~*`
zT)|t-?1X0m&e+oXl>8CuHr$nEf|(9?=W{IsT5Mr0by)lQy~`dGedjR`6Y&Cbpzn5l
zY7#mPr5QIFRHHf5!XvdcFug9ZVLT4^bx4maPnhRzOtqB=jGS_m!&{j*Iolk_a#!i#
zP4jFm@4nhG5=;T6s{xUmXlaF^S<;3a3@;N)PRBW1p|hfWO64DTHKsS!&e|n!eWHvy
zmqNHQ0~uVxx8b0rcqjQzTD6UARE}>f?I*?B1J6T6srLX(_r`-v3&c<+^iiNCWh+qm
z8p!yQWHo*P&C@Sp5a@+NEGkL^NNWdvyu<SpS&uf>g5EW5$2dpB7!erWH`hioR*Yna
z2lvpu*1D>`1yf>&kI;@qdkz`C-`qdic!;%8DARDvP@_Y2`N$8F0>nGdE(f`Zq>)%U
z`qmz0<GcNMdg2W2yEcn*o<56FFSCyc1}qweLpnEWc_GM6z((>~e(Q1WV_n7A{~9#p
zsNd3d_SdeXRF)T!SI_==#N8k*iE=>^0f@X%<@cY{$<Ku<%)EJpvruf=coO(jJ-pC;
zc!NVARdC2?pf3Aiq(T#r@wDx!dW8XlNnJd{<l64NPk{Ivlr}R_qN1z%LTGUVc$d`?
z*w(t19Ofv&&K2E$o8566Wm{m&WAlD?T-TtQyS221<W#r-cSAjrByf4Qc?6qpAf{Q-
z_W;Ajp=&xQV@8rMpHa=(8C43htF&_L5b=2V;n~uPpCIz7jV&d45NF#jW_bDYPxy%*
z!x0NA9>*?0SjFOPEoIC)JA=zj5RS^HK>T0^V7!;())sGxmPb!LA^)%o9ph@8Wla&g
zxQ4QR=2l#B!pQN8taYW~X}arXPiU9vk05CO-B;_bU3@*W%Ab+{pkaZELYYhxVAjk3
zwdXyKN@)CW8a)pXlvL=AgU5dKCj!DbFic?K_xo9PI<iRq!;Q!fK?%6_>@}pffwG%U
zb<q7tQif(0uLS&L(^X|b#+2ZdN7#I%;G<Hx-3^Iv%ob%o69%eafXgqZ+%ZT7qK87X
z7Zzwc`>Ki(8O^w3Z3`exiYyG>vYpP|vVFLSyUsW%kW261n{9rT;dmJm7c{EOsrT-$
zZ+I>H{v~u%)C{CnkAm|Q$-(NX+;N&gTv)-#y)e~vIrV2Qlb<iNT>s@$7S8#Mg&(Sq
zhBa|<1LE(^#pKN?S@rvP_OQN089+aOL?*DyrJ9Bm0BYvLCGOssLwP12@~tU&wF#Bx
zZuS!GB8i2$5*VDGxj*)Em4tp2%{{kE$>vMuzbK<6%}L&9Pp|94o_0^M#l6-Y1<vc*
zY(Z0i%kIxy6FtB^O)w!QEQP!=VBeff!`Gq?irq&1(*nkluDWG9-0GY`Qe^2cChqIt
zm?|C?M!XYwjq5j`j7PYlEWhnWC&yA+-%?N%e>14m%1-jrmxJ<UL|6IWqX2ofaGVK4
z`r9w{uJ!c2I<ppCnOyaD#Oiq%JHT55+*Ge+N=NZDWo8GtQ0-HB@9+rHz$(M-o_5M$
z9Th`be!;$ekEz6eDnsQfS^Bqz?2K@iHd4+<n!63S8hT*2RGvf;CF)5E8+E{JxF9T-
zHQ1VzhKu=TRo--VKTKwodOT|qOZ)W7@X()=++9kb!VJT4Ei~+4x2jDe1dvcD_Ce_e
zxQd)#V#{~~mT72%lM+*sh98v0exb(V)j4|7dL<CglXzfCQt7*XPHVQTAE#{7Q72&r
zU@Kh{f)-uC(o<<IR>kvi?$HI39-As73E~bV%A_y>Q0?Lc;ng_wY2X7I05Q?3U<{dk
z7B$~rYnke{xZZAb#(Zox0r8ZrM61xAClb1K_-+=S;3rWvgcqZAsBMXb7Cf$0+9}@a
z*j8tAJpxu%)c>&=kB|KQL7z9pAV@$^Vn)-Qdp+?j7&t_)A9D|(|8k<<TWlJA6sqQC
z(^l3iL8;Xyjvnc<!@{Iogw2aFs=8fr4EYY>x|ClTlFU9beJS7Y^3gyRCo5h1x(M1P
zm=f~R6o|c2H^iDN7kaHsR=tM)h5;orZTd4v6xmz%;7jYBq1F1}sW<oe)RRT#Qv5X^
zw)PfwK@x6?b&AO(eYr#5B<ge-1c3j7R4gx0x8`kli9Ue+)ixSK0nMp^a*jf1Nm4Q~
zmU(RC{#b;Ft8s`pQ5S_SOwL99nB<ip1t3X+R7a(K2RIGJlE`LFXp7(Kj@VqS%buLr
z<am*AxV&SQlVmZUvV}ZZn<Niig!_6GA-H=)9CYnVPRXOGYfH~ejh(Ut2@|z_exW>K
z*^(Zxr5OaG+@`Qa&jO!srxz81=x}~@{y1}Su9$)I*Ju}Nz_A)G{6?VaCAENN!2?4}
z#Gz#EZeWWYBTahN+U<t+*~MN)92h|c$Anie<c=~C!t#yzm+NYeA}!7#9xb@M+pn!&
z0`^)O(wr1JUH(j{6yTsKhbY(e_Pxa#uPq=8hx%F#kR+FeM6+U?=spZaMZ35#H!M#)
zs%{C{?TT@7t;`lrFHIGbpdzlHBHh@Fn0xol(L6kkwt{BH(8)1G(;ZsM#9)X}XqS}=
z)3--H#U1t$86L^h+;QIh+qej+ve{L|sB4U$A=$OOH0>j)nQ+o|o1GzlZNhZI&ez%{
z?}-)fSW#-;H9c?<Yl4hi9WjR$DMQ;%Db;yy4ktB145&xJral%#-wbDjhA*W<m3#|b
ze8)tm-SAs7*NQTKjCWIBm{<g(aziuKW&COB|IOs6_u&zJsY=ME7YMl~jvd}a;Xwj@
zrL&z#)vU2;vnpn1k3}*NZL%p&@&&Cu<3S_C{}0j%MP@>ZKpYA0A*Ys7?A4Je{8|1=
zkZP%pM1luav6e~X5;XhGm@8$FEb%c-pp}>d2+>xl4;uwU_l)!uvP~=ibobT%UI>Fb
zt5X8{1S)cF{oV*M;(9`<Z<O~_=!LPGtGjqJ2n1;o53kN;XG)tDXj~2)|Jq-Eb09fe
z+}=$!Xc2hrZT9zRRGCu8->sqR3^kWBaEG>4(1igIma<DFaRjr!?>c-?%D#q1EBj3P
zPs}d*U~m4eQRM-V=S<)W*)kzn#};K~L=|XfD7wI}=4Cd*r$v~wUC8C`U{}lREKHQx
z#SqGF2zPe0oe)0Fs$4TFm-;69?sK7qw3`U6cz2LUsZ4Ia9uxRvA;z*hQIT`F!bu&h
z3D)~^dMNCn8Hli`BlBXnx{5eg1-M?~5UgLMK@N;eQ14{h+!T1Pg=sGL*VydVZ;y;n
zAv|=3gSf!o+V3MkB0ZJ_b_c-8!h%KlQr@SITKt|AB4xlf+^bbASb_vCS7#Y2!U+-)
zQ-ED~9n5<F<rw=8xeENyCG3_1x4v>%?%FA%;1j#(9ip6B{7Fnz_kFFU=m;duIUv~>
zkLZv=vxmbk5GRzA*`!=FUVv#k3WEG*OcvIGfN|^;(k$sjn<WWPCm&es*VcgAr!~+4
zR~G9`V9Wn$^7<BB577$=tQw_afECmbnC%kW0A7?^yVhL2NCPN9MJ0N^>O-Xvf3_`3
z@IxT45X~7W-g7l&7;{wddt;KOP393!)Eh3z<)wHiaTW=PD}e@KOPdcVx1qA&E=#xf
zGGiGnyZw$>+GPdt2*rC{E8NBf*@EQSvJ8r2I;!ic&f_&g7D+Ab1+>?g)6%Ull>|F9
zDY&O15Jh%;khr^^B|yf-nIJ(dzT78wwsldg3YCK_33p53k8~4q40AA`FGm>S5QJ^W
ziA`(WUrW@pL~hODhwosB^v<u5HIfb800`u<j<yH>)>Qp&D@lpwkWV~yL+>vGqlfml
z#i;U7@o$uAPDDK`js-f9(vk=2HcUS)`^h|C{BUz8HN;#oPnUtS{FX9EZy?7E`a&Rc
zaTeO8Jn?=^9fyr=M1{o7Gv}<OE5!tOt82H~p_t$s^R4=!byHWtF~A4(w+O$D40Y)2
z#+`a>>Ev4H)!U!ocM+qiI;DUYH{ab#S$$ru_^4VcgE8B#P_eya?MX{n{%!WDfESB&
zb#~qf5ps!olp*99@DaRd>7j&0T+l@lz^jOJ%PmPNHFglgY&y}{s>7|~3Zd8h0r+U@
zmkThPY=0`IyXsaFfmi)8=3BBD<>5jVuo1WJa3p*NPC<z}pv!M(w-Zw+`!4-V&@*+x
zse<VyGV3SB4d{}v)ae1#ZN*912h~$k529}ID)OC(sn?bl6MZ^&py!z!X!yfz7iGHz
zIRUDGoqM#fJ_SEZ!~;S=dO8INPreVwB~e25NM^p}ymV*ir-&GU<U?B(??1P?B^5>r
z9L=Y)@;I%RJD=7l0i7}0Y2geJugGC&Oz&c%&GCz864+G&mZx0>DcVr!>x&~C^46SS
zxOv5u+Tz}VaGFo)Tn9Ig#^UNTOmBb;v?sq+evNTVqX&?!w)pAjvvSgBf`6%G+~e5V
zwzCweg9`XiBC7X<zz8M@Vwh?47}99(En2jSvtFg)*KwU;K1<cdKt1rTOwJpFuwkR+
z6$}Al-J?-A?{u$6r)nxI!2%jlPl3x|a0Fl2ksWf>GOmv>%8m;J|2C)pCEeDat}T5y
z?dsR&Acj1dNm>WkGCtyenhnij)<Y{gvJ(D#63qo4om3}ZhH8w!I>9?7>R)dDa)@1r
zCyeSX6<?>MtLKcQHp|{Fc<W`@Hykx%$`wX*ZJLP!K}|H_4Q$!t;rLm<HU6KFwZbIQ
z0@-C2K-NE5v#+Cr`@%!Z43~r7psNnLILhXUJ$bCMQK}-p@B(3O6Jf4w#LY=X>?($|
z^?psa<MzQP?(jWALS;#Owc%P{^0aqOnkX(6w2w3mN%PU6Bl5e7AOQAI(4`SwX}Yzp
zt)EGfBM(h7zo+DNybl{N{hXbCf9hKXgpBAkw~U5i#?Kx-dcP$+TU8c!HX%@ag*)l<
z>j{Y!jx<6*W8`)sl_R}c{-s)$Kw5W0I!|y84iWy*r|2BerwBdd^wPLnWk)`~c}obW
z%a&Owj16+41Je-um2+b%eUxCVWRE6Nw`(nU`KGwp?-zLsZq6xx%z4O*kPlvF{vBne
zrnMpzzh|8;|8LU&7F@}-Z}uI6;bPbvt#W%H1LNUaU^u_<uODCwCkr?7i2%khT0!S1
z=L(%{DF9uE24a5@tYlg&ouM*4dPJ-&R-iPPn==|g-9H<f97h;)7=qw8PG&Mb9KWOp
z`tx4~vm194?Owjm$muJv1XVOwuM&cnug-nTAzj_>3>8BvPHj2NX-@j2?TUwbZUr81
z2n-|SijuXlQ3^xba?F)gIH-7<NU^T<;p=w|DYCQG-2R~IqT{M`qx{TJKLk9qxCpAI
z*Xo#8hbY7K;N}9T7biQfgKX<@22f6E%(uZGPyDUhryO0KZbmgW5E0{6fr{mMuAR*O
z_i_uvqMF}@Ykpu4YsYGn<~6cW65mS=&q|=k;Uu-E&;_^*iP2CDS>xI(W(;gm4pCq2
zhH3%~SLr+m!t4sY_{iivT%f6n8m|G69Hh`a%&OA)`V#!wgwXKe4A#f8x@=6$iF8`Z
z_d&(5*nH^c3PHgY5x|j42=QYFhlS3=FgB&?b(rVqP7;Iv{s_OHVx@c%i|S&ic5zB;
zqhsd+Ui$j&p)iNA0^+V9OS!+s!Yl!rZE)+|^3W@1qq8$8_E&!DoZ*c(*!1e$Cg>Pp
zJ6o(RWE&4o%*8EWH>L<lXk`dacbG?+MC_iKtmk)4g^2=*G4g5@zj{329*fL)TMONm
zaQoAd5F=wt9dU($HmlCs-!ZRJE5piXD0zl(rlCEj99`i{^cjL)0&cvf;(0r)Sk5s3
zeaY;$-dE3HVf4Elyz*hBdv<5a8O%Q{U-Lg9^^|O}-C^JvIH496mLmZ^DH>@rX}up(
zN1F!Q^E_E)0R=S9c|;gzYfz;s-J%cdFD76}O_la$5y~d;pNSIvv5`3}6&q9U1zDh-
zOJTD3oh`c7a}6e(p#g{~O7*hwiFSW;DNWB;zJPvhH8R`!5y3<w)S@L?Hbu9BD1oP*
zFw?=@{9l;E2;Rc?;LIesm$uksh}yfSLqPj(fxbSdQTtDy_k3N$$Zg4Ssv?L!U%b59
z;5Uiw9K%_$L|j&Egt5#6ihu}7&-Bz$I@X@3KCN<RU3$MKno2i&(;^5&^Rg5Qn9dR~
zG;`Pk5vh<2(Jwu(C0FnqxExYO;k?0FJB$i-i)PLGgZGJKpJInp2WrU-Uv;nZ2uVPl
z31Dff1_D?w$^t!B9EtA&a=}=sI?f6W(yk7-OF7tXgP5xS{M-Xo0&^*l+UyE*{f}~=
zhz@{TYY;0h-UB1WyKOJQf*?PD^Z5FzATyd!M_TY4=yP9RPY=jLxCjx)@L#=_O32+?
zCxRd@64)pW2{gFALAOoqJ8#>H;Ho=!ZoaKs>Bfp`ku~*`xZf#gNJlt`VWtFwnOi*6
ziCMT?sJU>rtDfeW@mD6q+!PYs)S2iJ0%q|b0Hal2StKXJs4?Fwl{iwa`@c7rL-0rr
zueEFw11RQpLcIaf?wHueLu}kx&A0PdJHEjmQ}<c3J!uVzzlle6tluA3L@QB=Y##B2
zf+DjD-)!;p>TaJJ1#?E!yafL}C$iyeS$s?k%Du8M8kQ#r2~vzSSj9oFD@Tvp2>!#%
zV})$g0uybiy><(!YFtPsMr2<g)~<_<rkc0<Yucpt`_X#FjP-SkJe{eGpVt#OJVMW1
zgCvc3n}TgAGPl&R5iffY3kt9aDfydNxkU^ye|lr8x!l^!$<juo^EMN@fx907uM!(T
zk}%7rw$j#@xFCarO^Y4SC=ho<+=o{lkpuI^BS=ni=RQN}n0`6N^Y76LC|^G#`-}g2
zXoMR9W3U4UM?>o12M6SWgdLPX^4jdSVN8hz0wHhUG|=jCJ;XVLq$~rS?3BMW;v~Ja
zQ3vU3zpr<w0f=J6P4<7Lv4I?7W#+!5^2_QlXQc=ijO!wbSO9iIl3q!Vu%~b3VyT)x
zTnUL*83bMV1zjE62hx7xxA|><<ZB^?#XVPh)XfmyQ_n%;fe9A+4WA(osKYcA-(Ns=
zY7?pKBt<zCON0VLhTuw;X{3A|%n%iof)oR#5HwPOf4Wc>{4ai`b^1{yWRv8QL{@Qf
zu-CSxt%vuu!#(nd1HJ!7jeC4ol6tb*7Z2pPA|t|7=#T@{)8r4=7odp;#|oWPcY=ji
zuij+N_GDBs;ad3l%SOwpZE%iD4GSWC^h+)=Zdjx<8mkq@sK_0hbaEC1h|J=sC~;C-
z-j@2EdYR<*HTx4_$u^|*{al|Fj2<WZSp=7TIAn^hdOMfC@-m)c*B+rb;g>ZpJ1K{_
zI}0WJcu*LZ(1_bQ&_{Gd!J)tGgdr4yJ^E61$Yx;(eMF9Z%l#Coan4CNh?4ltwW8*Q
zGcQ8YN!sB=G;G*~e1X8s>pLN2m1l;3T~r$Hk(u+?6Q<t`>dgc{J9K&mcrL395+B_$
zPGB|3=DsT|gj9e-#Q(4X>wU;cNxYk>B*4O|=SR>5-!G272o|OBtQ@!*_!}(}TpHm!
zdQrHX=Q9sH^5{1w(EqK=XeWOxQESi55+(z6Dt|>%rS-6OnxAwj1fZ)M3`O&HRshsJ
zpW22ePzc;HgfpK(54mN(un68Uwucd+k*muzHc>EW7W})?JmE|)EEp|KGT(NVqkgy|
zwV~vzoTmL=UNL2r{E>U1!gHqDu=h*v@cka$5{dIlJDhNKyvZ~_BM?T@eLnE|u4>kM
zytm>3MNgs9WW~f@S|gNjX+!DCbCh<Bj7d(`7cD`PYw;kC@g6LfH!&WYIiUI+)H>v?
zgle7wK(f^GCb0;rhumD2o9Yo_^xPZRae$GLnyu)6A!6lxwOLBoaU;0~01Zf>_7j2{
z3C3^c+kY^&dM6;OjRCMrGl+5-DN_iI@8RPAg;aJMy$Z?oH;x3vqcAZhmj~L!8B$1$
zA2FWrY%md_m16k>1Q95I7fRNY85o8RM`;vi1z#vSYXZ#v5X3U$B3-Qc%g_>4Ohk@4
z?7>0QtjK5%#zx7>TH|}H&d8bYzni#|JHK>KsU(rL%nKN0I6TsqlMP19LL8(excnKm
z;Y@ZO(>^*K78Y)O8)`yT`_F5$L<7xN`JxeSPQJ6EU>+Ve!}5z2?a|OA(0`rH7N)*B
zn&5`K;fyrDFbSO8^bC%K%GYa^hGWM5Fe=b=Udf9JpT5v3O+sUmlNy^UI#8j+QNK1(
zS@+{g2g5H_&vrEULmnwvkp*$qa;n9uR5YiUDA|HpRak9!;;M`7h|!ox9nr^*QNuW)
z<7N;(bV6#VaMS(vPB?j&U^c@0Mg^j6ZD%i}av(%;53+jVS3@B9WBRaHZX`wd@rC`@
zXt)F}Xap*w{TjM)F0AI74VHbg@(SwzXiN>pd!>fF+~CW~Jt~(kHV_%S!w5X5Qf&A|
zlG}kOANc|^=+xSd3Cz9Y<<!TKEtY(7X9WXvZ4IiH0X;pZ1sKl9R51q;DQb7Icnd|M
zV)AAsqwyD9d9CIVMG9{(At|RUlsp#;i@BDCJP@dEU_#KL9R_tDqkmg|0!AL49wmN9
zr^PxI(t<@qRpM|be~j&%Dcul6l~IW<vm?{S;~LL)6j*bi(s$zRLf0MaG`%NRe!+~W
z&ehb%g9NF)hROZ_Hh0v)7_@hgTkFi5UM1y$>@c>?5uX5H_(U?MBC%Gfa@DF+uMUL#
z&vOy(2aOzAxSthVxzA_yfCGF!sYxQoNsCB^$IiH`jLphWd5z0zWYW8*y!xA2(nrtw
zz-b*;M$t|iY(x)?c4&(YJS-We+47!%=D9aJ{xf*?nbOFxKdPfa(YgpzQvv`-uQpze
zSEzTbk$o<aY!6lFzSguK(=m>WqUx>XP;?l&`51J0>*ybxNmtCo2yusI!1n(D4&>;W
z^_4X6%vjeO_TG0WPNY6Rel*Z0IhF$rPICy6rT7(^w{;K>FWy5b{JPC&i0+i0X*{*~
zC*&_V?CNR9Qr<+R6^Mc#j7Y!l?tq5dSy>1PE*hL@s{l$}A|nrByg8Nbvg=zudnyeQ
zW%Fg6dIAtZ!A5{QpdS><b4i@-*C|_kACs+cB#8DN;D33(GKR81vwLhN1vth3s|mhx
zG0#rC*Ty~Ni}~j%r4AKeC1DEkOq-W2tbrN+h`_M@60$gf&yuO8e&Hr;6#SC0jNrUs
z+wFOwO&7m-XW5{;V5^ftmXJ$cEFX@c3H>hxd$1bg%~<Bca(s^9yXxdD+h#H9cF7oh
zp&SF6>Ni`eCXtAsE#CfFZoQASBE0ZT7Jcf}2lUpkx2Shyv16+YQ4*#`^+^emyWFRt
zXvc`!-Ad>ze_j%!%l##eTEx&RY5+Ue?7SX*+>#cr0Xt1+7MsZ*c%0{x_u-Q}`EneP
zD$Lih@8{f}2nEv`6mtANRs1b7+XyE+!BO!Qk6dtS2~`XkjOXde((1Cj>K3u@9RlqZ
z8s{eVF5mPn(J!pdXyg+WNn^K(mBMV7C;Bb%<+n(EgSEM6cw^yoLX)l(48)~wpzPL1
zf7f1Xv3oJ}6Tn(9MHXbI9ATv1r~6sJVO^pdJmU*}3o12gI{gPM0hwiw!EW2oe~RtG
zos#7a?7xJt)9RSAYC~R8hlDtn&nOq`bvS!pu&`#MVX@Y>?k=t*jqjVi+<!95UwLED
zov`}PR@2g}A=Vc-GmBe1(^)Dm!t2GbPF!oG-UnSfRcyKPiMR$riXnGW5)FA#6wG~Q
z+&PAlNa~?>m890-HWQS7i82skr-{A~%IY^h+Dr*4Uy=!9>0ky<&_=n92`&&8zRs(O
zo-rM85~oAK-tUQPfWA^Y)iGn-EWl$z)MbRjgb-ggmFPh)85wRp9b#qFyH~BCLoUJM
zXc-O~o^UW>DZ|}?@RLF^_C?~#-<R&4Xbo+89i>;3-6j2VYA2$(IB{nK*WFFbad6pt
zCINfbo<l3&l@yAXUeCD?jOCvtoJ7F?D}JUB8{oDF>y6i1Nlm3~9N27DhQRiJ9O4+=
zJnTz*j>~%is06iHz^JU@G|=wZkFfL<De2pGOM=5XCmv+WjV&b)4F3K7Z!^+^9UO&1
zjeW{$S-ZRV0;r)<6GD{zF;g+vMEAY}@^|JXUa$1Y6=RY&wJ+(2u-u(lxOpo1>qwMR
z{FHr=D?=uv1@NkpIkRTJ8CA7VK4bVpB(S~8NDtca$Dyct<7a-KQ-y%J$WgbuQ{wA)
zt?&$7IQHFvqYIjct4S2(tL<i^z=j1bX1({?hd@9AVxBdTt~40I3=r3CR6;pIr7HEa
zabSgGi#ATJj!2S;xb=yye;B(ZWa^+#LY%We7xYaruI91Oh(n9fI!lFu^|gO#-W9&B
zTXa(t{6Su?CPj->$R9cd>{tE=CInr0ZA0KBWtNANRnQfja`3nb>DnVj(2Ha-*V1Nc
z7rd0j-a=;3|G>vTTC`O5s~BZ~${d1Hn+CfsNhyezC_-@ROqb;WEM>grd(@Y-0)%JJ
zoiD;$;r)5BX^M|{fvcz5>LWw#-)L+kVbbbnAH3J~z?(N>2;pmgrFCZRuT^De5(2SE
zNlt>M-iQp4t`9gK-tdoc5LtQ?Y8dV*w@7Ii0TzIS;21fe^B5cf-Z{^6KEoi5xFO?%
z)?-A>_esW|d#MM<g>B&p;Vb+2d5w1r9fJi^F2#bDpY-H();$ky)f{3-)v26asJPz)
zW&h*eO;o=>07%uV)ev&s&*YB)UFAF1SC5%Ec<72vf#WtU{=<eybl<Fsdm4-of}8&F
zjf@?4%XH#_NHTO~H$_EYx4^%9{OL&rFRQ<0lDD|^*RtObJ^5j=5qE3OQAVw#@UPw~
zQon%jc;i1W)cw9D?mTUGqkZJ11#%?SWeFFylh2XrEzkZsy8Bf@jlV-{&%0I^FQs-o
zGad@S*Hj+BRSL-Y98<c<tn2=Xa$-K;Bpzgg*@>*3A>7gyJubvhysDQIO$(%(FH|(%
z14*1zA)dLGVd&Uh14zt2w3R}?_DM*xqUWSn8}S&(yV5FI$JeFts26VBKT^R|o#XGa
z%#Dsr-tXgbbQqdB+H7?_^{i}B@dTtk&f`=caI!{xFFh}uhR5PxClxsVC|arrJ`+8o
zKY>=+tL!@+cM^?cf4Zk}c1E}zj%Dq(<iBJ{-Wk-0DyZ9}>@a7${5#8^KGU!ReC!rS
zkhB@G)}wC2Ix2f<-BZ}9Psur6bVR1L4ZQNO@7<!fcpw@TTtucE;_vMP%>Bs#=gOI4
zQ9WQP+i!Q;4niSHuWuS+5e&tBzW9O*lxtq`56Yg9eV0eEe()aAc!xJs{u&}1eT!tB
zSw%%zG(wt0>XCq4caP}ogRhTVqg=S>3SdKT6PfJi;h|sQdHlL4w_r5kOcI!^=&XU0
zcO}h9G<P_g6CPGiH;d!S+R0avtJ8&b3fz$(v98dHg>k4pa2^V@bBe(U@6<_O?iP07
zK9(m)oO5LVG22`DrhJ|D+2~64+g<UXR8U8)j;}u1*eRF`wufN!SLgK)A^lb%_%P2e
zhsIs_&o##tVW<#jA&}71bwKJ*%BQ&wf~Fedmqw4)?pL^I{MoESZ%cAD1x|ua?H;P~
z#5tRI;+Hs^q{KsR{RS_ZWoc5>E!-N*-Y-l3Ps@X&XDNBHT@QP5CG{wF>{h^+yL6-(
za`gFABUfz|Fnz}<=>_OV{MJfqWYJFXog|pY$7{x+s_b~7e$LAt=|;+uGjc1~GPxSZ
z>LaDQJ?+Cz$UJRe&2%4r5=mq-9p|6aq=H)4lyFa!r&*`8cv1)?`h=tF&9yVW#SBn>
zqLFsIJJXzm2nDdnA17)ox48wU+)UFSr62zSWHG{FIg7jDgW$ZfVc)>#OAm28d3CSl
zo;wcpGLEY@n<TWLCnZpvIW-)Zj|<IT#rPxsK#)qmf$F(6r*6qLchHfY_ODSt1^9Ql
zLLmw#Uz4?(ZPi;LT&l@YFe5U9lnBM2L|Y0Ng82*L;=;mYqjj+yY2R7nmNi!E)2ha`
z1&-y4J35>iw^T@ZvL0mqq(}ASfGN<01il|~h{DejaEbh<3(fBw6M05qbN-^;T-rl;
z7x=;e(Dr`i-O!*Xw-~(?zc0Y4t|yq}Jt|)){%2k}>Y@iUCtTqMy1>Z`U>;)RtmsBk
zwJBoPd{*tv_>d+^Nz9%bQzRq1HF0`@l-|}wY@(qdn$3y?>G?Q9N$Tct?Q;y(hPC^F
zA(&cV;!TjZmh~40G2LqWUSbWqKn(Njkd5RWA$CDZli+AHHWu>+GFO>gG19cF6s-Yq
z=+$DfDHdAiw>*8ZoEk<=Sb;4lDiZ^4bT!)FB2lpc9<e(`fx`44-i@3c-gg$V;LEDu
zNqr<LMf)RKNFi^(K)YXr{n<23)$cOaY6rYfo84572^B8WrHT3<m~|EUEE_7zkAMC=
z87B)#(BD?12r9nD5j!q7%0{(SfNi|jo}#HdOx&3Gn&}>|+imIUQ)m`lexeo4WC<^>
zeg&q`&K?mlh!B<Q!?Mdu9m8>A8~4~%rPFDYLeC%~o%dtWN-tgJsP8R=AjygaTOWW`
z3H+$J9I6QtJ-Lyo6Lx3#WWkIfE}Jd+?A_0z&~+4}N_%_R1t}3&G_3KfHU;<D65YEe
zuo5+W611-8B}ZwJV#Z+&=`a>^{aIzAhv?6HsF$2jx2eNC=;qu+XH&2soQk+rKdR{&
zrg_643xzGl&|h6VwQ#a+;L&RuH2Zv5?*(dZ_(ri@lMM^-`q`doqgoqFv;I#FiGj(L
ztyE_ku-)ja`KlF$JiP!erW|16lj!jJ**im<zj8D0;ry2ItP}p$L&o`jzWR<Bx04o!
z4{m+b*HVyn$(Z`v%GkM$blO9KWFQ2wh@)*crNxvuz?0jSV1pWS>NB^2ZC`gSTnR-@
zY2Po&8rN#Q$%2v7#4wKiMuAyYLA&1836k7C05sjn4hx9+A!ilL6+p@$1Q&k<+Di>a
zW}Q)*wXGNOq|B=}*M$T26Md47K&BJ8|0;ca?Wmiwjpo?uR!>K1Hmv58SLg6a_aL5n
zi=7ifk%O`amlYmyDSrQJPn#S)DVd4-NJN!F+<vw)m2w`GZb?|sD8|&f-Qf{BbIRz;
z3B>}RlcUfZU{OQdXWH5TWzX87_?MRrb6bl<a|NG(0Ue0C5as@j{5yfS%S#i!C~<Gz
z>*5W#1C=1YxWfgrM4aKMZ7KkP!EO8ii}>4L&1)ptJRHx(e+Bm#`s|j~F*wE0<`)sm
zy5-kyc!&)tEQn#($A$xQDW<I=F9Io_lKjmIfQA90M^AG?k*Mm!{OXV%V#CoJ#1O>P
zsw8AQTO>VCh&j>C+mvR;%5T;71g8v!h8|t0#*_7P|2Xn;{w=V6O!7)lBsIJ|j+Rxs
zq6sGWJSG<a#pVm}c>T<@`Jd51P#*vBG{mF^f;v^YfmY|$r0OKJN;D?J|F+dekqhAF
zC~?E~Rt3EF^@7{plg4S+CAZRIGL4_95#G49Btz3os@`ttJFG25Me_f^K@XkRBUl*J
z(6B+VvCBZ&4-Wjzhd%!dS&XC7h9F>Iv*$3}2!mqF-DIfXwB*&&z6@%0TSB`DwK+6}
z)nbs21cZqc%`9^C4#pw&aFg%YUhL+=_DJOLy?&;%oiY}Ah`HHp<6)Tg4288DNoe7l
zbTu*<B68%3Qv9O^*1&ueo{Gm1w<fg+*F`7~6Sca^`jYQfj5Huj=PQ0*s}`@0Wl?I)
zqT(B&^A5BMxj+kQhQHSv3xB`==DswWXHqzDyoN)udDh>mY-8U-5jmuSO3UYiAL2=u
zDyaY|#tA)XA{VX>&n3z<di=8m%R3F31n~h}qt0J@aN92Qg_C2tf;-w&Zy-Otiezbd
z1g{w@oxQAx3kD7Yd0hxs&)vTcTMeiq!jH}D0!EKiy9T{P`rt1fJ|lWDuL)`6o&6-z
zwGH#R(<grG4r|Eo>RL5p?6VY*1J^THS5^^$x)}R4W=}+$vq}XT`kPAWw%;v$OOT%`
zMb{@NDnPbxjFZ|B($0uCn+GLVQS?~ADA0P9mv6jOkxLdEFtSx$XgTKcTL%y4CTQl-
z3lO%T3I=U7!INnKes%=IUrVo-uWr>dDbjiR2g4S16B-{o)fyI90BqQuu_#$_1!}iG
z$l81Oq=#o5hEvX7ZiwkA#2Y(Cnro;TZrOryAb-BlX>>hEP50dODQ{v3q9N!OSvlPT
zjkyZ3?V*t48m_#YeX~VA5a=h!UmbwL4E~qz`Mh1}m{;e}0FdB35lcZtwB5*$v;}&x
z0F@uV*nk)4K3zaM-UC9o>$G(-93J%<B~X|8q$o8{K0q;v0jV&{9%sjoThFO#0qK?j
zVPNM`2z|--wbN$Y--&)kCRHrF%3xs>0f<Gyn)=;4FVK(iUocj+jfC+V*lxYbW!uw&
zq0rAB<6d=PsM;PN5@gEH*5@U@q)AACGY1m^^UkeYu3}LDi6meDF(aVX<4ep@y_zL7
zxx(6ol6n*sdsiaFCwG63Tuq(IVOb?!Oy&NG!nLWAR#m23dAm`W5!FwS9PKfZ4)9oT
z;{WUimq^w{9ZFV<0^P)i_Xb&4Xi~21IAmzO%%mB+>(pg;vy9=(JaT<=&3J5=yQ%9W
zD7y_WW+~z*;h0XHNnZd<cj)_GPS%*vt;RYP(PKktUC(1Mq|&=mk?{po5`op>?148+
z{<&%6=vcYY8EYqBIPl`{k*;{I9@}pJYpxyJyIooIbI!uCKRqsLaHhCkVq#3aU*%Ah
zd=f#cA7Whghdu3l3kS13`-3iQ4N_IYw-7g1W{*<DhDzH|3`8JWkEhy^Cf$3Xl0NK#
z<4RniroCb4zsg#MjLOnXm0ucb{iz0>HM4f;X3v?6zJtjEUTqGIeh+Q-5Xdccsbeii
z)n1<GCLx;8+djU3at)~9Yz{z@0Ebg$E2WEmrPgjQDJX;Bm0o7Y@cDwbEdjP-VdGe=
z0U*+5Jk9uY%hx<^&HI%TMH?k73hI3n06I}r^+!!=Zml2?1A|}0TRE;{!xti|187%E
zM~UUINfr$~(_=DY*SE5vW)U!f&B31gXBwhYUk++Q2mkm3Cx#Xe84xq*qQs$EZaHEp
zDW9`dnp426GRK1v4^8P8`o%bfxy88Sg*qoB;YfO0sE2ApOjSm1kX~TB0%Gl36IPsd
za6qkldV&Q#<}wY>KwsI`QAQ;xUXCO8*x`^gwh2<P`R@KSlJ@D5?I9a5Dn7BC*t5dG
zTVUVuXIjKHDuUAN8||At3p6*Ma_;$Wr&w=rsiBxx$Rc~IZjQV>Rv)b0R@=0?M-D`~
zZEOhgZ(c8kDeDV$9<z4Xaty}`w9>p9ByPFuk^}GV?cMlN%#(f(PJiQ^Gjr}u@~-QB
zW}A$@7%zTK0T-Rg_hpV{>ZJ9oOk+7a-)6*+pOuiwcUZx~8K+$5wtPP^z@EAcQVrqV
zph6nM;hcj^*f=b$PJ66hMW+P!6(g;7UUeNQu*An`cg(Q&FSXMz7nXZ&Ne-H`F`lLJ
z3HBGxd1|fLL^&olp!IU}gui4soe(G71GQTjUOrr_D#}V!SuF?(F;5JeIQ-sFF6t$^
zocrGwC}K#x*iG4~7aYp>5>H_^j`Cj@cc5eH@kypuEewxsyL(p4L8iB236+c^N@s6%
z8oC59$0m=xK-(l2!~e<69vm_eoD1;bCoH`3vN6~zIbpY_fsreo32=kMgB?%_cvX_f
z=Rc>+8*}7$-&lWpsaf$k(gaLg>R0nZo}k-zF?24GraScJ?Lb4shyCgp%slIa>ezE(
zc?uK3T|*YR$K8kI-YQQU)IM$@*{^LiD<#uIRR85I`qnMfl4u($l8nM&|5Gk&8faXf
zC85^wn2m*s=G0}1JkO1D=njIVJ;`81QoIj8JgTB>;7eNfY;F*nEw_zgjJGAQlIl8x
zz)mobVXMD|`{!4?6@C>*LtUv-vW&K^YxKld)lPl1_y|pX0R|cccu@Bd{mFBkWT5ZQ
zgOu!tJmV&>X5;ToL8Nj>0`B6SQs3f*ZN<xFT}z{T-Dt1xB?~;gRKjd*Lbh?-#MZrU
zM5-Zw7`5?ET|k=)Rm<rod0kOiG-yIJ?(l$GB{}ENCE<b9vAtfO4eJvgzullUo!K=6
zWh+Y?myk|JCSLiS(D=5-@CX0Y*+gg|)Afg2$N4iT%5=-=W?0-k+BBJZ&ki_09mcBo
zh<#PfM!Rq_pXB(jVtBkTBgKZ8GgekhAkd@c&^x<1ROij(6hWEPG|)W1`CA%ANe*@=
zV^^3ki+C$xygq;2WRt;ws|x0uTvdzkZ2u&C2xlWJ1$8k=H*G2$P_EbdpAVb-(-dB_
zP^+0UwN^9E3PCC!%~-&_BXWQNNlfJg133_2=Rz8-{dTXgwASlINn914F(&6DR<}C2
z31I>aY~U1G(k!~!fSd}gb7g9tsJkhZYvfZnlA%r4%&^4E%+i}i4JcnH5ru2B3qkU@
z+W{{EG0F5@PyVW_Pef^sw5&iqd8S&5l(-4rIibOeK~|fih1a^t2<Vx`)~+l`?*o?Z
zoHQqkq-sgHbajPV7Tm)@*$4tX?-QD>B{ArJO1I-U6sRu||ASTm+uW3^A|#_?$Z?-d
z>GvUVRuGEj{tJwCcInZ;pYb?asL-~vhCQwJd8gEl1>@Nd0=l$9Y;o)v6Qy=P=KLQg
zx8fR)3%aDvMD_!;Oj-`;;n;#g0t5|_%<shg_GqNJh>v%hLxTdQVT?F&tB^&ljQZ9r
zQUXOqmTQ9vDr@EHdINQWhy=)Ii}u=Q0nRLakRv?9x<lV_&v^UCeOgM-4(?bru6+HL
zHA?1z=hK&bj<UFgff|@<JbVDH27O%~<^`Y*(sZ-X_V*bpBe8DR@)hMP-36aLUw<_X
z^LYQs)he%zoP&U)4&oL5^T(Dw=T2oUJ}Ak5A`ZWikd@uiJG6dCcM24Ui10OLC0=YK
zT{yAXx^SoFj8cBLoh1%&gZF{DL?rUP0auD!QUnfjIk;ei>i0R-E;Z1lgxZ@^rB^0K
zzyiD9XaskfD2L_MIgeR4rt-vWUAZ=-U@wrWQ*KCbZWl2f*sM<+IsaD#z(E*b)6cq_
z2H6NRd{#VB3ze4IIX~!h>BYqXaKcyRE!_dXA-C8+7b!gsYO3SDiaab;=KWQoLIU(z
zi+BR?L3yn<a<TU(3qE>S8(;}X^bQjVA?!@^2x{nIT)?#1p2+<Ib_T_1F$La<T-2V*
zN&$Q)#aggp$2Pw;mAjt@r$qPFG{tHJR9Cct3to*o(w6KB{U1N`!eU`$nu#J#^_y`<
z^~f_yePV4fHY^2}i}^bYezjO(<I_*Di7%b7;mi8nJGw*E4W_Z(fg6^G9vrt1T4XC~
zaW2-GYEh>haLppQnRbaAu(%VtBmzE}?nyh`Mix#<_9(<VB5%v?nZOQq7$6huX5Nei
zQNP{CP+;Dg^&TI0D+ZRoI2{M}blqY(d8+~IqTJK}`S(RDQ0kJz@!D=C@d|FKmBtNy
zZ~1XyrRwF8_Zi1<n^tS_Pgw9s7}Rn6%>+$TTm~EfnVxR<SzGzTFL?4`?hO6KCQJ*C
z$0JKd9ydXE$Fw9TVzpVlK{#mJL)md|gd)aTH~)(uaF4I>%pE0a`%@sbl%S%pcIp0y
z?zLU<2?=Q(&vin132xowjy(4iA}*)5`1^Iyzmw||w8d4Kn`^45IEsF7Aya^$#zEE{
zMxZI$>u89F9#n$xC>#XU1w2yB06M}+4YG%qm)A{Y0cz(Jd0$p%8Ep^#rvK3bE>1``
zr^KE*<8^pvFUxDWkeXV9&5+*8)G%VYs45}wf2)aU1nsF)X;iuy=gbx31o4KQr1595
zi#e}a5?%Hk`*7zQ1-vvn@{W~OPzCwcJhl*B7uq`tG$+5%!j%rp`1$fKEG?U*ZM!A5
zj(+4l?CA(4v8&f%VlG?<aGq}8wv%(6CL@%_mdD)7h46vq?jl2-Sj58MsnLz|&II;f
z-21{e;p##-r#!{z<%lNalM4q^dfNixLl#HvdJNm0sV<jTwl>1?!{Mg@PVG8+TB;bg
z8beoqQF60QAz*8X;rJ<ltpVO{QkaD6_tjN64JIuOg#aGcn;bCP$H`jY91bw3;jF-e
zQOX`^C#4wEf<yGwuRtk#fB+3RRyQ|IPv7N5pQuwr2X_By(VBHg*4S_|fmhmuV@gon
zOx;~}%~c;y4fN9`3n<OcFkM@|_`i-CNZRxzNfn#5y||Lk2fL@=yfSyr-F=6?teCBi
z{1ipXtozvZO7z%FBHVk=;1qRFTa-vB-msHwD)OgbNKFpmHOUD**N?@PsfBi{{yOX!
z(~>x~VDFBFnXsT6C8?dTaGY@<{m<B?i9$esNI0Xa>$(SIy3pm}@x6~IHaxoToiO@P
zZ4{GWr`&ifgr?SEy0I03tNRZ6M0TAvvcONWZX$uoEIPAKc$12q&~}|&_w6(0_rB_p
zG`aED@=Q~r>zQ2}lWEKh4rhx{iJdBxyOcMAel-JHddE9zT#Z_t+<kHp)ZyG)`b0cM
zW)iae$;%qU#$Tz04D5z&=vTQmZRAb-SwV`Fi@E?}NZaWrheA<Jbc54Cl^=(pbnK@r
z3{O=#`S99T%fH#LAoWRF*;}V6bLN?o;GX^O>oBO&0(JyB2f&{~;LPweAkL?@<&it2
zWJT5KdErW{tKZ8HnoeoumDjPESBk+_Jo##@-lRd~(u7jee&ZDXLKFruLSHx}RG|VT
z)PPCEB<3+4x!;<Xn-syC>8fKkTJ~HV$BC}#3jd-i9bvXn^O~augVqtYd?US<jq`#L
zG2ARHj#GHZjd&gRUR15a?s0#*g59`^?Y_+lP%7NVkaK1*4OuK8R<^Hxv6ON~kd_yA
za<~#J+|!nKo%*=Kuu-hHS9r^p30Qg4`34Lhi%ZM~4N(@fT>#d&&TITWa1amcg5x*b
zgY;S%y#IB{*CVqO@TY5z!Iuav?8^@Z<dPZ1JnY*RbQnjrj5uVJu<nUs;)Z5<1gc~`
z+zGy3P6jS{&6zh1<nV&(4Fl-h7&b6D_swF{{BY0VYp=7U-MQV?GSEp1B>h5LDNWJK
zmRjz)<Z=<A7fr=|X&aSvDduRwqzE;wn&%D6{-T}|($s&r@g)=HNq0mKf`5I_pF@xO
z^L9m6E9Q_^86xl7+&D1VxA0!-$lEcV9$$7^|B_+tN>3TPf77{m0)#JNVy7d6sNZS>
z5eKldyQtwouWNSnK--PL{$n{{RkRnziV$dnI5Xi*G8T9*l)yz6Ma(Yh=Y&s)KoOR|
zY_%VN=4Z)r{wwSR(DyLj$VXR@!_RBH1tYG>Z~2;P{8kUR#f9x)jg~4)61nlqglkH!
zA&-!qO<nEHgDL$icVZWBmFUO6EA&qHSXd%Qu!sb{n}8K~hIZZe>0J_=CUH*FohPT!
z_f?raT;Lf>Ag879LPNAC5tuYjfYgYjeh(a<0HgHe+pJ?dJn(Nuxgm<&_KkMV$enC0
zo}8+o+CqsU?tjhn!gzS}sl6ICzNF(6{caC(O3_<GGOC*czlQ3-!9CH)gek5Qw@e6Y
zK&v@cR<2TMBnW`!urLj6M@qQSh>@4n3vO9h@uP0HQ*>b+=squ}?w!IHr+~s9gt)L#
z>l(03Gm?x53~q7A8r%RSD2JXULe5DvVr4Fp0lX<g)-)rPj1BDERng-|LW(~UuL3`l
zknz13T#wO*;E1jebJRwY80JaRJ>A*V8PK}{Emts%#WMJEgWO08B}QQXAWa~H5e`sC
z{XcGW5pso9yu0q7)Coim>Wn7G{(2nSBrig4F7$uw4j1sPSOOEpzd;=D_C`mxZ%}7x
z;9%Dj&T{;xvY}n9K>x~}zVwj;8?oO*78rv?{fdG#**nA9$Bd&%mBl%yEA$T~_SugO
zosV(XyNR<nCWCVaOcvpAxT$}|N-@;TXBUIi+WfaCy~d}77nt8j3`_-8Y|(2e`)#J+
zJcG`Isc?UHP*2t#*5zlfD>y@Vhcg?>AjrsyLvE-md2;`hTL6&bN`TID6?NUSN7>QY
z!$b+KL2n^O3~H<6yGylBByuHj-&>+EOkaepf9k#)sRFN*faUjk;h^Sehs8r=8#dZR
z5K3HqBV<<%n^6IfINbg40S)i^I$Q0CCC$gDcK1paU!7l)azbCd0xG7Y1~F_gl^wVP
zM>)+#+J-*r*i~H%SRh`yjX9m_;;8Yvn(6?<OC)JwkLM#9ZtV%&sK}sEE$m(k?b;$*
z#~vws#Q4mFh2`ok{AsrlISk_XYhTCKNo9B)IEspZ_=@heSiiONATPQJ9i9vq@mt0d
z@sCfMuS4l@4RFUg5V>#gN=(r?`F&GQvgovSF=+beU_V#tn<tlV9Y(NMu1->gEKpy{
zFzW8hZZQC!Ifr>DCu0tH9bLPMYzJ{M%45VOCN)mo+}UxxvQ-W`S2Ez*v?QFc0r(w3
zt8r$kkG@$LUS0X6+|Z%kAB4ehjmqKigIslxlO8rpYlC#;m5YIElI1GM)5?+;?K{(v
zN4{g=5xZ{ZsTHE=Z-hdW&Nig5MC6;niBkBNPOP}rb=_811vR5FbP#nlq$=kMlD#id
zJuIQ414gS)P%Yo*D7G7(+0wKm;2|r8Xwr1xN7?AqD4QsEGFEJ#n!L=NEr4AMpNM+`
z_t|l=^1awkG;+Y0QZ*T;eO5-)+|#$$JgzOdVG_MU<tk;3m$cM=Y3eBWYlFtWQI@I0
zc(5ci%L-i8hS^8IUWWS7ZpU_Pxx}+8Hl`>k^~MUSuA0f8lja<Zg9&a=^Z8AJUvaDk
z9qewXw-z%2E{sG>bcVE%wFXU&x4$E(I{-%|YK^#W9HNCB;&f-fI5-ag=@wQ~a}RA$
zStxTt2pw}=Vf@j67L<RaX_n^G89;z1%?=3#6^&!5`?9qo{!kdFNf+G&I32yNWW{y^
zMSSFnw$U6``<fE(9O?3E%1YlI6G!Xd-}!|W6k_5l{NN6P=2P%IpwIv9ATkRiM5djZ
zo9q${T8<GqX%47snhham{AHIz(aPUeCHJ;Qq?(FrZFzm%7slH-9tMKKaW99)Jv5FR
z4Uq$r{5!AsIU`_@$*w-495i_L6-b{-^DtR5r{0ze=2C}&mkcr_3jAv|hM?L!-gP4z
zifOZ@Ua|^?!^2h>15ZH^U$GvWWg=sn(=!u@@!O~sPQ&!s>#(h)ivUiH0RdeAbOqkL
z^wkU1gzkUChUVj^b%y+?HUEd>zkoC63qus@uetzfmg@KgNX*bD6%L=z5@P|`(T{PL
zadomNjbN%nKL}UZsfi#TmiN_sH$oSQJ&U=f16o{g!eZkv|7M6)o&uj(+&@M_Gv9WY
z_SxlQ@7INK5U(H^39IV3lKgGG*fVnOb=ypdW83_3y*t$~;7~wgZn+l0fx_285p%!B
zY}DzXyw}axCx@GH%AM1p&|{O}%6vOex&Sn(`J2@6EHP!=cTd>7ZbcA{ka%HB-4nPz
z(@=W8>>Mvc*i^Cr+2E!RCxeiVmoopia!3UO_wzH1HM;@);=mkk*5?WYRLTalvnO2R
zyj1KTgQP(k=N2;PM`o9hKutgF%M8bFhN?C%Dd)8Y_%;nvLv7DBd~N1806JN;<7UxC
z&v0S`d*w^#0(#R!XEdbU{~Gyfmm^uw;9oo~Z6W1ELpp92T}}a|HcQ{ew3mcAK+ByJ
zwxFbr-?WqkoJF&UvS2-dWA6lIHc_2#P@ZU!0P$)}T2Pr1hB*TbK)o)l)~|(pu&dpY
zGhKY)u-tNs1yGCi%#Uc^0`pU20@;ys*9H(RN;E{mM!Tv%LG?NPi!Xnw1kJHd5t@za
z$@|;_UjabTwC?;Qa?JIw6vm#HmN8g@qrhv23bo#Ane;kxCT){eT2aRE^7W(XyA#QR
z{#Ily<PWGs;mJ#1(AE4br#TY-f3vPNoY@T$PKMfA&I&jgPV>kC<9suOg|&jbb52mH
zL|N6T=c?oOBS)tycobdoa1sF73E&H_l#RM=?4%KFex&a0$0Mbp=rceAJ^Z|d=+`>B
z-G|kIAb#W&&C?xggu2&ticq6B1_Z>V4~?Be)YeWMS&f$(jY>jPH25~8sLa+iS|FVb
zj)K-GT^j*jd4Kn&AjIHRzFoGfcOhhR?rq?t^7^@Y+h+2I_zj<eT6;mkJ19vF+!qFR
zqO*%Xz%j<u(8_4or(LxC`dNsCemNsCnJr%bb%gUwkE*<$30Edk$KsKKX%(8XD=JQW
z%2ep@mzli<pRT>YzXVHHdoe;QeXoaeomv3$gXyyx#{rxs8!N9UKgodnj9egOG}&nA
zIV*2M{7s=(0Fl58Wc8*p7y~xNeI*!j)3<u`EL)J<ggJ?XQK9aCu=mjdU*N@LNs0Kk
z<=5lSqu1UsbWn2Q0LE295r96Uo;;|#LcsIbgh^H^YwqKC^b`5q%q~%>4I0rbl}XHL
zVWSNoT>9C6nXG@glN$m?Dorok_G+VTj_H}r@E%0=$!X6sG=J07Opy?$9;9p99x?2I
zOQ(nC86z77*|AG|I)bgpD<WV>jk@G*R#5YtujxEB6{^-ahu)lvU{$~OkTDK{D^G^c
zM1sv2%E5wq;Cf|D>_>~tg7M#M(?_x>KH?ZA4x9L-=H;^jPS5nw#opjd-h%Nfyi;=z
zqD&p0=>fq!jyZ=Y<#sPj7^TT}i7s=XaMEse_lRBts`Rc;R3&<6s@yi5CYqME5~t*l
zQh&!MV=cv%+^D|AkQOVkO+(Z~Athue!-_T3wl}>wlJSWmZfsHZ7KhJxUOB(BiD&Q=
z$v0)SS^4wd6YYXVg&iS=ABW4|<Hde8j7=kCT*%e`X~#b7$`3sENnvPj90LzWMcBcK
z%pW$Y-d=%kp8ymySlRV}ypxY?A2JJp&HQo^-wdDHD54`5xA>o9V3H2l#fTe;l^G_h
z-VmcE#cn`6_m4r$yEJu@-9LxKfQ0Z(9YxS?o;>9W$><gxn*YXZL=6F|Eov}%c~w9$
zecS4wtb`dekP~3ar#x5G7juP&qWDM;1%Kg&HMKAp%-@b~nVA7@a6Gn#s&=iiiBn-m
z0*p4VVoj2_mHVJCRW0YL^+1%9l?uY-)#(<`54w<=S<#1h5-a4?&a~&PSO=8Y^IJSV
z{)1Ch3|oNA|Ash;6NRXVo9S#l1ZzKkI}qYev^uccp`f+R;d1BH07`HU{Gk&zAcZrr
z)(!Nc=cyRatf*6-)ffg8V!g#rcHcafJy!glD#WV6PE0riFud$*sNgSt^J)kucdx+&
z6A|nUIWc90t$l!IY-$qI$sJ_aC<ttc=~?n62Rb6F4MkMs@KRDDe#cwxHBfjIcl<*j
z7PxVQyV;oV1cdK$pgkNifgb=HL+I^$JVxTwS44&1obu;rT)&5;GFi!$@yz12rq2a1
z{II_JpX^36uPx@S0z1+&wZr*MW$5H0T|AHg%Jf$_=tlhpE~TL;faTJ?XWWrd+%*bi
z|AWzSRQm4Jq?m$u78@gt5W6H*IjSb>9~wjY&UqKyfRUu4m7n+5uRBf~Ca*a_g4<Jo
zOKxMjaMJwRA&%PdirU*=599#LZnP*Y=R+drYrDpKmIFK(et<ISTrn3TWj6WXg3yOL
zo+Uo!uo4-XH&eAA6YHW=;0wG$zjG%iVY$Qy1PDt1Xv07tO?jRb#BlNG^XG_DV%XN=
zFmfatDIoPN`M<niaS##NR5e5P0$`pEWz`q$1_aTwtESSSz6vz{U6bS$XuV(;ez}=6
zG7X^=vm_(b`=AQR?whOHCI(V+_Aty>LhMwqxsyu>9wRgOn>(o7#Kb8aoRSf3uW1D1
z)BJ3;o)DJk0cw(r?aTs`j4j4`z?C8Au1RQtg%)3CLsA;jNAs}=CAdOB6MFv*H5<wM
z7e&p;gZY<8>KY8gKbn`x_sS_#$CcQY>9<$JHp-cdF+`;@*vsq^g4;7=L$DTVIg#cb
zX`=~%8b%3Xc5rO_6a`N^GoZwRir!qGA-d>ul)r(d5Qh;GeaI)-O{7QdM$G610lT@#
zrJaQcHL>$+?qdaL5@G4Mp$LRsgMQ^cIKp*$F{B^V^y}^=4;Y?|k-O8hsO>Z;kcrYi
znWZy-RP{^b`rcWpP`{iZQ|}>TX*D7(6p2`2k`*hd_5-Ydj<X09?wD_Fqof+tk0uXT
z=yNsyN>8VD6<fL+J{}?*m>|o1=EIm6t_iT-voq@G7*=K7O6T~$1+X~h_0hEt4jdn}
z=CMm<IpidT;Dev@$=Gxv>2B;Le|GG%+)~BVh@JO0>KL+`Em&8sXzNiAoF8Xbtvsue
zo8kN%!705&cqtGJu14`NiU;*nzIo5cZUh0ly_Zrpk0~vr>1bMyRr)$k{2Du>2N_{!
zvov_I%t=pi#?&JIq^xg-7BcU+!we8B{W*BHWN3_8(X|>|PR`o6NK0THgb`^8o@68B
z#{=9@XG>8R*(geR`CoaY+Mj7MoLF~!O6)Lu4BHrWaGvx<WCn~ADL*laPn9n0?CW*4
z9m}){m@d#k`~<$g`xIrBubDN|2=&YROCPj_XTFuV?j{TbrvW%=+US+~X*TC^&U`6p
zsR@^Pg0Gyy=86ftK9+ef_(Qng8RU83(l$I&pxV~&IiIjV?;Rv?u0GyR!V0z&wvMrG
z>z3HtJ`T&Y_9<*Cok8<^^a*xK6hndj4-`0<0)UK&`j#P{v2J$NQn0_iKk+9HkFXec
z@26#897;t|sD_9HlQAMj(v$Y!)UHVxXEjVMAXpjPFzeb@B}(NEbc%4k;%o}s4nd+t
zg)fKJf7TvDsx%UXtemsEF^Pe+WIs5bhG}^yAE4sn#-rN_1kEcCQ$qXRY5mt6#lMaz
zbO&2>cmmq$9BZ>Dib|Y&VuC3*%6})ghlOyg#Kr5T3_yq{9!hNs=c8)sJVOY&?fK4W
z<mcD*stNhKqpxY&lytyDPh|!2Rr=der4TFwPI@6yd%*9_?~bK~6gNdy=#V2`%v#NA
zi8E*p2qjupDt`RtkDwTSm2v6t(HMm5@7u2r`d@HYKh1CQ$3}-!K0ks0j4jC<cMomk
zow<WTP6-EX_|ZUv%mNBgfJP>JRg{0`n&qQ1fePT-;UWPL06c>RGXSs-Ux=7v(e)a7
z?FvMa`NncfKg8QqqtaP96;J68J=m;-^@1nKcCUP4SlONRdxW*}HWo(x<RD8KBDp<i
zuIW`uN74o2{<5YQ)jN-~%Ha9LgmU1lYR^LU=l9|gs584wWPTkk;mNpxjzaV$`#ToX
z47G`;9;x+_7)#HaVWCH2?`_n{7AjNJYPYIyuxPo;@4?yY#g`VRdCaE~-Lo{4;<?*n
z+m?A$G%&0K1J>CDyYir`^KL=%upcl}XH?Axt2y3GI*a>mfrMZhvM;!~@&M>Y5l#$l
zz%5*zr3AS!B*VsrqW0G4K6I$qioZ5uo*#oN@^0n-0G4QtWDn%38ndjNo}obloH2gI
zXSvldv#j+}lC`f+m%(O}tcuI6OGq4GRYAOF^p3FWXswk_M!UXzY=Dk3ln%!qnp~8Z
z2qz&fh--l||MT~4QY*AgIRVtu@0@)`<u>$fhe@7_7%bRoMp6bX<+?L;RN4<rB|J^W
z)#B25MRu3zQz{2GE_ct)8hHD2-Q7tVQeojviDWnUeLXJ7weDHBI{SH8QJ#zm%{_=U
zGT`JMbF|$p(TF=R{YPI@T~j~W3ErYRv_#!FP-+y?D)lMc1^d#*dX10%0b^9O8$`&z
z>wI+M<ul<2y2b;@yDYuaW`E0HonE=xPM@T-@jCK<A)ws%=VvFug5PuJ?eG4XJpLIv
z;thG(9Y9ne%iXq<7Yp(1L)wcBhRTGoPOjER<YC<LU3<Gp`dzrUPOnI|ptTt}0d3#R
zK`5Qy^Bxz<|Ea}bsx@*aEk1dB-V@bK3tggHljvl^kb+m-16=F)xQA=KW8;xAB3;F1
zR&aVm2((k+>ifgsAiJQI;8QSGR_Q95D>F!#@a<FVQ(>0hEe(nBOGHXxzl%Fm_IEB1
zjMnUVQcGe^U&fx1Hi`y`?NzDjH(^9lk%j8u=6DGM;=^>!90=joV6X&Be>Iwt1!O+#
zf}ymFSDimy(Rj7kk?|ri(0Bn`m%2dKX}{nXm%eyHJQ=SAZOHufD7JsD&WkMSJKK(-
z1e`oA0}kZpe*Bs^^;ne`ydgE5lYd_Tn3)`p97lo6-aoU6c~t~U?6yhRdn+kZ%kKz~
z*q*&LiS8`P+psrRdPd(sD+~Q3q&y%~W0zreuy;ck_4wyoN(c*U8p0!K;rN<O2|W8+
z#ggcT*hSp0cg&7^J8Vr6?nnwYRt?=Px;U<O?J7=r`CqV2_`F8ZbY$DXjDM`=e>~F&
z^@dnxH{^xoiqT=GhIVEvDsFm<vPMTb*3b9eQC`WZL$VS^R$sCMT=+uVtLtIcF`io-
z<BPdje@jnHK2+mky_u=5@lD~lhU|1?%^^n78_|KhEQN`1iVTT)74@!M{nDV8pu;RZ
z?6sCeV^rWWG@y<fS+ZHm*j6d`Q*<rrEdgh(Uq`}RIQYlreHQh}WLKLK_zA(D3`V&d
zLZZHU1#CL^at4O|M~s#S*FNP_v;B|scNDv3e6{3Fzf<dYTz<kRRhLJZHylN$e$^Op
zx#KH`Rpac|pKrIJR>f@I3n3GDF%v7RH6v?qRRJ$%hmH2IWAvzZ>@lQ)yv*JpFXmUv
z*Zb)Ua-<O3bY!kTJ;MhH&!H#q$;OjbLO3s`o6`Pj_lG@KqNB5cU2?HG^^iYFo&n(e
zN?Tg(QyN?Lr1;$PeJ`YsQ)(+&^KK7N3q~&CO^N=brU8^}Nq0OA1|u)npR;VcwU4N`
z(SmJeWRci*1W$JcfnQ1G7nGzOLv<=Pl(1)ilT~O|?j}VMy<p;SJ&0YxXXe(57SgUa
zLlU4ti*)ClD5^i)IKSnq%07-|-7+5(_s}h@Z+j4XA@fc8f-Yy%B8vY=PlzRvayorW
zTTWa<UoY2*Hnnikyzg$m3l663=~kv?LhiTun7K2WzeUu+h>T<WXIF}6$`23D5wScj
z9X}LT^x}pUgZu*neF<)04)0grbjU!=`ZfQF>YOGA&rpwB@pRbSv3Gttjw+`jKRul*
zexbS_Dn+)^s!P1sN`;maW)G@*zqKwx3(%TR+xh!Hm7fqqc*@k?J2|g9qwAqjMZqOc
zN@Mpl8~q!nUAwSh@PZ0W<#yqWtwpmV4w}g*zFSt1kw0`%Phn|O=1FAZs4r&6L+73@
znVa+E*LZtELQN7%$z8OUF?-4QvRU=^GQRG}eHeI-4$@{M0>uLV(u-_9Z(UotIuHsB
z&`)3-?u1K_RYIjeqngGml_sH-gjq=PF^A1h8Zb2%?<2imwujR<A^m78-x+EDUTT_5
z0_%$}q)lhs)tfTyLr4=bfxEz8mR#|NXmTA}$6HdHVg5+Xj5{~(jc@YZv;cG%A2#(+
z<p6mNNfxr==W3w|$@tLmC+;{9q-g*#CV^ZXNLu@rjqv_#PG0w~DB3g*nBjKD+glIR
zwN#wiP)_fS%8+5~B#E%w^hn+=UB;az>!cr#{70l$nd3H{iAcX)xxkeaAN7hf^)1zk
zbZ-|fHVu)!n`l)WO<A>{o*PuMg(2@#!Y3*+`Mz|Mf5k`I&{ONK+hs5BYJxc<?_yf@
z6yFYUh9b}4<{22)HD}j4*m~DF97ql7sTnn;dcP5yy{$owLs7WK+YTnu`R|x2>Mh?e
z{_tS^3Lp`{%djm(u@#f7@8Y_(wk+Urq({$p3`jP(ja^ebMMN?bgH9gmeS4mWf*!Wz
za?m-r*zq(-trNsNyN<Y|S<oR0jxt6m7pg;{-Kku6ir5!rYsXbI;}l_=TADbCiJd{J
zRQ|5QnTwONizPcM@xk*G8c-MClFz;<4C-_U;X;X}_?-n%NiTwXtdYOkj>Fk-?|Rxx
z*$t}pCvM&=xtQYr`@@t_jQb|wCGpC0hWVCjkB6H=5y)(RoJMOo&U*K1ZKtP1=nzy9
zu=USQ`}@S_bE|r}!`i*k6j3z&vuDP?n|X@E?E!KpqwtQVinX$1Qd|VHCq&1kLz&kZ
z1U@n`vP@8M5fXcx0ph-r$kM2Z&*D!b*_&vtfn=o;k0QPGbO=WJ4L7N?_GsQhLs|mM
z_~k0d+K0Ryo;Xv-zO<~M>CO%Fcr68{z7I-CZ^?l1SM((7U!*3leZa&5&IUrQXv<jS
z{B)>r_u&TkePyheo=H>`6^BUV?qLsW&5xB(uaK0&ugRBFvP?!4-YESE?UU|BqL2g0
z8r8$Ksh1VGEuL!((wKLAsywq+(gD9iiyt@eA&eUHvCy7=G+@OxS&ta*ur{9TDz<1P
zVo_3G?MWlA0842iZLLo<ozN&;C$8u`iLKVxSP0TrTPG3$f5I)h+~9_DM8S1xl#_xy
zkQt1ySPyEozkJtEhb&_hpAGG=DwX~AaG3@mio`b+%|<6X{489}@FQWY%@2C7{=I+=
zD;BJqtKqBAHeM9|h!Wn2%|G#!m&9@FHEw#dYcWNBi1<o%-8iKv|8%g<17U`aET)$h
zh7s#Poea3V@i`bU4<8DN3RMoM+%6=Ru=i7J`|e9`N=AqxUsJ3{p+0&sv3VXlj_idE
zQ3OMBET~%inqu49#B1e8$2uc$@_S;WzIf4Cy41RJ*8?swu7|Dz&RpS~5Vr=iGHP8c
z7I<poyi}Wrq}J~KH+B1u5VCHKnUrP5o9WY`zs)TzWW4MQ7#nQSn!i6!!MF~s8nbJn
z&Cy1cE`yww`(Qw`?d_}}taPmoc6YdxBl06=IxU(}NFdw!q*Q<VzhSej$M3cEn}>I0
zHue~8YvV-EhYiA#w2~WPb)hy@!K!AWG5uN|EFUo@Q&WI2uhpbfO}?R~RZ6Ce1z#hF
z0!uDHPr!k4S1gd6NlKR-4)lA3ua36P)T}drYW*0KsIuVABbQ|5?dfi0dVnEzUr{>+
z*{H}JjHAdPyXW~taSf*mzY{!{5Z#)YHOI)qMQ&GthuK(^*)GZ#rs)tdnHta=QwYDC
zQ-x2In>c2QH1U2L1kXzNTx>00j|~&nH~?!iL=)P;m5>vYTzoeN;*<0t<EIi@E-avQ
ze2KbJt!Jr*8#(hrf)U*0cq1LP5$U#*2RS(&Xt<^c%yr)5*67*y3dC`zO>}BBh)qw+
z<JZcH7Oad2`CS&yu-95JHe`DIy7U>>!o`zOV0)GzFH@qhWKJ$O7LuoPf7(OCP_p$b
z7{q+|yE9$cxUO~gJ&&0j^hM-e_QW{>+`^uJuY-8H*>!#y`Z^s=#;ev*+%@52&CT2e
z;RYD|xTn7rRCa+<os{eQ@NVDS%oeJ4@PK{_iry&G#|L0fQU33oPvK4ao*(y7QqbyV
z&fIe(V-NLHWl;CoeS+~k&7EXB=u*%?dnvpkuQ^X5cTJvS0aADD6nQI5%R??}dHR_x
zQTemTPu>=@V^Bo0R~8CkxuXZ=4?+|r?R|U`Z3$9uxnS(nN(?7p=tTgB)|`ipfT|CC
z*`EGmn8hbU^~EuP%5L9e>JU8x$w1pnXmDiFX@IE!f9N){*!VH>Io#wNiR@baAcQUW
zK$>_STbNPHlWqO0puY@Eo4QW*Z$X2|EMwG&W&5ArhHq$V{VT4D#!7<v*ZveNdoqr^
z^4@U^hq}BJ*tiicei5A2+>pPo+i1<f-BOZp2KZ_#@iaS5<HlJlV0tWTwWZ~~@Km_@
z1t{2_DgC<%%g8JDXa{IG5W(%{m^!J@T1h?^l_%C4z0mT|z%42+!dnI8r+B0MJW1az
zbD+e}YK&D3gxvtq+E9xWIB}mTh2DWD|DqCbk+;8B1?x2lxE8`sB_af9Di$cltdIB7
zF=pkZ;xCpne;^^{i7kiRo1jvah{@`WVrk$lLY=zq4WYIgl0WOstdFJ2Az%~XS#EHP
z!ppJ?D?Phmvog^6(r&?!(5&M=Nrzid@^vy(S{#Gpmc<Q2uqce>mzYq#HL7nLaZQc8
zhb|shP|ITzR)!AHb0QL5XqX`#N4Km<V<Ou26N3`j=+7tfhOf@~;_^0Y>#454?GS36
z#F`T+)>C&gi74=Ub~`{ZWon5Tw{kO`@>5AYYP}@?ELXgXi-Gz-rEOuh3#R!s5X!7?
zAn7`8Lh;lwm{7+Mx&Xo5qvmK5Jrn8Z#f=@0b>E=JO>25#dPAhbx*NzfB4thRY^`PC
zn)Fpl)A4q6^j}xa0KfuH|A2++V1Wb5iH1&Ru;CU31ihlx#6q3$@3h95@&d}0MFl+u
zaFx!oC3TQPZQDZYN`yv;Rd%45XJKM~HlPwQ7`2$dDYx9+4o&VJVETnB_)o+}Mg~6V
zB(-4>PBAaY6{v7^5ZfeU&5YCZW<ka!@_pr-n9y_DTN`uoRvgQo0u28xh5?7&O{Q_Y
ztl^03SWvRn;U8<vcI-3tqWEKnBu_+R3aDKzSBg>Bi0ds+Z07VdSZW0k*b&H3Jq<N|
zRy6}-`#99fsIY+okME?+nh;MIsLI=*G5KqZ8>0FPE{O@hH}CIQJau=?X0eT{iC@gl
zu)eoF0Zqd?*-_XM=Za!aUFxv`E-Z)QgNyn_YroZi`Z?g>AbR@$g$Y^>E&6?oeb+sx
za3(W4tIX-9PJ{)~d<O<wvUrXND~Z6wJ}7c$3k*rfU>B!z+Q*RPlx>&>Ce{38Z_~CC
z0>Pd_g)*a$HMpo4%2fGXyPlOC1C23tm|t1T$CY#6f^kv`&qknhrANL_CtAUy;lnof
z0XH?#%;?4;c%%*?5_3-t)&re;$ea8pMe=JRwaeOp9m}GZuydz44;{B00}`G%gf<lK
zpl5vZm%dEjn$<D`cP|`OHr)4UNjXO-Vt`(Jyegc%;@yEge9;DZe6h2_0X)9AteqEA
z2}xK|KtjFk=u+-3M`=QL<BFiVerY>|o);vO$5)dk5woFL@KqL+RS=-f1pV7HwVXt3
z0cY5+VBF%BRrf3M6kQlib_xAloqBmxht;X_Ntnk_rVl2s3V-Mq)okk>VNm_}$h*-1
z#yPB$XUtDem;il4DmDv#KQcIvvZn~s0XYQUi^?_7#*v58gKuNKbw7lLOpEB%vY`y$
z%8~MSj-b%O->{hFbZZvo(8|7pA3Dkcw6>h$T5k|raU|E8f|5&F@5`oz%GxNOc|bR;
zp*B#DZkpr@cNCQISOm~qvg}`sKyNAVrtTm#cEcW2N+gCBT88E}Hm7=m57`kP=*h=>
zo)I4C*~zgY)Lg~kEtveZc&`dSZP@zaOajz3Hwm>8egkcF8(`_H^81E%s5uz-r8r^h
zpOdFLq@<%SFBnashMDICEWhKU$;2J_AK*H>W#u4jqu%UQ1FlBUGj_MbP8^E?D2dx$
zVfw;)==Iqc6uN$g6$wHUPo&&nSSADrs6BB!J(rR}7;m)$pg=nXy5LTrRSGx;h%xF>
zEB)W*1k}kq+P>8c9zkvwE|QRqp%=2%?7CnS=1oL?nbn5R#N>uXlG|WlaxM9G$dVQ6
zjrqI$()!4Q4yO;(B&BDbO6zqOVzNw-d-uoc$AJR8)|{f!B&+}o06faB$LHcZEz{i7
zJh-06Nc)6ATBmZ?#=Bi@wH3k%?>4i|*y*D9Z37j_xRXa*HXTHPQu6?bhOh1puyR3{
zUQhzkGZD+<dMoAWQD?eyFv)fhUY;8H98Ju$B%OiC%Yl%26|$=1lpT=`GdfxT(;z1f
zejjRpUd1TI(Ydye_XMJOZqMc#f2-T1*6}_bB_Cf%kyfqQu3C;E%x$PZv6032)kq?s
zUR8kPGp7B5F?a(BrNs0UP05#wjh|A!Gk>4rA@OvTf_Dg^Sn}u%GNPvzFUPmv=Qitd
znr*+L5h0t10!fQ$6v{zV$le45=xf@EZ{aKaA89G6Y<AuIQ3>cw`{sbt|B0dK1J02q
z$ST*U!YYQ5BZAH9?o&zfnnZHoH3%+`5-DusJBu&R7Kb1(?3Bf)6e+v<8Z6Z#QZyA@
z0GUJ2QChdo(u%`=VR?i7jRuh^P4K$j&_8|J{xr;7$_vrn%M*LF)&>fMJ=};lgd6iw
z!sk`P>F;h{$|xnFeyK(mU7Xi3KtRR4U#jJh#zHogtZF!TZCveHVw}?eau@Oe9ZnGz
zeLGYDB<yQ?(CMEp4RELnyHZ%`aK!YW7Te<6LhR+tc_~6C&>W&0At}K03WpKUMPqc4
z;nS~Lz?!hc%Iu=mLL|8kCeIKg?x=SI<@MymZ~|Yi%}dU;35zoV<^XwW7Lh{~a*)T`
zsegr05i3)Ew5oxe1~7*2i<K!uWxvBRJ{v{s;X}%D1lo+Van=hJxDKpGkU3X+onj?+
z^Zkq1upnzQCo-|@q<SX3moiQ~CYz`?c4y>z8$Q*Pv(C6w33RpzuzFfxr|cL0<`aB2
zr<?jg(0vQp61ozL8+VokYdN-;_tLy%&fz(emY23HC*{605OU>zM98BS@;ZvdDh?@8
zroG7x_HaB3VFq1L3SE|;M4<)<3k{>P-DL?pnrDM;(mP8;ltGM)IJ0M2VF6n*kNqY)
z<NGGiPHYFw<|^Uq_cJ!kUCaWLO)+6ugM{Xh8d1_VTS^mOR%Vxbc7p8`v#QA5MZZ}`
z6CgusB2BC@*H4Su;#*!)L`GS{A?|7dLm)3v>km#zsPA6fF>ZEHtoi%z$DE64K5M3L
zPql`KXrr<An=dRrLO8jZwXOa@s@peUsmXQDej)6W>l%f|8=pvf{`h+`fnV1Vk`>#+
z-dcrX7v9{DsGzaVa+(`IDStTaR_nrm(x2GAHl*lh{j&Of5g<2)>JP+16#}kLI|CTW
zAiJ|Zhhz<VjTubi(is-kTO7Lw=xYh>wKnb!mbCwUwCm)H!z7mMX1sc6VfWrT?jcrl
zHU#kKpb;*ez%Ju${7UOPTJ2YJISyX47WGF_j+7i~if_CB){=CoHL>FB?^1z@+NnwG
z+%Gd9Fiv^J$VsZm`Z&~+ua90qfgh-qR7R69l3Kj<>Os`ACKpk8!I0|P(<-e`Lw%7^
z1&vlxVU1U-)}?{ZGIB7CbFASe<!m9%0YT%kfP>vQWl>XNY2I>->nH6a6zKE#PahH;
z0Ndn6r8Z~2bLcf=0H7;s-x_CgTe5Kg^BG!A^Vdq0Xm&L8$`0o00k*MymnL`3?y=9J
zRB<mP<W~;rA2yKwwE8IH(;Ljcf#NHTo{SIi#vWdj8QapWFda)AWMSvLJQ+_-<<+TN
z(r;J8HQbM|kVmV(lbXU9ci6X}@QwpH=7lE*tZhtYNCi(^kfZ64q|7WI!A`B(vRv#R
zv-zK^4R<G=58bdfaUPjmnv$O03lvqTSPs(n-2py#6-%+Qjq%plX|#@H0&z8CKqe6N
zKSmZ{V^K8zWZmA0EkHbGVj{wL1xA}Pl#4+-N+V0b(xo}e8o8rVzVjAG{yN>Nizj^p
z{(KE6N-kmlxfomcF7|WOGU*diOJ_g;+SR<g206gopm<2Q^OE~}e=@};HNO&I8+iCK
z`-+p-g89$Z`?Q?k;5V_b0^1KzMuAJ>J10m9Wg>b^d&Aw&$FZ_)hbNq9OMW5tKLFjc
ze#UhpiSj_edv&QQaAh(MRhg_yVfa>KofU${nq-)-;k_>JXV2E``bJ6PQW&UVT|4su
zlX6X1&T8}X5LzBDo=ds)k{Pp?4D!`-Lno-mjv}-Tf^?b@QMBCD!YoF3=O6KM_vya3
zN4{-8%YBxpxunUqD^~_)4Md4Q6rN+k^OYK80xNdXTtiJ*>^h#_9`%-PjtA^&R&6Ti
I`JbA@-;ZOVbN~PV

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs.v
new file mode 100644
index 0000000000..0a284ffbbf
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs.v
@@ -0,0 +1,467 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_mac_pcs.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level module for Triple Speed Ethernet MAC + PCS
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_mac_pcs /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+
+    clk,                       // Avalon slave - clock
+    read,                      // Avalon slave - read
+    write,                     // Avalon slave - write
+    address,                   // Avalon slave - address
+    writedata,                 // Avalon slave - writedata
+    readdata,                  // Avalon slave - readdata
+    waitrequest,               // Avalon slave - waitrequest
+    reset,                     // Avalon slave - reset
+    reset_rx_clk,              
+    reset_tx_clk,
+    reset_ff_rx_clk,
+    reset_ff_tx_clk,
+    ff_rx_clk,                 // AtlanticII source - clk  
+    ff_rx_data,                // AtlanticII source - data 
+    ff_rx_mod,                 // Will not exists in SoPC Model as the 8-bit version is used
+    ff_rx_sop,                 // AtlanticII source - startofpacket
+    ff_rx_eop,                 // AtlanticII source - endofpacket
+    rx_err,                    // AtlanticII source - error 
+    rx_err_stat,               // AtlanticII source - component_specific_signal(eop)
+    rx_frm_type,               // AtlanticII source - component_specific_signal(data)
+    ff_rx_rdy,                 // AtlanticII source - ready
+    ff_rx_dval,                // AtlanticII source - valid
+    ff_rx_dsav,                // Will not exists in SoPC Model (leave unconnected)
+    ff_tx_clk,                 // AtlanticII sink - clk
+    ff_tx_data,                // AtlanticII sink - data
+    ff_tx_mod,                 // Will not exists in SoPC Model as the 8-bit version is used
+    ff_tx_sop,                 // AtlanticII sink - startofpacket
+    ff_tx_eop,                 // AtlanticII sink - endofpacket
+    ff_tx_err,                 // AtlanticII sink - error
+    ff_tx_wren,                // AtlanticII sink - valid
+    ff_tx_crc_fwd,             // AtlanticII sink - component_specific_signal(eop)
+    ff_tx_rdy,                 // AtlanticII sink - ready
+    ff_tx_septy,               // Will not exists in SoPC Model (leave unconnected)
+    tx_ff_uflow,               // Will not exists in SoPC Model (leave unconnected)
+    ff_rx_a_full,
+    ff_rx_a_empty,
+    ff_tx_a_full,
+    ff_tx_a_empty,
+    xoff_gen,
+    xon_gen,
+    magic_sleep_n,
+    magic_wakeup,
+    mdc,
+    mdio_in,
+    mdio_out,
+    mdio_oen,       
+    tbi_rx_clk,
+    tbi_tx_clk,
+    tbi_rx_d,
+    tbi_tx_d,
+    sd_loopback,   
+    powerdown,
+    led_col,
+    led_an,
+    led_char_err,
+    led_disp_err,
+    led_crs,
+    led_link 
+);
+
+parameter ENABLE_ENA            = 8;            //  Enable n-Bit Local Interface
+parameter ENABLE_GMII_LOOPBACK  = 1;            //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1;            //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter USE_SYNC_RESET        = 1;            //  Use Synchronized Reset Inputs
+parameter ENABLE_SUP_ADDR       = 1;            //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1;            //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1;            //  STAT_CNT_ENA Enable Statistic Counters
+parameter ENABLE_EXTENDED_STAT_REG = 0;         //  Enable a few extended statistic registers
+parameter EG_FIFO               = 256 ;         //  Egress FIFO Depth
+parameter EG_ADDR               = 8 ;           //  Egress FIFO Depth
+parameter ING_FIFO              = 256 ;         //  Ingress FIFO Depth
+parameter ING_ADDR              = 8 ;           //  Egress FIFO Depth
+parameter RESET_LEVEL           = 1'b 1 ;       //  Reset Active Level
+parameter MDIO_CLK_DIV          = 40 ;          //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3;        //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ;           //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 0;            //  Enable the RGMII / MII Interface
+parameter ENABLE_MDIO           = 1;            //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1;            //  Enable magic packet detection
+parameter ENABLE_MIN_FIFO       = 1;            //  Enable minimun FIFO (Reduced functionality)
+parameter ENABLE_MACLITE        = 0;            //  Enable MAC LITE operation
+parameter MACLITE_GIGE          = 0;            //  Enable/Disable Gigabit MAC operation for MAC LITE.
+parameter CRC32DWIDTH           = 4'b 1000;     //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110;      //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0;        //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0;         //  false: merge enable
+parameter ENABLE_SHIFT16        = 0;            //  Enable byte stuffing at packet header
+parameter RAM_TYPE              = "AUTO";       //  Specify the RAM type 
+parameter INSERT_TA             = 0;            //  Option to insert timing adapter for SOPC systems
+parameter PHY_IDENTIFIER        = 32'h 00000000; 
+parameter DEV_VERSION           = 16'h 0001 ;   //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1;            //  Enable SGMII logic for synthesis
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1;         //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1;         //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	//  Number of synchronizer
+
+input   clk;                    //  25MHz Host Interface Clock
+input   read;                   //  Register Read Strobe
+input   write;                  //  Register Write Strobe
+input   [7:0] address;          //  Register Address
+input   [31:0] writedata;       //  Write Data for Host Bus
+output  [31:0] readdata;        //  Read Data to Host Bus
+output  waitrequest;            //  Interface Busy
+input   reset;                  //  Asynchronous Reset
+input   reset_rx_clk;           //  Asynchronous Reset - rx_clk Domain
+input   reset_tx_clk;           //  Asynchronous Reset - tx_clk Domain
+input   reset_ff_rx_clk;        //  Asynchronous Reset - ff_rx_clk Domain
+input   reset_ff_tx_clk;        //  Asynchronous Reset - ff_tx_clk Domain
+input   ff_rx_clk;              //  Transmit Local Clock
+output  [ENABLE_ENA-1:0] ff_rx_data;      //  Data Out
+output  [1:0] ff_rx_mod;        //  Data Modulo
+output  ff_rx_sop;              //  Start of Packet
+output  ff_rx_eop;              //  End of Packet
+output  [5:0] rx_err;           //  Errored Packet Indication
+output  [17:0] rx_err_stat;     //  Packet Length and Status Word
+output  [3:0] rx_frm_type;      //  Unicast Frame Indication    
+input   ff_rx_rdy;              //  PHY Application Ready
+output  ff_rx_dval;             //  Data Valid Strobe
+output  ff_rx_dsav;             //  Data Available
+input   ff_tx_clk;              //  Transmit Local Clock    
+input   [ENABLE_ENA-1:0] ff_tx_data;      //  Data Out
+input   [1:0] ff_tx_mod;        //  Data Modulo
+input   ff_tx_sop;              //  Start of Packet
+input   ff_tx_eop;              //  End of Packet
+input   ff_tx_err;              //  Errored Packet
+input   ff_tx_wren;             //  Write Enable
+input   ff_tx_crc_fwd;          //  Forward Current Frame with CRC from Application
+output  ff_tx_rdy;              //  FIFO Ready
+output  ff_tx_septy;            //  FIFO has space for at least one section
+output  tx_ff_uflow;            //  TX FIFO underflow occured (Synchronous with tx_clk) 
+output  ff_rx_a_full;           //  Receive FIFO Almost Full
+output  ff_rx_a_empty;          //  Receive FIFO Almost Empty
+output  ff_tx_a_full;           //  Transmit FIFO Almost Full
+output  ff_tx_a_empty;          //  Transmit FIFO Almost Empty
+input   xoff_gen;               //  Xoff Pause frame generate 
+input   xon_gen;                //  Xon Pause frame generate 
+input   magic_sleep_n;          //  Enable Sleep Mode
+output  magic_wakeup;           //  Wake Up Request
+output  mdc;                    //  2.5MHz Inteface
+input   mdio_in;                //  MDIO Input
+output  mdio_out;               //  MDIO Output
+output  mdio_oen;               //  MDIO Output Enable
+
+input   tbi_rx_clk;             //  125MHz Recoved Clock
+input   tbi_tx_clk;             //  125MHz Transmit Clock
+input   [9:0] tbi_rx_d;         //  Non Aligned 10-Bit Characters
+output  [9:0] tbi_tx_d;         //  Transmit TBI Interface
+output  sd_loopback;            //  SERDES Loopback Enable
+output  powerdown;              //  Powerdown Enable
+output  led_crs;                //  Carrier Sense
+output  led_link;               //  Valid Link 
+output  led_col;                //  Collision Indication
+output  led_an;                 //  Auto-Negotiation Status
+output  led_char_err;           //  Character Error
+output  led_disp_err;           //  Disparity Error
+
+
+wire    [31:0] reg_data_out; 
+wire    reg_busy; 
+wire    [ENABLE_ENA-1:0] ff_rx_data; 
+wire    [1:0] ff_rx_mod; 
+wire    ff_rx_sop; 
+wire    ff_rx_eop; 
+wire    ff_rx_dval; 
+wire    ff_rx_dsav; 
+wire    ff_tx_rdy; 
+wire    ff_tx_septy;
+wire    tx_ff_uflow;
+wire    magic_wakeup; 
+wire    ff_rx_a_full;
+wire    ff_rx_a_empty;
+wire    ff_tx_a_full;
+wire    ff_tx_a_empty;
+wire    mdc; 
+wire    mdio_out; 
+wire    mdio_oen; 
+
+wire    [9:0] tbi_tx_d;
+wire    sd_loopback;
+wire    powerdown;
+wire    led_crs;
+wire    led_link;
+wire    led_col;
+wire    led_an;
+wire    led_char_err;
+wire    led_disp_err;
+
+wire    rx_clk;
+wire    tx_clk;
+wire    rx_clkena;
+wire    tx_clkena;
+wire    [7:0] gm_rx_d;          //  GMII Receive Data
+wire    gm_rx_dv;               //  GMII Receive Frame Enable  
+wire    gm_rx_err;              //  GMII Receive Frame Error  
+wire    [7:0] gm_tx_d;          //  GMII Transmit Data
+wire    gm_tx_en;               //  GMII Transmit Frame Enable  
+wire    gm_tx_err;              //  GMII Transmit Frame Error
+wire    [3:0] m_rx_d;           //  MII Receive Data
+wire    m_rx_dv;                //  MII Receive Frame Enable  
+wire    m_rx_err;               //  MII Receive Drame Error      
+wire    [3:0] m_tx_d;           //  MII Transmit Data
+wire    m_tx_en;                //  MII Transmit Frame Enable  
+wire    m_tx_err;               //  MII Transmit Frame Error
+wire    m_rx_crs;               //  Carrier Sense
+wire    m_rx_col;               //  Collition
+wire    set_1000;               //  Gigabit Mode Enable
+wire    set_10;                 //  10Mbps Mode Enable
+
+wire    pcs_en;
+wire    [31:0]readdata_mac;
+wire    waitrequest_mac;
+wire    [31:0]readdata_pcs;
+wire    waitrequest_pcs;
+wire    write_pcs;
+wire    read_pcs;
+wire    write_mac;
+wire    read_mac;
+
+wire    [5:0] rx_err;
+wire    [17:0] rx_err_stat;
+wire    [3:0] rx_frm_type;
+
+//  Reset Lines
+//  -----------
+
+wire    reset_rx_clk_int;                       //  Asynchronous Reset - rx_clk Domain
+wire    reset_tx_clk_int;                       //  Asynchronous Reset - tx_clk Domain
+wire    reset_ff_rx_clk_int;                    //  Asynchronous Reset - ff_rx_clk Domain
+wire    reset_ff_tx_clk_int;                    //  Asynchronous Reset - ff_tx_clk Domain
+wire    reset_reg_clk_int;                      //  Asynchronous Reset - reg_clk Domain
+
+
+  
+// This is done because the PCS address space is from 0x80 to 0x9F
+// ---------------------------------------------------------------
+assign pcs_en = address[7] & !address[6] & !address[5];
+assign write_pcs = pcs_en? write : 1'b0;
+assign read_pcs = pcs_en? read : 1'b0;
+assign write_mac = pcs_en? 1'b0 : write;
+assign read_mac  = pcs_en? 1'b0 : read;
+assign readdata = pcs_en? readdata_pcs : readdata_mac;
+assign waitrequest = pcs_en? waitrequest_pcs : waitrequest_mac;        
+
+assign readdata_pcs[31:16] = {16{1'b0}};
+
+
+
+// Programmable Reset Options
+// --------------------------
+    
+generate if (USE_SYNC_RESET == 1)
+    begin          
+        assign reset_rx_clk_int    = RESET_LEVEL == 1'b 1 ? reset_rx_clk    : !reset_rx_clk ;
+        assign reset_tx_clk_int    = RESET_LEVEL == 1'b 1 ? reset_tx_clk    : !reset_tx_clk ;   
+        assign reset_ff_rx_clk_int = RESET_LEVEL == 1'b 1 ? reset_ff_rx_clk : !reset_ff_rx_clk ;
+        assign reset_ff_tx_clk_int = RESET_LEVEL == 1'b 1 ? reset_ff_tx_clk : !reset_ff_tx_clk ;
+        assign reset_reg_clk_int   = RESET_LEVEL == 1'b 1 ? reset           : !reset ;
+    end
+else
+    begin
+    assign reset_rx_clk_int    = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_tx_clk_int    = RESET_LEVEL == 1'b 1 ? reset : !reset ;   
+        assign reset_ff_rx_clk_int = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_ff_tx_clk_int = RESET_LEVEL == 1'b 1 ? reset : !reset ;
+        assign reset_reg_clk_int   = RESET_LEVEL == 1'b 1 ? reset : !reset ; 
+    end      
+endgenerate
+    
+// --------------------------
+        
+
+    altera_tse_top_gen_host    top_gen_host_inst(
+        .reset_ff_rx_clk(reset_ff_rx_clk_int),
+        .reset_ff_tx_clk(reset_ff_tx_clk_int),
+        .reset_reg_clk(reset_reg_clk_int),
+        .reset_rx_clk(reset_rx_clk_int),
+        .reset_tx_clk(reset_tx_clk_int),
+        .rx_clk(rx_clk),
+        .tx_clk(tx_clk),
+		.rx_clkena(rx_clkena),
+		.tx_clkena(tx_clkena),
+        .gm_rx_dv(gm_rx_dv),
+        .gm_rx_d(gm_rx_d),
+        .gm_rx_err(gm_rx_err),
+        .m_rx_en(m_rx_dv),
+        .m_rx_d(m_rx_d),
+        .m_rx_err(m_rx_err),
+        .m_rx_col(m_rx_col),
+        .m_rx_crs(m_rx_crs),
+        .set_1000(set_1000),
+        .set_10(set_10),
+        .ff_rx_clk(ff_rx_clk),
+        .ff_rx_rdy(ff_rx_rdy),
+        .ff_tx_clk(ff_tx_clk),
+        .ff_tx_wren(ff_tx_wren),
+        .ff_tx_data(ff_tx_data),
+        .ff_tx_mod(ff_tx_mod),
+        .ff_tx_sop(ff_tx_sop),
+        .ff_tx_eop(ff_tx_eop),
+        .ff_tx_err(ff_tx_err),
+        .ff_tx_crc_fwd(ff_tx_crc_fwd),
+        .reg_clk(clk),
+        .reg_addr(address),
+        .reg_data_in(writedata),
+        .reg_rd(read_mac),
+        .reg_wr(write_mac),
+        .mdio_in(mdio_in),
+        .gm_tx_en(gm_tx_en),
+        .gm_tx_d(gm_tx_d),
+        .gm_tx_err(gm_tx_err),
+        .m_tx_en(m_tx_en),
+        .m_tx_d(m_tx_d),
+        .m_tx_err(m_tx_err),
+        .eth_mode(),
+        .ena_10(),
+        .ff_rx_dval(ff_rx_dval),
+        .ff_rx_data(ff_rx_data),
+        .ff_rx_mod(ff_rx_mod),
+        .ff_rx_sop(ff_rx_sop),
+        .ff_rx_eop(ff_rx_eop),
+        .ff_rx_dsav(ff_rx_dsav),
+        .rx_err(rx_err),
+        .rx_err_stat(rx_err_stat),
+        .rx_frm_type(rx_frm_type),
+        .ff_tx_rdy(ff_tx_rdy),
+        .ff_tx_septy(ff_tx_septy),
+        .tx_ff_uflow(tx_ff_uflow),
+        .rx_a_full(ff_rx_a_full),
+        .rx_a_empty(ff_rx_a_empty),
+        .tx_a_full(ff_tx_a_full),
+        .tx_a_empty(ff_tx_a_empty),
+        .xoff_gen(xoff_gen),
+        .xon_gen(xon_gen),
+        .reg_data_out(readdata_mac),
+        .reg_busy(waitrequest_mac),
+        .reg_sleepN(magic_sleep_n),
+        .reg_wakeup(magic_wakeup),
+        .mdc(mdc),
+        .mdio_out(mdio_out),
+        .mdio_oen(mdio_oen));
+
+    defparam
+        top_gen_host_inst.EG_FIFO = EG_FIFO,
+        top_gen_host_inst.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        top_gen_host_inst.CORE_VERSION = CORE_VERSION,
+        top_gen_host_inst.CRC32GENDELAY = CRC32GENDELAY,
+        top_gen_host_inst.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        top_gen_host_inst.EG_ADDR = EG_ADDR,
+        top_gen_host_inst.ENA_HASH = ENA_HASH,
+        top_gen_host_inst.STAT_CNT_ENA = STAT_CNT_ENA,
+		top_gen_host_inst.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        top_gen_host_inst.ING_FIFO = ING_FIFO,
+        top_gen_host_inst.ENABLE_ENA = ENABLE_ENA,
+        top_gen_host_inst.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        top_gen_host_inst.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        top_gen_host_inst.ENABLE_MDIO = ENABLE_MDIO,
+        top_gen_host_inst.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        top_gen_host_inst.ENABLE_MIN_FIFO = ENABLE_MIN_FIFO,
+        top_gen_host_inst.ENABLE_PADDING = !ENABLE_MACLITE,
+        top_gen_host_inst.ENABLE_LGTH_CHECK = !ENABLE_MACLITE,
+        top_gen_host_inst.GBIT_ONLY = !ENABLE_MACLITE | MACLITE_GIGE,
+        top_gen_host_inst.MBIT_ONLY = !ENABLE_MACLITE | !MACLITE_GIGE,
+        top_gen_host_inst.REDUCED_CONTROL = ENABLE_MACLITE,
+        top_gen_host_inst.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        top_gen_host_inst.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK,
+        top_gen_host_inst.ING_ADDR = ING_ADDR,
+        top_gen_host_inst.CRC32DWIDTH = CRC32DWIDTH,
+        top_gen_host_inst.CUST_VERSION = CUST_VERSION,
+        top_gen_host_inst.CRC32CHECK16BIT = CRC32CHECK16BIT,
+        top_gen_host_inst.ENABLE_SHIFT16 = ENABLE_SHIFT16,
+        top_gen_host_inst.INSERT_TA = INSERT_TA,
+        top_gen_host_inst.RAM_TYPE = RAM_TYPE,
+        top_gen_host_inst.ENABLE_MAC_FLOW_CTRL  = ENABLE_MAC_FLOW_CTRL,
+        top_gen_host_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        top_gen_host_inst.ENABLE_MAC_RX_VLAN    = ENABLE_MAC_RX_VLAN,
+		top_gen_host_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
+        top_gen_host_inst.ENABLE_MAC_TX_VLAN    = ENABLE_MAC_TX_VLAN;
+
+
+
+    altera_tse_top_1000_base_x    top_1000_base_x_inst(
+        .reset_rx_clk(reset_rx_clk_int),
+        .reset_tx_clk(reset_tx_clk_int),
+        .reset_reg_clk(reset_reg_clk_int),
+        .rx_clk(rx_clk),
+        .tx_clk(tx_clk),
+		.rx_clkena(rx_clkena),
+		.tx_clkena(tx_clkena),
+		.ref_clk(1'b0),
+        .gmii_rx_dv(gm_rx_dv),
+        .gmii_rx_d(gm_rx_d),
+        .gmii_rx_err(gm_rx_err),
+        .gmii_tx_en(gm_tx_en),
+        .gmii_tx_d(gm_tx_d),
+        .gmii_tx_err(gm_tx_err),
+        .mii_rx_dv(m_rx_dv),
+        .mii_rx_d(m_rx_d),
+        .mii_rx_err(m_rx_err),
+        .mii_tx_en(m_tx_en),
+        .mii_tx_d(m_tx_d),
+        .mii_tx_err(m_tx_err),
+        .mii_col(m_rx_col),
+        .mii_crs(m_rx_crs),
+        .tbi_rx_clk(tbi_rx_clk),
+        .tbi_tx_clk(tbi_tx_clk),
+        .tbi_rx_d(tbi_rx_d),
+        .tbi_tx_d(tbi_tx_d),
+        .sd_loopback(sd_loopback),
+        .reg_clk(clk),
+        .reg_rd(read_pcs),
+        .reg_wr(write_pcs),
+        .reg_addr(address[4:0]),
+        .reg_data_in(writedata[15:0]),
+        .reg_data_out(readdata_pcs[15:0]),
+        .reg_busy(waitrequest_pcs),
+        .powerdown(powerdown),
+        .set_10(set_10),
+        .set_100(),
+        .set_1000(set_1000),
+        .hd_ena(),
+        .led_col(led_col),
+        .led_an(led_an),
+        .led_char_err(led_char_err),
+        .led_disp_err(led_disp_err),
+        .led_crs(led_crs),
+        .led_link(led_link));
+
+    defparam
+        top_1000_base_x_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        top_1000_base_x_inst.DEV_VERSION = DEV_VERSION,
+        top_1000_base_x_inst.ENABLE_SGMII = ENABLE_SGMII;
+
+
+
+endmodule
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_gige_woff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_gige_woff.v
new file mode 100644
index 0000000000000000000000000000000000000000..b3f55fe30c8189889eea1db72321cfde1a4f006e
GIT binary patch
literal 24032
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Tj1yOv-1z_zU
z?X;giv|t1t`~6k=C><o8V(ho}neyLk+MW2S1^xV)%j7K5lSU)CwkoRC?wzB3JI2)a
zk79pWHIL!DzOaq<8v_@L)&+Bo)X-b)mjAR-mZBYCPqVNaiW6r53-7MtMbDv66;g_?
zfa5(+N<8Ybapg}86?In3gqAA?9!$t$4fCFR3Ya4P{#HeQwqSzv8YZz2m}bxAX<cg+
zXg+WYXXf8fXT$&+xGu<OH9$xJq;h)4h*d7{uTXI~oIRD$G^i}?&b^~-o6@U|yE{pw
z(!``fsejk57|QS_5k>ExHq0nX-lqBw9X>SC-H5wJ>`OaykgGKBJ2`A?-w0EEq5E}I
z&W*aj)5ch+kbWQl*lKve)9}5Rx2h;qD9)Oau1Z$$OHmrfSJH#{aGR#&u!aJebEXI%
z6*L}D2?>pnx8jZGYn=E$QFed`zw(+U#e<+N^r@nY-Ee-L=yAc>#CrJ4ruye!CgjlQ
zSSgnFx(ZK~r@m_ti(9b)enwh_msmS(bZU=5D2alwCOksTT|k4#FcyHY2NB|qaW?Pb
zgm8e^&t;of&`KwhzamoT%fewyMJv|YL4L5q@J1;WRJ#IZPh73%DK8<!6=m<lYE)w6
zJDSljl}Fdy2q0Ogn0*0)^yP%3YjRs`2jA~-h5sXE^0%FiLnlJ2SDX_GNbr6X5NuJg
zB-zc8mX{M!rp@4Y;M2$i*|68ppHjawYuBh_EB!LcDd(cR9h1-8;uT$u5Mt*3cdgmh
zRhuiG2J6wqt#otm8KwlR20zVj>RD;V>f-FL(3M9>tUb)ZAq487Fzl`~9~gHh7`XUq
zXB5?uhW`HvqX|Hfios#e&0mEh&8;NCF9Ov38v?E-W#OZSw<l6AJGInvA5>sWRU^)_
z-<YWGT5@VD(#a)SbvwFr?vb<cx&EA$ZXV_BjL-lMyk<)BpdC;r7-O07nta90opN>}
zz<$(|oz;;TQftyuj0csCFXOi@0b(N+%OTbJWG5~U4asl@tH3x1^>jKs!&on;JWJPP
zm7w{U&ozwMc!*E?bT)$(_EaK+7c{%r#2zRysBVK@&zZOUQ1uKX6clXFvC9>|l*HUM
zl{>dPD>{XRC@&4SE<V6alj661v4z|#&N=-q=b!wqj$-v|Xyg-1JxQU_d=Bv|rCUk7
z<lTefwlHP^0Con_T}||45$XIsEP<G_^Z@+uEXT(`Ek}$in+vT0^ar$8H-Ttgm{86y
zE#=rs>ET$A!`TlS$h|6OrHy9C8JDkuj%Cnw(1RaWf+7PC{1*Tn4u#ooMR*82?e$3o
zhH^T?L`SglQt;cgW?i`BVbW?rVNldFdLo1DrBzXyA%Ic#YL_;H(8$`NTxE++Ab;#?
zpTPI2jRB`Bt{QiW)>P?fP)f-EqT)u6ebEt!eKvmJs-f52&P0H}S7~`9#PlTmb&JC>
zcm$0giqtm_=V9&5$SzoJ(u5Cd#^zDKD*l@?3Lpow&vm!zr?`&GBzo6F6GoK`6^!vA
zv)j?_Gax0J1{sDQ{SA%lQYMT4JeUA5S($k+nYXK`j$3sGqZg!Y_ya~uSq_aPR{dDl
zof;*b>`y`8C=o_3a$CswYnGxt@#}(=cr?_sS=)LPsRw?C%rzQV!k;Gw(afoBU7vsJ
z;vY6NyQZABN~axh^V#@^S3QA6vmpb3hDri1{wm}#U9~k;D~DhO-E(8`fAlML(D4&H
z<bt_!WoX1nsGkX`{LmkFcE<@-YzQT?-~ucDZZ^9-LH4--aoj1MO@+5N0ObxdUC0;7
zmzQ_y?MUphtS#;m!vTz%t*MyTsWnEF2hnpT$AdyE<+R?})3>`)uW1;_QdL_T`q~%K
zm)iNjEnz7}aWtU8^j)JkV-%k+>EdU_HER>jxJIzH^<03}xSc0IP2jLjHI+@!;KxJS
z2T*tg#T>J~<v_7F@<aAf+x;sM%$WWvyNAu$=cNxM;=75Rx=^$KgFO~|F7ocnGzUe~
zUuHkzQX@998*NShcS>T6AM1l?%9f=4?j=vs)KkWa>B}7ThLADDfSMuEBPimu@~PxA
z726kc^avS3HXbn8sKA3Hhd!T=348UB9cHD^;XY(gCW5#l7kj^YR{HNP>FOahA+cux
zWAbZ-<4<m2yIB@Dd@$%?#WJ2NDxSIFYsitd4icf`p8_T)w*KrrKu)<MJ6K>=!QrPT
zCU40#IgLGb^qo#^T9E;tyK!>x*==4j!=jN!vLoOcoc5U(hd;H`|87tKcfyNqRABeA
zxl4mUSOQXK)yR9hIL3WOs1Ot@Ha5u=3cIK|=XwaW0XO;~40U{f8#taxD`by*xOx~J
z0Ph`n@H*`M;e14cL-H&$rm*gfON>zVR$)(QFgrl>>l})gB=}RK7mq?nn$j3$yyUU%
zc*X`xJ9VA1h76x829ufL!9s?zo^;nUa2uMa{{d(LHof^!S$_XfWMrXSWli_bn~|<1
zJ(a&&+KpRWT@1;dj$Aq<_|)cUK!<F--+W50)U3A+6rB~_&h%H`PRcvCy2u)@KLh9i
z*)0FlDX(X=vo2AFE5qQaE6cK)4a-)k705taKxvlxOHkVGE!;-7+V;67B&3>_TdFVU
zcsRPt)!kKxOL)|VyT$Yt@wB7L33OOnQA*|-uo19>NX<lw8dE$sV(irglK*{oG&=v4
zI}vF&5VgN6hB{}PBQSv#1oNyi>O={vVc7rP5<&wnSpj_<m47IzenU%V!Ks!KlqRGj
z)icF225EY}R0(&Fv@??^6C}YRTKnFJHJBu!^LrZV*rV|5bqem^81*yh6GSGfUV2FE
z4W8tBV4A(N^U32CjjH;qK54WN#qVrM3VL@r+1AU$hWc-M`+Z|l@YF)xQMQFXYnt{Y
zJDs>FH8kEt81Eos+|-uDaV`YL$vQ2Q#XU}G4f?)z;5dkQP!Isw6d$wQdXkx=gmFY3
zd&1XDloF#!uKsBPt)f4ikl%ypi%q6)UD`zF;xL^=crp7|tr=$>y{nfmHVT_|7cn)T
zOu@uPprW2p3UI{pwm`c6rZ^k&9uCWoY@5FyhP&`*E`J5&gr$fCUl{~SeMoC0yiU=%
z%wJycV90cjp_n^LH6fV3U{{ug;|(PPp>|!J6VRqOuFN$7&&V4?ZwtNj*m8ueu1p(X
z-S3E?yx)A-A=pA3HC+;7bkNayHGyj)m_PmOJkqE#7Nh6UesT`vIUyl|Z(~`XWE6LN
zm2r_CGY|X&)enmDCP_jFBofVV9>~T>Xd>WhJ8Pc-5!0>RH=uhk8Dmzz_2-TCM`iNL
z_448c{CtpzDxZ`f;_S$)Lg!b7Syi{#*9UpFEHt%s18Uq))}tJ~9PKwGW`o2Vj!&Ml
z3*e#U{qEDMqW5sbW0M(=(}R@%){Gta>5UiJa%TjY@zt~xvvfiT&U<l=5nK0-IMge2
zE*6cVzmtimI-;%Sh&Z>mO|k)TxQhLi<wAt9!)6iWnGcDSucofDjO@NaHC;a~n6-F=
z`~GbOg8o+ILx+bXA0`KFVgiV{hVho}7JILESdi@3*c2#;b_IhcX<|~v?WKT%dcK2m
z+~M{jhiNi?Nk>5v$iEHcZsq9cH%m=GeuH$9{PcR$NMllMATuq$>bDj^lua&lU~l_e
z1GfH|h*Z|P95T<h;WkST)*18l_7~W9IcT1&=~}%x#5`?FWO1?7ux%Q~VDydlihBf-
zu_P0+E5u$ve>X4m;8X{_-m6jkM7x>sKG=2x<HvnE<*a_lht|Nf4QpVP5(oF&?3nrm
za`unvYSuX|^$R&K(2s%hlmELd^*k>Af2J)<D?}g?69W>dF9{qpku6|X_Rjtti90OZ
z<>+(a?1+`gFVhrRK27#+Gnv}AcN^c=Y~0;oOI$5>>ZdMXBpjQ60!2x}>75T=GZuIB
z0J^Pl@!gvv_f|&d)ais6<bk?`o(DLSOYfci<@vEKGfe4DDBQ`mxc8Uov;>u?ytXBJ
zDe>yhy=vX^7k9E-T9~ANxokI%1Va(`BpCuSb%Y+u*Yp7aWe;%o!9~n35uxlb25ShO
z>Jox8!SQ>;(1^jaN`eg*MDLP3i({lvd*@EU?ast9-ck7CL|9QjYFy<34*EQr78Ag-
z3BL%%u;2a5ljbPI?+6oK|AhRL@?T$ZseIv_F!z=1WPeB|*bO$fup}%(u^m(qHS|Sq
z4>ORYrrMt?e+sy-mlSm6T!gC`qKQA?Ve++(`}ob+fCN6Ae12Zt^-iR%inHjeS^D~9
zMqC^Ody#bzPFDa@QD7i<gnI+5&85!T*-Ddi#>k;1&ZcZ$jZLf?Puu1>HJ<n4P_L>J
zz!y!}ejPMm7+qcXI)24Kr?wh;uN$if3{&k2`2MTA`X$rJ`v{cm(lhtAXfZPYqKlIv
zK7~{aPuF7S_qGasVff$AM7#pM6!5|1x4x1mXVc_8=hKI$Dkxb;@cbG&1lEcdwTRVc
zUjIcRPpTx2(PG*b@oAF}s^d-Ey>`$Qo&CHfgG}e%S}Fc>crpvS;i_-3iY;>S3^<)3
z4h>$hz2~_jLq2@)8HFFy%AxPG8ee;YJQ#jUy|Qnf98mpgLX2GV-)h2gh2G*8B5h<5
z2|X<-!Clp$vBJ-k<lZp-mMohz6#$El>}C<Gu*H}py1coZk_#(0RGhNw*uV~c@I)f1
z0Wq26{t8}QkxDU&Z>z=um2-ND2puKh@#-p(&$PF5Z+8N&b9m{y%X1T_KTb5$OWYyd
z&?iwtI`sw;oJd&@uv}d4;Oq0Za2{o{()Nt0PjblpCXyp1d*~9xR16fJHd(&|;E|sK
z;wKeul~Z`RVDmQ|X?yVwp2f_?OBF2~Bmu|L+q)Gj0_mZ1SG)MGp1pH)#m-GHPHlM1
zMwP9eP(EWJ0*JRxpol`)HgLrw;%TPVGa0Cg+;tC_mN<%t^`=gr88@Y}&1h{FYi<4p
z#3_lwx=EYp#SkpNeF?tA<>QGme}r}Q1#G8yE=sUD$P<>!3NT<MZLh}R@tVBZJttr$
z0KXLlJ8;~1Y?R(a{8Ju24H<qu2DF!}POYG>%FB7GaEDr*YJtwFtP@Y5=FP?z!v~T^
za<#Gtk;suqT{{s!PEyJQl=%K02(5_01^E7K@9EQs{eY-t|L^aHj?^m_r~2$Fo3VYH
zyk-IOzJQ5>B?uO;%B~NaO3~l_T#+L^u=O>i2A6fkIsKr!^9KY1N9^!1H3XA@iMv;;
zTvth21UY;I*+15G$#8!7Np|YT3_66<kck_z&uL7SokC~oMHHLrZa23Za@{NdF9=Dw
z<9{(o;*>OXor>f$Ti&nMA%vzs<+bVU)Fz_>4a<xY$*=NE46&urc^g$5*}AeuhRN0y
z7Td*uV@UAs&%lv$9O=>cSPd%*r6>E~gbE%<cz-pmLiG*v6~ph0FF{Tky7y?-MX^zI
zR^f)()u6|He9fmSwNaE2?$h2&=gTev3&qXWg_I0o5fMYfL^SOBC!K*D;AeuJBVqmU
z4?@ZbGn}4sJY@}NZFapCTsf2SW(1OVOux16yH2&-V(>IX#i6!`T=%Nxf-ecz#LNLE
z;6T<hO69C~)~O;mDH${}lcJlqD$1lOArHD&rqDG5%o5E{dE(?*YiJX{*g+<u*A}mg
zH#P%^6k2G5SF(WEura;+!41bIuY|De=rELC1z?%+Droc{P7cXtliU;m;R(&|bcX7s
zBO8uqIfQI(o?QM|QR@PF0AL^7c<ICI=-ul<I%C>-6dOY$w*)kA2mV$w?rE0ws8n<(
z?v3GB-MpWQjxB>{68D%*x5+PD+aNH_X&`+N?xOfuIV+`67N2Rt7ghe}A0EaB^1pc|
z0kPbFTh@NP`~0~yxMzl0WJ7Erc0FvF`O0ho<r<-o$zr%56Xh<`d(xRaAB{Q91dXlw
z5#$1zcj%wGeMa|Zv+jd4TwJb7u8!A-3+&yzLf;V%v^pM|klQ#`19hqZZao#07@(Aj
zcRp~~Z-~&?ESkuUi~WWA*%eP<oxe@Z;+9t{7@`$bc0AIXZ}CXQb0Q&Z(VdB}YQ44(
zd>$)*N!&i+St}xVFBeM;&V$EA^FIK!Yxi~Vb}*|_WjJKv>i6rGP5podlE;~sm=XC0
zoPp5<+m&dLE1ebHU<`?=j6emtQv1mo4S%82^J;&zrN-<%l|La;5Ez4sp}Xbe_;hjP
z`cawB=SxVo^;)p!mmo;Ec>j!=qg^VX1R~(ZgNBcL;f|}&5Q1;|dIz(+{5Bo(Yj$_a
zD1CV_#H809o>rPTIzEFC-HnZAkwlfa>;zd0#L_Xoi?=MDMo4f-)Tc+163h!9r%oST
z28XHG!tK5wLH&-uKx+G{jSIM2ua>TxKm!mfg;x%hdW+#d4LdugF@-G4lBf|9{ZO|b
zuS|J&WHt2ZXSe+>D+<A%Wp!pz`Wa6kBl7On6v)`#mHm|X)b*`kb1!2X-6BdFZRW`#
z5}0MNMNib5J&>8^3qG=;wNWSvYrhz+qAc??LiW03TFuSlbF4kX;paUcA*Vh`{M~3`
z`ziRu(#^K+i?vkNq|4;g+W>#TKM#vG!z2k(SJkV>g^y^D{95cA6l}jTyeXlGpO{@G
z><^I_Yk`jjj3Y}af$Oc5k$s*;hc6B!jJ9>;+%sOV@e1~1u}dmiM5f>ARNMs#FW3_z
z$5e_MOLEC47&?pFG^T+nTJ3A1p`qi<5<S8LAozq3PWzU^qf#0H`s}7<GY=EP_8#*W
zAL51tW|Q+1tjPDIJ?sSJi65x98uSyw%*!XPQ1dcr2I|S0LF!Vo$)DOV#3~#cm&Dx0
zpEo1c>wX<rsV#^6{>>`;Ad)!{Hs#Vi0NCH4g__xz?93R$I)w1^X*g~n#27_hMniq~
zfGW3d@{>!t3s%2;-2b-JKsv)aP+8$hchPpA%GU8(sS+K@o=*5A4z8Dap%br@2Rn47
z^z6qn1Fuml<-=T`ZWC6iYjy6e3%9;ty&F33r_}PM+;*PK=SklQ)!150mJ)<)doRE&
zl`+7F0C8|US2+)1BV)p-NX%6<5^|y_HKaP>`zCTM4I9IIbF>>1#(9r0Hst4EhvTHW
z>tqLKg3Iok^ca8AIr=jvuJj=T#BxO)Yfq+3vNbXvf3ZaB5;O6&>D)D|W=Sc>Sx1E1
zHuF9Fwesew!x6qs-~?&&nG_j%5ng|j;d;RG3nmYH?Kg#!QmM<X?eZ9HO21!dYfkxV
ztHEHJs3;up6;`upc6HC+dR(nGt5QW!-f*T8+s29wEZ+xqdptx8sT?4f7?tfQIb})m
z_<nE0AY^tki(6tWlj92t0HVu2|C%zd(8=Iu)jZQK&JLw&_}=Nn2gZlHDdG+Wfd}wv
zN^AL8cPvao^^MSPlO?1P>p;c)a6`Tz%DQkx0yTO%D^Cx_Dwn=E);|A2eL@NSN)ekX
z^cp=tI7Lzb$e2_tDu^qtIg96|>)npm>@4X0;^RVbpDm6XS;M0w`s9W9|CL0n8N#jI
z_E)D@p_Q@@_^J!pWh-QHTi1^@;2}}LEtHP|_~|0dLaeexwxtN2h%2Xo&6hnXp=o#v
zC;6k|D=Bv!PgYZ9H~_VcZO~$dJw6cJ?tnI4ee5U)w;Z-p539+*ImdB8yv0q*hKht_
zs~JEQ*&$vSsorR!I->(Dpr~wqi#1B$v?kwZhu<rte$gm{p*+GUNNv0JwiRf(Sdgzw
zgLf0f{A5#(F7D8dc*p+Pa2gxU*k$>2pOh6&LpgP-C%eqv({gRHD@MbSpRw?UQhf6V
z;}37(id&l)uIIuE(Cj;}`q%zfYVJ*0sfg&I10+Q7FD=~H2XbF9c9zyxtBt#292to~
zxW<=E3Qg2hScAJ5@pU|9AFs#E{Gf$VRR=`yV2+fow+hakkDn_p2DnS8!QWY!>YdjJ
zS+4;C*XQ6oNxlI&z5j^S@}9!i&bkiZ5&ce>T446{t@)faid>HUtug=|CIf0YCMpo{
zRX;DiDubLGBr+^2(=>lLmP^M0ZU)Y;@MJul?}5ltxAzm{&)I1JG$Q}91I%#jc-g#X
z$rkhpI`vA*v0)srY`BC4B*St}U-rBk3=FFV)KcBl@PN?z<y(sAo^ujvFVd4fbi+Pl
z7kW!pl(#A<aIup%@})ld5c^~v3R9gxz-3nMSiQdtDONJxMl_(UA~ufFHrz1v-x%8c
ziuf^ORY0edv*b0XUB#1vmv!MCz9`gQrbdtVxc5p=#t=%KuLw8?#dDp>*CcK-huVlM
za}rSdz>hGuPZMs5*%E_>B(r{QVka4^9|q5me%IfE+Zz&NiutV{%)SK3bNZN?4iU=h
z4+X_2kNOo-?P)q)TCHqNWrjIH-_5~Qcd{TNAV@yFfgEF`c*g~>+e8B*tgQW6cqUEf
zCwsbOX~cUHQ*WTc721G|2pT`OF{jm`6U4f40Y!@7GX&fSqMkhAjHT%*5#5vuxe@R2
z*$*X``r0ScQV?cid{jPz`ogmha*CVDn&-IwDsMq@N{eBJWEi6_l$!wtNGwha)7w*^
zdar0%a*z1dCPZr5IC4J)Z5<d5HnMurxQCBa1~*s3G!XJ2yuHt_Sn%*;n%g<ZG63Q(
zjWf>~y-^Rpn?Ov^h{pBKI;uweP{;WK_>!uQu`s(hPq8E-q;(}vRZuG+Iqn6j?_qVT
zxILl1o4CiAw&j3nTHf2MbiI%Hke!5@;ui@@A;LZ3@kNe$4|bbwd<fNVUzyL%UM7{*
z(2~oe*%PrW#MPO$^>((GI77`Ua+8=h0x(xH*v|CxMN@dun*Z-Itw5peNL|rkwig7S
zQ~Gz>x3Nk5Sr+%Y7Q;?E4>*pap`Qy@QSSmkkZss$b`<wxkS=&Svb|?ELwE?|IX{co
zuh8k)*rCZ@xpX9}8@MJ!k6T5#@z;>8+2784JsH{DYS?AW(p382q#J%)T>5udDnDN%
znv8$Vv%rajgJ`8rRD4w?(Ee3B;s7-EUSv*_mn8!K91|#|WH(!P$~bUhm8@x>m1L+^
zt$);YhmOPIl}_cqP%4${z&E|47TwMqz7c=q=wJ_%n&`4$@7DGHK=WJ6+WlBSF;TI3
zv2x)aW$N9IwnR@;eCdNW>W&~69%*f&>JArtKXyax&D)k%1?%upIL-H&WXV<5<^d;l
z4*e`Wp8GK{CeQu={KZt5q2}^Ar${Rs@?a<Mk8815@I*qCY{(HP)<ao`cX0M01U)U_
zgQzl?GOU7j%QR7St$+zny_V^Yrz|LeSEsxANil_@K4#6B(sl~wQXBQY^-|*TO2J6N
zPzX-tn^)QLi9Y1}<dzvgBVKVo%JGIvEK^iXE_>x-y>Bl5T@AyMcy*{BhdY+eU??uu
zg2pDbdNQG$O<<9e(k2N74-mSBViK-Gop#442!+%(w)d|er|BhQ>~?d|b}}a8MOETO
zbyZh+H{>6NcyPm5-JmI~1YV}BmAlS9Abre$IM%Z$NV&t^sxjioz=!9&YGxjzPLmZr
zi`eJ`z))N@Je44+bRj;K;up^L6@O>^503YuoqRj(G(=SvcI!CyBV7<8Ov(GtuS{{s
zSna7=aS4UP7sR{2hL)B?_9Pxz(f0O3=L&<u(*v?H&4L%wY;l>J`&&#Fkd>Td8gI~D
zmXrG=>8}iI>=XEz-k7S1*A^(C1}U^RyPEr$?X}P~735uDurm`H$rM}-GB>u$mNna*
zF>u!@;rseA>%k8TQO{@bw5Dp5Ml{~uXo}xI=Ie}Ol7`Oz;u&P?kGoV2U`S%j5zjb(
zB}tmM{Ly;ncd@?dLmbp_=${K2-J5Of8pK7Lpcv}g)aD0+d~Vf3v)i8=^MRn)Nxlv<
zxn`;U$;9nstR|1Yx}>6;T(Tr%4)eMVYr71WjG3pG-?!~Q8hMh_#PDQ|quT3sQsW^}
z0Z!b50(*58=?}8MpHm9na$B?yas}ZQn+W_)U=7kyXHj9ic-1GGZMy15bDJheoE=A(
zOLus&lyB@#D6W`7s<E9rp=HyH(U~|u7$%Q;acQ%~QOYAKzWW;lG=Q`)E(H5(pUtuq
zvgtxjb%rPrutN{%&^um7O-nw+0s|~<e`d-jWYY%(@s;6E7B0sA=N}Oh6u(#`NECTl
z>s*`6-Zx%Md3$agzIHCud@L=-93ZfcpP*o-MfHZS9^=Tg1~2W(J%|23JM>~xJbrDD
zJNTMRS1yQFqu;e=PfNP^x%+pl;u9yNFr35GzVL4Hp)!=*)ABnF8PsYZ<=r5#t^jMT
zUlGho!Gr%t<%}Y$kZx^vu@Rk{lB90Hn=%2N&o#y`4~cf4JATGjQr@fH%8V*Zh3$(y
zxFEqWT#Fn*CWre_HGr^EoGhT>l6Pfk!NPA9MwV0iP0Wer^gXjF@TIcQ#*XfuVT?L=
zYB2N?-bpC@ZUhZ^bYrUdt}U!ha-m`?3&Fr-P;3;g<tbkKvbk|r>O~*qs*ru^gM?8x
zbGh#M$a&!x(Rxcjkyh0~g1TeqSzUe^uPk>;$G{^yVt5X!06mPBJnAd(k8oJM^?m5V
z8fmP`4ZrRm%0?*v5%Ck_7JHjcfiISaR>bpEF)RwszIdzAd-|ac2LAPDP+}faT@~N`
zjZbqBuvFOJUMs99h-bUbK?!FUX&73Jrf{vjQU>wpZm<~A<-}vk;h$WbEa3Ph{?N;C
zZjF^L0WOxhp7;E_;<sBvV-;R@G_~D=t38&SykgcW6|sQt7@3_m2^%knjGIcVl6Enx
z=MYXf4x2AN4NWy^0!`<t(6e;ouH1R*Kw&xf0sj9Q+!a8J_=$o)er&YmSKSYYpj1LX
zAUCQEHTvN*);<7w#}2<0jv?a2if;VBDl%OM_?jf%0Q!#nhg42%=)?C0{=!o_mlfPM
zLD9(r2=QyZH*FO#M}2oIcRSOtbJ2vs$AtCCUUGtzL@mzud17|ptNK%mgl;crD>ws|
zL#+m)7zNPM;gq%M<<Y9EX>QeD0Hc|@*2q?8%GOQ>yRwHDJ-G2?&=KE6W#)R)Bjdk{
z8K}w|7b=TWt)6W}EbUS0t`Gg)VxrYz2n}hv4={WpU%rfHl@{zcjUA7i6f#@5V;R@#
z7sK=<FAe?AY4HE&HV2F!l^rzy+UOoUq{3hgyg>Z7xL%+u{+DakS(~p4uGyRk*U>qY
zN^{;JT_~*inr{PMJ`%ux-&}^`NRlf$9V+}d<2(+GA~D3rHPDKmi#lRG26DjYPv1K_
zp1>$hpNd>$r-t|}sMeH4eXy5x8Gu{sPZaM?J8jiSX?StX>&cD|c*VB(ZJ9;C)9U~i
zSVUX#s#44@nQ7`1{+qlGT|lb^6Sr{>FzpoC3tk|#;GlQ-HehC3YV}}|HhIDKB_MnS
zHo3Sf0MOC{1Eh*wz;2VteBRvKkRh)Mg6Ot?L;X`(pr8|uxX5bq@u;30iWjcPHo+8+
z1*YTO5xa8_LlekUIgS?0q(NLklfm+6oc`FkA9nMp&odU5d%H9+2>wEjCOt1!g15I2
zAoqjq3_HMP?1L|<-aF2uH{!X|fbV+Z^$|EETW!9%1vbVtL<vL1a`H+96t{|->t~Zx
zeX)q;{sL6aih-C0@_ywAc$Z0n(d^|>j=$cZp(A(?C_3L-%Kut_@m8}%*7^%ss3HGr
zS+_79bLK`wgC)B2Eg{EQ<)wkBwd2_`9!t)%sp{x#ON(`jYpxsP$6ycXc5T!pH0*G5
zUhTz>KFrIj!r<`Upw&BL<C%}@&M=pcnSu9uD*k)d>M{eRvLd#I19wI&GwWb7Yp2~X
zoJ_fif@9*QrA6Tpc&|R93*D6I5(V=BNqRt9pE4>86m&V0rAb`w;4{6Y;N(9p*M!p}
z^~Ta>cthxRLe(;XU>`aS$?utR(qq_ocO9ZkJYcXvd3;jKyz+m!F{s-`#v(_n=zH(V
z(b$jfK}(J%eZUFa)R)SPqiy5m=tBvgNjpMM45|ODhon!m5B-RMmsj9Lmb4><kj{#6
z39M?b&-hQwH>9$HM`I-lK1O({4w%Arx%cef`SeY@-ua7iFBxs598nk_zoSMZGkOm=
z_XJhmn%*dhE~pKsuyQpcD!mN}kK~5unKUT-I*UoH?(72=knWANpu!z|g@n;A4{sGK
znx^5#m7z$j?kiNEuC@kRA2`f4^-GkYnIUn9$^|nvg41V+$32P}ykV7BQrS}@Jb;(Q
zF)zCB7qZdtYLJCcBbo*PTmg+R3-CBNJi8wZCkIa7xVGTcen5$l?hQSw{Q#m*E#gkk
zT--FUu(w7S&Db?hMHn`{na%sheBn4w^Hw&V(l+cZZ6)WAS2mh&{>__+KR5JO{81Se
zbiT1Q#{~(VIrJfim5IwSF1v`lhsUS@;<80FH3!$Lp>DCSu1DZQMLZP~1>1!%Ybk<2
zPU{c?tQjdb0xCCW<8X_7ieqRn9IYr6f^j-S@OdTUR)lY*iIA%*CG>HM3~`fHpDA*&
z&o;WjUgM6`7J~AqAJ(Js13SMz7UOO^aZgWfJ>&AfYxSitVOKP@c1a%TzNeApP6)p7
zD<5f5KHc8+<;xZ`7sta6d9)s(55x$270D%P-BWrLb+Yk-M<0ng<5ft{8nF(ye5}}V
z#(QEB$|87Z6_rm8Rk*F^1Q@m7Oly%5G^)PXzvI8)^Y<Md`9URP(~GdXVwFbf?&m+k
zK%UJB$iAb}Ct@Y3A$G>E_HFOD5?<HNjg=V~Rg)3nNL7$r@k>c_Vfa)Rn<&f05X!=}
zB)2Ir>Ksg@IcYYk<l@Z?Yq*oz1?#X~bBpPe?#s7fdm}bF3?3Xd3mzAXkMX~eCQpB=
z``T%&VSuX8ln<LNYX{MFCq{_CDfe=)_;*&BoI&^n33nfO3jr*;Nc*AeXxUptOTkKu
z!q%xn?uHd*N0d~pNYM0!t#0yjI{LnPR_AnftCUe-Xt>W=ExZ@U!E}{+Py2%CDtx$f
zR<Mybr2iPB6Sn6D`<jVChNzz*@;ZqBIgROtm1al73@%)I7B7Ua!b@${nE{sCLODtb
z=~jp~0=J%A7|)z@|APMm{JBhy^I6vyx6ubF-Z;hAsPm>T=JQ06G~QaZ6!eQRlSNY?
zb=S5v?Ih1>fY$Cr$D3~MwqyW&-%3HoupB-!;`=*ViH&BmCppefnx)EI<^_)ZIAF9@
zGd(JNQ?^+6ZACxh2ascxrCEx9OVIq7e6aQ6m@37uzXL**9#}WDK>WwtaHw;iXG+U1
zyxl&DvH@Xh$&HJ|ATj^O#ZBFuy$(luA}jNQ6aEw_N;7%7SE$o#>j%5IvE=4*4T3k?
zz3OUd5aj0T%P(=6FC%}lGx5<=$P<GnvQku4A2~jnT70kNqp6?Auf3$QcOV;0hz#!A
z`29=}j~6AXi9j|m`c)SV-CE-~5Atyp#2vKt$HSyvd*cF#*vfpLGWY9VK+^-fW*suy
zVsh4-KkM4B%8)!n0Y>4V2=XR(W95x77zOZG0pnV{2^8D*QTHlQjCQgQZK8Y+aC>&L
zNm#6Zxmu52%btqMS6f)8s;w*N?h%V^=;|A#8rP5tpulR)V4!=J#PE&ETHADb+d5uw
z_{ndDa?W|OK<EW_W#}&E@gh}<GGM?L#1MG(+=4G-Sff8y1ESDQ=pnBP!#i|?sb8gH
zGb>ovQOZD)o^RMfc*J%EZzr{~jkmscC`$3vDf7+j$n?@U(I~)dgPAYk__JIQV1n}l
z!FH-sS<HJ5(=XVAS+OT|JMM&GqipfphgUZhl1#6oh*zyzV-5OUep1!lsy*!vk6j}Q
z>+E`}=}XmBlPAcjJk1FLTe|x%qlJ*}KvMSQ%?1SPTOa0Zo>dlJY#>^$_v`^XiLV-7
z*~xhvB?yz<JC062IH@%*##OWR^URIfocMPB9Sn>mS~>5qi;h7NWcwvBX7;uJDi#xI
zE@t8M6BL^g#N}cP(OARnO_X*X%i6#jDnK5=pYP!l?J+~Ec|4Iaj=9BULT2Ywm~BK{
z+mlEv7Hz(6R78ufFj<5QtfJT6R1N|9hW=M8SRd@rPgaLp9Q$R2xl|D90pB{lhr6#J
zDNzr2+q&y(XxN8W@V7DVSFpO)4PP;xo3@wGp}>~svh{NVK`Y6u$>&TQ&ic!?%ATJr
zWUM?qqz6hrs4VP8?W(T?T^>%|@#nn9r!3nozDQe8k4zJKD{<M`mkn4;rP<p6>pEb;
zT{-6fh8)oSxs<ps%H6R&NI+)cgF{H;uKoO2f~Prem`|0T;sf1?L@sOE<ro%pu2oaN
zM6T#_C=y4clX~{_1ZCH(^LNzTn~q9UL&teYV~sV*-7Gy8=60vg|2PI7PHi3uHZ7qo
zeCAvtfFHm#noaMmkm&Thx>N{{=cOU15!FW%XMY<rR>49Ne|yJX{b(D&{AwP0C#C`2
z_b0PSb%-j96y|ZI@~psn(MmyPxxCEp)L6Cpxw9V$6Z!^1^7(s-xzBU<8ABp>K5fWy
zliQVuA_EbiuNyAaYJUs+hy48GL}K~5PW1c`x)3zbW1i+)1%IiyD>3%hNR(%%-rnIt
zO#)%w-M`G0h8e<2skx;Y)^VDvmf?WHE3G`*^(#GGxwe;G0Ih^X_C|hacG|tBgTE<W
z&--mC>wHGBOt?_7ACRzuDf41vjAShoY0b?aXb*OGaMk$f6htZe!Q|bxH_D)Ream;C
zD-)|4qWr$pt&<4=(oAVcDp#+bUmxWJCmPH7QtS#p%ub(WER-r-=1T3F5ncBDenWt9
zCA+M*{+CXMDm0G*5O;++^Mthv{eUOQpyB8;0sYSmqsfJRMXU)#E0XU0su#HQU2sih
zGO%$Bt1j!Fc7=@&QteFe`>2{KRZig4OO_Wx>s6#|u3DA?Mt%)-Wk;iYY`1CYhmEq!
z$zx2__l5u5k1Ns3l0{Uv6`jATa)72AQB0nfx&<+yB!aUgeVx+#Hf+9rl{Li3%!9pQ
zOHlbwk}zj8MS71|SmQ*`(thf_u;;pN+pEyW(2D&BaUEQICOsu_umDZ}V9C?=25@&4
zHb1G{{MrLeV!-G`!~@q^V)qdYOq4<R$+UH}D`ZATkxFs~3+wJ%3tf|{LQ$QJUy;;3
zNSMX<Hd2n(hNLH{eS0Sddumh$L{KB#bo0EC;~+TPdJgFz=>i}T^Jf5mQjk`B(8L+|
z^DmF{OJHHU@ucDzAG4m?>TixUUTfL?pK4rV0`~&V+9ke7&{0i*sBTIRWI!N-8*NE@
zLDz|AH5Sg1F)|Jlkr*Kku+IL(vFdz!u;9I>!YF-Ub1xQWkmv}k)dDrGO*`-xlU38s
z$Hh!p!9*GAFs2yfw!engM?r9Bb5IWL9M92@Dh9^lRt4d(pg@v1Z3_=E<iUPcB}anU
z(DfLLKxE31nOwvHJEoF>ZZ8-S=zSzh)yH1jejNO#ETa-@HtB=xq0Ft6>+VD5QcvIE
zn0IN5COrVZpqYT2(eN~1rsX&C-K`8<oP+!f{I7Rcyh2UK8pa~~C<m_R`)s#%k47Hc
zVkpaq)NtT#hbMqgh3a36;rXll{%@#M-o^Sij;Wm+pd8J1#kH|B%yCJ_lUP_&0f8mG
zZe^W-|NjX-@pq?jGkU2p-v4f7srydFPUj8z*fs9Ju0YawGh%2ekJDL?EMx|QlDUGZ
z%*cMrW*_@A1dlkaMDC>zNJ@n;v2*_WtCYn)w+0N<r)a}DG4ulUs{ii=CUcI7&lHNM
zG;;*pKGrZ$7%=3y3~Ib%{_wU740A=QLM#FPj$t<!fV2vUXSl@XW==*}g(~JeDU@~p
zC02+VN)oX^wMMcvfrLx6)EJxkxOiKzsL2Dn4%fZ@2;>PlqE)6gWt@&hcZR?(QS-++
zRTIS8RAJ}K`%bLTOb2VX|6)&2^CxB-Cl#Y|x6scNvz{-BWo|A#v*gYL3<;MeCUh@=
z!y|5LI7f#7yRPB|+c5sr4{6uKXd#H6bYUTLzdifyT}t#iX4%z5NiJNvv^!iO=Vt-G
z?SQ%-L7!PhK*!>JG)w|3cY&5Y+9>~fxC!Ew+ClLNn}sHviQtWvf9Yxt9p5a$6N*A9
zot{z)2!@ulkj6EM+4Vf8{1d(Ve>lo%t1++J`n5g8-6>MF{@$>?k+foB;(cRvDdKJO
zq8#l-kqz2GGZ1)^)uAaPlgA$8=Kl=#^mJsV*l^zXP^qaM$`|@8o_w^EMcy+|4)CfU
z5h!t^IhVQrd|UVz!~bH@SH)tWvtv#83#iytgwX3Lp(2P)a`-@VkiW4xtR#GsE6VKJ
z@&`_UN+N~ky;c2>7qY~gz6_?&0nP4v$8{_xlc~3tbVnx;!+Et+_VWcu$@en)^jp2f
z<k(Pp?1lO;u3Pwu7hGGQUy%p!1KTR_rbN?fV-$hDlzjIUiI1kFxVps9?CBGKE$los
z-b>`Iweka6Q&Xd~a#b6)QaN}&)rqYR*fDijQ~z6Ann*n_K$tn;Ui$rDk58o9Drgu*
zw$*K`D}E$R{2P^D0a$M&UkP1!7)zJhE*4urRH4rTIiDEnvsJwp>rcf9S@YeL`s1;C
zzFHZwSLcL6<p*A|mO!by{?&-@6RXq6DCK5=KW&GS4)S_(TPWxMZ61w@w5rc|+afo5
zhXcYc${FYMU2hUJ1uWjp$L-r0R$<|~X|K7|gVtcPh!k3OmZuR4%n4Vj1{FwDmJuV-
zqpV|_DZ|<`=2}*tyw<PB&w|d`ObSL3Fk38xG$$7lzXL%q@H>y*Epr=cz+)Nkm)a-b
z!V1lH+9flVv`>WLZhq1Mc9JfOL(|dhsIIu73Mjj(<wc!jTj7h%cJG8<ZO+-sx_+CX
z66|2zlc_v1LF#m0b^8)b1He4_K$YJxhHvZDTaoeT*sTZ6j{YVj6GFJ9y@}ydBz)jg
z?HIO(2K}O(dVWI-qtRldK#uUZJNW-eynqeIi0quK<5_vZu-Ix&7`vf~hNwr*plFh)
zTN~NCMA{pg5F;V+a1EurOIq;F!eNBZ*3vI(FBE*0O+MosCTYg<4CYBe?Q!yscND`(
z*lL~p{iTkC!PhK#ZGESUYYYyb-p8a28C3(eyICZ##~XadARA?zkL^-5HkI^cW1KdO
z^O|aZn+Vea`ZvmdlM+w$HO6u5e9Dz*&}LjJ@P^2eqZbes6}?HkA!l{?tODXFUa${e
zwp5&RGz_~J<|aCJ$7mpOm^bvB!EBG0k_*bkwp|m5k!BGSj6GGk2hPSvJ&|7iED&Sq
z`EJD);!=11+Pt`E{KOvS@Rp)*N9yt2>C^21NL*|PvxP)X#AgSUv96KC(d1&_6w#;&
z6zonOO_nCGS$fU2igrc<e=k7BJM@%=WH2{!3Cnzjltaa`Lc64r89plAo%UcGtn2DW
z`p3FHbpJ1yV)3Da0xdeljlrF5&gy8n%`=WD^YMqer33+8t*eu-<+)sZvMHGl5#9C$
zF3kX2pD+vNYWfi4H6CCAGze*Y5&^?oS046yNg=dN8h~SU;jPklp;NRJU|Z4XlfJ~m
zo%FG{i6C<c&Xk#uY@&j>R$f4sjR`#Wwl{@&e(`7cUY)<es?}WH+{M1GKO6JIVeJ{r
zbeI9-J9C6%a=>x|E)Qs`zx5-Lm{<H=WPP5o+_U*H5(u^rp)hNn6zNi#m2mhLq@yLQ
zUK#!}1I^rCaTl<|EfALPJdGeJcI={+IF8(#aSBG&v=71%H5m7k;_9p<iZZ|kvE(G0
z9=wjj`gzQs6_&GU0vh7sM~dXL&EDH;^5Uz0KIC7si&HN|R|ov&r?zhh(*H*SWgEJ%
zE@)v+CB<&Ulq!H&NqEnQ{vG_4Kfn+&^*K;kvSgk<GFla}Yz)3_M04ijdZX*>8TW=A
zlmAakB<Vg<S8@VT*%D;_x*PksItMV|drHlbx-46(#E{X1w%JIWe|^$0H~;;aR6&=r
zx_#zk@;ri?aZu`1<d_h1h~IbPeLwOPYvMH*p{!D={$KOriicB(C7rmmW?5jfY8_R*
zB@c}mBajcz6PSCh@NTSM;fMEX^xs(!{?j9buxc5NkZDZNoJ@422vVsSZy_nJ#Y-*w
zWx{yBp(W>Y*8zU1gwCjei44O0gyHyLQe@A}Z$+E{uIxj2kthzC+N4wHJzoG{2(aJ4
zGW$jmo<@AaZCB2Svp6D|H|tBE3JtNDy?@pz!zQ{NIZhBpLv7*7W3Brn*}Bu_PerLx
zdAPB(X-1H_cFRk0?NOg|^!Li@rlA47&Cd}(Bqr>n2{#}daN%iUYAFPhp{l#8Tm&Oi
z)k@BIp!;xAqLvl6!X<f}W@k3TExoJA4rGPrm)p-2De!%Qb6E`q<BZR4S_q+<@Jc7w
zk0p&eMGPp9B&_M8@{kD=(!VlC%p<l``VVbQHQuCJ&e3T<>3}iDqTBBpL_s2~{+8nK
z9g-Ngiq4>m&3v5(Sxzg-=vFV?<|lhMfcmp~fm(syD9~tC*o6_;3Uaba8a(Z6b+W1?
z*Qi_Zq@N;8Gv&od)Yf*oPZgz{y+S^%T}6kG9w`=iGrF=<9dH1+^rtP7cgA!jVZ~z#
zG3aX)$!}`?H!dG>`y4`lFl1fUW9XPKm?9Pd3d&$)oi`_apSXiDsYCkQ?oJx7+UXSE
zLlf?WwO_HsTNr8XVWy*QwB$);*d;dd@x=nKB+1-bP$(`-mqYn8C)Z%r%#t`-6yfgU
zc7LrN`eE(;@-Nx{g%^aq*=q($an38@-iH|;;cC&#;6%o=8YE$^4?H!RZ?k_c-sW^n
zqvZgD;5u8eWm|*(e6|HtH=E+lY%!EH4w@a;EX^FPl0XwtkE3b$4(yuYJ7-8urEk`H
zeq;-Z4M=-1Gf@_%ao9GW_3SoVh<&IyutAiD8<z-yZt@-n=c9Q0@6#U4Lh{|*f1hk&
zfuTEseYMc>xvf)89zIcqlQt~05((Qr#C0_$FFR70K(ZK(05J_CaR`4C@9X&S*?(HX
z@L^#y^9aetrguj_4<)!|m&F@fZJsHRhRCnA|2EclP2-P&rV=9mL4m>4KrIVOz7F8A
zO<DhfY>`siiXy-NUgprRCm3t?VYK5Tm$N#PtYdsUMnzbgfax*(H0luCyceB!7wt32
zYIa9BcJ-y&G0-rB6m;IYY>FOXa=Lq3C2%9Y8u=ngfFe<aD^TLTJuq0f2Zle#PMLx>
z<oq@j(#Hls(`j#3QCe}NHsojK(#+)9sQ4&@2}V0PXP8Ib{Y&SIUGfv1UyrYHG|)o*
z_PkE;pi+dX8#d*7RrQEVu~2BDUd#C^QX6t3+}wSNqVitzYOK$ON_t`GGbngwOBz}S
zWJ?9fgShsYjJqJGtuPiOVmt}+)C>pkeO9M2z_S`82do5<!n{4t2!%;u88(cdE)^(R
zhVOLz&4x!?<Dl1z8D%!n2?GfK@XGtGQ}=hoo_BmRt_hW>p33T)bDYm7%r!PuVyLsS
z!L5)$lps!99GSJ0p>3!_Y=`A-|I}ieM=RoG9vAlcY<PHX-t1_T=d1)qXA2F{=+C+2
zQI(N83}X-|E5A5+d8yz`cq_KBBC{<BRP33&Z2eu!+%$+(gFwMf5NOtL`Vu`xqNXS_
zL^gH0v^oEf<T0R5rJ=S{2Cyj0wBqgyu?e;f6?kASZ1y|#<`~^H2*8hc94)+P9y9J=
zkIE}i?dt1HyOxYhUh7H}VW}x(DGDTFVZRd;80RbeN$%Tje;i5c{>kma47k6Z@EcMu
z^II#)vI73RsdskusxeuLoq*#)*wd>ZtdK8}XmM8Rb14Xqkg9KHC3!0=puLhAt4hwv
zLCmm}j(~nBut(2(@1F^qXszZFqk%b}KZKpXF!*SckMxHAJ>*XBKA~POA51m$6|enx
z`%>oiq$>Lxwym#xjXFSglpQ)@)tNbei;$dTd*x?JZbf`peErH=>6tfU!{<C=@&6<>
zF%y@3yen-XKV&p^!5KOwY-LM(37GY_^7n)DA;G`nc9zvoWfYTwEHTrnDnL4Gmr1oM
zfo6O+?%R#M7K{?z=I}zo9HQOz%Es+%2@ztc&&w4w_7Xt;>?h6=&se(VnM*A#eL<L1
z|2+E!iF!47rUw7=XWJb_iHGtvc4}IRkF4mcke&B#7QEdV{>89>ZESCJd`emGpZt<U
z<%+GOB;~>!kIdR6{j=VZiA^|M)IN}X65mdr3nmV!Th8GDtP#dcvy+RsWg@7e#6>>U
z^-(<f&aX|ZL_>9G`L2oFRNttL27Dy_yC_ZxKgc5o6mGBv7z8zQ$wM=D6#K<s4^gnU
znZs<~XuQJtB&U`-Jx{pa^}CYq1W3+~dQlRTzX%DEFY8_XV3k<mZ7f6}CuT|g)S(Yc
zy))xuJX6;)AE6X#k5mrmy;sWx=cQZ>4^542vsY=$Alg>0p&N=FPWRNE!fMZSyN)8u
zo)_+3ko|m5L4c3=3P-IWQgNbsPZWd-KI#_lnfEb!i+&k{oPGbODZ%6js+0_hX8ik!
z65@aCb*ZY9$0e98?F{oF5zOWfNGb{#aob?Z!<N$B8o2}i+Z#TGEE&`htpB_^908GT
z)Xq$E%?m&j!O6Q<7vGDhx@roo?4C}Rh?%G^Pxj~^Tr=(VEig06kC?=R)W}jpfxR_&
z;^B_+<h$Q@15q5Z3;9n<ggxIrAmNFoQR=E9yMdtGnLmo~>JQAsb&7N5d@ZxoAP6?r
zvVa)Re{4(b<4|xIyErmG8nD}vpw?dH(7<&4FBkw=x8pdrUg{U!r3IYaZqWVb>a%s;
zOE6dd#M?VO?P`EB?{-z|6Yjs;0~|igH>k6*$Y%qxKf}w(;W?mbCG}@AS5fTG`0}q|
zKYtAu0EdG%#~XKRN6~$1BEl$yUoz+?`LVqxN5N}0D+-`jJ>SEv4-gT&xnPE6V<bQQ
z17`97uv#sB0CvfoRnH64TFTA?@DR72q^VjmPj;)8&}l}cS(~$OUtBUhB@sLDD9^nc
z@^FRyS5n~m5%-JMf*h(gmfK7GAa&HheFEG#3;J%mF@62=W|@hiige?!9;)a>PVH>a
z@jC<mq1Hey3t4ITwl~LcqB}<oJ{^O*o~w6f-DU5YSXxdAFy~^AZ{C)t%B-)zY;$Hm
z%r|7Ra}EeLy9&p7w}p5>M7#>)6+HFSM2e{RsOx2cPvh_Yz-K)zqb@zeM}w9}tImK=
z1BR_>b71ZaY;bT3ebbaz3I1ftBpRuy0n;5U;^M;kj6W05`hd7)tg?f^i<hP+q53!j
z#6dahRO=pF>uHwU<ez144qsyfp5tBOt_P485v4}v;&u^1uLY4|c9)dt>g}ZqJc;z3
zrqV=EU}T8sbmFa_Rb&40G<yYI5T@)g0VWdW5|>~<eW`y*K^gNzFYLEBIo;>s6#MCs
zR$x5oKi}B(I*=Z;5eC}Mym1;o!-`kml4B{%LL)Lg>x^*x5weT3^A)q1EcTt=$Y3rL
zZip8x5%h_AE>`%2pr8Z-=Ex#)B0~iaQM*R215_FYAD-vO0!%@m?@1CF4_9n9NT=m|
z)*4=adjG`PZ+i#4#z?WbB_o7V(#IWt3ziS^-#cWIUc5PoOK?LUr<v7FW{8(Vu9^Ea
zR#P+w$#q0Y@<qmJNVoac6Zy*C2c8Qtsu4*%5S_`DydHCzsqE!R_#XoAcPp2aK*+2^
zmNeCc7DONii6|y(JR(i5-bYdAbn;8PPXC<kwqnKk<650ttkUt?LU*36f+mK8mwZ!L
zlMz4G;Q2vDK~xg4LZ@TU&!hr`>+hG~D#pk|<AB9SG*;_#->Akh3>2Lh2Qxrmmni3#
zP34s*?vW}(NtD$%j)iSw^`?=|(sW0uTQ`5A8-bAutpb2$FXZQu@6ToE9pZ9A(wxAg
zsjQ?GBXTtSurw*B_H1bZMBXKHX}K2fiUZe}b!vJt#s(7L%V=|Sp~PP(>ZEdTj9x_2
z^}zA*DgiNn7N7yY6-zRi(hzse0yv+Z7$`DlkfrClty>Qy+PK89x~KOAxMZIz7+V;*
zb9~fcdy;P2ZhM-k1+!KC9Cm+wFJTIjqX%@4ujIwPua_)H-+{x%^$BmDWHOp=!;PjS
zg=b`>P2%HM&od*{HPxer)-L7ezei(8cV?j{|6*PohB*7bFmWgGy?Wqark%A7B^cvz
z2;j~_!iqZc_9iYTteH&68c=)>@Q21O!H&l_dOjn1_@&@bY3D9!<d6czd|kt;o%*fh
zxD_ZNU{w_cIAVRdB!CBKYlo)*Fb?j<Cwk11E$MtdFQ=G4n$kvZxnBLsk;lvo_&N(K
zA^mQB_Z%c8m(nkHug(FW=wz?C3QfrAqOIwdS=C5DLLwjr$Mj84d*gqDjSWB@5E)>y
zzp{2(YR2e4ml~+!4@Iisy728sROBM;z$c5Xy-TSf;556L|01hd&n0)avn57-+WD+x
z3wHDkRI^2u^}=fLHx5HFCI5i6qoRwbHt9S&naI*hJX-&t5g&S9xwX=`2zUebYKHeQ
z4dsG4-nnt^Fm^2SzQ<(}JCy%Y0G&m*4f)(q`o9e$D`KFTHqD?xdl8M_$#ai2b%&Vu
zPY(I*=M}R=@i;4?v5P2*c?JN>tpWjc74~NR<d6Ma3NIL^z+oNoCub^)HSwNC8lO|9
zoI%ucF7ttGhXkSwvtMP87m6V7)1}jtQUNsPoJ@_sVTWPmUZ4#CVFt-VtAWl=IyfzH
z;mU8P2zj#cIi<Ki0L>(v6nOA8XTqRQmY0w!)|YX6Ntc!{5D#D*&83=DJ$C<aIOQ|T
zb%8I_w)1|P!Du2*LBZ(69VRzq-la34Js+h_1$=aoSM8-AzRGnY-A2r4@V2yxjd7p9
z$f5b+%^r;a(%_OQu?E;v)Y*-&$>EUja&U5%Hn=<J3A}RApOW!cTI-2Es-{WA`6bnU
z(c+HtRKgcM*r=gGrb+DM&?fvGoH%|Qg7mtsel>v?mk5QJoIT=5j8SPN1^bxEe&lxI
zjVCk*h9dgW;&QnQ_`m%Q5Wko5EnJxg$co4zf1ZWq;C9D-yG(=!m_TcpPIlZ$gF}>r
z-zSWjgXvUPvD!}~CxxD`Zgyf`uAXf*jAPF17ZlwmD!HQFzO1kt4{$;>iLI>V=uWi>
z1uD}a0rOdh{lMBR6xwt2<Uf$j@wD7qOXBc!Yw`NLRftTbuGQm3W_C408d{?@F4<ML
z2Hd=3n?%|y?f7kODvA^Ni7u@c1TN{VhZ)v)#y2y*=Gg0;9IonDzQOb4_w)81T|Jt8
zYg$PZRD9f=p7Zw-Lj`Byv!BRu%+Aozd8aj=39SGh`T<HTVph1K5zxk?gFGQ>cdXh=
zZD$Zs*@i`koj1b+3^ob50I^f|Vfjn+Db%_jEO$Z)Ino~^h|dHt#<KX8wR>d>rzgVs
z7lic=T&vrhPjrT!FH*W6fEtVw?nrqL=b`9X&=v==A9X#&me60tLK)l=FaX)UZ_kw!
zoJm~@nqr8vF6?@h5309^<#DR7jrJrlb2YSbDX8dK%$5;jkNT6$ApvLU9U>$d#JhV!
z6;Wu+HH(`G7Q{3ThlWkC@qhc=B^(g~Xd5(4&$5&RAsCl(d~xuDI5<s}SMQVd?LC_M
zs{5bYNuFFjnVj*E+eZw-FpjKJvjj}u69ZX_%P|b|NBh>DpWU3I8jTTNg22=-voERL
zDp>i|vf)erp*`5g+WbE3lJ=2M2p4=(ZuBD*Z<I&1V;`{NCvsEimUL_5mVrR8qeh_n
z28h0clgqK-I<TZR?n!$HDHl(6=ncGbttk;pUCMp3;I=qJxc4TqE{DKM&BphSqOk_*
z<=I#J^aU!ws>hK}ZupNzZhS^}#Z%clWrX;U&)LTRU9EudM<%K;dzZ_)2e%gs2FP*o
zyg;QU<}=e~y{&dZ`}zcu)S~Y@h-xpEzquvNIVPFeZL;fd!h!!FifIRgwXysFK&n!k
zQg{-iwF2OS$#+pX!Q{e$3>q51XED*w2Y7yQXo!NVx_CVRdK~k1H-X@p3WT~q1^Ei)
zNmt9lblXD}v;lc(wjrY1v-p7m<9AlOkHTtabTGc6y8g(AAZ1<d34F3cn6ljwkkr4<
zaO|+pZ6!Qo(`<tyVc5;4D&f(hjC|tI_B~Ts)OnG*wp0ilY8vZl)T4O$N*8|uo)p(2
z^v4fo&nsz<Qic?=lnJVrM_7VPI3~MNTFx@H%|zF61Zrg}@Y8)9yo;tB63e2<B?+t_
zat60%X{jwB6O@QfgdDv0?c?1Bk=N<jx$D@+UO|3Xrq+JNcn7Yey#sFm0eou^zcZ_B
zNxjmJ+=Pr;$c_kW84eg3#^1aonF^A-tX<Xs=DHZ8Z)+zc(fFnnJt0bpZl@|s5)2>d
z2_8(hz<Y#i+<>`&8LPl@yzoT{9AqGP_Czb;cP0@J?}hgU)ys2VeZc|oPbwe3i;Uy0
zVs*?|cQ{9Buwi2Ggx7P+_MSM;BsorlrWewvjRHmcC*x)QZO@4fvz3I0D3})l!<K!Y
z^VQ5T8gw6XS~AcBylKSp)ZA?yMoRKVi^^FF8Db`IRg<?Kvp!G@S=Su&3lX(;&2%u*
z9r{;Rm*(Q|NRCuQtW*Lj^)b9xa~bl5h^th7m_gv+WU_VwM_>JpOYQ;<`VP<`v|>L8
zcYiz51!xAwITc|l$Mz195<@}<3*_aGvFckzpw)lb9Tgr51J#Ahz!%%DK*R05$Ro&!
zzr}&g;%4JUPCe@n3n6LwQIT6)3fZZ(^=2*-ne{HXt|}IdF$jJp1^N;XY+~*2sdiGU
zac_?lFBk#;ZD!+&STp5S%q|GMOx3x6TnU@Wb$Fl5;(=sE@N^V%UETcc5ysouI@j_u
z=N{mZW4w`2&KUuJ2X=-|8XN#<vC(+buYPYt1}*+n@WmfzbxL#g1p{4aE&o?AbP}*_
zEptiS=)PbO3RV_L%hZEN8%0uYujy001oGMN-D&*p%$Fw#9AfHDfy6c=gW|Wtz7PI1
zYt$HIM!(BW${jr<5N_P>-TfB`j97{3lR*LdNAjucw-d00Ko~{<@yDA`wGe1qDYrpU
zYlqoYK;2yv-&Op=jngAdH1}Xop#d~u3f*ux)bdIslER8;>h=0db!ns-Me0_R&?5hT
zLF9gkXq)L%=u$g|+Ksh97X8P8xTCUsI^5<-$FbEK7{9H`&11gdr|8izeU!0?YKe6z
zbmU3aL$DI1(><0=b*vmDlh^b#N;p~ep%ECwH{!!wkn>zI<~VjmwUsTlFYH8L7vE8n
z=d&$Rk;N#%*)b4)@spPUJ5Cee`b4aXIq>0LnY+UdQgUGVEmvBnK`u0tGNosR<Bp}c
zMQjI!mHVtWKaI%^vW$>q(sFNnIr$PL*?6Dg#(B*$5?w;%tw5I4x=n!I*x3*gJbz!J
z0VzRT<t}->{fg^U3Mj!<ISukx{|Td^KeL&_L(wHB0c@!w7R`0b_$08yHC0Y>OuA2m
zzT5xWz?6Ua4@7cn_{zG$xH@VjJ8}{WNCi-j8xc;<*VV*j?biE!RA@&pyFhiMX5N`m
zkP7!sfZ@<Sb+((~kQS#%>HJ3QudSswx%KV9qh<upg1Ub~*PMfD`m4pK64f9<6$&AA
zXP9xgEsnvFp6hl0WjHf--B<so8*;KJaZ|blSuOHyP(P_{oX=Bfk3V0Q47tSQv!~Dt
zsjbWIdqqu;{Z0M(fqu(Q-3r~A5!h+r&|Qs4ujq0!+Ix|6g0S)?tmlPL*m37QKSskk
zKu?u`&i`rCh{#<H@}jfF7HO7LJyuSk#68MSYiR(y0woyNU*C7Pikhk3ay@IDPWn4V
zAu-Gcd>TQSo`a3!0mz{qo3fb`<Ixy(jzB=H-?>Gn77C}irWH>!i5`3h_`RtU0^RG7
zsmIW%lz3omKo~g9ohju#8|lLFlZz0eNS_58$$zCy8;WP)`N1!z7CwFuH0|t~JBd9?
za)bvAuV6{qT1pMBv}?D|<3s+US^G@i+DTHTNoSw<W@kVXu1T3Q(o8z$>8jDcP6O`;
z9gKt7BK;^4Bs@<RSJ+hzL6#I{>TK=Vxru<2Fa(b1ctKbA7#2)X1<}Cg)`_&Gjwu<U
z<uU%R;#(*Vwx47#BhB!kc7JVh2tr*BAK1-t_Wu2ULbssrL{gm84AdD$ze|MlofLc1
z|7wD`txCH2XNOF(gPw{$I`}#X192z0xAgHLf6+A@Xk`ASE`RbU?G9(8QPL0*=&)r1
zt|EFvCZ56ggaq~y=WuFDaVwpZ4qwu-8)jH!$&+D(T+A2NIl5t4+*F{t8>ycOX)mm?
zH8M?_o_8wvRRU{wyvbcq)p4S;wYgtNAvf@2gdul=N{l|_g%*0>?;68nwnFb|>NhWl
z7h4~H;tGl}Y&`1@!5Dbtjg*L+1AVbp+6-zZ2(Ol<4GeqRvQau;8n-5^&?K~l>D(rE
z&2@%y%xW3W#(%pxDEgdf?S|Phf+#NJAXlfk_E>2X(3#?u*72ds!>S%xzHq*A(=EG*
zY0@sQ$PV9Mey?2$0)zk=drR3<z6qedFC?X3gnDu!ZmSqswuW9zRfAU9e!3fjq=F52
z*sahva8F_#ce4ep{epiId0+9KVFVIvy{7m=kQ?6|G3?8y4QBKd`&YMWvWrdrtJv?7
z@vga*lTD4;EC)Kz`!XbcBR9WlylX#05)jqc=H{Q2an#8ph?5sGfk*{4eFIv6C|bU{
z5RIiIT+gu?Giq7CYOdaQD0icB#6ON6+ze@zW<q};&D`byq5HEJ#w3TxfoUQ8I!y{W
zj>#jPdC%IBT)yh)I6T8H<%4!2ul`IWC}4!C-y;$b^}a)UGN!>>+DY3#Ut^KC2MSOq
zcxq9v%K<Sjy`jZ-HTTM@LwFmDR<VGJ06Q}2M2AXPyxl-9TUH!xNlr(iMu1I6ZF_)8
zop9$SI+nxMK#u~WF|3!*H;S|zB9UF_<l4uGM6l3t6?<4$!=c$2YA6s-A5DUGB0$e;
z>DmbdJvU~M`&GUSU+i|d1dRIC;j4a9y|!pgy(OJE0Q7?zagz%F*FG#=hc-L&YSj+|
z?Q{<Zzc`JKC1D5*>!+^KwAi{66%P9BNPyZEV7{bgJ0SI&&k+_#Y^jAvqF;Oe1BCRO
z^g6MAjb06e1JKD+b&!Cf9c+mAW|!Ts=Y~UgU-se^V%x7=FcA$Q!?*MYtm6dua;cZW
zt-E`Dmr%0azrSUq2US(N34X4!<@c&R-{S-J-(k0Jm%#O;Gp~nyl#{M%a!R&%cZw@o
zCPa-1T~cm3*#NTy#2t^)^eih2f{Zv%Z6x5FADhGmUIePE?<O~D7~u?JKBa24{+7-!
z?rx@L+~gyA^m`8gE5D)P{H>h<r9OK?M3u8RvBM#=u-BU<63n@P@4-+tSe6qEg-b`3
zh^?Ws;*S{OITRO=&p`kkdjfD$<eZIa(lePvL@wY%MQZYhse4^ww#TfRa1S$|2T`cW
z*WWy<7=HcPy|hq<gO0)Fd)8A>Q86?F`cvAufF%Zl4}v?UwQ7nRR5(LWMC*VElb&g1
z<sivPTT~V%CF*WZ)~j}+R(Z1i!;Zwmy$<@H5?@A)a#FU{#OC|)D7|k0n=BO2imJOO
znH&{;w20~hUfY54Y}maYn1;?_ETd&AI_8h7j?RUwhDs4MbmcCkkVAoFvfY)HIi_d?
zgke4|VxB%N^~c}V*e250{w(m<=T|$P82HSM9N{zo$Z0FKEY^cv00D41UTpSpK!hHq
zaN(z*Pwy42K-!o}8#8p(lXnl+o0kmog3^-H+d<LtLvyi%nS+NWf@_Y;UwZKsp-$6J
zw-8=~K?kcKGChLM=ttOfM9ViDJ<tJ0$!9ZJX0k@%4_X(fXx^+83%UR+Ifl+=kU!B0
zS@%%nm6hg;biA;+M%$n<H++bRMHGX|b(q~01ieY<(!8Z6Wrf9|>jObB$?^f1$&7QC
zVf+CV+GBbWpM)=ilJF%g-Lal0aGkL>eM(%qsCyi{Mq*b&r~u~6uYF!soo7k`)F%2L
z$TE@JKSCcGJ#SuT;9{NKopy%zqd7a@0%p9IA=pmDS*-uQK$OxxVce<sZ5Oi+u>BH|
zxwbQ%MQuQGrPZb%N3edTe5DyM$@k4r+9BQ=jkL`9$g71+UG)M&=_oW>dvyXVkM{a<
zUa0&`&aI37;<vl6ET+B|QnKPN??~T7^h%Xd*IJ<E8<an9j~oO+0v?_$Xh5@RnUmDN
z6$WvQ>~SwvAokVRXbN*#2vtY1lCVCCjgcu0^qn@(P!!XV_{na=^8>H)yUCzW;>8wL
z31MuV!sr7rpzYkX9#8@}r|YhehwtJy9b(l4kf3eX&8>rOk4u%L<ao?2#I;(_o>kZR
zDESYyM~SGGD+@>CYG9$yBuh<8`7XeFk3SSV&X>GW#KgC=RS6R^o$!en-<}uqGtU|R
zv~q-3c_#a1tl3A{lAixhBVLyQ+zvAFw}AQQVmQ%?NG+dgAhX9_WY3^$?Qn|M)zwV_
z(vnif{^`E6b+!vsOZfCyPZX}QheVb?+{-L5<p-;#MsiPH^4glZ6@ol)hqB|#pVco1
zwxK^ksf!yZW`i(XH46(3x~wrhn=Co%1nx0}fE$t$Lb2n8O{L<n+;S^}G&7tVf-;TM
z-K`kBo|D&7>i1o1tsk=IafsuifkQmzhu=;hK*u$HG{<@6X4LK0gFrVS#GsT#Rk1Ym
z|0(*1&uP*ov7hF<LgCaN)uE|o(OSGAOsaMthdv&UUNBQhR3qK#D5hpt@q`aLYaDGG
zG>y&l7zsU~s0rV*c2F#fvK+QFAiHTpfR&(h5*Xvte}b)&=@C<vweoe1x=VGdT04}+
ze$QFZpPkP&a|-BQ=QOC`mJt<RPL_^RVCgLDE?9p4LljGIGw9+OSkKoo`+$$;KtzLk
z6O&N&aGbo4R2+}GP^!b7QZ$u6a2K!4V{Q^roBKGNEx;uJ&_*N7GezY%iO)V@&$*?X
z%lLSD(p*mYv}aJyexWV*AYkeB-s0|Vx+mJudVJRLu61hqAZHu`G#C-m&Ry^r0E8D9
zQHDA>#+(pJR0*IVPcUl>d5d_okEL<;)o$OENyP2W?-g77D6OBKf#=jP$>mm}yx6Yj
zuxx__bgeqSXz9Bde*>PDfl|fi<?~-`cC7fgsfnwcV3`ToCLZnWirD_vlU(DkZh&Ru
z`y#^8cMXCQf2x0`>I|pca;!yUWdVm#shhjX9zUQYjdO)Q&_~H653C;TT_-cMj#5th
z?8DkU?5_a7Ajlv`arw5FX`KhC+;X*6un&bnX7bETuCpEL4{V)`^ba0WBzCYwftgq!
zfuJhg*yEeq7xPm2(Mh6X<yo{kjQmBwXNHRX=?!6CJ%N5ZZh8A{X~j_dAvoDMmO(HV
zOr`o@#{;9(h@?xvD$7zOdm%*MK?WnvZmDIYnT3TKvT*+S<pjqK@(s7Dtt5~YqB`41
zt1=vapsWY2&^ElZnJt<``D3!0VpLCXO^R#EniSRZryfl>>??|T%@_tz?IsZ;pT4*i
zb%KaIfCtN{vmk3Z0Q#&sRgQbH`J^G^x%wk)shD$hf}DMXiF<;EMsPnJoLwJA&6X7e
zG`h3nt@@bOlTo(xfv(x=LlFbbiYeC4XCY2Sprwm=Nm7>0Hl{F5n(vdR<_#7{`<F`L
z7_$!-$#Lv5pf#d{((yoQF@~pz2eTt&w!C!3f}|}qSo5%`89{4&ctqPeSgOo5jdH&~
zMQlffDq=`Fl-neF_3fd_Civu>-9a3Nq=qj*WG;Ja3B>R*vXk;g<?d*tdYDZ4TUmq1
z3mq*Nz<VX}>X-WuiwNKW3@gJ!LYg)PZkikfPCqwN<R24E(66x3mGB<r?1qI=As>Dt
zyDx!4AN99r@+e<S-vOHuG|=z4|0+5lFY8z#ouJ!bgejP`T{7#{t7ZWBp&Diq<j9ng
ziMSgr(1iVR9V$4nM6z79=h6z0@sBI>MXZVp%DkgWoDC>nel98+rYD6Oo)+`|em*?H
zMB@gx{<(xJKdK;>@iip@PfbGp9V8UO!y+9HLtU+M^Og;*`JE)66)%QHb47qe1Sc3F
zVK7}o-)(46%q}8F<1@rLp|x^3L<17gBzo8YNJRKEiX?Xd@|5=@iXj_GmJXm85Jj9O
z2E0i?c~0~Z3C)Bjo8p%+pBrH%Hj$AmhK9>x*mWzI5!kDx8shXvv<R)jgK+Y}1sp9m
zqzoPA+%-6kspxIzwAO*I2aZs@;gmp=xi|4F?Lx5KWSOS^4IX2?PA$egq9*a9+0yqj
zu7xMpVQB!BA0^MUDB-)B;$Ypn=1TJ|A+xKHHfMD$*a}+LO#64n{}I4c^icAdza@{^
zp_w?dZYib$sAY}*+K^5~PrInfpJ-xp*+0p*goB?q2=WOx&?Ll#k|6AasH4@b0)|6s
zrRMW%oJmnx4YRY}vHJ#$Q@%CP9Y*0&_O!{M3cMzp+#*5ra~xuhME4iV+7%pOs+cEd
z#59bnmLWrER#g!wSO{xF+sjO?%LR{!a~7NCBM8TZ^qN8H6>7=aX^>jk<4ISQgfTv%
z<i~$qD`sDJ6Tw~KCpCyXlFHvXvA@!%8U2m&L*tk!rhy!RbX*%$Rkg;TzFETCC+9n)
zCF4SzBgsa<%yk2uAG8Lcy)3YnDn+pC%p^2K<thVze&9gw#jI(blAxAt)NYyLGmnT5
zUE65$_I@SbRg@#UOg8l)N63BA1=qsnsGT#)#Jmu{Z^3gYPFJ)YTBl2+#8Y^qZ*ulJ
zE09hGul>|4F}e!^_NBP?oi7J(#TdOQ&S?&8NG_;<OQ>c0k;BwE-3LJvRk-xus}M@5
zd?N-qxMcf(PFNj6E6J!)B*e!QnAa<uY^1tWM6g}txmC42&0BN46cr3W&D(r~G^c+G
z7?vCCwr_}--GFBUcTREmq!$qdgUli=^tuF+DxcJM`pI03Af>9)O!U;x+&D5@Azk21
zm_w~2r4yTFAKi6I26d-OXy?=A@s+iW5az`$qLz9Aq?efq^);eY_FDx`7<V)$2G?&p
z<q=i}3)=Njo+PWUpTD-B!|O~?a*wBiN5)$_-#{~`hf}PoHI{l%T`?(U3a6bt-5;)s
z+sYtvrmI{L!haL5#vM{pty;e6J%?hy;q;o2>nt0o#67!m!*5oVZa9;QBVX)QQ8r-d
zMNa`3vV2fsf4V!zod=rED~g*heR7FRf+9a;Ihf=)gfqNr2lU@jdrq>rO<;@#&qFI6
zVo;(k)Q^}-Vu?Pe$WT!9R*|LgdRD?`z+2|;pG-4&Y_AAOd32eGc70v%HX<<tply%-
X11iad(a01Rrd1&#&+6fa!jLBR5Z%uX

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma.v
new file mode 100644
index 0000000000..66922f9e98
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma.v
@@ -0,0 +1,530 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_mac_pcs_pma.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs_pma.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level MAC + PCS + PMA module for Triple Speed Ethernet MAC + PCS + PMA
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+
+//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_mac_pcs_pma /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */ (
+    // inputs:
+    address,
+    clk,
+    ff_rx_clk,
+    ff_rx_rdy,
+    ff_tx_clk,
+    ff_tx_crc_fwd,
+    ff_tx_data,
+    ff_tx_mod,
+    ff_tx_eop,
+    ff_tx_err,
+    ff_tx_sop,
+    ff_tx_wren,
+    gxb_cal_blk_clk,
+    gxb_pwrdn_in,
+    magic_sleep_n,
+    mdio_in,
+    read,
+    ref_clk,
+    reset,
+    rxp,
+    write,
+    writedata,
+    xoff_gen,
+    xon_gen,
+
+    // outputs:
+    ff_rx_a_empty,
+    ff_rx_a_full,
+    ff_rx_data,
+    ff_rx_mod,
+    ff_rx_dsav,
+    ff_rx_dval,
+    ff_rx_eop,
+    ff_rx_sop,
+    ff_tx_a_empty,
+    ff_tx_a_full,
+    ff_tx_rdy,
+    ff_tx_septy,
+    led_an,
+    led_char_err,
+    led_col,
+    led_crs,
+    led_disp_err,
+    led_link,
+    magic_wakeup,
+    mdc,
+    mdio_oen,
+    mdio_out,
+    pcs_pwrdn_out,
+    readdata,
+    rx_err,
+    rx_err_stat,
+    rx_frm_type,
+    tx_ff_uflow,
+    txp,
+    waitrequest
+);
+
+//  Parameters to configure the core for different variations
+//  ---------------------------------------------------------
+
+parameter ENABLE_ENA            = 8;            //  Enable n-Bit Local Interface
+parameter ENABLE_GMII_LOOPBACK  = 1;            //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1;            //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter USE_SYNC_RESET        = 1;            //  Use Synchronized Reset Inputs
+parameter ENABLE_SUP_ADDR       = 1;            //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1;            //  ENA_HASH Enable Hask Table 
+parameter STAT_CNT_ENA          = 1;            //  STAT_CNT_ENA Enable Statistic Counters
+parameter ENABLE_EXTENDED_STAT_REG = 0;         //  Enable a few extended statistic registers
+parameter EG_FIFO               = 256 ;         //  Egress FIFO Depth
+parameter EG_ADDR               = 8 ;           //  Egress FIFO Depth
+parameter ING_FIFO              = 256 ;         //  Ingress FIFO Depth
+parameter ING_ADDR              = 8 ;           //  Egress FIFO Depth
+parameter RESET_LEVEL           = 1'b 1 ;       //  Reset Active Level
+parameter MDIO_CLK_DIV          = 40 ;          //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3;        //  MorethanIP Core Version
+parameter CUST_VERSION          = 1 ;           //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 1;            //  Enable the RGMII / MII Interface
+parameter ENABLE_MDIO           = 1;            //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1;            //  Enable magic packet detection
+parameter ENABLE_MACLITE        = 0;            //  Enable MAC LITE operation
+parameter MACLITE_GIGE          = 0;            //  Enable/Disable Gigabit MAC operation for MAC LITE.
+parameter CRC32DWIDTH           = 4'b 1000;     //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110;      //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0;        //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0;         //  false: merge enable
+parameter ENABLE_SHIFT16        = 0;            //  Enable byte stuffing at packet header
+parameter RAM_TYPE              = "AUTO";       //  Specify the RAM type 
+parameter INSERT_TA             = 0;            //  Option to insert timing adapter for SOPC systems
+parameter PHY_IDENTIFIER        = 32'h 00000000;//  PHY Identifier 
+parameter DEV_VERSION           = 16'h 0001 ;   //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1;            //  Enable SGMII logic for synthesis
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1;         //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1;         //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter EXPORT_PWRDN          = 1'b0;         //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX";    //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b1;         //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS I/O
+parameter ENABLE_ALT_RECONFIG   = 0;            //  Option to have the Alt_Reconfig ports exposed
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	//  Number of synchronizer
+
+  output  ff_rx_a_empty;
+  output  ff_rx_a_full;
+  output  [ENABLE_ENA-1:0] ff_rx_data;
+  output  [1:0] ff_rx_mod;
+  output  ff_rx_dsav;
+  output  ff_rx_dval;
+  output  ff_rx_eop;
+  output  ff_rx_sop;
+  output  ff_tx_a_empty;
+  output  ff_tx_a_full;
+  output  ff_tx_rdy;
+  output  ff_tx_septy;
+  output  led_an;
+  output  led_char_err;
+  output  led_col;
+  output  led_crs;
+  output  led_disp_err;
+  output  led_link;
+  output  magic_wakeup;
+  output  mdc;
+  output  mdio_oen;
+  output  mdio_out;
+  output  pcs_pwrdn_out;
+  output  [31: 0] readdata;
+  output  [5: 0] rx_err;
+  output  [17: 0] rx_err_stat;
+  output  [3: 0] rx_frm_type;
+  output  tx_ff_uflow;
+  output  txp;
+  output  waitrequest;
+  
+  input   [7: 0] address;
+  input   clk;
+  input   ff_rx_clk;
+  input   ff_rx_rdy;
+  input   ff_tx_clk;
+  input   ff_tx_crc_fwd;
+  input   [ENABLE_ENA-1:0] ff_tx_data;
+  input   [1:0] ff_tx_mod;
+  input   ff_tx_eop;
+  input   ff_tx_err;
+  input   ff_tx_sop;
+  input   ff_tx_wren;
+  input   gxb_cal_blk_clk;
+  input   gxb_pwrdn_in;
+  input   magic_sleep_n;
+  input   mdio_in;
+  input   read;
+  input   ref_clk;
+  input   reset;
+  input   rxp;
+  input   write;
+  input   [31:0] writedata;
+  input   xoff_gen;
+  input   xon_gen;
+
+
+  wire    MAC_PCS_reset;
+  wire    ff_rx_a_empty;
+  wire    ff_rx_a_full;
+  wire    [ENABLE_ENA-1:0] ff_rx_data;
+  wire    [1:0] ff_rx_mod;
+  wire    ff_rx_dsav;
+  wire    ff_rx_dval;
+  wire    ff_rx_eop;
+  wire    ff_rx_sop;
+  wire    ff_tx_a_empty;
+  wire    ff_tx_a_full;
+  wire    ff_tx_rdy;
+  wire    ff_tx_septy;
+  wire    led_an;
+  wire    led_char_err;
+  wire    led_col;
+  wire    led_crs;
+  wire    led_disp_err;
+  wire    led_link;
+  wire    magic_wakeup;
+  wire    mdc;
+  wire    mdio_oen;
+  wire    mdio_out;
+  wire    pcs_pwrdn_out_sig;
+  wire    gxb_pwrdn_in_sig;
+  wire    gxb_cal_blk_clk_sig;
+   
+  wire    [31:0] readdata;
+  wire    [5:0] rx_err;
+  wire    [17: 0] rx_err_stat;
+  wire    [3:0] rx_frm_type;
+  wire    sd_loopback;
+  wire    tbi_rx_clk;
+  wire    [9:0] tbi_rx_d;
+  wire    tbi_tx_clk;
+  wire    [9:0] tbi_tx_d;
+  wire    tx_ff_uflow;
+  wire    txp;
+  wire    waitrequest;
+  wire    [9:0] tbi_rx_d_lvds;
+
+  
+  reg     pma_digital_rst0;
+  reg     pma_digital_rst1;
+  reg     pma_digital_rst2;
+  reg     [9:0] tbi_rx_d_flip;
+  reg     [9:0] tbi_tx_d_flip;
+  
+  
+  // Reset logic used to reset the PMA blocks
+  // ----------------------------------------
+  always @(posedge clk or posedge reset)
+    begin
+      if (reset == 1)
+        begin
+          pma_digital_rst0 <= reset;
+          pma_digital_rst1 <= reset;
+          pma_digital_rst2 <= reset;
+        end
+      else 
+        begin
+          pma_digital_rst0 <= reset;
+          pma_digital_rst1 <= pma_digital_rst0;
+          pma_digital_rst2 <= pma_digital_rst1;
+        end
+    end
+
+
+  //  Assign the digital reset of the PMA to the MAC_PCS logic
+  //  --------------------------------------------------------
+  assign MAC_PCS_reset = pma_digital_rst2;
+
+  
+  // Instantiation of the MAC_PCS core that connects to a PMA
+  // --------------------------------------------------------
+  altera_tse_mac_pcs_pma_ena altera_tse_mac_pcs_pma_ena_inst
+    (
+       .address (address),
+       .clk (clk),
+       .ff_rx_a_empty (ff_rx_a_empty),
+       .ff_rx_a_full (ff_rx_a_full),
+       .ff_rx_clk (ff_rx_clk),
+       .ff_rx_data (ff_rx_data),
+       .ff_rx_mod (ff_rx_mod),
+       .ff_rx_dsav (ff_rx_dsav),
+       .ff_rx_dval (ff_rx_dval),
+       .ff_rx_eop (ff_rx_eop),
+       .ff_rx_rdy (ff_rx_rdy),
+       .ff_rx_sop (ff_rx_sop),
+       .ff_tx_a_empty (ff_tx_a_empty),
+       .ff_tx_a_full (ff_tx_a_full),
+       .ff_tx_clk (ff_tx_clk),
+       .ff_tx_crc_fwd (ff_tx_crc_fwd),
+       .ff_tx_data (ff_tx_data),
+       .ff_tx_mod (ff_tx_mod),
+       .ff_tx_eop (ff_tx_eop),
+       .ff_tx_err (ff_tx_err),
+       .ff_tx_rdy (ff_tx_rdy),
+       .ff_tx_septy (ff_tx_septy),
+       .ff_tx_sop (ff_tx_sop),
+       .ff_tx_wren (ff_tx_wren),
+       .led_an (led_an),
+       .led_char_err (led_char_err),
+       .led_col (led_col),
+       .led_crs (led_crs),
+       .led_disp_err (led_disp_err),
+       .led_link (led_link),
+       .magic_sleep_n (magic_sleep_n),
+       .magic_wakeup (magic_wakeup),
+       .mdc (mdc),
+       .mdio_in (mdio_in),
+       .mdio_oen (mdio_oen),
+       .mdio_out (mdio_out),
+       .powerdown (pcs_pwrdn_out_sig),
+       .read (read),
+       .readdata (readdata),
+       .reset (MAC_PCS_reset),
+       .rx_err (rx_err),
+       .rx_err_stat (rx_err_stat),
+       .rx_frm_type (rx_frm_type),
+       .sd_loopback (sd_loopback),
+       .tbi_rx_clk (tbi_rx_clk),
+       .tbi_rx_d (tbi_rx_d),
+       .tbi_tx_clk (tbi_tx_clk),
+       .tbi_tx_d (tbi_tx_d),
+       .tx_ff_uflow (tx_ff_uflow),
+       .waitrequest (waitrequest),
+       .write (write),
+       .writedata (writedata),
+       .xoff_gen (xoff_gen),
+       .xon_gen (xon_gen)
+    );
+
+    defparam
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_ENA = ENABLE_ENA,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK,
+        altera_tse_mac_pcs_pma_ena_inst.USE_SYNC_RESET = USE_SYNC_RESET,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        altera_tse_mac_pcs_pma_ena_inst.ENA_HASH = ENA_HASH,        
+        altera_tse_mac_pcs_pma_ena_inst.STAT_CNT_ENA = STAT_CNT_ENA,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        altera_tse_mac_pcs_pma_ena_inst.EG_FIFO = EG_FIFO,
+        altera_tse_mac_pcs_pma_ena_inst.EG_ADDR = EG_ADDR,
+        altera_tse_mac_pcs_pma_ena_inst.ING_FIFO = ING_FIFO,
+        altera_tse_mac_pcs_pma_ena_inst.ING_ADDR = ING_ADDR,
+        altera_tse_mac_pcs_pma_ena_inst.RESET_LEVEL = RESET_LEVEL,
+        altera_tse_mac_pcs_pma_ena_inst.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        altera_tse_mac_pcs_pma_ena_inst.CORE_VERSION = CORE_VERSION,
+        altera_tse_mac_pcs_pma_ena_inst.CUST_VERSION = CUST_VERSION,
+        altera_tse_mac_pcs_pma_ena_inst.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MDIO = ENABLE_MDIO,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MACLITE = ENABLE_MACLITE,
+        altera_tse_mac_pcs_pma_ena_inst.MACLITE_GIGE = MACLITE_GIGE,
+        altera_tse_mac_pcs_pma_ena_inst.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        altera_tse_mac_pcs_pma_ena_inst.CRC32DWIDTH = CRC32DWIDTH,     
+        altera_tse_mac_pcs_pma_ena_inst.CRC32CHECK16BIT = CRC32CHECK16BIT,               
+        altera_tse_mac_pcs_pma_ena_inst.CRC32GENDELAY = CRC32GENDELAY,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SHIFT16 = ENABLE_SHIFT16,
+        altera_tse_mac_pcs_pma_ena_inst.INSERT_TA = INSERT_TA,
+        altera_tse_mac_pcs_pma_ena_inst.RAM_TYPE = RAM_TYPE,        
+        altera_tse_mac_pcs_pma_ena_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        altera_tse_mac_pcs_pma_ena_inst.DEV_VERSION = DEV_VERSION,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_SGMII = ENABLE_SGMII,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL, 
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+		altera_tse_mac_pcs_pma_ena_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
+        altera_tse_mac_pcs_pma_ena_inst.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN;
+
+
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1)
+    begin          
+        assign gxb_pwrdn_in_sig = gxb_pwrdn_in;
+        assign pcs_pwrdn_out = pcs_pwrdn_out_sig;
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig = pcs_pwrdn_out_sig;
+		assign pcs_pwrdn_out = 1'b0;
+    end      
+endgenerate
+
+
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for devices other than ArriaGX
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp),
+        .rx_dataout (tbi_rx_d),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback),
+        .tx_clkout (tbi_tx_clk),
+        .tx_datain (tbi_tx_d),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX")
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp),
+        .rx_dataout (tbi_rx_d),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback),
+        .tx_clkout (tbi_tx_clk),
+        .tx_datain (tbi_tx_d),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1)
+    begin          
+
+    assign tbi_tx_clk = ref_clk;
+    assign tbi_rx_d = tbi_rx_d_flip;
+
+    always @(posedge tbi_rx_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip <= 0;
+        else 
+            begin
+            tbi_rx_d_flip[0] <= tbi_rx_d_lvds[9];
+            tbi_rx_d_flip[1] <= tbi_rx_d_lvds[8];
+            tbi_rx_d_flip[2] <= tbi_rx_d_lvds[7];
+            tbi_rx_d_flip[3] <= tbi_rx_d_lvds[6];
+            tbi_rx_d_flip[4] <= tbi_rx_d_lvds[5];
+            tbi_rx_d_flip[5] <= tbi_rx_d_lvds[4];
+            tbi_rx_d_flip[6] <= tbi_rx_d_lvds[3];
+            tbi_rx_d_flip[7] <= tbi_rx_d_lvds[2];
+            tbi_rx_d_flip[8] <= tbi_rx_d_lvds[1];
+            tbi_rx_d_flip[9] <= tbi_rx_d_lvds[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip <= 0;
+        else 
+            begin
+            tbi_tx_d_flip[0] <= tbi_tx_d[9];
+            tbi_tx_d_flip[1] <= tbi_tx_d[8];
+            tbi_tx_d_flip[2] <= tbi_tx_d[7];
+            tbi_tx_d_flip[3] <= tbi_tx_d[6];
+            tbi_tx_d_flip[4] <= tbi_tx_d[5];
+            tbi_tx_d_flip[5] <= tbi_tx_d[4];
+            tbi_tx_d_flip[6] <= tbi_tx_d[3];
+            tbi_tx_d_flip[7] <= tbi_tx_d[2];
+            tbi_tx_d_flip[8] <= tbi_tx_d[1];
+            tbi_tx_d_flip[9] <= tbi_tx_d[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx
+     (
+         .rx_divfwdclk (tbi_rx_clk),
+         .rx_in (rxp),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx
+    (
+        .tx_in (tbi_tx_d_flip),
+        .tx_inclock (ref_clk),
+        .tx_out (txp)
+    );
+
+    end    
+endgenerate
+
+endmodule
+
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_ena.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_ena.v
new file mode 100644
index 0000000000000000000000000000000000000000..03a892212f7f537fd6006f3439582e2befc1befb
GIT binary patch
literal 20016
zcmV(lK=i+h6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%YOkB0%0&yZPtkkwPJDO%>uEv4f@D-t
zest#ABYHmLi{q>n*_+C*JNQ>@SmS710?uL+Cv=EEM&F)&nJucH9Ssve3AXlcjHCI6
z^<uc->tfo%qMQpS=6k*7yng&hT@AScG734lSUc*RC62=tD=P_@<@9fd6TGx*-f$5O
z@Jl!X;tY;VB;_q;L5J%&l#!Jwlzg#oQMB6j$qDd`+*2)t(YJ~6px|os0r#lVG-M^n
z1SEw#fRYMDbXW4@N<&@XH4ME~9p{)6feeDgrs+{6x_0GWfV?GE;diOMH2f{xl;$cr
z)Ebw)Q=N3oaoUTJ;frNOp7?PENTil#?;n%1w0m0N8$#8Y7cRvlTBlTN=Hw3F^HE(s
zhTl${!pN1b2Hg9xeWg@0Xxsw@9fPF_BH#S~3xE>eM{xhEXK29g^4;#V!aIt^B_LM8
zJh&gIx^oA5A5Nb?>_dg{*&@F#dhl1bw92O)Ke}Ukz$^B$|A1ZFE9peYM{l<oqV#Oz
zrrljwJo0ykbO=NDS>7+r!ADx`)W(3QuCpQmap3+;h`eC*yTHx^;R>{qVo0|`(xYYx
zRBuQB3JcL?A@Nm(zNX@eS2^SY@;GD1`w!e7IYI8D8j60OvB=HlL)OI_JFkW-$|{{N
zR7x`#RS0P^=1(jWTE|tfsaYmr84B!YR&7w)435p$UbUe>#0(w~k59Kr>J72VR*!vI
z6qL6if6SmhOqUIdh7YCeHT+81*jJ3-anfD4hom9eWc_`sVQnN;fGH|rq#^kC%0X2^
zF?}OUr<c<Gjl5<=YJ%L~MJQD<^0_mw1dHx6qB4w%D$BvEMJu%Ggf!HLpC#q?=RG?V
z-?$sD?uIi9`OuYF*-XdZriCV3xbklRE=@RT0SZ6o)H{N$d4IzPUohy_XCnTM#e<F7
z{W)_ZiDOtmD9?BMUKvv?@M6{%4WcSUymoE%65wAZb4Abg#uLBu9NP1;`%58*A~>$e
zO%YGh@eowngjDIx!~G-gbG2-3-xRIn6!g9lk8Ia>aREV+#m-q+5Y(2Dw-UGTlquNx
zuK3NQ=|tYTKBIJ0r(A@AM>+ki51B;VOy(t0eX9dT?8r&7sw#Aesqz}iDlTF^Zw9h$
zQ7wmo=tq<Enj26?*V(CaBUNuG9L~9zea`EfJGcOgw=fere#lA2Shf*(%9uR`RO^k|
z7a5rotsa(Y5mbYzSMwhP&iB;L>2$9!1xaeT6+R<Uuy&JjIIaDGE!X+69&WtMXVYfm
zhLo#!DO($e#~67$JpQKH549l|ntfk`HMoDDVa$kG!2?R5bblL%g(S_1?#k1oM&T-@
zr<e9Q;5xHD{KWwKpU418xfTI`e*=_$kFPzF#lsiewp{fV%N~Zl$~BN1n$fV(;N8_T
z${O4N1RYfH5KNO;0xPGI6tbc)RsG97<i}JtwA0y_hAF!S*ha))>o*tNxFsN6kF5+L
zNH+8K4?0H-k9&g5O#AQbipL!%k)WN^uA)dUOPz}Z?CG$(?_wq95hAwtccs&wk#p}e
zA&W<>?M|Uw=tw-~IPy)C#XzW1TZzQ_Eqxi(=qXel|5q$Fla%knS?C=c>mTETj^nw(
zK%i-ID1LaxfK`1{PLGEx)(r2aqG1_zhr|G_i5vk!dlvd=dD+vPwYdWR%~5w8L4@=f
zN5U&`23!O5a!BdB8x(_LvM+mug^M}CLrjK0ynVcdC`+KT<;^;b5enmj)wZ(Fof9S0
zjzFg|&t*=^x==u80jb|^Zp`~Rk7OxMd-APi^@P=k9pND%%BkiXCs{vvO>ApkI5D0J
z=vU261Z&E|`~$-W%Hw73lnM=_!+T4i0{{md+YHO>2OdBS;{9E1jtg+%XEGQ$aDcYu
zG+|h|s^&PdA^Z%98+C!DLs_0bV?bu@w4&c57F513b=Yj|2;}yrky0FhgA(R3Tf0;+
zvM5O;9845kS_3Ps^~d`<t1k!ZVCF4^sl}|#L|Ur;cz9_`Jn7%BE06QeQqq4zqw!yB
ze{Jv3$JRFBM>m%w=U`$4JT6OLU9m<bZ?4f>IjXN!lbzd*T}~cX8)gW%0x7dA`B8iT
z@wl{&njw=3LWrM)c;Psk8ArkH({jX|C&rZGPbl_`9!NgM;iab4A*IR?0z6b$!|}uV
zPNeDZsy%&B%_&u^5f&s~{31nmXpt*zCbAq|!tN(O1c=nTNuF2}tqq8$`V?OUKR%ih
z`=Q#Pi&JmoICl*$KCCdug9B-tFR-|vyHzz6>BUC~uJBj-Cl@0#th)aF(~1#$jPKSy
zYkN8e+54{IGF~t|=Z1eAR?H(0R0za7eSHPWASOp8!nCskd$HJ0`bbWo99~^Pem*vm
zCuzu_5pKwb>6c;S+Xoq7s$37~<W@Z}44|q<U)#@XZB)ItpQ&%hQGlCI1_L!rL}T{m
z0+%V!_RC;jiRKgr7ivSDJ?eW5NR)ak!?W*vxgGJ5$v;9TYq)go-c3xKyYjuzU}~FA
z!CR}?IjR_Cs$919z^<Rl#ZW|D28J9}Wy36%PZq<PUsh)UWTn&)oemPL$=mV2(YSNF
z0j9<@9J>I!k;Kg+2UqY~R(zw|Mz8B%E*1BA%sC7YPzoxI3x45E732<XJj+y^2|r70
z(yzUq84UJ@JQQuX6K?QN3<?`gp%lnWCIe7b%UQ5NE<Y6oY$o@=E{0!QN^a({$d*dQ
z82Y6F*q^gM*jjpAC3wor?b~Z|IcO=$1MFK%`B>U`ju^~@=|>JdAGR<}I70bh>Tvf7
zY2a-0z6zq}UYvL)2|PMd*Ml3-f<0rawymYXUBuQUvl#-opKcMuZ*b3+3!Yd8)4fre
zL%$lb?t4SpMWlaHdr1O8_*uhEsa*hTHseWPx;yz7d8OkSiXpv+e$DeJ-3I>RbrF*6
zclF5bjWY09TDD(h+_06#!6IV@LzHT(QU6aYS^2OtpKqL#6M>E`sxzj{0OwQ{86g%z
z#_uSj{4lb=#7|xDe{+MB9^G2y|4`JzDSF;GeGnourX7OttZz-(zP@6R;csm)!@<L>
zN*2r+k5XV3N*LdTLN~()<pk#xYSH%(m0b3`ivqK4+*BFyiOU%<l_^M-Zr1II4SvjQ
zc<oq-O~FUnpok{)EtyATeF$r-BnwmW8{e}PFa(TP(-ZbFfty{9nT)!Nc6mY}sxV;<
z%nD{hwapf@qkeT4VFjUUce5dlR-nVXu{1l%{JHr;`)d#Q)G0k!Lt71|lsIjc&uqP^
zdQj7|Ri#25v-X=jJqde4inP5$Ielu&03l!im&~dr`iUZHLyW9{xoEl;1elcw2r@=w
z4{)yuvsz@?&~oYLq}r}Y_GvM=k<*>dJbVmQV4}~|EztodBPEl_O<flWEG#aKXqs7B
zrno31BlYXhS!P{;{MG(4b+SQ`QA}r;5K|u294zv7x@vuZnN1V6)slZRI~@&VhWauv
z9+lC$SHj8A)YSMjhsgIxdH2bBo-t6RlIZ;Hz{D>D_;L^!P3~gQK;JQ^k%Re)gXtNl
zr`Bjq5@lo^Z;ir`U<fVwDi4;nUk^njjgH^~qg24{{!PO};0xgXl(!$zD@42d;DOTJ
z{~SIQYU0mu{}-|#(<CG#TYcXJPT^a={Qs-QGfpAjjqIKH5s!$5nQHcLof*c&C*#C}
zx`^uQSGQDqEt%PBj!YsN=jOdh#hoo^ac~hGdpP)@9YlQjM<2a-8x*PW-h;W{OSa^l
z{WBi9`!=l5lXlW6D0<W4r4(MyI6y+4e9Kq|D%?o<xfks)-F~6!TvDR7G3rqhLsQb<
zY4|uojn_|75^0Z;4{FqYY;r?AlyWA^KOEz1QIWe>+<_^^jtGOx>e@iph(0by&vjKQ
za`=D^F=bUkW&OPcL0u<}qFKBGybI%l$N$;*p&8exBI!1gJfgL{q*aF}xxObdoova_
z#(uUZ@_7v^rOZH}83`(q&ef5iNQA%pp4RqjCM({}v<ELjC#hZTo2wOh!gTzQ*+G<)
zH5?7h*s<TMA+6Nt05YSZx=}=Q>a@S+8Q&5TBcte18y<8uaI@*-vLxK%qMBI5x+rId
zwLNUx;n!7|7%a*GY}L9AI7;9iwE9^Y#zFRDc=NBY6%@_~{^6J?#CsGK!cqxc(H*<C
z56sft{vOP_GiWO}>o1<1wn(fc79U@a*;{Zvqz!Az6;0*HEJz2Y|EXfsMS&OpQFIV5
zz=l$Bf~;hVXgzks7y-~S7xZug+0eDfM43fv8X&D8FcQ~9TR7xob>O>`?3-(k+2@uU
z;uc?n>jDx)H{8Hk?RX)hps$)oEztY-OG+)Wd=(KAR2`<Xi|fl9gultoYu%9`B*+P7
zjd4Becbo$(39Iu+^&v7qJ|>dAMYa)8n0>`@7L>y~>IKOhyjq)WKqiKml*lCN_%z-+
zSb%>;Ks5If%T+gr-n%QUIz;M*5G*xYW<(lXC5*7EpF0(Ovy>vuzZhVch4^lf@<c8v
zQk&|(`4jpYSUzPMWhgTKQHJ+goXQNOnp2WZgQHN4Ydl+S@IDilShL7ZF7Tn+UyDvJ
z@_t`wB^*wtLN`M;Hrh^-+~xB~?H{H9`c8Uf1Ye*h;EqP&yLy6iqoO|bk3O8Flf15y
zNCe*wk3|zD6VWHfLU6wi;}|BJNGA6ICYGnD|Dom|m%dgSct3||&~}(8E_W;o-Tg#x
zZ2Vr(l+RJ7FB0F+A(;B(=_Dn;Y_Gg(5q#jpt56475V=(M%INy`nP|z8u>XN-GmFly
z!*c|awOzC6h>XZBx;{f$GE00@;N8TaqNj{?#G0{S4~26TI?@cWX_}RWTz5FEfcEB?
z+3eQ%E40jCrd9o%x59LdYw)oFM|@7C-5YouwlD-Pb**{bfr{=7o;rI?bFp+Xy6!B~
zJB*v2lhRwi_Kx6GNpi*SVx9^5RBtFxJyIx4$Ip#tx=ctigF;133RD&$;4EwA7b^`x
zb?|`o{9b+uYri1+w(VYUp9RmzUf49A&ZMbFKRgc;Ni-D5-&W3V;4lp^Wl-|*4=8!o
zyjC0^G-YIc@?!<dE*gr3jly{O(=E6AWMHw6!psEA&5rN+Gx<Bkw|kRDr!O)*&gECX
zI?2l9GFlQoy>k9wqyU4zsCjL>J?Ft=q3+I!ex&xDk~nMFGI&_Zccd@#tTX}^Fi4(~
zJ{_M2eAI7sBy>y(S=hdlTN@8v2Ylq2<q&Tx^&!*@$L~s*dxB2N75`H&_-oPk{xJ!@
z2oDK>fI9Ox7Zq2`yDsGYHt7z!wuQ1fRqK18?;;>gRD6^3`Qi;kO4;1nM_Ma-SB^W-
zeO#c|-7C1}_;z%|CNk1uf8i!-VoDD^_}rpHD|v#BHJUgwXaO>NL;r4>3q%ubzb-c?
z3ILE0nS+KQvK>!3s5zljk7MaIYJ_Bp^%$GrJ#LH~Prqeehv4IJ%`?$Ru5Ys7eG2EW
zCa*)I0Ec#ii)TpSXXy+NNknoH*WfIQCQV`zD20u#TA8Wu1XwLUDA9k~Rdjl{qhbj8
z@5WBuRRBFv4r~Ox*h`tmZ|t&PvbHsI##0AA^TS+2v9zYA1R)9M8qnoasY$9Lr?5A#
zz9_we!O+(M4fr^@VBFhj9fPphiJNo3%g|JEqf4f`_J8j4aBlA(ve(zj)Z#v)10J;{
zwxXo#X}8b$U=Ya$XO>x6hg+&mgn?85jG|mBgNWF-)?|U3=zDVj$yrBy=iv^w&F_$u
zIHPUKO!Lt7;H`*zU>S9znHj@m{bSwl)%-2J!89;4*DOo5T)pz=;LY)mBusdrO(MOT
zc{LfPU%u24TLU_LGcpdBKAoqM6++w6C|_^2YWwJMazH;7dWPT5E;4BanqC=hkq;am
zzRTZdazoegi&@LGp?n3u6+Cv-UV4854kjw|E&7|*i;Hc;J7{}6b}hblwh`T%D!4lL
zyHTDp4p#7~-vic<Lpm`Cr}nIb0puc4VW9fVd08xZzu6I!{)C|aCM^bag{5v4psOlg
zS*VJ!F|8-i>j>u7h2J7;@Q_4SDp>lh#Wnj5@ZM$Lf_RP(Rwy-~y#*ej5NQCxV%?PP
zB|A>XsQvcON=Gf?L&Y;4@o(L-Z|926t)|+MRa=IBKOVOY#0hv046t5(tmbm0I<dFe
z&V`y;;LH2c8R<L0+?{1TQS^pcpYDN$V~UQ2=xI%D_S+65<ZzbC0dI2dJ1wUXbC;E?
zRtEkjTI%Se-XQugR|!7uKJ#f96*y~lNY<|{fWsi*atTYrBkgAe2||&f1QT$+uyv7*
z=S4PI^ng|jZFrgD?!?ILj}D;uD_4-t4x&Lx9D>M9=B35+r{XTC%`}4JP;yUDBpld7
z-IuhBO3{=CfLu8mefH<qq*x%PJh_(fnBxhOq#psiWv284bewWA#Wh8(Hh(2ZFU8yV
zw;O&s`6sRgBpGStS-Uk1CHtPBYbMe;Yvw+x5L`(x<_t&u^_Hq1+`AAP(WAcc{KGbP
zZg$^@zj*H?ej<s&dC@j05bdtS<KjZR(uOq+dvLKT(Ow2~U1m=fjH$^J50_N_fiwEe
z!xH%vwZ`y*EynkzxdgiXyaQuYQ9|{6?k<WgH5EGIQMQb(+jik>ekl+X(0fz+bh@uv
z`Ka^<<r*3g586gQx6Fj5G6sz{06n*NY_2Uu=V@fG^yovdrP<{6SOVgRZ2LY_fa5#@
zFojo*uPeuYkA<65hU<w7F4IO*sdG;P-llDMa-cF0&0TZ7G0by+X#jE^#mT-#20}UR
zP!d(R)CDt&29UrKNGX_&&I-VjBP`q?vY9oVJA9xp2T<>;3)cYgy<*i@frqU61$=gb
zu}iV(;asJfAdo#;HY*|!^Vl55SyU%>4d47D-K8e;U-@J}?~4B{;5=o0o(YAfrMhdk
z1i2J-2!345r~Lptq&Rn^8GKCPUp;px$?b9sO-znAmytr*eEyv+pUy0{W`jlT0>f@b
zb;1xyi-n3qgBAL!KEYO~$aPJyR%5Jxn2(QsW03Su%!V~*4BQ|R4RL7+aOS*eIQt}x
z5cDtGwxjTy!aO_~WgTw}rrD}GI5pL$ci6NfV7Ze#CT*Pb;w^p1tMUU$<8hp_A<qY#
zhuU?h1zr;|Xv+Y|lDx9c`Go_vzDj%y);<-8H;Z}=59Uy&3<qDcGH*XGRt%A4w1d}D
z+>GO~H4kFCDe*Eru%*S6Q%NQq@G7QD97b$w&Ja}nYe2KNKirir&Sf>ee@WN9p4aR@
zmr%V<p1ocS-6FHB(Tyk6kcW<kYr~rhCVGXRQiLU!-*&TY18yfVCrpF9ygs-3NhH=&
z^h;v}b_klrk|-#)QUS5WkH7@U=LNMQI_kN7!JZkweg<G;QHJ%Nr6_+4P*jx|IBKEX
zX*78Jwp8TKusTwB_By_c%adybx}=4fO>gQWCc4}04hY_Wq#qSI>6VW~R}HhmGf3sU
z0D4G#?BB3H<#3;rUs6@Q<K3sXbq(50Kv*iN5KFtAEkiLKN&6tc?3OnnKMYou(f==>
z(kyI{jStu)q*NiY8B4_jl(-85DHG!BsT%|>%@}NBi=+(jJ3F=NS?gqrYJ#udOIO9D
zF9&Xu%&(sC?%zf>WZii`uiI5i_P-}#`dq6tt9m+}LR+pE#Z$URDs?!5=h{rb1|*Kn
zMuzDWE)wq)t3FV}ClOQh8e+N>T*O*ENCo`BK-^)1=VAQju}ka^V3&x5@s^Q9frhvg
z|EPBJeCdI%5{agtX|j*In;Nu<3a~1rH5@HG-v4GK(>{xX_H5k&J;2F?$H~Yvf$GY>
zl#N#r`pa_c+t<$qic*UN<DTVplK;+nQNuZj5^!RtO<`cZKu&^t&dKWr#z)(M-j_A|
zh~G~5@kkM~OM*3`xc@w8Z@bX+1cMU}8OG{7nvg=9rgIA7s6*<bX@{F-oa=Fw;&A*c
z0xNo-wgB*L6v8CO?`}G*bIRQ=C6beGH4q2tH+@Bx(ZUC?u<_XG!%O##L%Bdwj{|ET
zDl#PWN8U(REp41XXEbV1$~MkjbuC93k{fV^aoR}qA+2}cKI&&gF80Zi6kj9sL$djp
za}tb=Yq7AF{F(;s+B<X-kf37lVLOhACQ72HIxE6!ImsloOXY4K(gMNVjjvP!9;Esu
zK-SRBKqN%~nuM1^SY5%-3KXb#rh<b?>A(b6Q@n~MZ&sE7vgXY~Xrg&qb5~j^XZMQ@
z4K!U)AcF<~;~!Nb@1PxBKC15=mNGr-K9*tx2B~iBh&U`FXCms)zItSgtK3HN&I>aZ
zxG+FE!s8G<bB)#z8?E^=-S|JVFu=}v`{SVu%T>B`f_%-O8%`6BF4Ss5MTqnHnWq#t
z{m_hri?2gj(5Q#mr!39IX%dwq1)>_KO6<7Gl`#fyW6UxMjw&5M1q@UMIEr!8KFn--
z;pY8n?{MPK|AEWTxaHUg`oB-aH6v{C97SDtfK7jS{W@XO@Khr5c^D<<0wca7t8JyQ
zh$0Gq;`ocGzYo1keq=VxNAiAU{)^xl#$AxGmNRNpzuquBBo+Ji^n}sZtZRY<^k}*P
z{OTVf1{KdQL(K>A|0RHa96HLbqGs>aCGp~*LcW%Dqy}Svr#qV1s*HmKLt8#pSog%z
z&~_ru#K(>^BiJQxV&9W7us1vvMY5NkvgG(LwXB#b1pF$|9YjJ@*`2T(2}$uK69*rh
zcjO}08JjWO5~?bfPjH-|^f)SKFxu~HxgWOMxRzu<&obgnZt|Cdfb||;vF@$V<YYDS
z4o&+a<gOx;(#GQaX9Wnbck^85+ED(J;n!M^UJP2P9Fd($Lxgg@$S7NnYs?MoRu1tZ
zt-{QUxNTp)I-0SR`lgwWZ@oix1a;zy&ee`en~D^eB0-nZA2EraNFAf3PzWyIp}2*Q
zc+YPYE_+-CeA|6E_oHzNIi^I(tEG_i5xOh<dGIY0ninm!*V_c6wQ1se9lln#)mz$P
z8p(~}UH$=4n(bL5Cn0F6r98tl<Zbu^0P8nM@mI@orbCf5+Pk@2&kL_lw`Nl}m}k*1
z4aGoZ9KmCmvYNS~4iYhNv3M}+R%qxXJ21U+%Ia`RISGucPi{A98r)1Q5&;wjdv+gk
zZl2Vw+pw!-H;c}mG5#~IvFw*P<i)&AlU`@B4*}p4k<{n@Qy%G9>YbKF#oC-+MqZx&
zO|rq+HimGN`J;-*=>IUjufMrL(Ze$`3wV$74&Y@0!5(mrdb$S2{6YfO6Uj4>fx_vy
z>)C<FYS2oEMEm6BP?{h8SQz!AtX@c8WC4LX%N<0dkHKur_gm+$@?2kZ)I`}3XdSI*
z6S!=Bl=!MDA?*e)bPp&RGRaeHShe!1=cvRm%{@w!$KN}3_LU95ob<ksL3^kVy7jh?
zAipbp&rfEPh@Ug{Da9Tvh|^xY%E?@~x86$Y=fyl!>tU!sthS+&-SYH%%b(QR{LeIG
z1=cjsRE|}dNOPh4E*PDm;j`{Mu~PJ|)57pU@r&0NK%KLcSTZ9$g*=ZY9p4<Q?H@0q
zaQ~=$)3Lj?Tiv+eFK=eT9MkaQhD<Vp-L|o5Qep|(Y8Azmp^rN1o3+{f@YMWaX-9p@
z2ZIw}*CwVP(dDpfVXJRZWTq4E<jdo=>Z5y7?lU!q1s~#p2lC<5mZLa$q2=2R(E_k(
z6zSu7D|+aU1ppg91%>jm)agw&l|Hixv2mi?5Jb)UBgVB0bD^<{eBFLrWMaH==6*G{
zrx21D2``KDYcQ{zTJ(zYL2OxW1b9{}VzXaH4hkuBbfA<HzHo0tRNxWr3}7~w$^0&?
zaO?{;>FWuHnE!5<Bp6&~d^GTG#m3MY2aIT`Un{y73{>?q*;EHRExw_E)n2>6x>F_|
z6Q`P#qUx&aY&O2bQG_Ua8yQe}zg=JrqyUx?`EXim*f$L(lM3uVR-lk5VcWyfT#`az
zr7^mQiD7Bh7_emfyHEY)iNuoY`9eayed}}3N}0$I(i>_QedDR9-hu+GY3va)8`Z5u
zxx*sQ(>I%w_G>A?zElSMuUcaPbs2P2vYr&J*6(4b61=pzf9lO5pVtc6oFmlR70+XJ
zRIyML2)`6zF`ax7Tk7(L3F_6n7!4svPA_(V?Xt(@)-PcyEOJBC;lQQiD=a%UFO|nd
zC=R%w_ce$}aET??EB=RLM9VUujR9y_KU<{9H4SF~suqFBX(2qo7$kzVm;XbT@1=!D
z#Ev$>L|)JOK?r3~U}kw>xlp)uR2j{ginjf@Gva%tHiGQLNM}}5x*)fYL-A_UlAC*-
zDtvI+mtFA0?J}!fz@Y&HaFLZeho|W;Qbr_AR9dzNM{PtV0FO9JM6fU;GU(q^nR*HJ
zO0Xl6{rM<dzJ4G@Ku@1an2CRh(Q5&go`C9nf!ndntVQxUF_u3VQ*@a_T39lk9D9CH
zQBqs1J*7Y6^$M$iCz<ICO8S~X|3Vg6z0e6qS`5ub&x-Sz(Y-~YAn0pNutH-2J>1Z=
zh_#yl*ZpISQ8>29^0c92T@ka_zp?e;@S3DSPFU%cfuD=$Zb;R`-!pJ!mAab)b>nj|
zisO|Zg>V-z_cs-t0m^@<_5EDTjZH{SCjP`x{yybyE=y*+@X^UFoMJ$Opp&nJs<&(%
zo_C`<k3Eu8M9FPB^wGUx?^(4@#Q)sd*5LX+HFY5?Ze?gosUII*N@F1+%d6(v1bdxs
zv0lU|?=-*$Q+P{(Xt^N$Oh|?sKf9%z0RpPO2aDDvBh#AL&iEYuv6tzhjfe%<5VtU+
zcXw@UYe<qkch=V2dN$<n&=Rx!x%hHALRSWcAmz4etl^0TjzwX!*bmw=@=+q(;G-S{
zPVLN-+7SrJ{OwRo_BRTFk|tJE3<(V6!HIk1E<9eXYoQ>gY=MDqS~3j8cW}3bOh7Qk
zM*HlPIp<jBCxv1yD^8SF-8dubY4{egt%OboXbcr9K`rSsG$Fjg$!hIrVaGMB$`?;&
zz1SwIZTvw7OC~i-uIDzrC?V)Fne|f4!A|AQ=)67wLbb9A#iqJrMr(-Lo%-IA;DK-%
zDM3r+QfI)>^zP#3H%MTZX|;VnAb;2#YT`K5T;%1^g%dgjL;U-_;8%_>_D*8WidE|<
z6xsJ0>#W`ozj+w9L*c|iP_DE-v~XQCIICP0HrZFaG#`43u_A`ile@%>^!#wJgXnF)
z#))st4?E<Qdo!zNoA~+dq=yJPn@)2#2$Tc+7f2~JKl1ixAjmn=pFz-svd#L$cULaW
z+jDqal*E(tQ)cHT@QH|VLJUUl&l@Y?0zYm}IK77V@y{YtbzcZ!Ls3Fps2U|Y46-(T
z+k5s_>uR){Sv+1hxvtRAd6UUMmda<jXbYX*rfpmPi~QCy?W^2mNT%qx81#l2e9&3d
zo#jPS+Ehc~=wzm5fC8iuQ^g>h@gCBWPbMW00J@b+<MbE&x?R<OG(M00oATKX?9OY$
z4m`ZPSF-vv2)U0{9u&G+*Mp$+8t2Z)4N&1uIN{miOCJ?ZY)kiNReB%fbX9LIBUys0
zd_Yc4@S1C&2efhVa_M#g;DU}sO-OH;Xc7=Cl-i+AXOjGycnZc?sTz*Ny}d7^;)$Fm
zVbD<I>p}Gq01;DQ&87wsmlBR#AXn)7JZJo^q-AqtXQ@@T3crwq;g*z#Pw=v}a#R$A
zGl>sxo|;jCy$-W$+0r5@$zgFpLYRbU2fWElm}uaAb{>fxp(P$n$w)`fKmN-*RAWy>
zAw%-7xBfenPu2c>f6)oetY>OIoyy^S`Q5-9N=|0Dq&4i_23I&e)z8_?#J!>5Bnp|V
z7r)dx>qtO_j&W8tYX?}IJ0l;@{<clXoUy2au8O<ip+-BcJc#|^>zk8s)GGxQFdrpO
z<*WFE#iVI|%LaXgK*Iay7KR#vY8v^6UH+*|;rqz;j})B4XdvZH-Y<HuT2t@>xeC4?
zgi+POEK1$7KE@}}MUz+EiE`EsmGRe)k*3Qws6OrueJz_Xz}Y}aoG7C<^r|y4MYWNl
zcuGNsD?kLKQb`~ocg8^maHWVku*uki1ICKsL^n&#!|hVQlD<3OLha00%L1d#pUnj?
zXNoN+-KK^TsFe^`^f11D>~`{BKXaZc$Y5lXtbD=r21&}M+axev2rFF34~yQW04r44
z*dl_HIbD;p7~fejVLy3k47&(2p8lG_jeO!!6euBA<=eviNWw)vb|e~qcCe1*mKE|#
zOMD)sPHFCMx~K#3wotG~OoG)s;DXvzVEIvzrA1Fc)LOPwta42O3ec^?OK#p0_>Q~C
z3nRs_IJJ>f$|~++g&g%4v$XYT!)Y)!9`_PtO6PnBMUOv1VhNN8Ga5K^%*n3pd*}bL
zI+;;2i(#Gq2@2!P=!RknB#sV4lTn@v(?UtL1>!5kvs@D}4%OZ=(Z^=cwKY~k8?DT2
z5O4kLDo)N_XIKJ7+#Syj9^j4+QTX{N57Pvr+|KSW_Yi+yl{+W{Q4q3oQS(mQXrE1k
z)J4UVK+~1Zv&NX+9nfU~x!;-P!I~mnn^Y4V&eKPzd2sLKmKZ`h5d$EmJmf6pMS=CC
zqA27oUFiZ}{7GNVcD{h`AmUdf!nivOg*-hASIhVFQGfOo8zbP;BW*Uy`3$XnO2@Oa
zrB%kr<jNYJUpo<1J*rYiPEx3d+z7}iBw2~ycjS+9(9^?NRZrG-*mgDRc9YVwllY#;
zpza;|5p9MYU|=5&cuuH>8yY&s5qC`idwe0SQNglMk9|iK;C|x68yK*!;l_x2q7HfY
zTm{sqSq!Cb8vQyocfp~$f~n==d{zQtWBC%C%9Wjmj}1KB_11_7jO_myc5=ZsBTrG7
zI~hDt>7k<P-1+*BJC;)6!L1|m=@5s-;k&C1*5M#E9YNkN3aAEebZv|Zw)F3PhcYbt
z!Z`nSLt+4XAq_A=cN32ph=u+N@^$aMg&8oY%`^C4i-VzUHJ3$`d|SwbJ@X*#VeZk?
zt0%NgS?!1)fZdNBIfOXDF!IW)tO|$JCL|)&&E{(kDaX%Ho)ZxRtr0ZjE;}2Z>AnsN
zdzFWw8O<vCx45s?8+KnNcK(&jmfHjDsUvNg>)sRI6rYx4Sl!@2*)D<%g_8)+x`xN4
zX#BvYWU9Y~(gp8g1P`J&Cf?jk&#U7c9drRKlfY=qAc}y)rSx3$-=*Tq0d%lwh5+lp
z+kIQcn$3V4#dvAmxqQ1gvF4I&*y0^nuog^y<-ZNQHO@xW%nkb@;ggLC2^hSjiiR>H
zOI>3J*c@y3^8D5S42>+q!K^WA;E>ZA9ib@Ol<Hp^eZ#bShc@54!#$A&$Ik)Q{agL0
z`HJZBi4z4l>j*2<n#<fGEg=x4fKmF>bwvjDI-g6!_WlO4WqaeXP@y*)Rq~y7*4jq~
zMSJ?n#6=q5unZK`EL5x}+^lJ+yDPAG&gjY+qecvZCAuv}VU)n*pJN$p)A@Y5Zbcmf
zUua3ioTJ(<sby@rdy)w5Tu@jbb(Sl`v@8rsP3EI@keZmb3Y+yLev<M`%BNKvR4ht|
zN%tFgVRjsYXBz_Mf9LH4;UEY1UKzeD0`0i5cXMX4YWd^7UcW?6%GZE3<lkncdQs`y
zU!*R-lFmp9J7;S;G0P%5-f-ZHj;C+R?meC#LUkK&R6;^l1l!Q+<X?@Rf+mpvkIWCw
zk9y5(j41=|U|(TxX_1-iu?dMRE9~<))d@DbMss!o_{dpW(55)iH6(dfN@ycxwH?#z
z)zI)QPpwVHN40y{bN&ussRo?Uh*qESSAdX8H{v}Y->)>SWFuT6@*WENLU3|esAQ-g
z<CZh#125oN^6SFQk+!Hc_|gaiTR|h<Q=O=#&<2wq$8Rv7rfz&}R6`eQ$*Xwwm-{&f
zNZBr7d2a|a2Whq0O=UUPR92fab<9@WQEx^6!mRrb&!dd*ckdxqX#>(Mcd{2`cu<@4
zk-)Zo9p5fB$lM^Sg!~P`2J}W+mEMWz@OVV_0gusO7~G~SjMEFkBpVe4tqP2H6&=FV
z&u8=*o+cG%sjDK+<}I+0P<`+~CiZ<7!Ju7t9odGwfM9Esa?(AI07+4_J~{Ik3M9ax
zAv|RH)UwLqV*oli?@RxC{T^J2eRFjVhG#urIFPFXVJ8v&MU`HlK$ZB|zt;Q{6mzpf
z7x;olg8zkv`~_Pj(r9bS8ciqGs8j&SYcLG0l10uT$j^uHQzg%tm!Nc<_)X}{n2GJ)
z4c63z2w98PSXev_a<cYY*7RHR+0KV@jbquZt3|+FZRvVG-wC8W`k1PKutQD4ZQ>NE
z*=jSv@yF_olt&v1i;Rwc7tv5l$+{7=`Z(X~7v+T&HMK-JgfVoM`hOGR;WdFAf*}Wm
zPu^yf6Xf*$%^sD>wp?(WlM{SdHN<ckh@s58(Sb$`h;N8nTlTYoCtYL(BIpHe3m};v
zJl~h%M0raR*irChwj-yR@f^AXg$8wY8Z8CWwx06K`t{Uhww5+z?jIMip&R7-u-)xU
z{lCEqV<7+Oi*niND7UJ!Am*Ua*J}2~7@AKb4j=Kl0<1<cJ9rqE>W-WP^v-Ac%<g@;
zmw_ZK;f@&aogssvYA+}Ow%F~jXu`VxqIl!TtFu7b_%npFJ{%_$*66NrwG~6%1Ii$`
zxuamk&1k3Qn%j|)TQVz#e%_kMlo3QcOp&eSQ|#wXhh<Idd?fUv)?p4@N~)U$fB3DD
zTVt^rWj8ynlB2TOQVJ=O4*Q#+>U9_(V|$Pd!07jvpG6BO3XZN$FNJF}qbITG=eW-4
z7Gd-iHg6(F3{9yUK`<ZwW=85TMrelLFk1PHZWD%y2UMe0uNiq^^$q<y4su+`&@5FX
zxuBL3kH(0ke~Qr}?WpUEI>7jwg;}KSmy9mK(M_oaAW+eDNlzCq<Vab>C!`idt&xK!
zt%^W8e(l&z5Dxl_9sb9{8!S4T{E=C0iA-xG6DcmLIQXI8gr}~6;u4#PY7gP(+m*wT
z?U|Ho!;$`}zv{~F?-O%L=_=FMLpSOFmDwV<yn8?Ey#}S(p>!|8UvnNY#X(466eiHO
zw3>e3g)6xSY2RiFcSj{%zXTC~d9P`XeD0o+SWPM2o4ZZx<YPz5)?yyb)=n%hi-cy^
zs3FUN2jHlTY^O2lQ?Qr5mrA>RE6ck=trZ(bQLfI{ar-oafeb86L8fn)RXSJdO1Tyb
zx|$gLmasVTHHgX30IL(J$)+rjS2Fllv$V?|+#iG54D-y0lM$zAEXNmBVa0EPli?5R
z6~QuM`Q6Su5zkxSw95oGE83nSiX2pLKg|86UriWTVY>L6-T*CTEuu#@2DY1&i=M2R
zEet{9NDd;}1SZKK)XrIH_(MBN^{dZj`ru)%_9^<&G}Fc7v7VMkX|f_KDyh5LL1qD~
zZwa3j@6&K|+T~@YBM`!klAv2E$X5;B#>kt0$`f71wl^I~8O0McbO^hDb)^-8KXb9w
zqO!mjTIX_EV-7uXko^o-lQ4{3xOS+>pe~U1G~@i?c+ntI@QzyW?y7J;TOL(^jLqnE
zQVHeRKcON+pflYM!2LEZ{tF&t$65#$Y#+oW*cG|_1S+Y4_4-q|lmYCYQkXm_?;Roe
ze84k61gP&7U(E48c?;*aKrU$T<}qOJoKn_;C8Pq22i2!nk9-}d(d=7kGFf#t?BH$7
z%YysI^+JA3kZPcJ4#}Rn8UJP#)^Y*vmwc0Hmi>gFDcXGq000*eAU;?jPD58L%4D);
z`ZF{{Ug+(L|6nWiT0i&ZxGO?7O&HY3UXhtwQHINomgHjb<??wU&GJ>LKIOB>Xx<Q<
zhQ&V@0_zoXWU+wljP}yQWGSP!!dsG!=zHET4GWgvL~x(PO63#Tu<BFKmWb)m$7F(^
zY?fx{7U)CjMQG+skFdY6X**h%%Rs|}eL0D!m2uP>53l528UCK4uXj-cm;BsMY^zqp
zTysi?m*7b0Fv~OE2=Rqn=W`wN<I3ei6TWPR(ZgLhYnb)=fnLW5U;L=RFk2u-nmS=R
znx`{Cx$w?l?F;CYSph4bFcVVnQyawBkIRV?Q-3Zs4Tg{9(w(7+da80#QB;@q3UZ%%
zol~D5&jNKMC@Nb|{E*rN1#8oUo%XvcG`iS*5nzm27`$s{tf~jNqZ@%}wBIIdYI2jN
z`Ebt2E7c<%R(O?nn@{`hJLgflzGT7+XF^^VI$)=0!agjbuqDm-6@|5Dd?#Zt?99dc
zf?(<<(OB??6c|l!21~6Q1bO>nB<FzqFTp`ml4v91EpfamHswuKYrjAWviz0OQ^3M+
zeI2tPt!g#oSE&2`>F-~&nIf+nyA5Z}NK+oo{dzDB<OkiWSH(t0In3HEuowh-@>bpC
zw!bu4tmh^0BY{UYaL>K*DEuG|8NO?Hja^nY=kdKX*z&NU3c5x%!q)hs4~d<%X6Rh^
z;J37Wm$>#6U__Kq%3$3T84~vOM}H<CzmGXt%2W)7O}_o<j0Vty%#_cunZl!%THJ)>
zeSf+OIO5@GlQP8g+R}ruEBGj|9~StmB~#`RDX5>k$_++mjm92_k>&=E_oXOX+PaO%
zk$L+sBh0&WTH-Oq?KvKPQb(kZ%~uRn5OeQMaAT_l!gbg2@tPWL3x2ovg0fCeSAnAF
zXR;RFhC37PWt>_2CC=~d<TrI{fi$IyExH79T8rw><*ut0q>|rdx=5Ig9yb0jBg9Z9
zn-@nD)266h!R78lFkd#)3)VUu)}?z9^((DPgT63|dPC+$EGk|A>zSomed>ta%P19$
zjY`-Wd>q8_>$J7whLbZdd@8HH{MaOt#0Q~x%UsT^Gu;#so_3?8nIm@pfdWIdDac?5
zl{5Hl=k4(9_e$0TQ+<WE8sdB^$YRl=79&9Yl}h_w;5kN0P)G+jjfv6ni0|Zc^XGgZ
zC=u*lR}`+lsP1jlmg3~?PhB9I<8+B$y;Y^&;M~C!Wi^pL48lyi+#+x_7^?!$!^CS1
ztp=oSzj8;)QQ6j^cc$6qhXjTfse#!yXhH`MDRT}_0gyGv0U@sPyf~mW19HM*zxC2D
zc#S=IFr}QRqq3emh6INDU!u(a`)A@NHR-TBf$;I5Ujt1G)2tX3Wn)VgzGKaDKgvYm
z=(f2siyE!Dxq2Q&4{%3PIjb^s?Fc)~)gVs?8R%Ch^1pdU|NIQ*BV4aOMYf|e%)V=x
zaL4pk1Y&2otP7plQHXq(y=5b*qV^w6PUoushZhIxlA3Dm>W@676t+KkP^z%WS6XHl
z|J%J{_7lHmeACm1{(?mjs~TiWW(jfc<$vvSi2y|i8*X5F<0{JzxuM50jY>3HQ14#|
zHbxOl;5qnU?`QtqU&T!ymHO(@Xu>;UNYX^M!Y%9I3EC8D>K?M-&x`V6?OFG<#1NQu
zClXI)u+`SGI8*lal-8AOVxMuDJ}B13Ze|}P>u3d%KFyd_X<z$My#79#dJ=7ZGY30+
z&W4N`7V&p>Iph+6T)$C4ww`n&!r*HujLF;0tu{`=DO9l?8QYY_%kd940ij>gY1o$B
zr0e5Itpfw?{a@8cVjZ&G<KYosnr4<ViM7S@PNZk%`-NKhj=pTx>oMAhCe({mg(K1v
z(SL;Xq|HZKw>VE4$MGr9B6@(u?Pi=%gQUF6CTuZsoc4;=8h-j)D9E@erI(dBqgoh3
zM;z!NvMCm&C+0-YPmsrsEni;goJ6dgACi`coxDeMV5@(W{8-fU*zCE|UGPA?OVnQ-
zrfKg;pfSsdxn{*1ovp5$9ehTCC(gOh<tX(qnQd%L^aNVofCW}3S$lIyG@N4S>FYO7
z&Qur{8khT3*Rc`$lafjwb7%7`FN8Uh4-*P0&V%+8g+*TrDKRjw&rDRdN&4({U18Ml
zpQ_RivY5uN-i?NO``N~^$-F*Ub~!z}|B^nG@-OJh&p%g1Nct$k2YaoR0fa>y8{%8x
z4~|5(lGcV{14`1hJi!fm9oAn{HIekDaBK4a3YB;Z2d5Z47?_bN{!i8UX*q!>1KJTL
zmX%V%kwh`Z@AlMKfCOZL+QY(mM7yqYo&-Fg!kg7Ged?;_<%gWDNk0h}WVvXSk`lBu
z`q)N?nPIv?Z!=n#6%dK&X25eDGjgvGlvL?BUitOWdwZ*gE)e01;D~;_D%#{{yAq(L
z*_i(BG}9M3DM+RiHE|oPUz;EPsW4lQ9hl7znr2v1R%q5vezQ1SnN>b?&|z=LC-mDv
zl&06y*ZQWP$CNix;2tr;h4?0q!r`dso~&G(D%O`~>EclIsYXWt`^_(|U@h<#RV+gC
zp>4b47Eb3chpq&Vzi=UzXu^D^#bKs9;lp%ruoR(2#TK&RJ>hj&<^kgThIj^6&r^m$
zt0W|A&R!mG^B|P+|M!^z`u@?eZib9_R1Jgt{T^p*bIPTMT@X5KDoWUqym}Z$gej<(
zDULgZm`;zZe$*sS?Hm`VMn<eFNTGd*)1b6A_mF1&o!-kh&*Q~ad}IqW<5o)bG-$Q$
zT^^7!@&W|t`B$w-XH!|2;}fVD7o{q%CGw9FWQ(gi=9G`Jl$+`D^BJKzz8X~O@s5h*
z*lJkep@J9{mD`#q@}c21lrJhs+Z-@ueRyp?1DLulY8<m{*Ps4t>gu*!rC1yhs{qq;
z$}Cgn+)dFipLL?6LLs4(9GZBn83#@Ax?HmO69Df%@>SuC>yvLkm7wqA?uporYTk7*
zsCMNoa+k#he|}Rq<>bJOSMY-Bs*OEsnbIR?{IaN`ZTO)O(HQE77NFLAkL-6(`n3*J
z2Gh2ewx63Ngw9E{=l03A&T4L}jN4EKq+g;}s%9{>869VBG3CAb5(>7n*6M|$ViMFW
zP|V|nd#C8(ge#wA>YfqJNo%UJ`m?I*MVaD~jMel44oJeZttdf9%48ad<G54EA!<-U
z6Hgof)HYR+^34UwO%7>42ZKk{+{4Mlx`;P?UTHMz1FiRjBn=ZX(=W(7kjhrcveKID
zsu3k;cueCwvLcAnmYlnjqFb+Y`D+p^B#wKuel5S0N1k@Tu?R)~=-*y(X1Gag0}Q&I
zdWh|>SSll~gc~6zYSlq?c8?VIYl|BtjH8##7KJ~W?pRNr<X*zx=^#2eZocbbGcVLn
z0Y;G>^Mx)-nNh-?e%hpM4+UNkX&!p88&Q!*mK0<keC8a{Yy#ftOE3{MDM6y0ckW6r
z($clEiE_voQmR`P$0UJt_az+o$qRCv)43hQiD3`rW``b|hj9Gl*yv_2Cv%Kr1M$w`
zI%h$+L8~W`S_a8FWwsqj{*$Ig{8(Dj*Ai++h3gBu-Xt5(H9t^e=3uUv=77r6Mn;`t
zU45uPq{1z=l!4uY!{7;+HGnnjSq0QSCsMmowOBDm*?9hYJM%~@vXBN2X~CR}jAt}}
z;c{_?LGE}M^h4c|vd$(j8G_1d55<m1<jS8)H?YNA5zMP+at)!x@(yiW{PvxwL+UUa
zT?XHrE}AZeLR)~FYDtPeKVC!)=R|?XcVYeB=@wGWASUl~iHFXy`C&30NroLQ|5Aya
z9=Aa$wvE#A6glh@BuTHZ@Ptv~vI;MHimDo1Sr#E|rFn4bC*+G$O`j&SVVX@HEe0Vf
zQy>GpR<6DYaapU_74&S?g13CxdZdugeQ=wwgnHzLB3ub+tn_-fSq7S&7z5QN&~V)B
zEZobF?1nOqIH4>HP+V1vY$8aY760`GSI?j%&C!m;%?8JvbL;GJSyRaIYi5%{MXPIC
zGW~uzr<B~>!ui#74zz&J>M+_ke%5pTx53~Vt*cKwj#NIo1}kN}gYAU5X@9@XuPI4s
z4bC{=Pz7<it4hpE6{`ypzy1h|$8a0==R6SS{AOqY(O)S+%X}6{HmArXRL7-$VEwBt
zxH_=E$XBsdx!}7tgK*?uM#qqBp76Fu8Q$-x921sS^{IT8Aivd8%9ieP20eDjktS5J
zCNuteLkoe+{w!Lv@z<mbA_^*uGn&wUp2t@q2Lj5QbRt2;RF{JiUeHSTDn6@hgJ;vQ
zae;At^oM0I?@PJMJR<+Dr}_qZU9On@lLpGwVf2-&Kx)S-puGee3jv$zUZ{(cvuPXn
zM7_cPgYa~O19;t#%`oQ#Pc7WI-lkQP3vDSgn?dcrAbZY)#W7M7!))k+0$o@*FnDFY
z-=tRFV}5?Cb2_f@_oXsREu=&79D-UP<M^-RQ`u=vo(dOI%8kCFPYBbs3uxxcb4T1H
z7*9d1R76sx({g!`kX2~P*bc^en0h}*nJHvz2zPjO@xwkiwd>^<*)jKFMWLuHnEb|6
zQ5NnrwiEBd#zK>ap#-*i!ps0#c_Fb{c%m<ypNgTLL7WJ)7^-3iIAv!qeESN$&uQYx
z8uTXhcX|AkeCeS30wmd)yI+;bx?_y==@kY<iPA_SfIotOt9sJ9m%GroOMsH6M57jY
z0(9?Ec7vWJL~V+POU}twJ#=R-TM9jm{DaX3(>tQW%%abUD2XdVp}`twZAsIL?~e!B
zA1a(GqK@@jbOTI#_H)&HgYE{XI)S8r?;I7E&V9%6Q|}aK+Or#q7P<+1AQDmev1d=9
zgdGL6(t~TSrKN4;#Vyht|5X6y_if#{3a0U&QH|j`0VcY>0^bMj4WhZWsGgqrL6Nqn
zP}qR4RnCjvRM`(UcuDq^K)v4XAlfn7KZE*2N=>B{=;x-GCoSal=7t6eOFg5xr|@)=
z41m^q!~vij5036hP3^B5TS#a`UuMaiy?xU?(dn<81yCqyi$ouPd}>b&Yu(fUB^&=n
zBl%_YUHYf2Qba~%@i82T+ft$LSKlhSx+G}*ocMRxN~qO-Uo<Q!&2zcn75xH*6BI}M
zTV}=D(@3Y{IaN+6h8q?^9(8qY=~|es1bzijlPrp|Ne5Of7maHpr5KSkJlLZRtFhtl
za4Yy*D8|?O*9$vkTOn(4*e8v!j5@VZXiBkWibO6{j}dD9QD2_-`l470IA9SOGlbaQ
zP~<Iq;p)n!PTryny&XY9g>G8cF2G5my_A`<w>&HgLaNqo3|M)_cO;xr7tk&o!rDA7
zBr<4A1kaaitx2`<vSvj_7{NG_h_n38oRb(|SpoNP2Y}tDiz9Ab!y1_qVyP?&=J)gO
zkC3CR;Ob0Qdtvv=9eQ)zB*mlR)?Bc<!O6ON{~y1h_I!AippxOtg-mL$(rGIp1t&3L
zsX1MtG|cD6h^@Tba%{5+7<oJPU~d5>4%+c#c(M72uS|zDbYU^y7}s~burE;?L6FnE
z;x`g}uKGvUdnhCCKzDf{w_e9{XaDROjk+e;Ngua}b#g%cC}gp6Z8dEGLWw+9`;UA3
z$(JNv9~_fil&wJ)7N3&S)ng7xi(BCgtSmo9>{I*NbTl{Hr99+C%*C0frJ-^1*v<-U
z9~ZWMf5RdK&;t1Ttu%afa1n4~K$}PGx?IU^wba_N7U}Uk7F)6*R4S{Q+7CX3!qP`-
zsn%39$ikK2Ps%o=DA&T+ZwzPGqWK^&hRvHSO(GqdF+H!X@0PtzCu*C&aAtS_vkpyL
zokW+zniP4H59pU73d>1Xfh4zv`5buJb1JfWTpXQxHzjYdB=Th-pQF{Z=v1>WKkvXO
zY`o<@g7vYp0{ruVYu4Y$g;D90Ui(2z84(;;1|W%a$uOv!@|@j@G-zyui2cSM3mOZV
z2_+x&fr=WH;$Mo}>ZQh~GB+UOzY-HGaSJ!)_~qk~XCFgF#`B!&zP1>61sec7B~?^m
zLXM6v-oYvOY%QS2!m*C&qbTt@=N(z&{cBf4^Kmm2?RtI>_FxgSurs#vAADV(J}%hZ
zIc&0=XPY^|VGd7n1x_|027;vHh21-p#kKfP{gVP%7}UDdNv2=}B<vmdV{d6#fsa^v
z@@8Z#@1`vmTTb`bxuoILQD2%tBbzhrcebdQ^!b*K7_&S|{q5Nrje!tYbjFaIUWi&6
z`iKBN|8vqhKa4=T=$pG=+oJ7k^Hz=b<3pSAnkbbn>!63h{Cq^y6IEXM9oOO_o9ec$
zz5k`;ajo$M<6$M{VxyOL%(T#2<&Tx?zIe<I>WBu0qBp*&o`PdQasU<0$zwDG_utpq
zP}VN@ik+XVi4?W4#^67(ApIbTVr$=)Ym>hRb!8>yA=go{+QHtYiLz7cZH%iX-i(}L
z0WsK3%;$U2#3XAfzv4RTd+-gfp|L~4QaYZAH4LM7!Ozk8Ad@}z8oIHCP#p!3<&i>s
z*+J7lzi(G&93<2wn0~2FW3*Q-dH5e(#46>r4g4>6ONDec5}0t%2UlqWr<QBBmhb4!
zfoflZB8HNGi34LvV<J4tdvQ#Q?Fi%4m??KPVqkWPYQ@Z!jLYinnjlx~^Rt&2ZU_2R
zmf>kJ5{%>T3xCq^Br$Uyw^#vuMyva2t*r!X@Oqt<XhYnPcx;I<1F1Ko*tvTRIFqx~
z2PWc^ll-RQou~|TE0l$>ud7ty{VO1Vp>S<_wPd$%=P2s&KRD1A>C*g9nBso50^H}f
zL`&A#`1VLskV1O3c?c$q@-<G|r_^i{(^p`mO&2e>G>F}(M;H*xA6MImyjv5&(v|Wy
z&9sGc88vJ6Lm7Y!YFE=SnCD<p4}%n&PCf`s*-J%<6+u4GDINpiR|FL+z&^v4UfZ{&
zX5P)K1dN^4)j%jIU$+E}C;nZ3vYt=!6N-qPRkIz9<6PieXyj}%36Qe*sHC+iw@0~y
z5QXl`xkt9tS-2Yy^Nolf?QYr}p*N7G6nc(Hcb104+hiBKzrQn7dLiDZ@I@U2sA6N}
zDM82Ed+y78!ij=db|0E^^}u(+bv>vR1*nZUA%4TKI2_9#P7XL&UCABQZ}RGq%Ts^*
znVIgy)v|ZBNZ3IoUc3C5%ac__`aW-&P<AGp^9Txw22X?LiXoKa)Q1A6!c~jsj;F}?
z?@lgu-i6rR&u+S=sEN9VbyOT)@cx$yKM$b)?HY+FACrsR#b~Xj5~4->j8njlip|bF
zM*h?{ebyC|Z|C=PwJulgc1a<BrBU=xCU?A;FnA&1Uy4M2bVEdyi?<bf?rLTy-n_1F
zcJu2x{)wQ6`L>e;sPXGYtu?Sb%2L#2gZt|lrqggX3VoUH!a+J`?sKM&d7K?>Ao^Q|
z5J{sjhY2B*E5`1NxF#vS_eHA#Y6&AOLF#sC(hpFu`@m6tRgu$)zLdtb&4nnW`2%xH
zGD%<Mb-ti#)|!&nS9BGyb7V`($KWcH&hE1a6D<cta8S@7gzG{EqR6ygOye|5l|{G=
z{e80l={!Vhk!;L()JG%tiuR3466b@CJlqfbdoXCLGE5?+kKT4N#jCA^F?!{Feuj!~
zyS*CYY20Q_)nk9lb^(zxEd`gs%$f}UX(zx$Z!+}qNvf*O>ld6oKy}s6ql|y|X-c<9
z(Xr=^%VJq4^UwC%p#$)$K~~?~mn)#Jn~>oWiTdqo>ax<DdFR<~ZopD#9}4}xY7$3b
zr{lvu`1!qK8RHC{4if!&#^v<frIW6Phw_ouq7bLEO~IA#QN|_vT6?il<z0!}R+$7P
zOi}mCSMhP$O|(Ck8+6C~UGV*z&lOxe7w@cJI>ZEcPn*${O!~+WmK-^^qKN|Q#tbac
zJQu7pR2~u?>lZVuee0$(A)Y4{DiaQzrk(;Cte=-m1sf)E&4cAY3avGZCzi3hE^!~c
zm<u%F1jX^t019OVuRu>Y{8Y=-HkBGT9@Z=KOHN$slU@ZBN>L_DbGOSz06=D8?o3!!
z6m37ST!iLAW#miSj~63}zD!8hwbjx$N_>*3rSF<B@yLUux$*Stt46WY+KLfld@muq
zJQseE@O5`opv$&OmpZMK?TveuZ^TTMl|dh2mi<_WH-^QO7y(A<cRgE-0&5Ax+XT@;
z2b{$q6stsKl~F=*PHUIC0{4U(5N^!{xisd6(tw%z5Y}tOfc~oT+;n1*D4A<L@rl<g
zi2Uenhx)Ta`xSox;UStgPuDG?fWwUpB9_58^<~3Fx5u<;(i4<+qNEUYr#%sxSlObd
z)GxXCE@NkJ6a`T|1ej6?l#Wh#{qxuHQ6!^r_q@Eg>uvGj$9FL+xN=|qFE{yqG|>nN
zTJUVCf2yEncL9gq4cwv?k}h`pER16XI&P(a-DGE4-?Pcol#aQ+0UuN>B^<+?8KaI7
z&+j=rjy_~X*EjhrK)m<5QWEiXs`>>gZBdV42;7$5*>M19oT)elKx)O@gcuBs9}W1>
z`xt~IV&4`GX^R6N7YSDGsZm8OC!TOyL-C-as$FPpn9+N07ZIk*<|9A&SE&hJT<K}$
zt|-&`v|;OMOdn<7!h5|xflduDK=04`^@&7fa=Kql!CpJqj0+Q@%u^zo9HFk4K@!~o
zUV2n(al;&jZ-i%ExHHU_$UcE9qhXMh8gb3v&9tb_gm7g{3cO;+g4vUv2B`#lKTYs_
zfs-ekVr2AB>eYXWVrDhS(Bn*J_6mG}w<q^nFa8luI9UEl^}6oa>7e9>YI#I}5xnLe
zYu@QWUrC6RjW2(wA0ce3fu!o#nY)P%H&}V&jyyIeq>yIIX8%=JgRP7x;;ajjD71HG
z8l5QcB({rcB!ZJvy6VK?0un#saPq4MT{@Re*uxJo@ww^g!H4%?EseGW2y9SH7%QIK
zE#Q)G)>{qU33zwz%e+^elP#l=rRGRmGfFIHWUIWe3hh$EG1fr4iTgj;76A#Dmc4h<
zzA+SEWn5duG1_a4XdQN`r+bZdrQc(elo3g?dhwNKR5GXLOP<Tcm_m9ero@hNg?YX6
zT3!)Pjv}Pr<UqZtkX&5iERpy>&(I{|2R_Kv^NJ<pTyjK`Y^u#RPv~CAj<FoAU1kaM
z|5q$+#>xyMYYWt82+KOTSz~SF9UV+8h6-rVHu!cTVlJ*P=pPj*|1ed>2hoAL8b?Gh
zJahZF+~fofJTD;63K!PEx)UJff$N6A#@6Eitt;^Ta9)fZapy(m?o0OQ_wm>iTGn0E
zYvE%~;zlxq^pp|zVb+jnf;Ew3l1bwY3aUW*!%zjUj(>iG@idkXM6_YuK%<XqKgwY*
zKfr}~N;iX+^2M{vpIfdtGhVWaik)=mBvOT_wrcECj7OT!ssF&HDhT+BXyaIi)c3A=
zLsjuB%K0;am>D!v3)wD$Q$O2UpdNKsHE9t3CnJOcif3p|n~f*5aE(NR>fG7+g(;=4
z;O@kag|bH(0K&WL@Q2gTt4F%VGIqw-sNANhcS``h>rXj;;se4^VWc`Y9EsfecV-!I
z!5r@qlF_WKMZ61szhod*6H5svY45zv`ZoS|a!FJ-k7TDW<KVCiv09+fwVf{!U@H0q
zWwz%y%B5MP^li_&JG4fe**hx+lf{ZSNk{BO7+&e}hr)4Sg$V`mT=PoIicO*Z5!;ML
zD-Q}9)`?TR0LnSxyp#ek?p(=E*EXC1;e^VqeRBzlk2^+o`txcFm`*a|eoi)xhG=tO
z_|&7~J#tP~gMd7rj&y0!)GkU%{eQP9psTn9v>8T+t(+BaOP&*3Z|BWySafYJp)bpP
zrV2}K5~hfZvtMveiB5c}I%A6T!a#IJpo|&gt@S|!m$1~K`*K26Z)UUQjD5B=_MF8B
zeQ7|39Pkd{4~gbC027I7w1hh$sAHy9ng&$xgzIQGWnz?NpmJW(aBH(2Lb>uAZtNQ3
z$$=%3jyV@$S2RQ*!{Mh(Q|tfslB2{5@HGg)1jOpB(Wk*6c{)7a>?+-iMI*2{5=3-Y
zAhO=^b20_7&h%dvYjnUqQ(mkP=NU{jX`7q*%-qHLT~pj+bj~RKp=rg^l~-qBj=Tez
zv%I=S1`h&(Q@0fy5w&Y5s`!OSgx61~rbA4th?Dw@an~7|WzG-3a@80<4Jf?R`jxJ2
zFxYxU=bpK0<wdMQX~M|9%rL)oEKkks*kM^AkM@W4tKk-RWrSDFnXqUb&jI>rbZBf=
zh21b;1_PnI#g!&iza5v-8D1}UTob<=<Z{|*#LG+boZ}Z+jqh5gWERi@;)I;T%SkC^
L6>jLhwyn8PYu*ZU

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige.v
new file mode 100644
index 0000000000..7bc83c3ba1
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_gige.v
@@ -0,0 +1,506 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_mac_pcs_pma_gige.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_mac_pcs_pma_gige.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level MAC + PCS + PMA module for Triple Speed Ethernet MAC + PCS + PMA
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+
+//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_mac_pcs_pma_gige /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+    // inputs:
+    address,
+    clk,
+    ff_rx_clk,
+    ff_rx_rdy,
+    ff_tx_clk,
+    ff_tx_crc_fwd,
+    ff_tx_data,
+    ff_tx_mod,
+    ff_tx_eop,
+    ff_tx_err,
+    ff_tx_sop,
+    ff_tx_wren,
+    gxb_cal_blk_clk,
+    gxb_pwrdn_in,
+    magic_sleep_n,
+    mdio_in,
+    read,
+    reconfig_clk,
+    reconfig_togxb,
+    ref_clk,
+    reset,
+    rxp,
+    write,
+    writedata,
+    xoff_gen,
+    xon_gen,
+
+    // outputs:
+    ff_rx_a_empty,
+    ff_rx_a_full,
+    ff_rx_data,
+    ff_rx_mod,
+    ff_rx_dsav,
+    ff_rx_dval,
+    ff_rx_eop,
+    ff_rx_sop,
+    ff_tx_a_empty,
+    ff_tx_a_full,
+    ff_tx_rdy,
+    ff_tx_septy,
+    led_an,
+    led_char_err,
+    led_col,
+    led_crs,
+    led_disp_err,
+    led_link,
+    magic_wakeup,
+    mdc,
+    mdio_oen,
+    mdio_out,
+    pcs_pwrdn_out,
+    readdata,
+    reconfig_fromgxb,
+    rx_err,
+    rx_err_stat,
+    rx_frm_type,
+    tx_ff_uflow,
+    txp,
+    waitrequest
+);
+
+//  Parameters to configure the core for different variations
+//  ---------------------------------------------------------
+
+parameter ENABLE_ENA            = 8;            //  Enable n-Bit Local Interface
+parameter ENABLE_GMII_LOOPBACK  = 1;            //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1;            //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter USE_SYNC_RESET        = 1;            //  Use Synchronized Reset Inputs
+parameter ENABLE_SUP_ADDR       = 1;            //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1;            //  ENA_HASH Enable Hask Table 
+parameter STAT_CNT_ENA          = 1;            //  STAT_CNT_ENA Enable Statistic Counters
+parameter ENABLE_EXTENDED_STAT_REG = 0;         //  Enable a few extended statistic registers
+parameter EG_FIFO               = 256 ;         //  Egress FIFO Depth
+parameter EG_ADDR               = 8 ;           //  Egress FIFO Depth
+parameter ING_FIFO              = 256 ;         //  Ingress FIFO Depth
+parameter ING_ADDR              = 8 ;           //  Egress FIFO Depth
+parameter RESET_LEVEL           = 1'b 1 ;       //  Reset Active Level
+parameter MDIO_CLK_DIV          = 40 ;          //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3;        //  MorethanIP Core Version
+parameter CUST_VERSION          = 1 ;           //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 1;            //  Enable the RGMII / MII Interface
+parameter ENABLE_MDIO           = 1;            //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1;            //  Enable magic packet detection
+parameter ENABLE_MACLITE        = 0;            //  Enable MAC LITE operation
+parameter MACLITE_GIGE          = 0;            //  Enable/Disable Gigabit MAC operation for MAC LITE.
+parameter CRC32DWIDTH           = 4'b 1000;     //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110;      //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0;        //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0;         //  false: merge enable
+parameter ENABLE_SHIFT16        = 0;            //  Enable byte stuffing at packet header
+parameter RAM_TYPE              = "AUTO";       //  Specify the RAM type 
+parameter INSERT_TA             = 0;            //  Option to insert timing adapter for SOPC systems
+parameter PHY_IDENTIFIER        = 32'h 00000000;//  PHY Identifier 
+parameter DEV_VERSION           = 16'h 0001 ;   //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1;            //  Enable SGMII logic for synthesis
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1;         //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1;         //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1;         //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter EXPORT_PWRDN          = 1'b0;         //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX";    //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b0;         //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS I/O
+parameter ENABLE_ALT_RECONFIG   = 0;            //  Option to have the Alt_Reconfig ports exposed
+parameter STARTING_CHANNEL_NUMBER = 0;          //  Starting Channel Number for Reconfig block
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	//  Number of synchronizer
+
+  output  ff_rx_a_empty;
+  output  ff_rx_a_full;
+  output  [ENABLE_ENA-1:0] ff_rx_data;
+  output  [1:0] ff_rx_mod;
+  output  ff_rx_dsav;
+  output  ff_rx_dval;
+  output  ff_rx_eop;
+  output  ff_rx_sop;
+  output  ff_tx_a_empty;
+  output  ff_tx_a_full;
+  output  ff_tx_rdy;
+  output  ff_tx_septy;
+  output  led_an;
+  output  led_char_err;
+  output  led_col;
+  output  led_crs;
+  output  led_disp_err;
+  output  led_link;
+  output  magic_wakeup;
+  output  mdc;
+  output  mdio_oen;
+  output  mdio_out;
+  output  pcs_pwrdn_out;
+  output  [31: 0] readdata;
+  output  [16:0] reconfig_fromgxb;
+  output  [5: 0] rx_err;
+  output  [17: 0] rx_err_stat;
+  output  [3: 0] rx_frm_type;
+  output  tx_ff_uflow;
+  output  txp;
+  output  waitrequest;
+  
+  input   [7: 0] address;
+  input   clk;
+  input   ff_rx_clk;
+  input   ff_rx_rdy;
+  input   ff_tx_clk;
+  input   ff_tx_crc_fwd;
+  input   [ENABLE_ENA-1:0] ff_tx_data;
+  input   [1:0] ff_tx_mod;
+  input   ff_tx_eop;
+  input   ff_tx_err;
+  input   ff_tx_sop;
+  input   ff_tx_wren;
+  input   gxb_cal_blk_clk;
+  input   gxb_pwrdn_in;
+  input   magic_sleep_n;
+  input   mdio_in;
+  input   read;
+  input   reconfig_clk;
+  input   [3:0] reconfig_togxb;
+  input   ref_clk;
+  input   reset;
+  input   rxp;
+  input   write;
+  input   [31:0] writedata;
+  input   xoff_gen;
+  input   xon_gen;
+
+
+  wire    MAC_PCS_reset;
+  wire    ff_rx_a_empty;
+  wire    ff_rx_a_full;
+  wire    [ENABLE_ENA-1:0] ff_rx_data;
+  wire    [1:0] ff_rx_mod;
+  wire    ff_rx_dsav;
+  wire    ff_rx_dval;
+  wire    ff_rx_eop;
+  wire    ff_rx_sop;
+  wire    ff_tx_a_empty;
+  wire    ff_tx_a_full;
+  wire    ff_tx_rdy;
+  wire    ff_tx_septy;
+  wire    gige_pma_reset;
+  wire    led_an;
+  wire    led_char_err;
+  wire    led_char_err_gx;
+  wire    led_col;
+  wire    led_crs;
+  wire    led_disp_err;
+  wire    led_link;
+  wire    link_status;
+  wire    magic_wakeup;
+  wire    mdc;
+  wire    mdio_oen;
+  wire    mdio_out;
+  wire    pcs_clk;
+  wire    [7:0] pcs_rx_frame;
+  wire    pcs_rx_kchar;
+  wire    pcs_pwrdn_out_sig;
+  wire    gxb_pwrdn_in_sig;
+  wire    gxb_cal_blk_clk_sig;
+
+   
+  wire    [31:0] readdata;
+  wire    rx_char_err_gx;
+  wire    rx_disp_err;
+  wire    [5:0] rx_err;
+  wire    [17:0] rx_err_stat;
+  wire    [3:0] rx_frm_type;
+  wire    [7:0] rx_frame;
+  wire    rx_syncstatus;
+  wire    rx_kchar;
+  wire    sd_loopback;
+  wire    tx_ff_uflow;
+  wire    [7:0] tx_frame;
+  wire    tx_kchar;
+  wire    txp;
+  wire    waitrequest;
+
+  wire   rx_runlengthviolation;
+  wire   rx_patterndetect;
+  wire   rx_runningdisp;
+  wire   rx_rmfifodatadeleted;
+  wire   rx_rmfifodatainserted;
+  wire   pcs_rx_carrierdetected;
+  wire   pcs_rx_rmfifodatadeleted;
+  wire   pcs_rx_rmfifodatainserted;
+  
+  
+  reg     pma_digital_rst0;
+  reg     pma_digital_rst1;
+  reg     pma_digital_rst2;
+  
+
+  wire    [16:0] reconfig_fromgxb;
+
+
+  
+  // Reset logic used to reset the PMA blocks
+  // ----------------------------------------
+  always @(posedge clk or posedge reset)
+    begin
+      if (reset == 1)
+        begin
+          pma_digital_rst0 <= reset;
+          pma_digital_rst1 <= reset;
+          pma_digital_rst2 <= reset;
+        end
+      else 
+        begin
+          pma_digital_rst0 <= reset;
+          pma_digital_rst1 <= pma_digital_rst0;
+          pma_digital_rst2 <= pma_digital_rst1;
+        end
+    end
+
+
+  //  Assign the digital reset of the PMA to the MAC_PCS logic
+  //  --------------------------------------------------------
+  assign MAC_PCS_reset = pma_digital_rst2;
+
+
+  //  Assign the character error and link status to top level leds
+  //  ------------------------------------------------------------
+  assign led_char_err = led_char_err_gx;
+  assign led_link = link_status;
+
+
+  
+  // Instantiation of the MAC_PCS core that connects to a PMA
+  // --------------------------------------------------------
+  altera_tse_mac_pcs_pma_strx_gx_ena altera_tse_mac_pcs_pma_strx_gx_ena_inst
+    (
+ 
+       .rx_carrierdetected(pcs_rx_carrierdetected),
+       .rx_rmfifodatadeleted(pcs_rx_rmfifodatadeleted),
+       .rx_rmfifodatainserted(pcs_rx_rmfifodatainserted),
+
+       .address (address),
+       .clk (clk),
+       .ff_rx_a_empty (ff_rx_a_empty),
+       .ff_rx_a_full (ff_rx_a_full),
+       .ff_rx_clk (ff_rx_clk),
+       .ff_rx_data (ff_rx_data),
+       .ff_rx_mod (ff_rx_mod),
+       .ff_rx_dsav (ff_rx_dsav),
+       .ff_rx_dval (ff_rx_dval),
+       .ff_rx_eop (ff_rx_eop),
+       .ff_rx_rdy (ff_rx_rdy),
+       .ff_rx_sop (ff_rx_sop),
+       .ff_tx_a_empty (ff_tx_a_empty),
+       .ff_tx_a_full (ff_tx_a_full),
+       .ff_tx_clk (ff_tx_clk),
+       .ff_tx_crc_fwd (ff_tx_crc_fwd),
+       .ff_tx_data (ff_tx_data),
+       .ff_tx_mod (ff_tx_mod),
+       .ff_tx_eop (ff_tx_eop),
+       .ff_tx_err (ff_tx_err),
+       .ff_tx_rdy (ff_tx_rdy),
+       .ff_tx_septy (ff_tx_septy),
+       .ff_tx_sop (ff_tx_sop),
+       .ff_tx_wren (ff_tx_wren),
+       .led_an (led_an),
+       .led_char_err (led_char_err_gx),
+       .led_col (led_col),
+       .led_crs (led_crs),
+       .led_link (link_status),
+       .magic_sleep_n (magic_sleep_n),
+       .magic_wakeup (magic_wakeup),
+       .mdc (mdc),
+       .mdio_in (mdio_in),
+       .mdio_oen (mdio_oen),
+       .mdio_out (mdio_out),
+       .powerdown (pcs_pwrdn_out_sig),
+       .read (read),
+       .readdata (readdata),
+       .reset (MAC_PCS_reset),
+       .rx_clkout (pcs_clk),
+       .rx_err (rx_err),
+       .rx_err_stat (rx_err_stat),
+       .rx_frame (pcs_rx_frame),
+       .rx_frm_type (rx_frm_type),
+       .rx_kchar (pcs_rx_kchar),
+       .sd_loopback (sd_loopback),
+       .tx_clkout (pcs_clk),
+       .tx_ff_uflow (tx_ff_uflow),
+       .tx_frame (tx_frame),
+       .tx_kchar (tx_kchar),
+       .waitrequest (waitrequest),
+       .write (write),
+       .writedata (writedata),
+       .xoff_gen (xoff_gen),
+       .xon_gen (xon_gen)
+    );
+
+    defparam
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_ENA = ENABLE_ENA,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.USE_SYNC_RESET = USE_SYNC_RESET,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENA_HASH = ENA_HASH,        
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.STAT_CNT_ENA = STAT_CNT_ENA,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.EG_FIFO = EG_FIFO,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.EG_ADDR = EG_ADDR,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ING_FIFO = ING_FIFO,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ING_ADDR = ING_ADDR,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.RESET_LEVEL = RESET_LEVEL,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CORE_VERSION = CORE_VERSION,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CUST_VERSION = CUST_VERSION,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MDIO = ENABLE_MDIO,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MACLITE = ENABLE_MACLITE,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.MACLITE_GIGE = MACLITE_GIGE,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CRC32DWIDTH = CRC32DWIDTH,     
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CRC32CHECK16BIT = CRC32CHECK16BIT,               
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.CRC32GENDELAY = CRC32GENDELAY,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_SHIFT16 = ENABLE_SHIFT16,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.INSERT_TA = INSERT_TA,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.RAM_TYPE = RAM_TYPE,        
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.DEV_VERSION = DEV_VERSION,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_SGMII = ENABLE_SGMII,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL, 
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+		altera_tse_mac_pcs_pma_strx_gx_ena_inst.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
+        altera_tse_mac_pcs_pma_strx_gx_ena_inst.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN;
+
+
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1)
+    begin          
+        assign gxb_pwrdn_in_sig = gxb_pwrdn_in;
+        assign pcs_pwrdn_out = pcs_pwrdn_out_sig;
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig = pcs_pwrdn_out_sig;
+		assign pcs_pwrdn_out = 1'b0;
+    end      
+endgenerate
+
+
+
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX and ArriaGX devices
+// ----------------------------------------------------------------------------------- 
+       
+
+
+    // Aligned Rx_sync from gxb
+    // -------------------------------
+    altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync
+      (
+        .clk(pcs_clk),
+        .reset(MAC_PCS_reset),
+        //input (from alt2gxb)
+        .alt_dataout(rx_frame),
+        .alt_sync(rx_syncstatus),
+        .alt_disperr(rx_disp_err),
+        .alt_ctrldetect(rx_kchar),
+        .alt_errdetect(rx_char_err_gx),
+        .alt_rmfifodatadeleted(rx_rmfifodatadeleted),
+        .alt_rmfifodatainserted(rx_rmfifodatainserted),
+        .alt_runlengthviolation(rx_runlengthviolation),
+        .alt_patterndetect(rx_patterndetect),
+        .alt_runningdisp(rx_runningdisp),
+
+        //output (to PCS)
+        .altpcs_dataout(pcs_rx_frame),
+        .altpcs_sync(link_status),
+        .altpcs_disperr(led_disp_err),
+        .altpcs_ctrldetect(pcs_rx_kchar),
+        .altpcs_errdetect(led_char_err_gx),
+        .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted),
+        .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted),
+        .altpcs_carrierdetect(pcs_rx_carrierdetected)
+       ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync.DEVICE_FAMILY = DEVICE_FAMILY;
+
+    // Altgxb in GIGE mode
+    // --------------------
+    altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .reconfig_clk(reconfig_clk),
+        .reconfig_togxb(reconfig_togxb),
+        .reconfig_fromgxb(reconfig_fromgxb),    
+        .rx_analogreset (reset),
+        .rx_cruclk (ref_clk),
+        .rx_ctrldetect (rx_kchar),
+        .rx_datain (rxp),
+        .rx_dataout (rx_frame),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_disperr (rx_disp_err),
+        .rx_errdetect (rx_char_err_gx),
+        .rx_patterndetect (rx_patterndetect),
+        .rx_rlv (rx_runlengthviolation),
+        .rx_seriallpbken (sd_loopback),
+        .rx_syncstatus (rx_syncstatus),
+        .tx_clkout (pcs_clk),
+        .tx_ctrlenable (tx_kchar),
+        .tx_datain (tx_frame),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2),
+        .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+        .rx_rmfifodatainserted(rx_rmfifodatainserted),
+        .rx_runningdisp(rx_runningdisp)
+      );
+		defparam
+                the_altera_tse_gxb_gige_inst.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+		the_altera_tse_gxb_gige_inst.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER,
+		the_altera_tse_gxb_gige_inst.DEVICE_FAMILY = DEVICE_FAMILY;		
+
+endmodule
+
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_strx_gx_ena.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_pma_strx_gx_ena.v
new file mode 100644
index 0000000000000000000000000000000000000000..d17ef686f50aa4c6c9654710745d778a98254350
GIT binary patch
literal 20536
zcmV(jK=!|j6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Tj1yOv-1z_#l
zkb7<nYNyj}-PjNif^fWNG9n{pPI!>z=E)8iwd#waI5GAh=Vco_Kc?6KpFwSXjIV<+
zx`(&=N2SBh^Q72~7aaN$F|)-YQoI~-`YnTxGXomBKXN4)lhd)|Z(z{`JK4jw2G8||
z4Zff%)XJ+}XM5^R?KBXCI;e76gLPW)A^F${GP_c?FK82Rip4hWsm^lQDbHGzRbn=9
zXb^r8ImUk0@^q(eJ8tR;GwjMtvCw~r;%LFG%{u;rq9HxK=sT$vjSTx%0pMjF<}eaO
zz~9)fgq9FxFc7&^jSdLlbKWkXT;rWxP9jvW8ws;jr&g6yv{g$;LzhO3U_bfKApQyp
ztoCMBg=hk*IV22Jb&Hun@%jOg9?=L*>#HCq`O1e-;75**07?OvJj{VpI}}c2u;RbP
zst;ICS@_~=FhO&P9M`4e+8__Uxep>=G=3=0*Kz@+{p;q<$TB>6a0xT!y?2JA?BwkK
zdkx~>Fm52mTQquL$tS!rY+aDtj?CO)NDsO&6&G_KlTUt=5S83>B|T>3>(kI1NxIUD
zSdjj|YOMlTc5@`j-F~_JNq~r35%036$^}W6r-7n|<eR#-loIIu@25b7Dkd16mNG$@
zFd$3p6z|Lyh-D>`xQdF-?FJYIrMvuaE{J#**8E5_p118wvyQB5Q-4AqlirS*Qcv1g
zVXXK^D`uwG#?FW&H*A{v*D)uQ=zTE4iPR5Gc>lu_B)MS*QWg#g+(Q!3B4ExjA6M@8
zdRX-c?ii{@Tq#FmdS0K4s~`rs=0aomasB<!AebZrBQWRgz!C^_=HN59n4MYkX3-$I
z>yixQ>XZlw3}$HE6Ngr0K>M!-YZDik$KEQ7F>j!mcfJjT{d5O-gh?jjO<yKF@K*Ns
zsd0`qnW2t|&*Es$kvh+0gN(oi21IyziJFQaP5vz8^0!aQhDDTYQ5ubFS+B@3CV%K&
z(#NIkCWU#A_L`gdU{1Sv@G9ez!6e&u)mIp_evoWY3Q|>o5U)00{^y_|uNH{N9>0_y
zZ?c<-lp}te1&!gIWIKO)3nxQ9QU*=EI9T?$a1BD+OTpk(Q%4H+T=Uy{53NumabvBJ
zc6~oBjoLwZ9l^sJQzWFA!>t2uBBUYm3<Cf&+b>?KXZV5$lWWY{9;2Ys&N1PA<ecym
zh7|ELCr51xrqV{&nI7eM8>2T&M9v!_>{wj+$A<uo4=esD^Hn7K!1gFRQ!t)f4?Y6b
zgi#_8YD-IEn|E6qG`NJ8op5Wt(FD&b9eV-`DSV?iOl`vvvH)(7B2d@ePWb3=1{;Nu
z>}Le*!?f$C*?bx#li@WH0scxUTOyI&i^oOy+RY~fHgt#~dq^=Q#W<7pkn(xk2FTc*
zQ^!(qdS&I_9Ux(PO6PIxh^75of}IBbxGN=HhQS1!j#<s5ITJb)*%0XW@4%tYH6hH5
zZ*dn^COflyRZ`l~d*#8H!(_<VEAnqL=_g#%Y&11@8#j%#JTe+;3|ajCK3(~i9crBN
zAijR*(|4iL(BW*)4!bY3$1FH6q(<!*_{;Anq+6Vvx!)T?S0VYjc{`-{f`NKH8mlg5
z@^F?w5P%IUc&W7l@}DML7~%D~h(GxX7B<|QlhQeS@+^iIn<o`cXz2Y*4I%89Z@TRL
z?4Fr2yQhsPY$eRsK!RXw;}Z)z0)3R`#{4wu5}l~X=~OXt?S5Y#tG<)KfSrxJQ7EKv
zVQQ6{I_R<YKj`&0t35Pr)NC*!F&}RQNQNhykc4DYIVWBK*7DUCao)y5t#pf6HkdmA
zsC?Ii>}&b75PxvIGfJ+zM6E3sgdjUP!Jxkt<mfC0Rw#9wIt+cm6RA-$Hy#j6+^Hvh
z+<3lfO3pRCQ!koANT*k`^DUxx(~2yj@HPtdNI12UwlLDx=p<aA0PZ684&J%CH^gX*
zaVXX$T@m0UZW=rnkj?redC^r6l$1K^UQo#fnrF%2w-jas%R_N0vob8O245#f``FDc
z)-<@BnUiw8Jq=VR(i4Wodb4OUg#F_145fWm)XZmUx1E4F4w{lkV6X)kb`oQkAvte{
z-!c$4VTLye6kj*c%E+;_N9MK4DkA$S5Qr3a_y=Nxc~{>B4j`QPhSe}<dOiSayRLM}
z#?%{Z$v%Mi(eW>RRpAD4NxdrM?{CZo+9`pu>blfuqEdK0y|m6WUg}Y}x-1B~p&ThM
zW^8A~@H`IR0ZHwnp|?nGh<wh}OxCazU%32xC&M?nkvti3zCxERpd<he`M`+096{h-
z3%q_gEO3gkVMBE^{2sLPo7Ni|^CO0&Nf|A359xA5v;jT^$hkvM5F#zoG%|du7xUal
z2g7a)sFlPTXOI$@kl<hjc0FlFO@JGekgpnhS+Kg8TM*kL7)pk3q62+3B;|x4D2vju
z`*W@s2Bp=aDa(|CqShb~N0ETc!L2?d9<WP(SN2_3?X=2;S@n`|>*%ztrO4rNpq-h{
z#ghn(fne;L8do>%m7j?j;3Xe#p0|nC08!|vDS5NU3{3u<E=2(S3Op0X5J-l7>uvD)
zaRp-xNmK)NM%kZO3MY1<jbi}!db15iyyb=gJBgK)+@g>37oYB@->Q+?JV({?kR@ua
zizEcw7fJ22e%4Q%zHY1H^qJ!VBs`5=#<`3qKF|@3ffhRO66cA$j3>yW^8=o|y{@js
zeu6w%f}Ad7G6(^;lU~y7kzZK{ONTZz7#8(|iJ62Pbdq;}vshFx6KwE+d4~%(({uqY
zI_$O|&pHafN{;U?MW`HU$>sT|{X`8Bl!b2s2<;`YRGjZ_TPF63493;h!4~4FlYvpU
z54RGGzM8j^on1Q1)H7y<wWa6+K~zo2)uc$^EJ4jl%2asmb`MOSSoX$HPDCtWurQ05
z>Sr*Si>>u2KaUCf0G#@>)kN6N1lXX|PG4)Hi9fi*)L~#_36?kSW>nbcp2$bRWGmMh
z+=r2xu-YRZbge`6NMTn$vw*<XQ2yQm+cj1s<L1*xjJX=Veh+xOsO0)wLXSRkrpOv}
zC+HBxf0E}WOC#EEhmN^ZH3w*B&J+haI{{Um{zJ3z&FoTs_@h;Y9^9J?=J1kYz-kIJ
z&+|-F9I9B-5pBg7cCOu}x6E192p1O7d_cs+MuvppTu7_Y!JPYeQB3YYU??Io8K_|w
zvia+IfjJ6`Ze-paF}RF`Lt-KD$6$kU>;M97YMWGDy!`Y?K&oi@0r3yDlXadZc*gTZ
z=^7cEx*#o~{Zi8;TNfHtfe^x9Oat(EKFpkGPehZAZj>x=yFP#nFbqay<`bMZn#mib
z>Q60&ymag^aRcyX<Ix1&GFyYtz^{v&%awb$diu?d2?VH~jGo7rh|XDcc6q}W($ouP
zyf-O7OlU44pgU8MOI$XLPI0P4i2%D3)UbV{gA6CW5bRG8!_BZm-PWCxx#OioN*3?3
zlX|~g?$;Qy1{tF1;o-C#corgx8)YIZ?tcF8nLyqw2U?x&8QqsX-ZH)vhgU{f{FndP
zD?=k=RV5{<9Mz1LJZ-L-yh@<WAk;=d5^*CZzm(E4e7BF!n`G8Vrc?V#3JyhnB@9F1
z_f9TzL<?Zaws`eNd1n?$)VhzuRVOMDSN_-Al?FMomG7drp|y}y-CJ}4F70jI88$($
zEtkqHk3DCFW7@!DfKfEN<)#<`<wL+{VH8)TT`W-J_8!IR+$Uege*9wLcoSd<Q|!?;
zk0RqII`9Lv{jh!V-{mPjUWbGKT9hgVEhUc)Kw`cPfEf3<9pK^KZ~H;xE`P0}4Oq#O
z5$zFc{@<61-58HA7^A8(5b;BbXd8gf-PDU`!R8oc_pX|M?D}iNbTc(KmiULj7SUt?
ze>6CJQaQpfwLxXOuCAQ56a#t*dopW>0pd;3nZQoN8&-m7Yf%tE!BakIihyZ^>EYbW
zH0y}Mekr48xZOi@P~YMJk1@Wx+jeo);PqF-<(b|W&h&s|pLu1ek3tf~*l^vdN8>oA
z^Mv}|;9Z^HJXZ+_vFn>-ByCYRDC;sh#f`KMQ8XC*s)8ncA-6U`Gr*-}GknEPFZI`6
zfVnlr%|1v-=0sqZygK0-(yfC;sA94gFFkj=8jx^N{eY^|!3NGnA+{@3!ke5>2K$L3
zx+yfjsv0@9M!QkfKYK5W2N)p}+;O8Ko@YhCX=BR$qP&I8>qTRT?^^jT4pjz8Jo*le
z(C?#-WSABQs$jrl-#{4$SD>4FrqRZ?P;ly|8ufA>&+&(YX>eANZRp~h<c@Zii-<hf
z+4Qi6|5qw8KV~~96B}Hzm$W<gq9vSDB_=zK`9Uy@Iv(PJxk<&lu9m@|mP>YgJIJQP
zm_N&Yh{Py2I!x_!1+aG6;(Hc|@(>_0NhQs#!BoBes=LkU+RY3RkB3#!1Z1uU1zTR9
zn}}ln?xAWed^D8W37s@T5<Bo_1#v4%f_C^C20l_4{2lbleN<V+6pNH;OzMs~te6u7
z@;M$!dD`YRNTOS@AeahD6(U5H7<u0kd}3cb;Fn1w`!<!Nim_bDRDrfX8mje5rO3Z2
z8Z9=dptKeBzYkT)pQ{pM;I@%OE?PVy+MHm}4EX?@2+tLUrWS%uxRJR*6ye8yxFiPf
zCl0LGsV*3=ncS`Iq%FtL>}#%9YX6$h$YmN#?D!JseHqcgvGY!2k$PO}ve!t$Cjxn>
zkw1Ys7a-U?<4}ARxLbb%Upk&RAM@fCdDBv!UkT1XBL1GMhBh}Ku@#P^e&Or1z=vaD
z6Ox0#d`mVbtU?kodB$7GgZ2E%27^nLa84uMrkuVuKy^*;*1zaUS!W*#pN9Yu)gy6M
zF_%J<n2!6d7!4SB+hC6cok(8UcERaQeCzt-Buhu6qMahz$INstZDftG%th2jpf_n}
z5e+lt;jYlU`C_r8xIVPgy=z>)>LzCuQ3EDCh|sJ34MAj~@Iq0qtj&bq4a$#2#f8^g
z>Kf7!U7vpu^i#P#xu2-ko&2#zP}t0>lm7Z1%^B5<E3wQA{=lox&IT+GjERgWQkIru
ziI$zQUlSfNA|&ph0YsIeS=q~qhiqS5DvP7iMET(nmr)-F<ongCP~h=|YuO+jEVC$g
z@d2bQ@DW*$4hQVnp57OQ{1w||$~<#nAka`-(xE8@)>~Dwirq`E?RZIEG?97T$hgg4
zXu204hYtg#@$tap&O9lNh1p_+@bb(>I&E2JZZ(loV;p*0@{q~R*e+FA{i=|23T(E@
zHQiD1deU}Em{@dtu>H8}f)+5HplkXbLCvbgyl+sp*}~{8Z-|X(t;|oCafOPU3@8*_
z!u5P0`;B%_UNriR$bjW0v~^kSu1Wto62O*hXGMMP!l&s@Urw%X**yY2pDQR*(Q6U7
zN%H`ZTY-ZEZVhNhwU(8I!yf;NL~f~7elQe#T6@apa*XI~@ADYBbHbm(Ko>>k{ziH1
zbZ-+6d8v&nXETa)Bm$a!fG6`}gydGq2#^4eooi1~Tgvnk_l${WoJ3xo4y1=*=AWPG
zjutSh5+VZ3Mre_>Lfz9YEhNL<0xI;RE^1VjWaMPN#VE%FYxs0quJTd=&PE{s?gbO>
zQ8W&O9px9VO6JCol;Z)o8TFTVDzYSQ5V;LJQPgHjjs3f^mVUpwo*HeK4{EmQJIy5*
z`k@PM{Y}KRkuOSM<ne`2g{t}tPHm*5OS3{ZV-@ub4C808I+DR3N&~DUZ^eoKmVi%q
zm4&cQjKS6?=Soe{lUO{JC!0mUvuc9tbc&A?2=-IJf@xv;Moe`<ie{>z5aTNto}TGG
zCgJKhHyiQvFRmOwSYwQ^Wk7)dI{%@=Sls~lL&bPJKSJOqrP&uo-6>MV(?*7~FD;qG
z9ydj$JmhPB`vq*&fk`yKzrO{(Cbc9Nm{eL*yDa-aO*(E6!tz5!=lY^9HuHtU{8vhP
z>fw#fOVE=-9EYrJuF-A}!`&Y$!esSDl^_`JnU5CXEcw^$rSL=Ax^<rOgVInou01ij
z#=S1lVdqOZ4EzSOI-kA*uDcs};kmN;Hd6g3%hSAeiE}KPW?ClP3&6Xr)5XbwiyXx5
z|01*BodI{WZ!`29Az!bR*0x-Sa-2-~E>^B08Idb#txgX<$+R98`0HY8H)<>Ph56zr
z2WwC+iw_p}IVorGMjP%4-v;er^f0F5sNf=MWkc}To7Z{CT@}M(3|a?}en<Jgb4$dW
zG}nfp#nbIcdN}s|GfX8v0l9Fkq}t8`#hy#<Yz%#1oeaN|OT?X_ZOd}%<!noUACD6^
zW4hFK#1{E4?GUP+S#g7TUD{1<L;VelYIfaIfL5t(=$Aqe^a7ZKqBnDzV@^r<%Ohg8
z6~$f;DCWEP$;ECJJpr{*M;vi|n53R7zQbtDy(0a{nA#n5zp#p9&kWhMA|j05Nriz@
zN^4k}{^_O>lvK%YVI=3_&#jM43d2<+U>nRXR^4SzI|tyi5shPX!~r|ym`^ZvpP%&C
zQWJ5t@olaS4MNnASHjdwLRiR9k^bdfRv~EuWw08X!QfOHox;~6%SGd_6Qr_)HnG8N
zCFZb0QWQBp0b>aIg@C%;e^ZB~^mNSXnCrHHP0cmY#3Egy*XaiEn<}U21q*ibZD7zW
zk$tvG7Xn@5{1xDO!ouGFUJZfsR;{DH;PKoX=x#piF_;OBMA?9~;E?AYf`Az&u43?)
z5_=n@Y8(`vfEP=2w~y2Xk|5XR#NfzBm>P1}DAgW+1UG)%C`fxd0?6WIJ!PP`qgP-f
zG!C9NF3->Tyy1DFtS}+DWs%xtxY81bZKpsd9<tV)U#5ECG%5Z8OORKb#*;Z;5e{Z$
zUsk$t5ua`!BkcShu{$lxbJ>+(g@DJAN-YOUIP<cTWietFI#-^(6(*h%?8S9ws3f%~
z4X=cC`p;6V^Pj$-D7}@^^n98a)h@}Z>Bj}v;4v5H+B-xmAbq)r0d6i<%kuOXlzqQN
zVKo11flXD`X8az$r>Xbi?zNphg#N^n)XVKuad0j#d`UY4uxtlj`|X199f~9=*w2F&
z;aeyp(nj&fQRp^qFG)KRvN@LrYO&bVcrL*&gdULp-T9mOQJ)cRXR<?2{2!m?X5BQX
z36C62sf0XT%sWIDd%Q;L9LNxNl2}C5;Ap93-qMAs-4wwPjj$U9n+`7Xf`|~{>ugHs
z|1Ax~Elgit=&(P)#er?CB`{0AIYgh^d0>L~26dU~cd3PTRpbc(vdXQx9<eRfAB`<j
z<%|eHRzs&+fww)YQ&w`gffB?uOsfr;3ik`>z-MxbEGbi=i>QdX&(pZcwmz6DM`nH_
zSRXk^j5ADDX&|rgnK@-hqC+igFGnrr=>Vv5%tKcJy-}1JN1~u(?#bNXJPiV^w+KWO
z_zf5kp$wL%@g82W2TdWfC}Z&~FRmZ%F@I>LmfFoSb3k(R43wZ7U5WVQI|VMV)FLSo
zqxEISn-)$U-zQW}wD<W`7b`_2-)L9bRz7Y5N4h8{g&6LEI8ea>tsuDp0Hg}wY}jAu
zzTW`J$3V}pt=JUW7lp%1uXx~l$1;KW!mwS|T=2w;QaZw9AC<B63`$VqB*WO=U`$kh
z2deB9;R!A7h|L`kMovv=6AyxgD@q!reh79d;*L6!X!h(T@%_m_`)a{X|EMBon7b*a
zLB0$-2`|!$%U1iwUD`gLI-aD~QBr^YE$mnXCEL$A2M*$F%e}%iScF%#a<rC{<q97G
zp8sFoQmlAb{{L;M67^Fs_b>~8Ju7Mw4`*?}67RtN-$QJ;&}eF8&WDq{v6tt{%p7q7
zbhnrpfo=?pOQUU<%bovCos&W|7F6?=xN<x+o{N~sg2IL|jS*`+r^=CvMu10H{^kBc
znwtumZhlU4!i-dg-Y7KVxqX!j%W=j{0Kf4O*|<HfY7!dbE~rBo!bo`M3kay4Fx2Zr
z_yq7GzA^R{9(8UcUP;m`XR9ekewODsgpa0Py}@!d!_+Rl7>!%H)0E|^de#hgzh!Qn
z%3X<|&4*l=JQ2EF%ckGU3_jgQzAr@4h|D?n1y^+~%mCUIE{bZO*t0QekYf6TA@=S9
z;YAaQI;$J%Cue>B(qE#JM@!m;R-0DtO7z4DX{BCG_H?pPpZbZ_d1ExC%kA*hGs@(X
zyv@U4m(ggM$%viOP^^b{qLQfIV+0;fQb~SN$RrF_vn_?aq)GQvCgn+hkahVY{hTiL
z1Q>Nh-6Ene*i;W%5keKHV<F~v!ZviQepAtzX@-Woyi~;?zHjLp$Q^9TL=yKrcz_|+
z-}(@#Sf}7;6gBWlyUCT7ad=3EhI$4beT?}J#RKqD?3^Bg2Oc}!0~N1|L%d^#zdia`
zzSJonM=y1b{Wts0&rar_tmXFRGISlIXxbsBUS)BT`9=UMds-baF`W?{{jQ|ylaGQi
z9Ohv;pd;2?pQe6$9*8XGe*SffKqbWi+L0caxa@CG_k?f&yTx+@n%lBdF512Yj-JGZ
zOB8|^Yd)?;OyAP2bx#wc&ff;>dQO+SHjeOlD+`I(wWk`?*L_1Ix{4EV2XlOd&S{_v
zOa8npQ!4&Y@A#=fo7GovlRR1=NaE39DkyvE+BVz}ZjtN2NCwvB4t$gj5v{*Gqn!h5
z-tDhs?kY~nx^W0XYXEx1{OVL7>BpiO2&m2U#dnLrk46{1^(@t0gh?7NTgx>75Op5%
zwfFJ-F-%m;<l;|vaz;^%9Kb4ORnpCY1sAHx0hT6G)vmI}@oc7d#;`Jx$HCXE?jw*|
zzxee-C=^)kvT?@}PPH?J*{;BiX81k7cm7p?(=Yyiu)*-E6(>Z8ShuP#!kE5Gu$q{<
z+#vJmFxsJyqCQF+@7{e&s)T?tkmq0BaggPWf;7=pL#!v{2)c?S^26UcaTepK$TCFk
z-NYoo>j_AD|9jhg$!L|*$-~>84egnz$y}6USDV{7_#_=bzDW4H4<qE?UR)`92X7s4
z`vHbAf{&)-w)qs!yb5rqYFaDO0F#+VuFC5Epei~j7LSVUIF~9OH<fPY;`k$naL9OL
z#v#&*7u#5D*6?<Oq<P($?BlkREXnxh!eJ%yD|H@o&E)Em7o<Gb^9(`GW6YD;M_C^Z
zK$fqKd5%H>m?e$7_e}CP42-rFo!AQc5~R@ZZp}kVr|)1EjT(Cp04j}kOH<Bc&g;m*
zJ@W^(z;01e!5IQjQUWx8+XrDe^u-RBH!5s$?SbB50av#K_U7-45OfX|WKEe9@Tz7~
zu#MkXAGkX6o{@48C!*VXP<XvIo0ijryi%e_ZF{oe*-b->SCep%N$`@DgAw^sUA}Mh
z%Y_Mt$bcvuq5t>u0$3ywxG%kNC$cG51PM8@P7DkWF1(M%C~d<Ay1TxOxUQF?->B!@
zr^9A`CwxFr7y`_iddX2c=wTPd5NtTJ7t@#FEkrn^TFb*39)CcWbSIAV-;<t?5Lxqz
z@4i~s6uN&?N>=64R_(q~LT6N%v!;%T{y4NTB%WtU?mrG$RN)&G(<FMmyQ-du|N3^I
zxqZ-ftOW5SJhfmLDMiScKZuUJs#Js3TK^GH5GVqz>b>5##t!Iuxr2b}Faqy(xy(oP
z%lUN&tFUU9<)q#TgrUfDrk_OgRs(43wrMh(NC%zl`I{XVrav<kr3oE8PQ?DSR_8VX
zI2P{MYDid6a#hHFHOh~L`Pt)Ap%JE0R}*@|xm=W`Y@$a1<jc&52dkirkPRUbRiv=w
z9O5iH0sl{d@<F?M{(7pMlY^XRHM4%{)v%Rk{;=P!^$W2U>sQ=g^{8sjZ#K$kG6WKc
z1A}aY^X+E;5OlML9HtIJ9A*A@i*3uLIgu`onK|2`!=@sNhxr}p^z9(yXOxTO@^5h!
z_gEXpR0g#MdIDF`nJWvoW8^D7!=FpKl-ZU8c=$6`>rPt5QrnT0vAmJa8=--&iLu1u
zL>Ff92l<u(so>v-uo1Ej&c>XFPlt{m{yr|SsbJR2eWk^-ZO_dQ%+CIL3Zps4L@zbO
z@i>jTD-TBqI<3W$E5KRa{s>uYzT)@ZBrWrlGbBF0E|XIJwOz`4jV2E$YrblRbZIu6
z#nhL>u6G^&t}KgLEP#@ps7&ssM3j#QpqC!^r+aK@Y8RK5zOX}LJS3I3%J$J1m8Vs8
zd2n}`AWG$p@rtZ9_()XKPDqpHfB6Xz6zhgC>a?wkwSg8t3IMO?ToFGIC*^MOqlX8;
z*m<Q$2S5G{3;B*IMUoz40=S4)i9A_s=diK|jFY+z36-_`<};q6$zK>3LW%Gd00;2a
zJt4hpAr-q2vBg5QcSrw2L|?cD+~!@WBHeg`QjEhVZSvk|#S~;oRJbHlpXIVYZ>8NW
zR^>Sk9`1!*QQA_n#(^3>&J;wl>pSy;(p82vNtEq?KhW01?y8@j4;4{B(ib|Se<B8_
z^JyvH&0Ar+JY!KY>+W|<qk}-H>|44_`8tRU3=XbsmNZddDF-M|7oc*nb%`o2nsw*&
z_KIC2hL%=k7sB$a9LGI?5vP51A5LogZ18gm{;&Y0ua*v$hS3WKLeVT%`uaGR@s9?H
zpc=x1z8|OlEf2$?M4l%<p8mOf?T7*V)`>MSmW2w&qOOiX{j=$ST`5`hq!Z;6B3cAd
zu;T@17myhpWanT{!t3ck$kL(&^&Si{rdPCn{SUucQdFIWQ|vmE4u&qzA3N;E5D7g@
z1s-EX^{?3wDBE%puvX3Xtw`LrYJ_W|0F7h1v1co%{nm#S3{-SXPF!%nFEJCH{o-d|
z{fm3UEsev~&@i*B>ImZkIrhx3cn6J+T&{$4SORMnc3D%Q3Kg_As2=>H!k8|4;;qw-
z(vGKl*Tl^coLVaRQeNTP-V;xcl&`p=3;17|{gEGT(qR__p@GrzuK_zTR|2TIG9_0E
zh5QEvK%w5mrH7B6Kb@yoF|~xyk=;t&85e+ilY(;yb@7QJ;RXwCd#CRT>T_ZcRDfX|
zmIih5u`JY$(26%nVNNaN%*=V|3t(!xsxc;QeZ@4vc1t8}xn~&qLkYhL>^EcZ-Rbt_
znczdX^X}jbkoXWK930)YkvHSE-T3#3ty&RnG+Sx3DDM}KxiHo7a9)K*9Xaq;?Oeor
zW3EGG+&;@vFuZw`t>Iv@qY<K`0kA7E1bK1J@PKKX7#9Zb2P(VjFUU;1(0-y`1AmjL
z?K6+rq5YP^{WRVT1M?17?+YECpo|lc)5|-aGs|Yl-7}LAg#e6E^A5MmXeyk6wZa{0
z>`3fHR@!s>X+=`&O+ao2T=~s?>o~c>U|c($Mf6e#+Qb9!)fL@#4}AUoh0kwB3UiZ1
zKifl5Noq6QrR7K3YaP&3e(p6TPv4AxhAF`emL8AvvM6n|;K=mhP+uXj5k;=#Z!}+G
zVDj7^Aj4I^rxmo)&V)wc1o|G6om%5rkwO%P$((CC2G&O#uQyGs&RQB!rjyyk=O(@9
zXiCr!uJGHKwiet-xB!P(nPLtg=HxW#Tb^16eZmL_L5#Mh=j7RXp<svLacS@LWj#P#
zOv3?X_uA|aKH2hGG|+OEl#FBrk6IYv(z4*+Y@aagD;e)vcTh`fvhC=4$9YLyNywf}
zSJRbOlE?!G2XOi&9z2&JSJZY@pC0@TSLCx87D$L8a?O~?zYY-&{)_~=N$BOgT$oha
z&Ef^=DbwQJ%JIJ;sOhY$9E?JJvM>mMgB1BSpojz=@*bE|8AK-X^iYOecfF5|leVEh
zeZwUFZZh(B*dEE6s6HpkHlL|bpU5l~prsy<91Z;gmnm%;iKt6=G5Qrm5^$PCgtP_~
z>4>FS_@2vZSrAg{(_+B!kp7ir)%6uA&>f|wJcsv=@6y>e&5v4UneScV!syOY5!;hr
zaycwYBaf|_n<%6`T7B5MGD_y}PWU)Q7yZlL-oJFEz{o%Tr9Fhqlx?&+(EP{8QCD&2
z>0*njoKuFfAG({evAx7(h@e$Ia7~eRv`&U<-t6J)LzY^1+B#Zc7eD6z(XR=0AbD{T
zXou-3yVxW7&i9-2pYcN{eC@9z+-^Dzn`V|@YL}Zy)@QK703u-KSXy){^m@aSJ`EVQ
zClt?fX$unkSMje4YhHh}S{o0)+YI5)7(f6Ckj?~1&{y9c6d!F;hQ$fOQmzB^+%pHS
zBrAP4d|uDpqXR%%&fDP(U{`GuF5elhX>)8jFPxI<HW3JiZ&hIrsdZ459=<@cCvX)e
zeEcArMVNuk8}5uvIKP6Q8HXgMBZvY%k;t`Ijj@IRULbVU;!4~0DWY0)$#8DH@tmI7
zf3&~iVE>*Bi&m!J-&6XGDzhe8EH?;wS@r6wNSoT(o!x!ehSb~G3QrYGdmAaM2IjG<
zH74%f+8P)A3CTyi_Tq1MvyHJd5Rz5B$&n9)*+qMf{_pvEtiCar@8}H{HXLZ}Zes6(
zSb4|3kPr+Qhg_)1e0C0_uNpT_)=Kf(TRxpOl~oaAw~Sg>NA&0*B+@h;i0K##qPpS<
zG%5|i>LpOBd`;@0p*Ku~ATA5p7c_3;fxH9CnJjf$3AWzkT<U10Gne`tkiqEXs9I0J
zEmA_&B!-S_(*LwToG|iN9grV5;Ky$_o-HUz!Qm8KvsQOIW+~1=%nBaA2ocmGhq4xw
z%{V^+=;H>qrwp7-)ufVuQ+N9XC^(3PK*9KV?N$}!wP^$fb7eUrO8}OQK^ao{wy#Eu
zMLnRjD83qm=|gEK9GXlHkW}oyQHn=LNk1IC9PYBA988tS$Z|z@e7_i+a|nZRCzp4o
zS|Pur1!7`EZpdg2J&?;s{VZr7MLIK2Eh$ynah89FQc{2-Ae}G2Rpn|Y$&S4=E%i28
zn^!1cRKe%s5wAjqphv$x;a!N@$BpUCq;WPj5Hx;?jV!rUK?j%w8$6Q=dqhH2LP3FT
zpTEwQ3S3r?8eQk0ltZ=Y&H9@*hAIo6z@P8+DY@BP_%Xv$X!A?(AUFm2w1oJx7gO^g
zxzp-;EVS9)4$&ruT5jEza)cLPIMX|7i_cYspkdwa60w7vHfURv=nH!NgyZw{fP&qg
zX6!9a-5Y;6-opY{9(w<mbQ$F+g;@cwP-*SiaXLvT^>2uk_jY>nyIF8zePiS2Xn!A<
zjXW0BUYEEK#Z82{HhT+P==GcXaTJe(dZw5p(^c7|D8^SyYo*gS<F}S@wXA}#F4B|U
z$)_WAYNy<>!FCB#VuoO9TrP~|CG^?X%HnO%`<YCA^F@88SDE^+Gs=1X$h8m0yV6W;
zuTcWt5SB0MvNS)vwUa5G+4nzcQy6&wIOjH!;mlN7Yi(@wqTzD&m+`Y+dW%(M0?HE5
zD=7+;JS#C@8wIsN4+-j395yI6Ev*Cx_!R5_g14)h-}5rKb3?pxc<@vm{u&e^gnNt#
zwfjSLs)P?bE1|K{M*3w)qzIz`?EBK?Bxk;8F=+9J7ki_{d#V6oGq-~DMC>Z6O{98`
z3yQu18)Q_6K+XRF5Dp1F<pPL$bW0YsccOch-NVfuj`Fh}KLS_SnW#DNYE=VN_aLct
zE_-1<0t;W7w89}KRY+|}qrYGP06XArzST^kX2hR_fkTs1-zJhtPwn<6%?MuX%E0$I
zn?YP)YZq`UdF2Kik$~ZQ+7JgUMV$QKD4`mtX6?SR6*G)i_QY(~tL;HC*Z8B>$WzUS
z5+C9&M@Dwd<zzyd(LyNB`zNAp(!+dT3`Ip;_d9_E&O<??mh9u~(Jorg76N1Tup?8k
zLO5v9c=?6>xWV=Pk#(S!e3R>L_Nmak;~<1innPL|ydSPRd3X`qrGk=$0+XeomRnvp
z)>$KD5nWt6P6z`ypu_qV^EQ}QZv;a)6J>Ug(Fc>lIdWPw9$a*iL37s`-w^a^)E8$F
z2v1)W7Q2ef!C$kt_SfEWfz~L_hCqYd+96Ocz-<;o%>RC7<BoxX1qy1qJJPO&7PT%F
zk-=RIm@dX7ko^mlZGRi9T+A7x7|B3ViD*jnH|7jA_~zC*I>;Vt3EDJ+1whIU&7BtL
zewk#~UB$E9lh&~|5_9~aDbW0CcoJWZaJn2Q`-*>llf0XT6m%bb7;W*JTN@azaJo3l
zCq_Jq5d;klmNuaPJW7)h@$jx$Pt0=yW_8LIupsPI#dk$%&Icg#S;D4X=_ac)ho2N>
zAO7JyTd(*EcCz2W*gB-L6=)#!v#!W(V-9t%5w21cWN7bE2k4#wPw;j-_A^m#)4k*;
z>~`^Ag`RB9-LyWr59ve6xm-GflQVhSrtu+<k{IWBHXHaw*)Uv=Y^PO3MD!^qWJjIn
zbgBSMVV4EZCW^>H_Naa8YCR#El$gQu=SVMuylpJooT8-OvmWeVw=h<{xEiiZbDL%B
zzupV)Y|SJ@&xHWfKE!j%L8Y1K5?j`3%M|8Ju|96liaxQN_o2t~V4ArKAE|pBC+<ra
zw;Pp3`5|deuC2VHzZLA$_|yA^Fm8nC4fbKhQ=+PpITBQaNv9Fws+fi>_%Nbc{<Dob
zV$!gcpUaV5?N1V&5Aa@(<S(Po*q@1zWAVrmb-V;_(Ld6mOI-85`3>(mvtzyrT6u=x
zg1VX$?}gEFmcjc~cs%`7Ly4nQR=vZWD!i)eHq(r*a~HeUnnMmw@pIMCkxv(CL4P<T
z$C-N(9;U~Npge8Z?mcpZEr*%iKF2j^Iscw$+JmF8D;XR+ZXzC4)kVU|wHvX6#6IU^
zbh69zU2rgUwVBg!ptJY%szuj4poWFxaf+Y00!L{NY!|VHMN_v&U_$yAInvgqk(tsw
z$Uuif)g89z;dTHIZ54L=2r`N)YL);eh647Y28yX(2oo`+M&tm~*b<T_N))ITd~wGs
z4m<$}d7l<_G?gok3hKr5_|!oXCtN=Mm?sX9;uNIA-QE^cLqO|W9tO!Brv;bU1{su)
zbN2=Pd?0ZRMOj|DQyI!uvmShGG3-tkVPOfe<FoQk&ItyOk)Y-QqT%-fW)(h!ssYd`
zJfb<pcC?(K_`AgYWZ9m`$Z(k*=PT4!NO<g(a+F!6#{6vmJ?Uzcg-UZ%hD7xARdL)M
z?(^?>6~-BBma=3*AfJ{$RmeSTY<am%2Kw+vyXHUhRC;<@jvA^nwQl~yU`71m;5j~G
zQLf_FSd$@&N*}5W3*d|NOU3>fpZ46HWMW(Ygp?9pvDN={{h|UQTN}|&9?tys(`zE+
zR3}sjTLEKz1(;LM!YdXEjVu9>rTh=eoGX`jsuM{HAa3Q?)-x+o{5iG{O&;I$O1+@J
z4fR8~fH;NUuT9Mc%3VymwfmAKd}i^(%1Z!YZoAn;hqdvl;86HJr;8Qz_f@P#kz&hd
z6;RyXzZ^shd_9Tmbg$wnI07+Zw(*$>o;lNvt8iRcV}91wjkY38_Y1c7L-GRocs4@m
zCDSteuFqgjaKH|aYXheRg&`MSItXf~3M%2nF+iYREhWu^%S+VMfpROvEVsUMy$$Y3
zt=UDoZy*qr!iJYv{IS6T0uEmp`|Q&<b;_iL!mU?yizC2VVi~$59r=?u^NQO#YB7#5
z8{6zOVl{#PWS|)vsKOZjTk&{eiv>HSAw`7zIMOm9v{lv$_Tg>@u(C5iPKy>*?#GSF
zubz4WE%F&1AZ1-dRh3jB2mU0Q7FPWf7U2`W8)weof``SYK~S3+`Ii>_=T)+~U7{9l
zs1((Qr6&4H5qs`!*FHh_A@j3FHq@M^uukq?$J%}|y{vznD9DCphEm&&5ilbUzTzGv
zhgdKQOw*<OTw_`8Yw5eNhtse`aJ6lIBq_*YeqlZ+uKIr5Fjr{trem`7t<15Q_=$&}
z1}EU(vUg9Etj%C~$96YM(oV}rO~NhoW?geykNe{mfdac29W#ci0AW)s+BC2=2+qgJ
zGjXXJ_k?BJcVCu6ocsW6U;HsOt=;6Cu+}w}%dd%;+i@i{L!0R2#SK!AsN%D4D!f2j
za=qrAD5UWFKSDQ~E9!2-qYv>`f*^3eMOtjc%I2J69m~`aPv3x084<o&1xDmcKlh<&
zv(C$7%_0~l$JF-J2)n|2W|$!7#-A^Qr{76UJ9wl6(O&~v8=%J;|Av13{@y%x)q4@p
za_w2cSs3{3%fV2|iDC%0>3el0@dN@o4yoyQqDtoSdtahGPqRu6ZAT}D%_*4+kG^V1
z5*&bB6m|x?Y7~7iePQOW-B*=i_;3#!k+}g7B6ga+*@p03fdccF#Tiq}u8N*g<u=2L
zK}eQ@42dXJ<!U7QucHYTByTfy?QC5|MyU-m2^FA}IjjN9vg*R_t-Q!Oz@Hx{D-d7W
ze9eWi)!hGD4mgXdn;<nG&F9YSq<V;Fl{k>w@+a16u-KMI|FEejPGc!BKWaQON4~2Y
zRKi~|O7yXo5^_c=IC36vHEYZ0H`K`7Mb$H{u2-%7TR4lgD9YWJ%;4m^l90POPcRa#
z7S*~*t%T4pHL6&*NCy$SrELJKHt2!&6FZw*R$}T0Iq#V3xIwz_q`VXOQ1}v!&}14p
zqNOWpbH=VWCL0(M)unsgT~48Z9YiY0Jen-dEB`5fZ?3=;gA>s#k((nEgf~^sy-<0e
z;(jCYaeiUBo4fI=Sy+{$SuPvjl4Ie)2}S2DqNYNnoqQ#yN>be?;VKB!0G2aYiw$2|
zAD5^rN03V=VQ{$^7YTfZ%42?EDST<l5OApNKotagA^GkYrn7wrQc63i#q|D-XPSw)
z<#dP)l|`_=^R>FS=0t~pE#?&PV49Ng0*Il5^UI<$E$-K;Qg;zezUL@2Y2xj2fQoOb
zKY3*d73j285o$3z!lCxG&J&u$seavvw!JYvj^=FC=o%G{XX^hWq?m4{%s^W>T;3|#
z`YgH&M+SZ>d})rw74d}gaF#!a!^-jTRax;1i^wQ2J2?s}^f-948_)a8KhI(j5XfZj
z`k6#plENCDL$)E1s%+7SDG6CJwIKjMfeALtK5_Cs@9-Y3hO;XR%L`8Hf&h@ta_kz`
zw9<}NS&Pm6Sg(yxU=<dEZ$Fmf9JsF62^zW1iAbES4U}`w%x20?LYx~Wex~~B9IH6>
zb8Z5HcGC<9U(4(A*D;*@^<dC3SO!(&L^5v|uM~l(;R!}Xo6`1@KhO*;^q3cTRQ=tE
zhK(S?>%T7t>oV;CM%&|04$m2-@+R*|#mH8AY>*^dYXNYunT?4eb|Ft5ln9~rJ1IPy
zf^=0M()|-?5HodS+YeSpfFMO}QuDc(-J&`UaUPu_Bb>9MdMRkCGL=vU$9F}0vXzO(
z5$u-mp}_<<mGbFlh<G!iVo6W?B_)UJ_-p-p3QuidM{Sj!I&MtN^oMdeU%P1$^##~b
zEwtDuyXDp$Rft+VB$k)d<Lxyz(|gF`Kt9BhG=fE38<(02pJ0g=dLy~Flr;!JvL$cQ
zgcwr;;fQCi>OM+o<!wMyR5;&1W~Zr^#a;i3TkwBHsVPN?9qV7R**w*Q9L94Z9?zQf
z)7v*wRpmakZmUSU4z~u3sDG1WYhZN}sko|FQ&-3|V5_xnNKA+_uFbAoxn^<JLklS|
zWn)xWJ!?#VR%Z%r@D&()|C2ka`)f;Q&OD`#E~-R`(XZy$^p8YmpI{Y${aRJSV6SvR
z`X9LGYRg8NPPkY|U5Gp_X?_Wvo6K2hh);>EKtKeK;8nsi8|%c#NTzkFSK3CNH;&q5
zzz9i(%Lt*^3)+=2t;F+#$TD;zf-W`szTKhp%%Zn_R<nDj^I2KEhxt~ICX)f4eli?M
zsOsn%DcCxm$Txe0(2!@Zj~<XA12d_ve!^ynn~HYW6A`b8q%kf~%)3GF?CtVCJ+rTp
z7K&Etx~5qEp0@>eNxA~D{_1*1U-Y6ENuy|xQaj^*8xDArOi+8c4^uH2{xN<8!I)V_
ziXkvX_NbjVI#Tx#C`pXZ?QvrQ9F-X!KxMQXC?FaJR~`H*hhL>E%{Pm$${gxNkr#cK
z&j}o`t3nswST3NyU2NiDUzpd(U$8f-4p`Y2ohkuY^>3F{d`FpL$TDS^N@MNtAul)m
zxN$YYVC!{d*r~pVs!&7oDiEn`4wt}$xd)8o;T|qoE>Br!-cX!~ZCy=y8oFF$IbuHd
zfB9rb&9uOjLYxIkWg*ZKq6!n6jT1{JU?7kE+=$hoFTD;PP(>pfjT$n6|5uz&iOs2A
zpWCfP$17;%I@``3xQ@UXfw@yeqZv{rRv9$Sai1FrYW96)UUBX%K{DT^v1Tc>*gLvF
zudCok4jXf?R_#<o+g;pjc;m7D^C}R`b(GbSWs$9&AZLCY1l`}36PjG0rzfHj@avRR
zp1d~eJlzgVVP1(|WGj+3<s|xGc^8f&u%Bp=yPm4tW)lg0K~7e9+7xfFK22I};)VcG
z_Vx+uwX4uV@SxKNVj`<uKG6Jbm-H-yE@3v!Dxb1asu9F1e}YpgzH+TPs#jg|YAj#l
z0x5}>Dfw2bnY<5&2;?H2ySTfs)J+`pMl+aY_tau)&tV49f7n3nmb`rg7i6U?f=EqV
zbbyR6N<9Ea!Svk19sai6yMSF$yE>4PGML7ga|SH{(gaBpGJ`7@90kAJe`Sd>%}O~V
z5!k36WXjM$rG>YS1diV<8?}NDETP|K^AFE6Vk<fDrSNZp0vi3QJa%7B&Mg9tj9OOy
z(7Hz-x`jHj|C`=qu(%Te`Y)z;qM%6ntclL5&nCF-;1K5j^2&6ESK*8IXf)-qutr`T
zi??dGg|*-TmH7qsRgeo^#2TW*QP1MOt7_p8jfA6OYYyKedcKm4ViEDFM%r|2+o&G0
zgg!-^+30QirvV@Sq9r7<g?LNxOshZgvT#Jn2zs3C&hCJU*?;EFP~cu?2Qb*7PvWUC
zA?b@i$q$;B>0g8u6(1F5SEm{-kr>LALRo0kc6I6{{2ymki#r}0<Zc<Yc|o#suBlDI
z8iG8CwvDxB!@Gg~5e#D2D&2VQh%hQ4Iu<p<6yRsAnqi$2JrsSP1X?23vXqLbx&nyj
zp5=NLx%hKORVG+BZ)Tg%SaDJ4RQ!i0NLFngOlvMcEb`#f(8|9JHbmt4_+3w92Tr)`
z;MVRB<~yq_Y&UKgvnqU_yd9Q8G8_f#)C}@lfL6P#43m&Z+D=7rzd#dDhy&)D3f7`#
zux^GN{4}fuG9Z)h^x{h-IV2@4FJSzY)P3xVSYFkxwE6CPc5sZ*yb9(|rK+gXS~qVk
z|8)9EWmv8&!ED2Qtx$^&!+$j}K)@}wFdZ}Pt35l=SeWn>`UuTo)PE(eVZ!YtF!3|q
zmim{ypUNq&Q6D&Y)NM1YE;t`i-J7SAKmS7vF066isIv|BIaVZ8HRD|1#Z03fkuYkf
zPu{h44(K?H(AyaglM2W=bwz7ekJ_J{5uHrnnZpfnh<?n4c;R^*vS=N`rz~+yIW#{4
z9xHi~L<?2!(HQPPuSwfRC(yR=yn-;*O5_UE524-{h;DlCIoo^rJ@<eV_)dY)7FF{q
zdJ<)uzT%hgW)Ng$aK769osW)x@^RQPR<wydqK8^wX1;0t!e-osO>D40U|LHNL`W#d
z+8?t9%j((eCJiYj{UCYuqug3#lt9R`Pjv>NJ6=sB<IIm$0#cT>&cLPXe~UFJo{$~Z
zO^DPR=F|)q!yna(_4sCD0OeKS{YN*sL&x6zr0f^b;Ui~-TYjPf-AVz%O`ML~w~M-B
zDrG*r4AN}!gh$72+abe;_SXS9K{GNYiJ|N!Wz(!`$!tP~RYCjr3er}N0k-F(BKCN@
z-im8ZDiQltG~s1^*J`a!?#fOz3_>!Q0&AOSWs9+l6MY)zk)6Musc{q}4dbPu$}6_A
z?a0TOQEty0u?9|w8!N{t&x#{hV{~}O|4b<3=uFL(xb`PsB^{oMiJ}Sw2No$c`h+u;
zFF$GTUI;-D>|;qdHFqpTuH)kKN_XdMEuZ4Gky;;g48Y4<Sh61bH>%<!W9{)5s!n@)
zU1&E_9}BXWo2cMGp12Wg{A%z2s$N5&jLa^K4UKyD+)gJ{L)HAwgBvtMZSW86M2Hh>
z-on=KvASZ6WiVViJ`2*sn0xy5X=KsPrs*-bZy~u>yeG{Kl|Ho}JfVbs6%R&|$yhhW
zw0k<XHxq9Rsd}!a&J$X)eEwCNHpIDu+sAvxBD)(ZA2-3t<S#w{{VD)knN{%WYd4=9
z6?ldox5x>0Lw}?$Fz^lY6}&?w_5()1O5&RP;~C_&*4WxmkC6;_;hLCgkOAg<4rjHY
zHc%tsa^A!%B(2fGwCec#V-8fcV`l)ws?|x8hC{!F7g|;v0o2^Zv{6u`9oR`Pq~Qc#
za2z+@a_dF=nX0HCsSGs*i!xu`O!Z5|Bt6Y}{M-y#n_81RY8)}OfSzBpL1}EROMi{&
z1y%GN=3FT4_Aqy#EBlZW30#TPHpvQF5mtIjBk=fR<x8c>&8whs1ugisGYVRbwJ_cj
zLx9Y7ZziBTdJlfU@Q=3@Bo*x7C;|Lj>9O;~-bwq^ba|?^;ywks%0s;0z;pSenm`1Q
zq<{thwboX1$%+!82(OxZ{CU*rOW5Sh)%3^JXRES|dkCP`nV=u(9S<V|fzMLjqUVYl
z0A3caeF7^Dda1zv4pc^^%{qWvMN)q%y^}vJEaaRt@YxiEoO5Hu$8(~L9mou5z^%=%
z5OR#D*tJ0g^GRRn2krj=+=MO$!HlG<(5#H&>W#C0pP}1eP%A84R=x;-6<#>S#BcLa
zyM6V!*a=q`YCJ&pQ1GJ<6R85`fv+Iz`MZKt2UoxoQR67zJ~wPp6&Q=|d>(p_Sy>&*
zg)kQNJKrvJb14SC1!Pc|^>`alvLvYBzi6sLTh=vEkcc|?<YhPW{NZlmJV7+YRvH=9
zyXtH;YX&0jaItF*i1V_kN}AZ@&aio>#ey;@^}12_Yn^}FV3hCN>t7#>AwvF3d@7ku
z-AGcSR{|eD?W>25hfD3Ja(||IHamB|PeR&yA5e}Dj%R3czd8!=<}2XCUT2<Z`QT*^
z6%0>BhamKxz36`6dG9a#gz+7FIo<P1U|<n;sJtX;rD%_l4xmi{G+4xuJB-`;&$SPw
zCTs@Dyo(fhSvfX*%3vygKY@vXB2@q{?TxeLH<kAhN|f0G#vVo>D<5n#D#=7*n57a7
zYv?1n(ZkY~1vf@kLpEwSqEAONyhHI23pF(8xy{(-Bmv$zNF3D+1NKax@}KL0iZ*4g
zjWx3i*OuBLY5j()T&_s|tL6zl0WT2H@8RoEvhCtN$2@O_P#*y}4kpkZzyY)t8((A;
za$`3sPLw8wSa8AKy0PUHMuwxrtG_&l747Kd0$}wl#MBqSKB-(zb;B|Ywp8&+qukQb
zP9Hzwb+f%#f)Acg_v6Na&$yAPNPRmED2&fvIpD{oqiY~OgBB`?0bni5p=x7b2;yVX
zn|ObXpzug()F+(uQIe7bPZM_<2HObDQti(2-b-uh*4gjunV7(op47@UZ6Cj$FF8}6
z&o2nli2<y`mdgr=QXEFTVVV+#<T>IAc28$U*~n?T@dO*eoHxaOlY;7*u8FuJ1g1!d
z_{A;qeH2{EpQL)^$$2gCfWTra6c+cG=o~1mmj6P$k+Wu`guwp#K=7|5w?zkc=qy|D
zBIORQzZ$RwI)y^9QzvhXONYh?pO<V<tig57KIRK%0jbm1o!B`XX_9)zRJpNt)A<)Q
zcwjk2+K{G7<K(RSCxLpjmLPrI5Du(ym1}E&mbz-dJvzP=5#9#AJ8<M`8@Uma^ixi!
z)#&xhpb+-H)nE17ajSmf-43o;v81}Jn~*OdQV7NvE7OG{URtvJ)z2%Liy;`Ouz^L$
zqhK;0yY4-H5Kow$4l2<|3HMBCO;2@BO|@2rOuWZtoO;~X_Vw1U7%7AldGZ+{#pqBR
ziiuybVAZEWEH%l+x2SXw%q139SZ%S8W<4;ITI~@u-DyH2nr^D}aG<Ox(xlj|#g5uQ
zf?YGrEd-N%m&C($b)zdli3fIhegFDyWYyLp2zeIuXtQW9E;vQkZ@E*+Q_up;62v{^
zo&34+=3X45wGI;*=wSH=r=HVuL#@3;y55XVkco0OY4mJ|slnGYj;tA~qnL59WyqgX
zZ<B`)1TTc*(eXwjc((|aEJZ#%Mu)wL_<gpGp>&oZ-}WxxgIu#@8E>J@D!)3~U91e5
zw$2fM1^_d_!1EFgaK`U2<8G=#$~S4DDc<sc%G?D%M=8;#7&a4fQkm3sHFwyp+iqZA
z`mB68lT<Y$dG_D+IN6V*{pnjZC)1mvb|h9%<6iN9)M(vI<kr`$YbP<vrVJ?!d!zlA
z-2-;ZKc>-X4P<{U>j8gbkHj5tBwjE~M1P)%q7xEpG#8l*qNZ}$)p>rZ;UE{BWdcie
zz_;b6r$}N8mV<6nsO28ZCeReig!*F)#!v1p8?J7-5Y%1K>BveU65xD`?gxbXT!}L7
zCjlhfG3i6Nrwol-c6LC$6V@)JF174uoH^qvl#k=ZyEH#({0q}vjefIh2XPIv)4iFe
zA9=;`s=pBf$K<i<@7_*Kqx<HWIQFUi;07^PUe_|j^Q!1n7aErQ|Ay9E8%*muskl%N
z`8-OA+2KeO`Vs_Kf-?;9IA(n1wd!IXn7qS&x)o7x(}p0~ZlbF;-^=ilHY61BBrXY(
zZcZv?wDo}Pu*qmC0gby;n|o{(dC)PwA=L-ai7-Gbl*+``UuNvSW}}(s<b&y*roz2a
zbCo!1wK_Wob)M2BYpX7JO4iDi(s?@%p?a4|GKI7IP@{roxa+=sjtq0V0QY4A_MKoi
zrb1z3z)mOtH-?!jiVm*CCSQW|2Oe{^`XhBkb91Xmmo5#_<U_QFn4}TYPfXLTGDUMq
z*;jMC35DKpi9sxoZ`NEMa_cZ$-?7z_$*7nUGd`h9_FtjO6*nSO5N3)&I#$==!$D!9
zQP--8RP#4UHABfNhctk6fW?Z=V^JOXnb^N~Wq|v{$JPyWuK+*`Njp|N&YcG9OOOVu
zJ>1+<t6y&?*}Do+fh<AYHvTjg*fq_{@!hV*u*Av=Vq#=g)ftbw^#}!;>fagdVuRfw
z^hzPNiZxfMU+0kz;Zt>lLE}#^to=!~d7|_-QI-lnuy1EkqM|sK)U)BitM$+L7k3+X
zyx=Z^zd{(c+xp(Ps8DBKonGQUcN@E0hZmd<d!T?p3s(l?Jc*86g=J=2JN|C*vfm?0
zxfUMk7A8K-o#yU?2HFXU>ONBvvtYgX3sHPDq+?po??_Yt9FXD(g$x{=B6ps6WrVy-
zpijYf?uRu`p$m@=uVT$F?hW;r=`@d0M_Lz2IwUACoXbE00}I${C9kz6)08m^89-wk
z7zaBL5+HaDM|JFLyR-GAei0wB{`S@i-rk(>TeoTgMNnIDCLC+{G5RhE?)M}njF(7R
zBbp(f*+2|BaJ>KNIN*O*xC~Ww&{=5b63Q?}1D4+rU@XxDMVTuK2`O-?N3MhcVRQ+x
zA%P}QlS!osn2=LMF5N8zfulY+8=0jOdk_P;^|vX5NLE%;kmRt1>%Gc;s1_RK&vwj*
z{7rHJ?~;#mi|BgJKh1yO^&(n*Eti<$(hO0T{ATW1=zeVkH~MVDY2=l$ti^hnZT(S1
z6El-rKq-w(P>Xb5S7t?2^Qg?M4a5;-70LZW8>AP8Z49Sp*|6x?^ie>tV;%b(gg|Ls
z8Y3x{_0|A%kAD{${|fuCvA=jsfU5NRDGza{2}5d*Y5s(^KTS3$+*sWm3cez|72p6r
zU49g|4WnpcuSO}D?}~dq8X$=Av#~2W*+I~q62bfwj5VfX*e{pMlfN9iZ>!EY*qwOH
zr-P7k)&si!MamnYd_tfkqSHnG)IC;XIg9r9S`{ia@Ql^wqND<D6<rHQk3yw1Omk($
z&}(~;qa?JxhjmYklG!z%+*776CVJLvU9GqRFY_ig`x>eSTnfN(bo+(Wt1@YFsfV@O
zL4chu9TfPKu=(F@%R8KN6XV%~+rL*+<h9|p9vmh4fA93|?><)O5_$Av+71C|;0hPz
zyUsI@6jBiCnrS4Oupz2#kt<8F*QF$qt~XPL%EZ|RO4>H5yTictrm$bmQ+k_zv`K{|
z6LonTW4w962ey(#fRfO;*gdbVRJ;{UYvswtp7w$|tKHQ?|B|#tlV*X@tzYcrgSUum
z0+=Yw^DaQOT<tMTL_!8j4vB+0o}7&+sY<O2G($s%nqlZ*iZaEnc-;(7A(QvKyg&lO
z0au|+lb-xGZIvjfdPjarjTq<aSg(%311|AjA|<s8=z^xD*o(16SOETC%i!NY1OCtN
z`)e_yPxPNc@b0`O4^hRZZeC4tP5Fz64ou7ozCR#$kTfI0h3}@brRj6+V@&=}e#y3x
zef7CG(W`D*J<)hITe~T3VA<CFU$dJmo7!s+BGzm|A*R0of98-q?k}ZL3Luy?)M2-&
zP#~)+KmTx6ZYR+{7ex$?WC35(w`VABw9b%LeTRn)n$DWv^h|46w-e^GbfJNKxC&mx
zA5PKh_T<VsP+G~Un&7@f%8Ejd9Hoh4(wNeQ??gaJ%nVRU1rPH9A#=yHR|xpmO1d`;
z3{Ya$aZdw5zDG7X=y?x?Ew6K`{sp4N*+eq*F^jCXDg`p4o5`ce)Br_7a=bv)8$)8O
zcwST7)bafUnQd=+;J)$<PsYN{JBPrv5~;6_b-NZFo1E=wFm-KJqzRNYgm~h^3nJrH
z`uxNwc2Dz1KS!1JOB9y;as>hVz6=SAWlaVcDytglK&c4T@?B*kykv<27=bqIwx#2{
zzbQH-GM7;KBT+8DeQY=>;CjgLp-axv792&FuHJ`TrB7-99pE&O<I#}C@3mOZJnx`N
z!R<##FVFsknlTeM*t#cC>hCRQ%mM>`#7{I)5HrMdbvs)F>lM}p#ac0mE5=1y*mvqa
zMs<xl#eM!%@)tHMDh=&XEA8s#_*?NT>HgA$`=bXI&fmC8^TwOk1}I}5%-5*4SlU#h
z2U+gXsKVZcG_b`v|CD3G0dqIJF1{Rz&*14a0dMkQ_?b{*0&1>Ez$N%HQk~rKa-4m~
zqz%US_?|v=GZ9;T3FoFO@Rs|y*(Mdy^=<Uu%gW6~OV6;rCH8FBA>=ldZ*q)}@AaJ_
zfh05$OaZ#64>P=wACahXcYpiE<GX=MjcD)rZPh5shLv*EO9Tkup@<zIZ>`#ZM6=oI
zy<0G`ln(_h<(t;s7&{DU3p~|8kFTmQn#@(HKB;TtKygY^RZPpGz05o#G%2@OlRhJA
z{`3W$2X{d`U=8~vzG1y;-7{GMeGr-IB=?g7Y$r?;5BxxNRpfdrJtMF4?5J(A)T|P_
z+eIdH3@Wg}o*-mi^*{*d-fC9(@9U1h>qqs7!-gA_KJurWTQTd+aoyw7gvdhWLOso-
z!6HrNh%)N7f4NOMGB_O(sjUDm9;cG~Jll5(C1Hb|W=NTF5+6B{<ep|pAA~8`fHH=S
zX^p=&S~p?igwebfOx(kNUpMfw2gJ^_@xYI7C*c|*kEbs19UEpf>2NiZkuM&?ntw(+
zHVVeI!F|WplPo%s5-+#h#4I(IV{9!rhVnakM?Oo>U8m7S;#oc|Z%GDAgv12%WWAh4
zaXrV$T+K`l!OZ~a?;l8Cf;$-_cK`%Ke`qsTtUs1GA-=y-9gcR?KIO9JX=jt0YAxmI
z#Mek%rC%2UGv0)XWLWJ_$vUbd!Qcg@JK`n`XHIC`^(Q?aCZtvISS{hR%&8(hoJu|E
zZH{tOzlkuGwjw-i5>@ZEK8N(G1A-jdk2X*RZH=S{kDRP=E-5zHa>SIg@99~c6FT&p
z3vDvK@I})Zj%rQw(5fmbJbWg6jN{m0xp64!C!0%Yog0EhYF6P~#0_l%+KT0Qez)%i
zBU^j{=%tC(lIcol&z!;uKvK-^^0`ACDijf8E;+_d9$5pqLz|}3geuvKw@Y}%$Bmw7
zmJ{K&NMrvNYz2t1_+nPh_Z9BxB9A(D&($8|QsvGn%G7EfOvzVlV@BN3gP@Ji4~sp#
zz5!MN!a6Eu>ZS5d93!RY#4E4{w!ccl_*>J)*}eCRT`ta9&ra|)kzSD!hLtC!G)-P4
zzXhJZPFVnx{n4wufCBHo$C$%G5>gnkgSG1QyMU0^p#v!mfj~CYm$VPZLWoD`-d(j1
z2~9Kg#w}Eqf*EWe09zF2Ua_Orl}XIno&gVGJKAyN{4~DkXlFoQk>(a|(y6n6r|FTU
z)tBU*K^xJDlE|4Pqc9=t4D1e7>~SZL=FSgBgZhMyY+Xl@nC5XV8^!1oej9HI=&8K6
z=uQ=voXlbFu!i!VRyqh=?j@KQK4!9)YEtJrm~nj|8Tj%s)Q}e47{g>|bYci^o|hWQ
zzsyX8n#9R<;r5OwY~hZBMo8<q3_Z)gqIrhTb&N;(;$O{yrsMPqeM?AE3a|KJ+GkPg
zk)R9(8=a7G!P2>B7VMNmgiD$WU)#y2SU&6O0gX~n{Ta;_+_K)&B;7?oT*sjtszq-v
zXt3h%^wLKqM%acw>|b1SAyxWAP^J|)%O?{Hep9|Mpg!$ep^P4aQB5SoXBIY578hbw
zA8^A^<!b@Ixy>yNpah6U9S4e}bq18Dr_skdv1wg6mwjrqc_)p~ETgXR!_@gIr=OQ@
ztZ0Z=;#UDi5%{7Wx+D{uFcC4G?-U3-j4tq`3eV8!t)#4?9QeLRJS8Oyjl})q+m$@=
Ly!?f7j=Sdh-L-%g

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_woff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_pcs_woff.v
new file mode 100644
index 0000000000000000000000000000000000000000..cd1ae8da5d2c3648c82aa6e181f79e178681c313
GIT binary patch
literal 23624
zcmV(xK<K}V6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Tj1yOv-1z_zO
zu^i~xOU}5l|2(dNMpvOdKM{Sh`lH($DZQ8>p>;xY0fiAepK0HpHCKdJHoT8;{zujk
z8FDwnd7tl(4sNDB6=h@m-kk*;1U3UQxRi(nCdPq=A|p~u%={#>vh@JmsgFXU#kmPo
zDxkjgHn$xVGicuM(RZ)3<OIj!-$YNj4W%zTkUM0<EX4NMNBh7%>vn9f<YDAnNA*P_
zi%|GroZt=im%YCN3SypgCQrfP*?2iT`L=oLPru}rnM-%);!9X=1l_Vqfqp75q;9O#
z`@;Oh$a3wt4*t!sVwT?(DwIQgfz+RPwtUWG{mIj2X2_8yygX+>{Qy_`p2Ho|_GY^H
zlwY3!ZOg&bq}1Nq%pUaCGW<S3-96co_=Ox#!#-k`-$QO1n^u5q)HaRZ02&|<``uNm
z6bXO^Xhdk$Kio)h*I(}>-}udyXTf)l-+t9WSzOoWn7^xTjGl$L<vD?)&1F;8-OcT9
za!b#K*p`OexZVKdYqu3-8BcNW=sq1**&UN%P*AE@*XZjRus|p9Y80v@lr6f%f&(^7
zJ7tNX>MP9+ca#+!XkDYJ3B&VZ<9}j18_Kc6k%Ji(TP!7Q%{v(wl1Nmq&}d<F5K>By
zK#xkVm<K!FV7vRFcqpNH4UI^#p@PB7W(4xOmRX)*6RF%34xP_LEF|gimWo2M?($}s
zb3P>XtW>nG3BBY+_<N0H1v%x&7yge81HfP<xQ@h8VDq@!((k~5Ij4jucpNRl8{AIn
za(W<GPWE}(Bz2eTqyBj6Ogt&Tpxv?IFl=%?sT^#?fKjF2&?+Yc5({%WJv3Qx3~GTh
zYO`*gJH)=PjS{2oLso}P9s_{Abnil>@mVOmm1=oQ*C~<l#+<$M=`2F9y+3{a=)>kn
zrAJatJ=}unWex7W?1lBjdZ6TjVpS*eXZg0LIR(qNvooU$x99Yn36;^PT?8+d`B){y
zRfO+XA+_znLd5E9S9n4Xy)akG>>CiP-jVqL|IrYFi-yJSKONOwwwQ>#=J(AIV2+yt
z`GB=j!55v!Z`H_UwADXL0iI;KJ!sZsf}+Iu1pyWHGKXF_Sb|0M@29e#T}1eA&|_n(
zA?PnE!z{_#1ykGu2D-2ty=ed3Qw<!5_qN@(HpAFpr^Il10sNI2^%9sWwRk`}?hR!7
z^wj7gqk5~(`&v=+na|;q(Z(}jq3VK3nHIyQks|;JV<b#4p^G9gWuYc_Cw9NdY=_y6
zqyF)YJE>2y$A-nf1}G)}VaRb{@VA}zk3>L89;OBKOpaN3ly0F`sUhlSadtyXD4b9i
zp%T?op=->^HTBnhKNt|ikgbHi_L0dalZk66D~~8gh;$lT(_0rcGII!=`Ukf0i%6tW
z-)7cEDH+^5Y{likmu~nAE~Hq-R8eulZe6<HWP4?kqQ;>VR;<k0CW(MtlzaP+cYS4X
zK89hF`>IE-K^6vfdhN#%m|IXhG7cdS?tbX|FkcH`xRI`k4o4Ody%I7}ZgCQM4A+~q
zyK+7ZiRq<e_PD96=GC?wq|I++CYzU_MX`L;BK_9dy>+9k4fjNKZ#KEPPwl2T8m;2@
zj07<T3*X&g8jV*VxK`MEgNv}koC6+@2}DGdY99GY(L^YW{?Y4tZM$iqF(U7Bp9OdW
zdUMP9(^XGqrBGN?PqA2|t~?Z(yoi}z@y&9mkQi|5<jG4&6F{7|Kx!n*;;H;>`GV>H
z^}zr%PwFs2lgp1pKbkWcC<6qCAulhs%^npfWr5K(HT?%(0kOzNXF15dTDeg#twC2e
zov0auq?0$^|F6==Re+>i6DgFv^g?gt<(C@9rr-*@`6FLS^~K)I!&AC1W2-B92`L9t
z5r9xUMEM5<!|Fl$H^3GYHo((^Oorbhm_xAm&!|r~Xl^}$c>+1~j6#Ts2=Yheu##x0
z?u)iV%x$SEx#@zFSykYbsjpjg{XUGwPV*9AdJKijftv&Rv|wBvv5vko4=Bwm{;la2
zt{t&=5(qu&)cYR8)i{fO@uN97T;V<M`t&S)3yK-`j_0%18bVOV(#awJUQyI`!Fq)a
zl+MCHB!+%~pV^K}&YauF3M(Wibx*av{nq?#2w>pCK}`|(ldvbY2srlds9H>yeoN7$
zHil;&!h=GlK9`M6Pu=V+Zx`6QF|?nClfpm?TMx=#(=nN(l~8DX$T5NS;mURj<89$W
zJ)EkjS6ZipC48T)P_uM3Y$bskmVzm{{Ugkiutn>b0N&Q$_2wj;<!080t|+CSFJ6*h
z(;(7yeMU2T*-T5LqoC!h?fcGoh&Y$uXQj9ul(@F@-Z;k#4n!w^y7~P7=%0(E&d$ir
zc(VI1EVpjr>l245&@__=Sk4HrU|oo&9|V^?^ufZo)Dm63K(<E}Jj(d9=hIkb1F<eR
zza`b5;sT?lQa;NaN^PbPMY)Rq5pe6m96MwGKUwM*XrAYg#=yu~2pcnI7Bw=8Vgp&O
ztzm!fNMZBT1)e?o|6oXb@*z*<#z-^4lZ~H6a6?J~S{Cg@b~}4D8nDEzok24EST48_
zV8l7$`!RMgB8Ta4$ww^w^jf%E*fj^Fsg(sbObrvZ52dj3?gPMNMz-rlKQ%|9g>y?b
zk94>{Lv40Iy-<Q#fC{Le^(vh07Gv(Pt)uK|xYn}@whU;jX$%&U14~>?-0!8gK8^Wx
z4EjzcW5}`{MQJwAUK9;2jev#IayiOK(=VsQuCy&!)EI4kmBNS-`dc%{d@6tM;0fuw
z)NeXvhE<Z?J}%#q+UaPMM$cM{BLWW|akEnK6WAW6(&)TwVM%hcVnlsu`gY<%MpO*(
z)uWv{)Ca0I20$#rum)_JsrDZoVnUonZbh|dfV|~-?<q=LKW7;iedKKjc`PA%+7pyM
z$V9_d^VB224^Xa}vy`wE&71z@aQTW)u^2qCqlJ-)lMj%hoDZOn{*xDgEGG{chchjf
z$z7pYcq2t61foXX+3wj2t;Sx1jf?~@Bn+yt33-Ly^O;XuWw=EmYz-PKkkRqOt6S}i
z@<GYossTB~uRR=t#X4arvUeeUCB@!2|3K>SbJ7*G9oGhRXF{Up`ux`6#@p=T&s}01
zlKGzrLL(tr@mT|Pa&xzTD&{|$maUw5?S?%Qupg>vNxuxvAz%ackY>N}_;R9fj*1Po
zC%j)5x|~U0{NJ#t#^B0{e^+=41`WX$G5!?L47RSC=VH;~?gQrrlFLGFA}s<MJg7as
zCSy?DS`|t*o;P&NV)0tVfk5}{x<2q3V9LDy0_jzgAeu-ekS%&_pw)g-bo8T}C)*YM
z&PBQ6$n7M)iRUn76C2C&W%$Kr-)7aZzJ2gfZT_(%)-Ey1t$8&x?Vn_bB|k)eOORqn
z0pF&>jb>>(6c^2oDhjriSF8F=$%k{rSMCa=ZSC6zaP|qT11IjgF;bVLtv?wo*i=b1
z$lROT9&|e1HwY)^p<|A#sqv#y$7d9*{{Y%&gvN@b5n>ef+$RmOf_gMUMSEG)k6Q-w
zX#buHIl7w-j;09Ni$hsloMvMq!NN7~j#%<o=}8RF^kH^Jqs0i6OlmPV{EH>u0`}O&
zgE^`D{kKI&;=CSS)=90koJnL{T59C(t6l=Yl3ibyc>NMz7LZi?T>9-JwK8yUe&-X+
z5^?{5GgHhn7X!mwJSV!;jEXJ?1DTao`%n=!2FG6gmIT^@0Oin@qcckkGCar8uO*%P
z7>sdyFaDBfGSr%<0$qDY_$zazw_S@YnI{WzfWB^-k$o;9$)?My7H}Ey*~N5`2G*|h
zOs9pfi3d%xp*x^COl?({EX@Nr$xgplZD4~mQs|FvA*FyXqnGeG=2Y-RylQF^Lp9j?
zTs%RM@LUG&l+t-Nlq=|?W#eC-A<m2=;6Xrb3@M~fuxclp<$_!FLupHAN?g-qkf>Zj
zyCCIjEysUae?$fWn5uCX*Eq1z^j}@uBp<&coM@rMFB*-03r0P~bv*NMJlR!o3^->=
z5s>OjjJ{Cy6Doafy(%7;>L1+t$#S<USb8GDQpP_(b}cqr;fTH{(Wv3A_i0sesTP5I
z^Az$w_%Kd$6rT?W*OLN3Vnu!H&ix|gmJ_kgyYT0ceT8B2XXSxacF|^2&LvDG)RKLC
zAjSB3&vWsjRzBW9enQGAeDzCuEDc>(oT#5vlV7}iMb9)^x{Y!%f<mdeqSNySYAJ62
zsj3}B*<+{G7#0+)bvoZ&zer;nmnfpwf|)Y-ZQnmut@VMHx4-s6XpM6R<vXMLsK2zz
z{O=JO=^C0bHr=5XvBhU|H+uilf3;ht8}<H2h*@#aG7qA$(^(!GR>u&um2Y(;U}<p9
zj!;s10sgH%3*&sogc#=mpo2C=J<$MT3*pZ@t%`1qk$3)ffxJr}PWOcLMOWRdsfR|h
zNfrs<!j6b$rs(6G`26(%{lyzrZq+|>)d<?|F+ncrJ0HBA4JK}wavci+DGM2y8d(_*
zXl!5LK&`XV+j|d`*=C8hGVPJPA$Y+TISftgxhawm{K<3L*_AlUVdduFCQTi%{bl}e
z)_$)~pE(!~_~d;u%?dI%gci~$XSV9EV9haJkuHnlxezc{=h*8}tM+`>Q1Ll*&>`!7
z9nj%aip0$z6FyJmK^dbx?*%@6h#6oCHiXNQr#7Kdnu7`cpM}}ah1Oo%4K%th37frw
z+((!+$dgmp6#zB+Pv0#A>VG=XeO;0N?{weFn_96p5(?_9QHjNO-$@cJz9qD*59<*R
zS5dtnnpFDQ?|}*Lt0c}3x_CVT({q@SwQ24AOu2Q>)x8?UiS%vfS8>>~K!gQd;dUoN
zp#pcIKf#B+Qg!9)&5u4tr4$SQ5<-`)kHd8T1sBGrfj%9@&O<9iR)#bI4GAYjVk*pb
zJg^xZPVh~YYa0Qc+9Gxu{%Vrr?2}H-8pDW=uXN-DuwI(};{qt|$hMQo8tJmRRlN<%
zmc+EG(Co>;;pBj<6jG+xSi~spH5TFra;}i6DqPUG@Q>IWJyj^K1JSn03vdSZ5_-k9
zGFMYWyA&_Cijz`GT!~`*lsdvl;1LFP!!X)2kfr%nLw&|@Pze<xdg3_9vDePxf$l$@
zF>X}(i*f4UGNxKdW;azQUuF*PF$;5}d}{LQ8~WY@cMf@>D`7ey6wiI>{0|&<F=O{N
z(TU~d@-se_$h~tza}3y+0qN&D8kVYAz1b05%s|sjA)L2`3<3?&elx7By79XwB8ZZ#
zd>bQ7_J{|}($r2r<yYDUgmK84LI(bpi(+C9pRklsYXzCLF(YX(xTe;J_Ava$rHj^*
zT{ZE@s}n+#%v&bZPq1Z_uJ-N5whEV>bKNrJRUMwEVin{#>}jTbr+h_9;W+$`pXhNw
zGNr~-csuudhts+KDCY4)b$2Xws5-N;ypZh)uCJ6%1wJWTb<e&TSW;?EtRL`#J69X?
zzDoH}A!?@w6K~2H=)Y9SX?d!oWv(*}QTsP<o1oLL5d#9UY!0z(o*@8X$wmN6sU=m0
z=$n(+wOxH~EO2=kC%g<SHX#}RQS7(y8yZ-I!5(ih{j<G71hjc-dr+~{jzASvKJr-8
zNNjZl7W^Q~DYmgtbv?33>8qc|MBmo~a4EeEgASxkK>jpXo&cui8iJb$6h>9ShoY<E
z!Kqgs{8Fia0>-)dw){QVaOP4(_3sy&R@`^6?&SMCQC7^poG?h2u>uB}Vd){Aa*4i6
z6j`|V+_|A$bM*-N_~KFtmu^tUN$A0&`N9UNefD^<=&9JVl!0Dp0-PgRTnJRH)=p`6
zY%a?_4`yrC?tMKR|3bf@{ENdS8@CoRWEqGCFuuWT&dI(t0AAPj#6_WlFD?J(x)kw`
zE)HmRGF&UG_aWbq^4V&@$)M$V>x#3B<{nhXqeGJ{=>);vV&z-g-p0iD*4!IlL)=VK
ziHDzqW+<W!$UApTnGmQv!5#1i+;l<6%c~UBVO-caeq+V}0%AS#S{(FM{u49PWs&gK
zd8m{~@=RnNLo2E`H$Pe+td*IMUO}&U@@Te{?HV>5bg~1{wF<8j#*XJ7sMiJ?yZYFj
z0Wh6p`m^hPZm|0k?3b9JZxCw3^zn}Td)f&6YWUqIh*A|%;@aF)waINlVHhjlxd>2O
zj7y4dA)!fZp+QLy18EqM4E>%sJ4mUMWNx)2Y^PCzYIXJnu?h=sQe>IuZP063pE8Nl
z{gSC_AYn#>2;;|Hyzdvgh1G^dgs?sGSHAb(GJB&dG!fdOjKhLiXQ1#%<m5a@@Mjj!
zdD7LNxYTcg$$y)vtD+d$94Pt#73x>fe$NHOtrC2qcWkRuu(E4-rT95r!GW=WkD111
zVp06S=bD)t_Pp~s9n;H2V89nFSimQ1nG92m5OQQZA!W2Y5IkLj>B2{YMn7y}dby%&
z9#FJ~Dev|il0XX6UgsF6gUL;9o7OUq659^34QF#|pLrLI%){YfD)9MSX~|nPttMfX
z8}h;4k!4I{PCC@>DNZ@$UNjl0RaHiP=~}_Urf|b(IE%$f&djXn#URLY5PRR4AqJof
z>AQ-H;JNy%c=5RDzhbE!u{tHbm*i}v(B?iDMaAgt&N<6<+Eb5m@dXJ|15z!{uq#!k
zmvY(v556eJo^bD(6urEmJgsyTxdZLyj=wHcO22A+0C$6b7Ppg4;@Iz}zvcdt{?0O@
z(2V9K*`5ZFYQddgE#5XP&r1$4`5?;K+p1Vs)!)HpsL+*0_Hw&?ma;NlrU7c;>r*L(
z2sM_sqyYkYkwunI2F;Sum^D5RQS~<!pH7(JUgGCX>vw1NiQd`qdNtP5#z>sV-$+Vn
zuYG&&>rPJ(N5Fq?;*wZ9G%<eDXSk{Gf4>G3({pBNT3@`&Z*I@UP`n4s?l_yS!-F7?
z^q9r;&AJmEAQM5WY?E{R<-EF$mG`9xRVo73&mm3`o3?Tlm)!+y;!RF@McBWz&SI6T
zEFJCZG9V8C7OX0K6svl5lMxo)jpC6+BtGuRA0}H6tCXU&^(2~#zS9yO<<c#{azCw_
zuh-_p8LQWgr*+<Stq2o);O3ZbAXuB|$6ks!6v*-q;oAVIWlzp_E1LhbDDLrlNx#S{
zeUFns;u0hK1>4LJ7FH%`+1KBA+=bSueV2qJOyp>b&hN2a@fU?lx(U90CMVpMCvCKt
zS^w2W(qKdwLJ`EuQnOXcCdVU(wmiBg*1EJqp_n%Y(^s$y&rx}@Nh%tdy>+1uCu`i0
z{L42!1bq*i&9A1(H}+aZe|okUwB!gU)gyVA4;a3+>w<eZ-@*mia=Hf<8o^f(ynR#V
zvly2Kbtv=E3ib&E)f(BcEIqSLYA$5qykZHI5^?%<*CHw>n5@}<L|-F(kNGEqZYt7a
zxm+MNF_C9%jI>ci7Sh=VhLW*zn&7fuW$21l>%*<hW19HB436_6sx~Oo^3|VvX|AA!
zl?=};7J?hZVS=Gi#H)38_17V+2O@v`r(fV1n$5UV=>cpqMfW_xjW*+pwqx}+*MO7F
z5oJh<Np_Y`nd%J8<!A4{5Z*v^IPlMG`(GCvTO&M8xI2lQ1W`ZH5as&=e;eCI9I|5Y
zA{(X<WA0nhWOH25**@dSMR5iQSyh3i`#WxKQ=lIlrv?11{YamL3SqNxM~^=5vz3kt
zvBY8RcS#le);ezp^*SmGakVveMx*;8I{u>ks8p3zRn^t&w8b;^tXW72CZ8>6%o|l>
zNu(74L=(#=zQ|+E|2GK1G;4s@>yriUMQa8%DbvjQ)<df=(H>$YvgpM6&@-xJIn9wN
zPx=o_zCCzC0f<l*6-_i|!N4yQ<F0>2aPvJai3jNx5c1neMTFI)AC|!W1D&s(2ZZtm
zB0!*7JEOcj;EqfGCCVT&9PI*)AkiG0oOICmRMqd#zl>kDaYnVG?;`gD<dLSS19Lva
z?*m(eJe$)rqNPe5VTi`k^80FDU%oKDJc=|;&fIy&15}b-PW!<IuLS6JVGpcGAw!f2
zJ*`Ht5oG5V8*Xewu)_mrYOcnJfQl#U^7?-a;~@V;)j_K8m1;#ybum)4vF7Ny67rEi
z5CzUEU=GXE3ysMi6vG^VN}4V(S-(ND-;Xh+_`bECr%@e!_Lbl@bfX0-Zm0#KKZp?^
zRg!pR*lEIGI~{Q~VQ@axvF-eeguMM^P&P9T>fno+G0T4@P*@jdn!^3|ghGITcneHv
zu2G*8X7<Pe2fyo4)NHr*VN9CqT54LP(S;$AUtcV1e&fFsOIm@TD7k%E>0UTL92YqA
z4LmR(>f?!o$kv6B;@1@828Xzsx2ycD;9*zGjV|!!eXO$4ESt(_dVqjm)zJH(wV8_b
z+T(4otHfq6Lf?5>R5NIvKiM!@P(=%Ws$CVDfpR)Zzz&1w)@4SJq5tjj-#hE)cGBc-
zS~*(^`Z}mJ5S|MdlfCf?&v2-G7|H*n#;C-iYxRS^rNBz1c6H}ELCxinbTO1yyK0kt
zU%Sg|0h0P2dT9iN-45M3>0x0bq7l`%pSHa;+xdKB6zmvYm{_gHHl2OxaAxog;yKLL
zvL}a!9xB*CWyurcjT7$r^dzuj93`<nx`gYn`bwz?;hrD-R?NVz4n}Kjeq5O3-NO^u
zDu5P-Ie<Jgcn?VC?wd8baOw35s3-D)XX3k9vnrG-!!29QYg2C`u|N4;G~JwnN{w9w
z_n-WSH7(Y@*((SVtm%gqn?1w`io{OlI%%pv&p$-uny)VnVP~^<)c!&JjOz|@lgZld
zD?tc*ITsQ=EDt5RTF}yr>!L{UC#<Dmx0{|HD}|~HtSq!S)G)tViE%;C5cT%(6VhYr
zIhRMB^$Nrb8fnH>#D(yegLF9iQE*1+2G`r0-)<h-j*d6x4Ic8T_#}<M30UqggW6C~
zev+uXA}AwTb<)WGId3eQR&i{+bh^|lh*u4qo#!Il5T%bh(&vq`Gu3BXlFO#!sk`!~
zO2zi%!=CH|O~mH7kUYa|`eCEH;5oMF>kTwl^?`=7!4>h2JBP__UkD3GMIP|dV?EX3
z7~cV<N}}GoaKHcZa)j=JVt*_SovrH<b*?4qnOi(fq|b^@5A%_BDprrW&t#)vb})u3
z_KF(}c6##|@;`nzvqy|B=i(-%C(ss@kkLJK<PSj-n^wgvK>r9$R~upR<R6uT<WD`e
zzG9__TWA-)^1RY2xMjTYdBY&7i)pw`hmBX0;C960>^Ge`|6)Z%c21B020l3|2Si03
zs1k69Xtl*cE_aM)T*-3mkk^j}o+S%hU6xQ7xg@_H_*5H^*0!8Ty$I5pV)ggU{*_!T
z_T|vzd)VAJpohw!)nFjN!)8$QzkPKf0k6j&KfTanRio6dv5ASu|D74aA1Yzb;ujc(
zf~bVF_&5S5&2W)(Gd#MxitEvUc3sP6v@$NefWNX{{H|a3>|Rhj&?86v5L8U|>dCYp
zcD*<-n5bfS75oIukFj7RNLvHo^)u@Zq0!%4p=R(IIxFLon|HYQ8MmH|!ys@R-anl4
z!S=NRa#h-REy0iR2~dm{;Gv7d0BvPA$|_B73fghmzhub*6}nKhZhEts2SKwSlw=l2
z@1gXld|sebO`7)^xIn~1;J5Uqz&gB^e2&Wkh2aW<V1ZG!)pa!#%i4Ie*Vk-;f|RGv
z1fY9kV>_@{Vgv84mRy}!#usSnP}m!NcZ|-&+t-zYPq*{HpL9v=j}#`l(rBSZEs12Y
zaWR_R3k-1eYnjoV!+UW^pqJoA)+M;jFu#<fVszWP1bTGPMs>oJ&q_HeyC7lLL|*+F
z#4!(y2xZg70rKO(PMYttIL$j@Jg(|;!EYa+s{dGUBW15mytNo(fo?p$Knd4NU(N=>
z$XC@Aws2{<UEqVz4*<$13(j1!GV*{RY^VkkxW-mnAN}MFi!L3>m1a&a?Sw_QP>?-H
zJ2|zF@cEOeyO7!UXLaZCMG&g%y8Ec3JmhuTKr?T*9Y#Ivz#NjsxBcV&i)M`G?~kk7
z>cym|UhQMzg;B^OT1G+1pp@hYOug<r^J~bR3aVus0R5z{HdSymoR}Az<NSzDTm{Gk
zgGO?JfYmvyIfIXOe`I0D+7xdL`4A`wsQO*hmJY!K6T-lmmLRv4!_`$9ZBfi5)$VQs
zw)DMat(r~3Yh3}Wo|`~Tyi61$p7|tQA}-SBVbly(j;&!c^nb<!rDah|q$vs@o>~Ko
zA$&Q9ANBXB1K~pU$?tr^My(rART&Q#8+oL@8wTLjEJ{;7FCw-GE7X%dRo0arsneG<
z#Np*vV;zp=#e+|X=rsKK`N`PpugR604+2{>`T^ka|Lb+ivqNVX^fzXtJrE2(LQ-;9
z1%M8(nlr{^yXrMu32>|u_I3#Mg_)m~6YXMVc(n8Z{sNycle>o#VnSs$f+DSu6}Vq3
zq#r1@Si=gBU~uAA=)eV?#f5Qx#D00ZJ_L@zTbQv)R}9$4bJ=iSk8JXftRhL-Re0x9
z-G`D2k@^&>!!$vG9N9882Y{rjn^3XSWyG|_WuL1Os$g2N!a9yCyynGe!GA)UFV-YF
z%a<z2K)cIE&<1*KyY6k9>b49L2m71qffY+c_rn0f4h|^aIH2TW`@)+D{s!kyAXhlD
zY>?OP(>?-pu6J{|)ssfUfNJb_@Ltn2JK=&bb}G}pGP_}gw|&eBVfwRzH!l-N`zf19
z3l2PYO@Ry2VL7i%X!TxcnD+YRt}`%(RvL4Ibc*AcKQsrJXnKf3xE5uRZ!0_7LaW`p
zzsLhf>n`NZK4fiqyQHdip1|{&2kp+e9H0F$uakMa;Z^&3Tc-&b-eP|DRLK1h6AS5c
zxGA?32j=*BZnm)QrcJ0lunTor=qu{6`HT?~<L$*}Zj{gWsuM3euvUMEQJwT-<2##Y
zZ6YWDM2S9qRlBd~zCl0ywx3+}S)Zj+sFUCXp9qqfKwxup2(<eE{Q$ljTHklCp+Wbj
zR=|2aehO;q*Vo~RQ9A>dM4xfJj}H*N<ju_6nxsLQ7rSZlai(yZZimrjkl!JKsmxM3
zeUO6>Pgk^~=`O{jP6T$`mxMX6=TUvcW1o<E=jdf&?kZ7%(r7-Ht@TiBGP~y=w@Fiz
zbTu%~;oxv3Q`>eYNeR3W6VEtZA=^b*-#GVBb<CO?h@Dn9#Qlm9*qLn@tS>%2@$ZX%
zfQ;0u#ME3_LS4ku8#dG#6lzIc;T3x~VddUSzS0}kyWb(TbNq|^a+A@c<<|DSyFTx9
zy;HBQj~B5nSffIGT~l;H!9HU*ZATOo52*%|6cgDK<+=bi8swwzCVEw(Yj320*UVuo
zNT1&(tQ=F)N{lYDA%dLonI8Ww#<pbx_SzJ;6y=^V$*6Z5m5}p@HC&+)_=oTLKkiQZ
zBAr7Ld5+Clsd#h%>nKV;_fF7SP`ecue@j_+;ZwK^PLvVhPNAdfU)|6cWi5;{b?iQA
zhSYz_<eX;?0e89Wp8WtqR-K+3d=NA1!_W~Y)eHvxijkc)P1lJV=d!&U^+4PlLXF@!
zz344aR+=)1L~r;3i`1d}Qyn80eA(wIMcj>!t_=;TXQ_C|CgZxF{4O-p@V4pVo_l}&
z8GM3I^cl{j^}a5Qi$9$N`*@CuB+4)@CZbh{^(J`8;cbn<z6CWc#hCq^&IygGIJMi}
zlpU;xu0{C7sxJAF!RU~kR+BU}@6&u2;Wh>McrE3YbPa~R8I%PcBI|Jrg#oTCBUs|_
zyo+j1j-~w~M^FUc4XM3%WQ_(Wxwzt)h*HyZ<&%qZ*^voE%$lY9O@mRH0sq|XL)kyd
z3To^rQAZ?z={c{O8XT*oBQ?PoMBO<DT|pt)BS5Iz)f?$Env22R)4BNxT#*Jo_n#4l
ziz}cDWg|LciI;2vNzz+euRrt(;Y`*0_lZ`m-N=yGV-eP_;Gq5pL(|r{&VGY2B@s&W
z^T_*gc)h(^JgenFL-!;81Js4FwB+O}q-X!?(*0-qODObB`miMZF5fVBwAk#%|LfX3
zDuS2$W2Bmfl3~pIl{<l3%J5v3L@nF1J^Z?kYVcwVugE3nx9vv)`N%P8lh=PhY9&ZI
zKb5ORLng;G!@q3hy55k=7kE0V{Hcs<lgXU^ENH9k%uWYRF)4F&6?aJyrh@&|)ot!)
za$!SU=1^jb6O*GE%CSIl6Q!rZl8d+r+z07bOWJ#Cu7GS#Nno{Z`pqDjS5-?21;@*1
zzz25F3UW>=0iN6v6=curybH7gZh`}tDB+jR8Ym?+?Iy|GWjfrko`tfs0o#hvVp3Qh
z-#3euK5Bz)0jgvO`f4wJ<L1iX^gt5mw~A}7OT=+<y&PNl5}{2jRW+*9Z&UzN>!=#~
zzb-H~XB+ZZ!mqru>(c40bL4J$mWQ%nW4zBqoe#fcKcs|9;XFQVg~~UEC_m7YDFh2I
z8f=qKaOFNV(Jwdb*vQ%vO2zCDTnQo!eBBUTe9OrusTZ+DQTlHC%QSOl=u3%pcjqR1
z=M8Bg6=T0$KA-u~Mwa~=7g`a!6px_U>O+!Zig1n{dj>A#rO?;QDVL{=;|;xkAHV;^
z4wfudXw`dJ{s-`OxU9n5yfDOBVw~Zqwy!{PpnaI(u(>p?J)83C52HQKO4Iv^Y})JL
zDI(cFn*?aD(06FVXYOVI*LH+Eygc~%@EL|H^ogkCa=noEaoJ>?N|V+#U$*MHtjj42
z?}Wyw7RZO&d~4}r=nc@pPsj&_|8})K1d|I5Tk{RtgZ+#jb-r5MJWMTp$n%P};=>mc
zfJElQ{NyHdzQ<cJ6Ihsw(WN_)ThE5;`!M%dYpnM2-~Q%hK0UF?gM3V6M0)u1_VJ7N
zX&6WmV^@pMHtll?Q#u9WG^TXC7EIbj(3Inpz#QERCm)RuQ%7)Itc(kdjZ6$|ru9$C
z7hapyo66ONAmJqgoSW<$G(TB?T1a&a_mL>k%NZG9^^PrWVGylOY}6hDQdHQAXZ`2j
zJT})NO2uvb_;#W<3Y-j+3O8V?e`)<4tH*hm<J;u@JB>Ezy`3VgIe_+s&k=|Ri-Awl
ze-yETW1_<x!{<E6_g(dMiU$^gS@*#XO1BIq)q?Lve^t-Fj?At~^AH|+M^694De@4T
zBnWbVF6At@$Dr-R??#Tqz?`&cmG0XGJ!%amG=A$$tfCrZi@I)0mlR5WMq@p#Z12mU
zN4M{|W##a81<$Qaps6*dcPy^d>($lQM>-so!;pEM#+PHq7v*MsYN>grZo2>8jcEsr
zCXW3AIn2jE!tR*Fle8|8HT&?P)tXMzpaO9?HN)=GfCRTdsl2+7>yN6uEJgyXQM)p%
zs%P3STntUn8X>WAM;$O@P&h;o&+v5RcDmjx=gN@x<{m~RMrdrM8Eg(-1d15gTn*i?
z*bNB{S9CgpB1KO(&cl|uw|*>Q>tJj^!S=m{n(!81bbYLRjM~D*0}pyihuoP|hXzD^
z-EdlucPNOeu(7b1Qzd%Dye&4)2wT5{zR#Fpa3Bnms5b~{z9eiK6>}rL*-J_29I}#p
z<;C}2>}bjz^rdS>M)kn+x&6fwkiI&hL#G9cmIW_C1*dDCBHVj*9Z|(I%KNZ-@lNI8
z3{n*BGj{y-tj)2@$^B<+YzrUxIqFe=>zuQ>RYearnSz=H1R<AWCB@J1VjL#kbVKj(
zDT`o0zTT~y{n)j?!_yx1k$rjfC#$avT^DTk9F9hrgt1}4J-NLdQDmb~J#sUuHsXSD
z=&Xj<B9%RoT305^e~~^-tpSejq$QyUy}+dM|NF1ad9YG-Nm#shL>l35rPJBr$y7WK
z1ri96OS`srVU~1(xPjFQ#FC|5#cL0}qHT`{ESfc<Xw2^gEQ{tkR0)(>gu0{d&qq{u
z$@wDQcyn#O0LU{tz7NqP{9XV*kuIR$gd8Y*O353V@ElyA(>y!}6vyyATGn2=h?hYL
zi9GxCzmBNJjO7)EvOiuDu-!2laZ%Peqtf|lZh1a)zV0VuZS!SQqL>wM?1QUzA#C-}
zyL=kD&q!?!Mf-pli&A-1^7llF<JeEFXq-7yQ@$H|_|_1Z?0(}}N4DQq3LGcPG9aXi
z)uoSbd({tm<Y-u!HI1h=0W<R#_Lz3=1U^i)Y-t>1*tYi03)FxIGP|ULA;HcOQGf#}
zUeMda!W@n!l<7%PCQQt+k0>!?{A^Yxg&l#iPxVr9uN?=?R=IU12^e+)91(7SyoxVA
z<4K$~>^9>8Dv=eo9}kET$_QnDqZxT4`L%Soay@j*Eb_BlfBv~#xxdQ0Ue8iB%vOV^
zLx)#+bHf7-fNMr!2nsn%60pfuBFmiR0A)WC6t0503M^uANr>tFzkzi0^!emXf;H80
zyq1Q&QI{eGpvt~U-a5^;^PUSmDop<x+7q5>{n{0V4a0&q?e%oc*92owem~!-N4PQ}
z-1GV&wDIY%-Y8M4rCza~gdjM0!&f6)LSr49M{u<K)ULo99a4IP%^CS$kL;{U7E~AA
zFa21fmAXS;DuBGWi`^?6#;J@jUn3IaSfjQ}*SgNO7d{;CxTv*>&&#N|_fVL9(@jKt
zx)mu0Y(hP%M#Om9i|rf?-YhXOv$2+Wh(S|oC{JW&?eS*@X7|qRJ9PYqsWacBJ<hdd
zSIX(?AxR<YmWX_QV`9rJUX_b5=ZuC&l3Ot!0J%WcIV!wJjp`Gw7J+9~$~E+2nKd#P
z2<_Xhs`aeqV7?FO$R1))Dd|mLpv2~(O%9@Rz~~jNM4{w2jmGgy>>MI9R%@Mexc1J8
zu!P4{{l98Ecr*9Hh6a)C6@CD;5E+kFagNx}{2*;#iBc#UJ0k7=?0{F*i2l;eLGk4C
zVF(W)jVvs?I#}gIUr}ZAs9$cym7>7N9B;&ei!`nK)h_N+nBI050IZUR`PTMfnL2dM
zGkn@`NNIYVgC_N{w}k_4q>R9uZ^~Jo+w5zLTwnQ+QX%u8L2F5BbEk5R6>MMtnnzeQ
z<{fZzwg$M)C7dA%byxKU<y_OT95dR1+1P+`Q@Bd41rQ|o>rsgk%>OhB$#<Nc6icKe
zK5rcSBd}uEB@M+o8R`&{0JHxuuNBZR0CvkeMhi5)>vE|w3KCG81Qk5589K9>f%1KP
z;W`OK42CY5*YB)%@p5RT17TF`c?LuJeRF36*!nETN36WaV<}oRq5fgE_TG5y#EPQ&
z_cjpR)Ya)hN`4<<kmm;}_7y5VW?oIBl;&8y72B9`a-$2=DLXYBc#A_xk`S?poiUkk
z##EAJ5Gn2x*NdYuLl&Ixj&%1uCSrkG4m&~~o=@HZ%7b@=0~F4!^$G>kbbX%yChSga
z*Y5OKwf|iSo%CA$%HWo~C|4qIKyc3J$ouf{ha}A9I&{ywlC)(c$Biu@&DO*?lb7<_
zhzRJ&M^IWT`8j?X;Mj@gNE1z`4##_uQ*9i>Vo6~$1sgoLC>kOsvJiuy<kt!=D)9Kc
zPf1to`t-{4Y#^gd_K$iN6E^)4$jIu#T;G+kChdaW5aLEs++)q(W!vwNEm`j`%)gAp
zM}nN71CvS8LVEl4nQ%u~)+c4poN4vR{WP;5nUMtFGnQ1fvi?-8S|!fRAE1eij;y#=
zJB|*XT5A8_hw4i~utx;ZGPqBNWC_z&bQBR`!oavji_{i@mW}d<t%ki^B6Tr;9!~aE
zEWW03FDDIrlHJ<fAOq$>=Ht{ivt-+Ns`!$r{!f4}l~?3E^(BsXlTnQDBeayK!2&{S
zM_gnORJ5S16}6qpemQEYW94))y0AHTaGqfDQ=8#AhMdAC16c`w1@_H+Ml|x|FdMd2
zzf8z!2T^*zWem)hq*P_8+>QcI(@7!R6WAg1jPkwlt@Sn6MbH(bqaJk*aL}#mEnzZM
zT87JgZY{&Nx<@G;Zl(fo##9C?&-`O%5Zsniws%{GJ1w3QA9S1yqI9LTL9Jha)*%w7
z2A(c38|L}X#lvbpI|dHGU=pcHu^vpcwM&mC`AU7q{{kPjE0l%+Vt+q-+a`j3v9sUE
z#`$6^y}R5d$w2!D8#dfX|Ei1=4cbY$v{lTvBu^OstxQynSI^E#d$F9KHG>cHa2lW!
za|B*I(We7e>Q9LpBt^vs;7vU{3?Dp1YN>CzH31ufCpo)!vko(+@Rq0AP`WQB-q_1{
z*uSX}$;3Ib{B<ciKi^6r6@NQ3O++P<!)KLnjcGygf>p)p%Py>rwP=<*felgxhOX9y
zxSc6vH0y0(Xecn<W0Ul0f7#IISZtqvCZCi=_+gV_lqgCH+ypeY5r%aZpsOBQ0z%{c
z?Sw7ff|v&4GJcv1jfR+*ep?4=B~qZ=Z8TR7S72Zx*9-z|8?r?AjdxO4TOxZU_;76_
z+**;-<618D890`k)1gD2WbG6KXPmxWZ9XjlblI|_tzL>Dj=Cq-y|q69Y*vcIzNrgN
zgws%&v#UnkwV5dPXg1Q%-6Odjqwt4X^-6b{O{8Mkk*?61$v22+&rz_U<<cxmpxo<p
z6R)aHXDzJovtV>{fa$xqEEv~ym*emcwkmetD}F5BrS#tu-ZL6;A5fRBZ!R%5VPgVy
zPwvyK@kqX1!czur;IKJK$0|M2--c~{c)=65zhc2c8Rt|mmt()P(!k-HV&qbM*UV1j
z@v(<kllBr7xU0;fZWFJBTYW?(F_uUo$JEb)w;JN}b0vB$`5iSFaM4QwrH+5U_qHGS
zUp_8MvGW48ArVqogcZyLnGk`dC)f=-3YXaFmniXXPaRz1j?D#Y@$hlzYMBoz{0y=j
z7zU>BOh$U`O`b~I9ai|Iy;*`*9GNY6#@)*uM{)X9P=|Le^ncF5+d2vvPEVXmscNP5
zTFnakShy7lWpOJGi(d6FJtc-BMW2<JD79(J6X`OX7^1cA=}QaCBjO*e3BLJwyC3rK
zD4qX+9El$1j6AGcAV6HR&o9FD0zk6O9DV2P0n5{*XOv7UqL%T4?)vWlFvjt6{gW-A
zV{_vXbwpMDAE&;V{1Ehk#_(15Mya=%wLvie|B6TOWhJiwA3Kg!C2fj+#CtoD(ye3#
zIUp-S7&q6o-&0f$ifQ!<OzQQRwMcPz$jRvoe<_QGa~dJ&f6O5qAHd+81NmmBox{Rj
zz9viCp#Y=@^C{W3zpAA~C~D=^w+Srd?v(GSv5`4izvE8>bn5)JEAZLn2NsoRzik|s
zXT}ffo0|r#19+a);*vWChmX2a4Ic1l4HQGToUHM83(e;H<g)dBCN7^8k0;JA>x=DZ
zCqmX)mR|SLJvR!2Qco><piuCZ$AvFFGu#7Tr@T_h@lnboDn_AA7WefZAok0G-L)$I
z8=*WYVd?M`2j-DP23tlGN_Q!mi>aS8%*A<31F_KkHoD3OH~Ql?k;G55$b~M_g8jwm
zAetAm_dfve{Fj|Z3x0K070KyxAwYpS=y%;;OgT3*?->rZX2uEjcb?2Sl+h#BMUaf;
z1GkE#75L>9pdM5gnz)%kjJ%iTq%^?Y+Y^&(^DS|YiSWh3f|9q2&L4HEF^g3O2)gBP
zCDIg-M0X#P@U;1@b~WBxLc_ktNHT{?@h|&s+|!|aQ4R!tt^Pi1DhHRCqe^Fdi0})1
zJa+j)=X{nd(d<RxbK}wd=pL~h$jTGi(h<R(;MEtmV}`lnupM#JNY6GT+LSlAx#ocl
zLnoK~oviM@TgNT}AaSu?)h_>qcE~jKeXK_mzief-=Vpsmp~Jc=EP)@apVYsART{*u
z9t-qfC!i9z16ns1_uCEkXH4i?vp<WFY?X@V%gZMwi}~ukPzQXvXZ(am`_au?l~OJg
z36M7A5B;SuO)#`6n7Srg1AxAtc|Ux4y_Zw(pyz>HFZJ%KTdp%6RTBY`gu#C~I5P*j
z`i@WlQs@2SOTY->JV|ziYXZR>yWeO&{q6?UhN`^Vr6iGH$LBysk=|UL9<{W9A4>Nk
ziVYy<z7BiUoR+XjuCYM0R4SjjwE=u}tU)ux-F#-cz4pdCv&vuL8nKrV@+)jrEII=b
zcPePTS8@8KP|!v;W^4S;o0w|X^8t5pcsoW9#;>&W$CX^LXJUks%8=GT!4jEZ{9)+<
z3oLDO9@q84DL0j$Islh2c__?!EFl72CcA;+cjs}&Gpg9P?1eq(;JlP!-heNL{Zi3&
z`-ZJQ8C(iZ=R37ov8U$Sc6(@!9T0r5Zp*=ny{xk2DZ8mEW(VKXmM8<hbtdwoeVZuF
zB#K52^l|jnFo7^XJNSU~R^n$5S`}KY2aQvmOT!<;)-xeh%fWj8fqmpK0Ws-YUmQV(
zcTEn!b?x-eSv{$h*ANCcYE>Y;Yu9B!Aqi3by6E-7fY}@}CF-j(x->-SB&+7sQU5vs
zo>lJu9Gh%XZqvH@^+#;X;lA`}c{Tna+P38w4QWQ|5#D_13ap{n<+;=nkxtn82uNL{
zPmB-drd@!rEcP~wg-f){-om|Nw6oL4(<`#ola8*%gl}My-!#)b@b3Th3Z#Q?l0*Z$
zR8CCeQQsJ|;gqGkP+W59p0yqmNIv7Sgt0{%e5PBD?)6{ZIj{z=&<!sBiH_xjxO-B!
z2Ju?gFw)R9<7AmwfcPs)FJq6XXB3HPo`pU^<#;!2Q2?B{5((ouBI(l&MXTak4-oii
zM<_d=BoVVi25glRW5+DcaaA^2-sPy*jh<8tOo6k{7&c8yp^0x^EVQuowoQ=v&hX0?
zu*<|WvsRS9IL@43pJVw=$k&YrbfB}*^!&;m=0~HhxEZ$0%p@cV|D7g2iEm4GGI*Mb
zvmLh@>6NsE<R0>%p(G5DzOL$c@&s5b*f}jSW&1Y)2ufMHZN#(+qDrvkQ?^(4a*F}C
zzA!V$$r^aD4vy~jvTWb#XtISp2|#(?1nNTheW??r2_;Sh#-vAx&CVER<JmrU5ePk}
zEhUt|quFzb+!eznM?W^it){o#rt2^bY{WVZGIZm4>~45C3`&@-+@~D#<b8U(YGCgE
z7G#=Bazqjv$PnWXp75wBn6~Tu)3(NeCd>aMl`eFmT%ub9;m)@k0xSzB=*b$a$Z*Xt
z!8R6kYD<U^A<V<S8bmjH*x(*)b+*}Y>)7MV%0AsZVIGb%$D=IX3B_EfIoeLuK{rco
z0TRm<w;8TUS3ZF$Ug+i>zXxZr8kx`j$r}C1OUHR+e)9zpbRm-dneTKF?ZI2oDBiGp
z!BEzeoA`cq2nmu9y&fA3C_uEd!IDg<r9g0xcR7_9{hw?BWFAJaShoAWver113e82H
zo4K2|xpH7pj1OF&tENQCM<EnleoWtYLn?5sy1Mksfnbw@<X?s+?uE<bqSk3aL^97w
z5#a2Bc2R(~Ebo7$o!;>@hv3O?3rjGgf|v&dHDQLx>h=iBkEC8#djI0iHLsGgr3<wf
z<Di{`Sp7ezJJO`DDEnA?0CfL`b@`$!n{tB+1OB#G%SYXHWl5EV!1!5+t?;7zuzF`B
zQ?ThdrY{o`dqXp-{`ib%7a^<JUVjc56OvH0n}$Hl!A)RC99^r8>pE7IwoN<G5bECm
z7bnWfv2HpP?8<a6Tc#@dnk(U-$fc*@wU&pS-8W+5vKP`w$AG|LPv4qek|LX|Ej(`Y
z+%Yj1FBLkEH(oeGZjw4i?0-;usiZw!&Vx4;5tfg0Zeh~kKdFO}$%na09&+rG^>g7k
zk5dWc-HxQ-`x>=_bD`k;FFr)FBoV)r4uE1C)h9Rz=hPlQqNH-xF86!-MQ=s7-;}Yh
z07p%xV#-!ZfO3TP{(^XxTw^8#D?Lf!|KSz1dJA=}>?s$n%#>>6NUCHXg51@s1LxCE
zDOt83iUG;AtDm`i*2CFR6T??FmB_?eTL;7fvy=_t#;gFIg@y(a)Y%QjT2Qq*MIuL9
z!z*HNpVXUnNOd8stdyGpc?FtXL_7W$XfJD1p{k$UnGh#O|BolB?KklpBhslgm{{CP
z1apX~;eycU-rZ2|UxjhHEg)D$iH*r9+zu~+W~@rJru{=T-H1`oiQ6NZ^N=mng;?kC
z&llykGs|HBicg(&rBnhoeocCy#;~}&<1lil$wdhFNVagj>Bn4cIR|GD(J<nr?bwQp
zD$uC2_o9NX{o{XPi~az5Hve(gqUeaFn09&67kVp1borDT?^kkz@)o7)E1sb;SGiKa
z;P5&|m)@~+Jj}F*zo^=9RB#)c28)dy$>Vug0r(A2xDC5O8TX4R5NAksfsV0%Ylihf
z3Sx9sfv@+gJ|oGOoF#kPE9zq%ae8Pz{Egg&YLCkp(;;!~t`qVeb^nA`KZzx&f?7Td
z%_m|yYZ=o+y0)oNl?pU1qxWzVJ;v+A4lptcoJByDt4NPd{)KW*IS@|UU2^+U0#6is
zsvKKC$Vy#{69NkvevjC7yQ0{HAjagEBK$-M;y{ct^5h%?PSlVA@VHfRj#^H_`30V?
z8h0w8^-$})V|=kYi;R_m+pXE7p9aJ<C~SiI)rHh_wCfe>;~vsL8lH?Ch=q;aq__gD
z0n<Mf@PlG{0#@(M5Q(QjpXPF+3UY#G{vm$*tg9+9#e-$tFs3suR-U$N)CpZk)_uKa
z6q-zT^Z4;0thr<p??`0D(%FHI^5bl&93&K;Y_<yY{n@8Vchg-k&P4Empvni|#Q62>
z*LK_xnsmB<&d+iOLpy&xcac_%I`xw?qc5k7#_jeIgO}-vA?Jr6JQX#&Rkp<UB|1*J
zm1W#94@sG&<Tf_NO$pZ|Y5OI}_dWICN`Jzugd{s8W}wpsk{^lmqS{fHeaP)F-@@v6
z>B*(I`HJ1ve4e{Z6-L*@vQbElicQm)wQ$%qM6!>j5Y~a%_s6tFPrv_GDDD_5_-t1_
zLQkx$LxKh483yLHy{psIi1k3k8}gEz0PeGug$zSpf|_3?CEle|C41+Q5vBmskkJB9
zbtWbSc+WS4bM0cMWjj=H4?n8;M`pd1m+L$GRagDtngcA&zhGQlkoPda=HYhcPsNL3
zlS4{D-T#Oi=g+^N;*f|qFcqJ$SfJ?-JfP>V&zj&mmvFvm?lZf#HI?tPFluBp2<Ic3
z)`WY!IV8N}=^E>cwpIN*w|};65Y^~5_5IIB$}i^j$+MW<1wHwPjBHw<Q4`lM<4H2o
zonWDjm$@h8q0u-J1lKsF!s`_UV;Nxn>NA=uuH6O4fp=v8|B{9!^K=2*R!SM5C%f~i
z#Y7eO&!OtKE5Q5b##1;S2auA=-dk{N<b(}Fc$;S~<FWxU9M0pxjXP@*)7Pg0B7mB&
zg&)Fy0U%*!D&MiFprPYcb1kr?-l033ULy*Kn??wADYCy(AwSF1^Y4Y`W~Ibpg5+!4
zq`u?)0~?s_XG?r?U`3+atWR<~gJpXe%w^r|gjpc9h$|kU7340?{VuJvLvs^||IQiS
z5qP&h#nYkXT17E_b5(hxIRu6-f^?~fDLddf%Y5fM6ovr?3X<5#o|yC#&sGB(mFc;%
zakSHup1K`TkO<GQ#+0sY4II*ngAvT!4$P{I@nTt$1*h~aQ+!%3OKz2$5AuuMp)LHf
z3oPPrPjY&&S?+tdjauWL3Z5Fh74C|9kDzmhfq6CU0Il)?Z#ojI{CO&P?>jF^eo~U=
z`1`G$o~&B$uo<r>BQe)S$X<MPpWoS_`Y81Yc%V#VFU<0H5`kAFVI_w`RB3NIPtbsf
zu^<t6k*VtiG7;z<^5l!_c9d!i+k4Hhg-><aH{@Jy7?e{u5dZN+cRmecP(V!i)}%A-
z_l!5g3Y5L`3XHS#sOPt+WZXHHy-<@hbE22i^us4{Ns#Aa%|dP9l0h77@0x`r7;h76
z93((Zf9xXjpTY}J_+d!6YIUXpFn}j<H-!bS97A<Eg4_uMd4mggDrKA*o|9i@d_1uK
zbketTIE{NQb#5T+`15k;+mGGy^lZHM+EtFWD*R4N^OzBg?}-~LY*|a*tv3L%uTo)v
z0TMFtC;Y~oT9?0I)zKLUki?0;Fo^~<?#joH_;Ar^l`lD_N4tZ40oWA^NHgzqrQIxB
zBi^be{wFdg<HE)Mf@)AMkepuLIvfeH9%RvJ%ZOoQ8pHw%Sv(5Q!F8P>kv{bk1^L9}
zPPz(uB5U~n4dS2XXOv7=p@=jv9r%oVDPd31HVPgy9JCFX3WEK~4gmB33!i^#&Is)B
zqX69xrf4K5q+0nXV%2-9sW-$HVV^S~ONTKp*n<?cW~ZoYN)V&qj!I8Y)#kQCp=R)E
zRa(i1D*OQ-<=Rk;E_93ZV~2z{d_ZDz8`5?6IdX(tZyQFzLtQ&BQ5cY3zwJx3roiV!
zh76Ni(BE$<atJab<uA`Qslb;6qJaI9ZOE+Ud6j!dJ!?n~Rk<#|!dk-bNYb?;E4LxJ
zcc2BWrAG7SnTv*-vC^HGXqsUd(QK5};6J>%Acscy;x;tWQem-x;|H^Ixe8=50U_fF
zT7kHAf@Vy@+C!eQ0n#n5l<hyD_#^wE21sSA8cQKP<3O#SXE-}fq|7V~9J-_?TY+50
zC4uYZ*(kE9VfqW;KgHeD#%--#N`SxVBkpJuD(KZW;fvYw{*_sKqpesU#G4;d!Z!gg
z@|*$wI--2}bv&i7<ta$^1OxO(qe_gmT-&EC*k6PEwv@<RpIb`2jat5A<jH!zYmmx9
z1YW6!05bqM-tky`sM!eZk+ps6WCh`mB0ZG*_m$Mz7WQP5m<8XgC;{l2<|Cl{RTe_`
z0@)%W7g6mY(#NQj<<>fY4c0edU(un4>1u06<<VqcGmUaQCrI#;gdA0O?Rog9+`B-|
zNiBCL6}TR4lJ2w3%KwmIMp`2vd3(9Y0Bs-L*%=LMj~iV1V#>n(Vb1%sac?zhyCl>z
zjHxZJGuHuLu^s2A<a1l(<;Fqy@bXfki8Ga<)rSEmZ*4Uuugs!8P1uXeu##Rk6&waL
zHy++9PaL#%;zr@%a?TJLB3bL1aa}YvpEuSPuRu>SRS!d;nj=;mb0BZUuN12dbIZe}
zR8u$F7@fGMjqvzB7s^cD)%q=Ud_WyoUeM;#))HEP@Uz@=RjJqyCIqiZnS6wNeY%OT
zOyxH1l&!RFSc_|H3%F|j7e;1inq`>7rDT2fH6OO$8JkTQQJ4DgSQ!8@7ozSbx0d)E
z<24yJ*w}Bu&lKj1a(}Kka25<pLQfUc#`G3V7|Uodscv_1Np-7e{MpcF#eS07hVogb
z5Ia9hxS1~n&sBt3^-s_vfy$)zJx0hrDo)Hu=i#P?fk0d0CY4;_JU~4O*=n8Jp;jdf
z8*|JXG6t<ue?@z~gWqdZEAaCB8c-KfcLM_10Ar3wh~AwRf0DFge#8g6M)CQCNnxxT
zHC^hu+D_xn7Z!|+hy<Xjj#a#p8Tvc)DGT~$R8)b)Hn5H$ZY?>S&ea+sM++Ca%sj82
zw>cX)ZNs+sx*~E32(v<Jo^dn)0p_thORkbQTNy)*l91E*1u|&I=%dN4!+>oX5}Exp
zZs#VKVC_T2;%_R!;SQ@o;;(Vo{9}pqh?HBE{eo61J_qmrP|x{TgjRnp?z|7B_q2hM
z6{4jIXlJg_Szlr}G6~dDg%yaubNZFlk$%YRm9C*Eoi{Csq9>>>K(7)gw-Hzq2;mYs
z9*qeDN-VGVt86m#Homh<01j|sk&aHrmBYDST2`4L&`TZIr!7B(JrS?tNz&h5B@zb_
z=rV8dE5?(c?Sn`S4CUL8MoN5g(aa)2T%W2FE{8~o!^s&#_HXIb=_<%e1v9YLo}n=X
zeFm1Xt8ZP|MbdZ7Y~@Z087-!n9G~(6Q)tfHvPE|$8DT28)`uH;EA{*z+2Jd+LE6~G
z`7<{-%INRu_dx@!TeRAvnOEJ)Y{*J6lNqrstM)*aQ-#@}ZYyo;JYoxek>2nM!6+00
z)zDE*J0do0dzs+R{Rsc<GXpQ{o)#0zaO@>1LGIF<)i!u*Zipj2&IfT*ZIsd=tXO6t
zNVT=Wd-g$e02KoOR~9c@4=Ef@9;I<g77a}dC&*r3GWa_z8Pa@1R<|0fs-QOr?=?y$
zHLg&uwxkGAm0>qA!LNHv)~+7*)KSVSGTe&D#<S=T*~Vtk)wNrM&9Gw1Ry0ri=u4DN
zQS7f$IET@KpV4$OQPvoZuoY)rj^Ex3gHh*6OJx{ynOOj=%9;fyXI$foGzyMDV1aW>
zlT!I=(F4r!$eLP%DVp&<!;T19{Y(b|cjf^{X*wUllMrv79GYse6$vwr1yUeDrK~Xr
zVW+6KrzP@{uVO_AhQ`V2Ju*?VDhE86&cM0CQRYc$ZL3B%HM5_^=tv5M-=S@A3u^dU
zNW#|B(_m2*qoB2L^3j3Z@oGjh+YZ7rw1+kmer!n2kZnFbm!#`e954NV4(1`~FoLze
zuTo+lGnMPvhe7>f-bK6`788J#^62B6L|iRQYG@w?IZ1#;zJ7it@aP{oQgcM;WCS3z
zjtQIF1sMuQ!4{j*;S=+yz;mv3=?L3NYf*OP-v9b?Bwuo3(qJ39Whq`kfeH77#Kq-A
zDCR51DM4#0{A|v+O8V)pvT3(|^xwrRf*m{|cV&?%E(@f`id%Wm@EM51?~)$Q%~=~Q
zSp=M4JkvP=Uvccq1nLWLh*e-DgYPdF>^hZn$Akwza|kV*Td0`%Qs3DJ_9mdbX|)+y
zZ|%9pI#pYF1y~wWZ~oWgmVeK=TS9og8op#t^|a49=nV50W@XJhEn`c?Hpl<Begew-
z-`WY_HGuL>Dvj+&1rr*HFpqz<EAkYf%{n5Lmz_uZsgQ@nafhN&!j~5p&TsPsk>8}l
zx8$igmAz@TcEPPj-Y3zyr0Zy^4;J#P#C;z5_I26)Wj1S00z)QBHzlzbM_{FJQvM{f
zw}s5Ukzf&E96(yJRN|2KBtDaZ^0R?m52mSlc9AJ;m`3=?{O*O_(2C3=AGDiH>0d0c
z5@CMh;i(h-dYDTOdD<v<ZvubsNdxX|z7^7&es!59Le;fQlDA;qV)2r!%r`8~EqL@Q
z#nElJtWeYcLRfT8a)_aL^fhRXQl}oRT7ONL?<E=FwE$-jyB-I(Ta6&SqN-}JmqNl8
z-(ODlEwrf`SmJ6b>ubnGN?yq{sN7Rr(Zv~LW6d(i*~u|}^{UQ;#P@qB&M1g4SnE;%
zzw?RlWx#;Gh_#9gfN74o+9@S;4Q*a^xUhhrM0l)pmo65qU7g*u3pDHZr%VOu_{JSw
z$0U>p@Lx*`BiYeSyG0B`uTgD?e$k(lf#W$33TDRL>?If20GFU48FbflBmgBy+Wxrr
zi&qG3kP`)UE#}_r=78OxV@iH#{cn;A%c)9qL4{&cJ|0I_PkI}}(atn~Bhr?=yw|Y}
z&|w83-`84vDQe+ucZG-8YYxU3HE4=`-CI0Cq~3##hL)xKCH6N<3<2FY02EWh(D;hQ
zOh*Iu^TYK8j=eMI=1ur!>-i-bpq;ZB2hMsLojRqS`Be)(m$bD4U7q=w+sncK>m_*Y
z;|OM54qns<16DP0NMpG`4cQ`*n2V%Lvt@Ts{&=Qd)*%QnMrhNE4D2Pa(L>q5chV<F
zR+H)!Q0*e~K}%!U+rk`okH%%dByRYBu*WCO$5UvOLT7z;I&!V^9dc{om6pF&L8H~R
za!kgp@G|LYb?>5n{4yq~Hu5I%KKOAqz;Zl&(Q5-D#j?HPr4-7N!@EF$pPtJ--9{&X
zOyf>I!GEUA#mU}-lj*tBfm9%`cx~}N^q$L(Zx*Jfy$kc-?7N;Pl`{u(0iwBh0M+Rk
zaHG@XW~NJGPY>_Bu3NK&`k%}_*2Hs3;*knEz38)HGR3FjFuB&SSvA3D7hC6J<nnaM
z3w$+fGyiBPGII643U}gr5o3ZTQ`(W$x6J_lLWn%44VKmh+Z07iiaj6Flu@qBveEh%
zd};IGLEJp`B0m5S439hS|7W<qNK3$kzyH8<o$$G-EME2~y%%1tN1SiBDg-wFbVA$-
z`h!-&nj3T4oY*zD^^gG6k~~9r^RS1uA(Pek>0N)uZ!+8N%k`<9kD`!32oDWW%3jNd
zZ5+nmc4#Fc8PC%x73wR;rTSufiwh!z3sBy&3}8Z<^)67ibuR#?CEQWPBKv7-K}B4s
z2Di;k%V8*i2RV5Gik0QBy9F9SzZin&kw^_HCAPf05`I3+-=AlkvF1GP|0QEaf(mgE
z(xxnq+N4@QqV60|pSWbihw|gReqY-bf+&)y$#NNh$N~&GxpwXuw96a9_N(`u9ZMDJ
zq`IOf9^qV(o)x~+W^x1AL;)rnR-Lv2vhz1KCE^Ub)hY`z#~<k@6cWB#`uIIINtWU}
zQq~qffxUe#pw7ihTCmufKe?LvzDUMw`82XY;iQ2KLVfzlK3f<29$J|K5Fw9;HF*a>
zX&ey3PJ}1*7*J8{l|S&K4q||__O4k{?iVNXSxU(SW^w6ElA_@O#;}dX3U_+kzL`r^
zq7ZNzx<WVU+=;(P9af}oBi`l&VF`6~zPNXFsWueh%L!*KSTRZBLU6%?Q<h~RG$|uY
zhWeA2f{fh;fg~9ew$ON%73mQL9w_&D&%o~4u!E<@;75_{kdIy@Do12>!+n|HO2-Y9
zY~+JVGLWX{e^UeUDttH)ynWj#(7wa8U>K?biL;%pJr-dq0zd9-om)+a#AK5}&#9t{
z3lCI#uL#X=fnp7n0XkIJyJW!<wGH%ck2Qw){q>;{2Mg&rvWI4cpQzhOMcM#yT`4$r
zSc$3*`QG{_)i)Zdnc$*1Lo*ije>2R(J1k#4=O~H_#|0~H=1vc5Gp&5Y9w5=8E0EMN
z1dC%X-{GVM7To?v2|G<re5d1!`(rN2CrehJlIN2KLd#I62G3NsGn9i3fS&eHSc17$
z;P@x6Bk+Z)x!54@yNsh{%u@)r4~(!rn|0R55*=%W+`TzH$hIiRX>909Ozictt`RR1
z?|34Hr$CNn?v_IXT+Dks;IYx_IW+GxGNBh>5LL8=5>QBe8F=td5CI$AX)nL<s$l@`
z#5N(<&V24oUW2i0=6*m0G_hf7tY(YWf!L4lb{*!k5Lsd6Ox}_wAH3^ELUF%>(J%NG
zXTMII1$hi5Dl=u5+=1;{!mg$McUnHVg<k>4s7_?A7`wPNpWEzdXL(SbbH;RR5|rg^
zh+qMbume_)9}yPx_FY8p-*`pJUEuKkHXx6Xm?F!f*Ev=?1-M5EZR;e6L1eQ42b8$q
zW)VWimWCwx;xJuYRUr+#R_(jhQfxcCtYZTD?>A#Zf+pH7QvlTx4Xv8GvinA!QRe79
zIu)*Repy0zi|&+{j?2CSM#U4D#4g?Z{PGY|?FzWCC<E}x^cbtNA`q`gK+ZR{sho1o
zlb8MKZ+V17>MY!lP>izH-o|aXHqAGW{=g$uK-UEM-L<|*{{7F&$5y#f7N%+qJlTCJ
z8E7Hja~nkC%e;FAv+U1vCg@shwTNeY;wTGPNNFp7XPwdklt1jt8Lgyx8Hl(&?Zam#
zU1upeP~LEM)OWZ&e=1QYY!f{tW&j$!g#c%coI|auKQTGLuoG0MVt5liJ5Q;0Cp?Kt
z9dPNMU@i&w0-12ZHfh=~4|Ydqp&QH0kM0p(a!Y}c+W4?4GfbzT)QJ#K8j#qfbanqn
z!~t6E!)9I%5lQhjF5Zg!H-M237k}09Kg&J@r{lzk@6)XIZzidI7T|-#Sa?d`?@mVX
ziMhw8HR9tArYgkT*x7hinPbCC(_F4<hSHP||FV&KkhEIvWHM-ANMwN8k$pOxVl8HZ
zjZVTo!nLw09gLy;gybsKhsWmqs|qF4&_6ubc@7IM+2RDa8QGQ_t?cbUXblN+mm>7(
zYdwlQ`CL5MM^(KESL5TLuE$0xqLanb1OhjTn2$4Sb^~icfTf&Bk^H<T_ktsM9+%SE
ziaa0bx<;j~gBe>Rbuc*YGsvM{osTtKnUc3}>zQeyOz8N4k<_3c-d4L`GlA*a4IBC$
z^;nTk&Q?ZMK<yF*!rw^(I3aBsBf~}!6)!!|eR|+S8fUbE8qloU|FPjTwtR83H5W6-
z<nkq!1SPQ$7yr)|#yk#HAYE(E5sBG7iuy>Iw`<T!WZjGVxcQ+Q>fFnwzPQ7)iM*m*
zGff%esn4}iP|SWHa?@xyJg-E86diqou*ME;C3oJ~MKyA{sI`P3Fze)>ZvslTO^K84
zZD}=(DO_Vo<(&(EIFta9@@hy-K@!hVk4`$$t}Iu&aT24hdSqAAWgFm(YKJ&a>G{87
zUiun)JHJCOH%x#sNjOh$7j%<Gt(T^UoSPk%CL@?c??mVuuUSl#G0InN3;4&XjeKPZ
z|2ji_;q=EEmas@IeTqop#<cR|oLFUf<xC9Wa^k*Uc6{Wpp$N-F*$!Tl#$NZEeQg;H
z7`reMkKE*r@+^FHBh%F}+T2r!rsVF}S4=7cKM@tx&hkq<1Ts9~xL{0-LJMTTPd|=$
z-ji3&qui+_nQurj1=HBu?v1?EO>=(O@5>}*;teju6epL|jF;p-4WC46;FQ+n7`5|&
zKea4PjkLpiV=Ph?<*5(3XEj29b*uOmB~&|1YC#2!U<7d)-GvMoPg9(6KXGW5<qDG!
zr{a#nZbTcbpYj}hD)=SN>S!AfGjx>-ffEVTAk+qttN{U2LO!FB)JAEPqCN~yGxrZF
zG`hZR8S%A%N&v~cF&Q}ZZQk!|a^@adtr?y)w<d`+?k{GODLRq7U7jw;YiXTn%j~Qg
zLfEDU3M<Y%()8o7M>+>>tlzO=Md`=PUc->q#Vo>Bfe%V&-Ph9J)<(__q3S6Nz`8Na
z8tyZ=XIUAmmGyj%KtTS!V!|VWBe_}+k>L&iBtMdx!H-F9`Sn_k&gT~&Em)?t!t$i?
z9_)iVPgM?Vp#Q_;?JI<D(qfR>$EY87k5}kApox$U!4NEdRLZ;_FB@`o-<+rI&T{vE
z?m|r>-G}a%3S^HJ?a#E*2R^mT9sOmsck*Z(Ph2&JnjRVw<^?y@BJ}cY!oAR3kQa&2
zprg<y&+=mn<8nN#6w-e{Q<KuRZdY|IjK}JzbUYusWtwX8a1IUF8|F~BhmM{#OE9Ij
zK!>PB8M)}q#E8Nwc`6H$c?-1L1X%3j()Dh_+hs!*?1zBO%M_~r9NND!;Fpbwj?+R~
zS)=<rSNM3vLB6=TQ^qvs-&gGV-=J%fkX*?8LFjObSOrpX`>j73DptEoz=+uUOS?HU
z1D<<WpSuyh*;K1-yUbiK4lQtI3citJh5k?Qm9>fSQzeB5lYRm-uIY9C6iv?xD}fbo
zbSHY)Edy}bR!m-?^)q(tLfgiiDnL2|DVvr1h~;LGbyi^~xehT*)S)-Oo68(c)y-}y
zuSKYFcZALDkQbY>0`zBdGcc%BjYbwk76sp5R{)o8SAfG<TWQA<>*e30i&jED^IGIl
z9;nT_XEof#YvpG`XC?pUS!k{dOetW~nNqv*mSK(8<#m%91WzE;2?0$D-7Ll>?iybt
zYm{nS%bu0vQ3!;ZkCQF7TC?RCe#7-ibQ36!13S1QeV_Qx=4mF3iifYM_+Je*+rs(S
z-6||V(A7y!>%G%kQyR-K&}NQ6(({^|;U&EtHZrVmXYH~76LvivRc2rG9{9ZB$Cb$A
z6TYPt1?_0Jj|{QEnBV?tb9}3RC(~Ft#H%&<)<hZ$Ecn%SKM<+YL0)t)R7$|RQq5Uj
zCB19)kzqN228$Gm>Uigje#WGf9UcB_lW_H&vyeAc1$v`lou|@JaXb6?+O5tOMI3JI
zId&TsR82XJHMK^M*y4ZDo1wvv$NR!4W6A?++zh+vH&qLEr9K9LW?LtH?W6Yc!f$KU
zY|h>oW$1x5|0M-wI;eJLlC0MV_ACI$1^PsFBcj<aS7M_tPKtLMT~*!H3HprX^@#Mw
zJ_mKL>5XvqJ0t_RKpz0cz!(Wka>HVf8LZ?4+<&uJPib*PPxgW!t!iAPRWs*c%U?$V
zWS}RC8gCdt#=aQ<_eTV(_GT(%ZGInCyvC(tlHq#FivH<V?v9PRYw~g?2Aif5zV2f<
zLfVH&mok`nikQtIFf<OT@@G2(Bd9u$OKEOTg~Q*$nt_ZvuC0+a%hd-=lnRVl))hCv
zcxGMR+VicHBHg)YC;VZh@uwR6VZ_Hc;UA|)PErWin8H`4@B7hZq!SQ$E8F_ji<1eA
z-iApm&x|9T7z0kMSSWhDyxRc2eKy@_Xi<GLQoF7#Am_{zA}OC6$}D)$4<hnW3PGx<
z#M&dJD!FS4pZdqH)EB_5DZ^F=7Pm_6d{ZueK<~a};vJvMO#w3AMS4ymUh(q&+Kd&s
z>iZH$|DIR>{!oUG+RtnuQ`PTNHo8AUI}_n#I|;||Gc;j86bK*8fzyvy+F0e}F2&nS
zGs-W8Ln;64uC_~tURsi}#VhH+&=>&qoXRAx$bbi{KC2Bo+KoA=4xG#roX~SF_a%|+
zcj;rwcUbyRAkT**Ps5s+p$Py;!GJ>Jachx(I0G)-TJ1D6VpOD4l-N>Ow^``$l0Q$f
zm@_&+XNlS3G7Q|9jB|`LXG#r{62J+=AHSOfgQAMp$c>SA8YFp-S;((~p}e;5`w&>5
z(s?hoR?#Mdn^-rPgZ{{Md8+rFbWkP_RQ8#{u(=Czt5Lr|g7@)4qxU6t79w&NLJ}iA
zz{+Sv#u$Z1)4Ro7ku=k)xC{4Nfr>-#(N1eYiEAEQuk@r~!&f()tD2GaY?G9@=P8p8
z%*9YQ#4#$=&`8duagO4GCD>Ww;(-L)pWGBv1&re>1IaJ43#76aEJ~bNSbomUD^LAi
fh60(dj6#R$L`u1v0MxlKt!y(O*OEg1=wfEOzv3FU

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_rx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_rx.v
new file mode 100644
index 0000000000000000000000000000000000000000..a3bf76af27a6a9acab5ccffcf6541607279aed26
GIT binary patch
literal 107416
zcmV(rK<>Yb6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TqdS^8KeO@<4
zYvJZlIbMme10T$}^(b((psL)Lt<sI1xs#$h7)=dKM1)<AjL?xwkf<&{mk!ZMm4cf!
zhd}1E!j$97K^;EBQv28KTF+GqGbjzu2JbaVe9vM|M|~SAI%7KC=KB`sNH-3V->BFh
zVX#%Sd?DUhI{}_dHKTf4PeVL2=?CsWF~Nt3WjR$Kq+n#VP(jC8PUl&BCIq6b^PUFv
zU_~OppiDs&z!^oIE?k&)(H5cu(n`>OMT3kqhlUol2$M!Iq_t+`M|Jlp)jZK9y9eaY
zCLB|q7=Voe;*AMSUh&c-zL}O%>gP~PoRee`3TTtNqs$#*bb#k<V1k$%1emczN?Hn~
z4+BZY4qQZF*T=pn>=9NE|INqCZWH-9o9Bz_zeK~i#Cv4mzUmgsji0)khJ9a5THE0Y
zuj_x|eQae#0RsvMD-<iYuYwSmLnP2)2%#&4MT>9+<H+d(8MRb-%uuk{!ZCdJE7~ZR
zKZoy*FJix-ZWF;5=uuIR)7ONvh^d5Bg`>NDow2=&tHwlyS_-n&S;IWJ$LLAuj!zsP
zPb)Vo5qs=g<_uk&v3}$4%k4|`0Dw8iULNAfD=FUT*iCS%KfnF7)?etswdmMJfL6V)
z*^T7lH75xV^GU*?AO+hca(Wlb_s&xv?0r9l{6IC3d?*4`h~C||q?~`n6e<_ajZC+&
zJa)^3Xg9JsL4`$C8XS_nVx^jJqbITXmIwogBfI|Pbzo9!)nbvFR)jK~-K&iaH@eQs
z0%{s^3+3_9(JtV#{*HzN{&jPLyJfNr84MHH^d5EQoE^uqpGkz1QhA_=zPVngu*^cy
zCaZGFb}jDm+5P+k!wn}RS$_F6FibvX#K|K>xZ@l=pcK1eZ#=!Fnt;kRMAI~gZ&#%e
z!AHqWaFfvsf9A|LktkA^aW>hh8Ab0F4Hjs6`NW%o$ijQK`506E9wVtZ<0tg2Da7SZ
z+icBWaf>bh8s(~pJ!8^Uxyz9h1Z$=N4;fSwozbpab;zHZIZaL&rPaWS@-{B%AGv#1
z)Fp$xg^eh-y?CG!?3$}W14@GhDp0wY`c~h^qzj&n0@Jr(2&a`XS(p-kq3^Dv$6kK@
z`vj|73fcbPg<IM93`sGT@oVqd&8R5uiKV8yx395L>Sc-D%lu6&rFBv6CiDDlZLQs8
zA_jw!t9OdZuc=;h;#}`v<d(^?!Niy^fY>%q&XZL0W>lqhuLI6-gqaEwET!|#J9~5l
z4}=UT_ayz6v)|8eI;vxqe!{>NimKrL3Heb=)_&6Pd4HdVw(~K%u{6nDq_zyzn>%bU
zzNNbTO4ir?vCOh*dxOfy`1VW(3nca|-`#OY!5hl92Aws79-84oR?TUponl_ms%_QO
zV49Pzz%|@ysTw=ASLB&H6G?m73dG~U=O$!8W6^0yce3&}EI7IzTY!%*-skEbu-DTz
zyHGS{qVWUgfl&>)Xy{ynM1=CpKN)63CRPvxZ&3t;&{J2$c(|EhX+bTd)_BUpsy3zV
z>+TC4{Ma58m#8laI<9c+LrpMG{Q=~2=N3D8+Cm`7rd_YwztFb1H3nA~?58ly<%mB6
zRQg?}+lS$rYq*I0FpbZpmij~<^P%2$HIG1$h7_LiQY~JhXaH65D)kSc%bo4_4W_yL
z7gpIiOrJA;p$@UT9>1+TRnh};IW}wnh?IjaxW094PhBJEn?J^K5q(Pzpvyh^FKj%d
zO&ic!PA0q7|E>&2W>qk;)S{B%h@AiqRdKw$op3re&)0oYHuZf^Lu?I#C~k+jheF8M
z_U1bjc_86|jYAk=!zO(T{Z3f`QoU$R3&c*Ao3doYsMrsYtGKr*+uTL)KlqgL$Y440
zlH*liZzE@)YtWVGgSVT%t^zHVo*Ern!g4y`e;L{!iYf=U5uC6b2;sb-Yp7n^RhF7B
zv_pJCm6fUEHJg(5;tv=JTSAV$uE8xUx)LG2830F1_*heQ?d*RtaB(oQOBz)DcF#WK
z7Q+)Y1yANqdc=m$p*jVFe=y)N01s$Q({itCtCggtL9WDK>+C&*L1=543`a4^biDHk
zbA0ds%ZSoYA0~^>ocCRL<WKZ1a{6b&uldx9DrJ7ST|qWLr91`e2sB;1lVR{l8p>qm
ziJF{}s7Lmm^7&w^{<{B}2d#K4ZFV16ZEpQdy8Q&lrcYbR3w>?VSC}8Kd#R{PjYz!f
z`NDzvtSO_&^V6|VNUu@c>>>l^)#`+}SMRHAPMLd%m{k#Zf>WkF!jzHU%m0QVYMZ7O
z<QLaFwr55od($P#pt0zRXBMyRd1UOK;aWO>?kA03C?U$deSrYHPsie64CQ!)9$9te
z&T^HKr@-9=(8#A<lf$Djxzcs;z&sGgr^F*(hX@D_;vc=&t?wC+vK_c?=>GyqBgIr@
zTIa1_<mXbk?zu<%+=$iY*lHm4Zc~(-v>SehQ#F3##F%lCuxB^T9S(E3G6Swmb|*Pk
zl;TaEZ3_mR9$+H#elmyLeNZ>?DnZthHz?yi?nEN8r*Y&uqy$Dig6k1W^(`m<;dVj3
zt1hsxi=9}lsL9hTI6}J^yND)L0&WKR4Z;NdP14rnE^lhHYzr2SU(LMb$bln*6aVUb
zk>K4ul%Lnn8|1~Kf>nrmQ$;>n_9ZYTg{7!rLBk}9jPe6OzYF1`@z!1q0)UA{!Q6vw
z-Q*z7bK{VHDz;R}!5ieBcG!)C)@Q#Zlh%-a;3Ea?(BPtjF}D}DC3V|zRQO6GRqmE#
zlsjCNU9w|L#xfd3hd}i?8`Gw#OQN;Smf4FP>n6}oHnw>MkypQQT3`Q?PTsCPVGRJi
zG|ipEdI?2W@8R780<~}rD3nWKUiO?r@9)g_R*lxr4!`lKNu_qH0H)tJbTli<2545u
zY(T)lRd{Cmi~VSxn5kcugIxw`gU|LtrOn^gv*MLo0!9m~`YvUw>~>OxdO`0=N^*ZU
zAql3|A4%9IuH?UiZr4McqXa~t_RzkKvI111a;D5~f8A^xs92WIB!!nlH1HKJHb|v;
z3TyC}^hN+-gd{fww!U`7M$qjSF04#d;&Tk&km^E2W><lFBBKj^q0@UDXppUPFv8F}
z^cA!|X3IW5Fi?vFviLj0*`xFm{!gGwzzoV4@lB`qY}&62BxGo^SDhUflFJkH%h1h_
zSXM`~X(NbY4vwhMvtpjhbBvGafga2*Wic7J_vwkQULbG^$7c6sL`pIPzip3cVp^nA
zO)^egp;(erUa8}c;xjKkNwg32OY9<g<tb?3?cwyeN=z2m%^tuy_5U>{Eb&k<_-zTJ
zZd9~pmL<hy^PD~$e@59kR7txkoByBODkEDMr=g<a^2$+`P856>xi1d8t1j8Rb=;Nz
zxDz=3SfS7}JalEwEfm+$1s~L7%9H>8;0Lz-CKem=0k$zO@#cpwxcgx|{D4x>Vxb;6
zWK9kb>BM^8?qM=A*LBKH?UHUL_j)VR=5mmgZ}n=~lFv2?Z2kD!KJ(t#;$gBm>I5Fo
z(dUo&&hLc=^g?3{RH;nu5Z2@DxSg+4W&{8xQR_aq4;Cl!Oh)f|Lh#L)l?LyAaG5rT
zOHLi?&K~!PhR(SxDMquaw9i-u1#-`N9l+<u32!lNa$TL))y}vwN&&Jr?OJ+{3^hqN
zg?(zE?%C1R3pm%+=2!(8!Fg#|m&3(E8(~4&z5jg8?>3))36YgA+M8t|)E-bGCQ`UL
z-Z$EHbv_?JOkVn~%YSaucHw7z(T`ru%Q-z*MEada!$bQVisraZYCLv1+YLGdPBQ0}
zu^wGAhqt8NpL%ptPeve_=;YiI?Z#$Pu@FOTWx3X*-9~kwZme}I<(!*!H4UsRA`tL-
z3#-$o4|7@FXE~~HcwsRLjtIzGJ`@9uF4Jr8DtncLpe|;V^1+KDBQXad1v_LTQ9=~F
zd3ekq)a$tmB6ACVY$4zxE(Fvdrl4Zjo1f@uE|dq)6op_^m7$JSsGk3)G!>Q1o@zTl
zkv|}_!4`-6B~Pn`P%aD>bXSZ0QPvnTYl(NV5IP2cO$rcsfm<mJF<nkccY+Ki2HB|V
zD}o}O8@JO4s~sLzI*@&Plzl(=7&UP!6&7b}LNKuX5{Rfr!MPX0OY#R`)ZkgN9pj_l
z?819vM(%ZENMkmhEII6SQvq51*LQs2fje#;w<O|7H5KksEw>2fS4pU&o3XmTGRHOM
zbc>vi?cwG^O3#Wwv{7ZmvO80<j0T8oY@)AMj1iRzcft2lNWChC<w+JtpmWuU0xaqm
z?Vab0v8N9i=rz7+ksXA^oj$P#?k-j115gJpH#6G3An>b8G<ysN(CfR`kI)%EAf-cG
zrN7_WRlFNM!&ha<__GiZ8vK;3;Gb1{@a~~}`8e3kofW0UHOB3n8ZUFK;f&DbQo_OI
zF-vM!=%xFa8jKbJPTjcwNHQhl4+4DtdW9}A27iT*%uady_th0WMmyb#wRTxy`EuQ0
zY&tXAC^*}sbP(6=%Urg<n?Zo;JVy@JQMyUionLfROLsfOJ+9r25uk#~B~#HMUb?Vg
zxjd^{I-usgez?*7GS!s#OqS{1VIV;YMfEP<ZYE}bp3A3fd|MAfCUJZIfx<p%n6Tef
z@bD$0UC6-T9TXRrG{kLs3yY^e8`vflo?nFt;qdXg?{)n>66%2{P|4$#Cf?<|ef~BU
zH`|*?AQTtRNH~w|C7Vh04lpS7EQCm&I&#gsf^g42w-w^^6g@yI9n?K6gbOxA`}8L^
zba%0aq|h&E`rpS?fr_=x3MgRyZ<7?{cd=mWPpyA{stM#gnkH_|;gfd#xL<6COZ&Nb
zs{X003C0Xpa0@@!Z0wC?DDpUG>qwzAFx11I-5fb$A?D44tg+3)eTaqW$e`gDL5J?q
z+>3*qU5E|)qhf?nZmTM+E~IK-Pz!$RVsCyL(#OnCr^LW?Zg8Z*SYj@0=Jk?evoWTQ
z0m*7@Dt|*jkkv*a*+tB}RdPo1^53rmVqC-w6ewMQX`lK?1;6vv<8~Crb}GN7+WRTi
z^gwo#4-^@=rbfK!B@l=AmLvSA`Sc<?i(%(>+*7<~<)V~mQ~$><;8=G-Y3~VGRaovV
zP&9mqr2ZGKgffpd&IU6wg!CVoujsE;PpbI%1zW2!{h_>9wi;Nll!CC(GF;+AE@E;7
zS;)#e5WlApNJ5$nX?ZZ*F&ZIyVt0O#ItAus!}ND8ec2u|c@L*fMT4r?8-eoAgu+n0
z8^u!Efw?Y1E&!%K8VeNx)LsKMYS%%0+$4$&^Q#sB6w@D1xuP{j8x!3vVVI(pu7S{i
zeVnuXnI+dOK{!_(2{V{EAv~DK?$+0mfvS1$rgdOr;A?f?{Lw(@gW*#>M~*=n@d1@>
zwA62^V29DX)6x15pI-t<hYk1~`%eygr{)YZ1{PfBaLmURn&kjQPJE<pBV$R~S4<V8
zH?RF_Z$39eTC!vm)Kf^99@2OTteb}59n3)fNZ=Yg|8Mc&5=V8*GK5*B`$)FM1*CP?
z`DBnros61JbafDsYTxKdZoh_90_TV@X*6C(B@}o{4>aoN{f)<6;EA_nMr`)4Jn$YP
zXpY$0VqtS5{aB6HQnT;pDV=+GDG0|7*Xe+@PI<(|EkaSEcBi3x8OpbW4roW-eNEfj
z`g_zDdj7<@w}H!b`CKbeys(s5hMhPbl)RL<rBbUK77I!PfQp$@AB&ZmRta5_JJuSu
zt23VVuMc2==yf43c!`XSkV*z~A7jPD*a?X!0WmMJH+_~{^kLOOnLnEI3=c*(5$U0d
z*I^0V@+$4oL$Wtgko(0#?_V9eel)Gje8WM<BY$3R-~l7X3chR;==}{SI~gB=l|J2M
zt6PhQpMUS<odXyOf%A!wKUYY?(e;q2l3X>kSWw$e%?>RDt+ZW4>vA&4YUFlOZBpD1
zLTr51GFX2O>P7Msp{+E0UNG_Sh<2ogG7k`*MRWbLB#n{>_6@ruWWYco(ujr#aqK_$
zO-7Hs1(6)j4xD)t{Ll5_jYR1wFf#jWftTI%X63ki>YBL^2BNgl$-9ZmPoiN-C5L>d
z&5EdPkwwfaQ%l$c?)qdiLegkDP&JfX1kP8R<e0@pBo2Rd5ETTQ8vccpC}nyF&p=aJ
z$KG&eLpD;nv9yUV?z2F)eu%*@8i(a{x|rlw=#)#w&Z+BclTF48y?d?6DEafH45f~S
zehU)b#w@}*VXXd~L)Trxcl1n!Rtvj~i{m>56l<hEgNQE!^YTGP=6VLu*L!$+S?0zt
zg=cJ5<-!K|&=S?DtGTRu=7NAv;a_i<kf54>PQFmZ4#-QI^<?p27409{YxrNfi0GzU
zdkOn@dVCviYzw`(f`f+pD=6D^)~n)Q8tTb<igCaZ@Mj}?7^o@(c9l`FQ)MA<)nOk?
zPjvBzvLOnm1!J?`hRnPK(V8`R1yH-)Gw;-gv3Hc3!hXEme&(z)pvx;+sg*-~xkI0*
zs+spl{i?jF!~pDfA|0|ckCP<?5igiHq(7D48vPDF6dI*3k&{qDLP6J*`cAzD<;U)r
z;a<ZmoZ_%+owgljiot_TDNOefyjO7qosMBrw+%(&wZIxYLi9W;goJaeJ3ZDd1x?;p
zjNUyU9(S@-I1lqm3nPTjY;+Y~)3Mt_K}h6B+GP7S)SX^^Z5K5MC>UW4Pq~uU+z??w
z>>wK)_hL($X{jn{K3XU4Z)>1j{E^o9Z^yRn=x|+T+~Qq0fiLnXP9KUW)j)1@gV@2R
zV1{8X=0McM#>tn=zRs>;nx4Sl0N2i5cpd5(<o1OcinmkiJdpZQgO517V5YFMh`%{8
zHH3o_HSP9OYj4F1VBX$XC+!Yow-9v6?8S*Xkcxguc!FTqkOGqev(dtM7^|r3$Z2yo
z!4{wfC?m+?H~8lIUrn%8LiOn*S}-N706;s3{~R>oJ2y3b&k%%3x8Z!hh5h~fQAhqr
zLJldi^-+pgNqn9$snK?fC^Xh{?oC-ab%)dCYnCFQ$>gO-!-d`tK$m|`Y*uaQz8-2I
zXK6YMIXPs5*g5-hXgG&TO8rUbwZ1USz!4$#<rbs8$)>yeWZ7Y-d4XkJfI|rmhb~Mz
z5IPCBL4(OK;V7j%@0_Lk-CgZ`D#D83^`6Vdt^)=1)Uz~L7%5*5LP7A#PHdM%+X&`*
z(pLVccU*&wHfaNpH7hsCY3oTmYUD+KG_3!XrE-Q#y`oB44K>5_U5KeUIfM0p3x#}a
zNd8bRyMA_ps`0UZCJ8&ZPmp*CItyT_bepTbyR0oD;fbIRE4+ow%-N$Du`garhh7g9
z7f6fhxQ8LS61vn>laLo+5C``t>%k|_;DNQy5%|t0io>u4ZUPDYcU2fJoyIj~(4MoP
z^+k6Ny42LE7l!$bux}Q5R<TR1g5W-Y1JR0?KP<YV`-|kH6u<UOT2I+TyDu^ao>OZP
zZbkMUy!c$AaT|I&^8-jl43bq#I^dE8X-B5#*H3)on+#j~kSgLk+yvR3N%Rs9CDL=Z
znUqDVVtYCtsriK8ED}6vyOPF@ryla{J<eq>31tUG`6(ye+^5?Do;~yh0W9DB0KBo`
zwT(si;&dmF#X#~D2tgrOE5OU;FCfisIjn;zQEU9#|L*7l`lY<Adl+%|2&NFb@6okh
zdemL`{!9Z!pqCv3`YzLT=2Rr4TkZz{N{J5zoIy>PlF&TK&BAO3G>g3$EfE4l&|6S@
zKjMEkSh|uJ)Z;({^8xT#9u<3OJCp6W@Q#i39EEhc=yilExFraq&Hq{pLuIFvsIoDA
zo2={myuapa=yhTWprR_v>D=pZ3!jqzH(|1q$vJ4EYl~G1?xg?!BKsv6DBV##j<DmT
z{d2x-2K7|tYz>}gdRps?^jUQNLl1=p%awRfWnX41oYuywuQH&?qw$H`CM`M(iuIR<
z<Y!`q;|HN=_Bj+({lHHikRz}dKhC6u!A$PaD*#r?j`_^AK3ufvvi})+PJ+(Not$lj
zN|fn)<4ki%Pr~gET1*$5dzo*2k-@tToTi0hdofymcDPnIwO7SY-T?R72s3v}m0|K>
zeRS|F*;Qj#SD__EP4N9!Ueo<$xpUjD{uZfNH&usBZUgHPm1fflgbz62-YY=4dsFSn
zU}O;lU(VFZ9IyDv^FHx>($|WnWZ$swCZSQ9P1MQ0lOfn~9O>v#nAcujLjtrL26tnQ
z<m|b~gs^MDB~V9`4vNdI6wG;in%a;nMA4T^>LSX?S7(`)xxB_&u^rQ_uQ7L1twLZ!
zTD|4Ua)hUEnM_Dw2R%Y4Z1cRVlBduo=fTj){blF|T(hF>R;v-3ebd0(sl|%D&DZKH
zfeRIovOi<2gk4pV@%Ke+Jq(4yF2wC|Xk2w?Ca|8gBI<`n`UQvJzn1Qtke@8hl(tqr
zemRyfU9DI_J&^{{ZhOleOHd0LW`fwklLXBg@<<9X<c>o~iO-JQ{B+ljnSg~m5)yJU
zFq)K{wR8me&fQOwa}+5=-F@Jr)Yb;+nO;*vZ^SP2izFO0x&0^$iObkloxq=O3o%&9
z@axfev#oiF>vvAd`A~o*yp$A`mN>U8+0pTX{7O~#U8cG%ADgL`Vy4so!*^|jhN8y)
zZJ_rj#NR|vrQyN=sgRA}7^?k5GTSe~QX>(-j+z@3-0iWsG4Fy>FfB1o_@>^>f_xLT
zufkZUQO@$*5ts#P2i7urWSf{wCTJo)*>A@PR~zILFuDn<3RO98rsjN(NuRmvGT*!8
z_LKcX?nOtR);coYAfW9P9pLQJi&yfcXGe6C1^1hB9>iJp&UzZJ#CRy6h|&8$`R4y&
zVY*5f5)Z8XxD9EU0$cmQ;?M^^#)&(&-2f%KR1k2Gx>0&B12g|QHv^=?YRFmx(M&d%
zp^;|6%1CzdtVl;Y@su^=@6u9LTI1cPBjqU}Iw1sN(7D5Y=9=3s_|Axu4lj#NQ*s6H
zOaG1D;rptM2ywt91Nw!P17bdJ4;ajeHRre@YCq9LVAr&zog4`I9(Glekxc?`Ki1l3
z%=i?6rrfeY#$r~HCkK5cQ9R1e`kW5j@6#u5vN@_q!AB8t{-`rx)T#kDN>zzlGz+P`
zPZ88Q{8(DCGEcIz<?ZK?{LP{Y74W6t(EdwNc<|kD4|<%u_uB%OGUT#)z(Xd7TtfKS
zLQFg$r3oT9K##~W6@CxF%0OksRvO7jpV@6iY$JDOoR7yKz7Z_B+leh}vG7#(H(vY|
za(@L!wROO61x_X)p^_4*x&~WK3}LByy7b2dUo@EiK|F9%z)uNvlj29fF0FJF<IdLx
zxw$}vn=hO*w3TW?p_N_(kzSkr`EiTFt~O*npE|eIJNglL8xJ2k2trf~e~)C-tLvqJ
zs}ZMus>^Eh_tNlgWH@%jYh}w^o`*D2t$QAW4r>YvU)BC>Nai+q&X8l(5gkA7$E(90
zv2RZo(?HF0VGd^=QTtuFDvrI;5}3saz#5R7mgmju`qu!MY?n=V`vIaak3p51kRR*q
zr2N=aDl;;>{11ysYK{)r<!qQP>V06jqXY@04fyuFs$pF0b27@$fK!-){W>+V6pSlJ
zFP9kt)$}iNZZI(S8Kh({)2se^4X823_*O}Y@M%3{Oa?k*f?(nQB2SVW`$EpuzcDzt
zeR-IA*Q+31!2ovEoh_9i39msu(^5J&Q)JHj)$=^h4~B1FYhB6T!}ucU`jB?3s;7tk
zeeX}1Q-Hp5O)ee8-o+7e&>LWW?v7XDh^j)*iOps^cCC?ooT%H)3IO^|N=h=cmfctv
zYK^EJmLo^nYWB#qbq3paktd#mdf`;C5EjB|#`PfJihF|XdaGPR`RIzNM~A5cuC;4(
zC0ivf!6;@ESHlx(cp<OJV$inUMBArPJAC3!hC5R55x}VDjEEMb@T2Wbs@vs6E$P4A
zrt$Uxx~dojDB!Pnkg3SFiz0$jzTq3J?5V8}<Um)<XTJ>zd1PLqwZp>R!8Ku?a4?TT
z6#@>WC&{F>9&`2_*bc%q8jNr|(^tYsgnkb$>Ghi>b&{R`cOTx`n*0Eu$;)Qn>Zug2
zY#93!%stN6BHsceP=gpxe>)@^zSQ@OYTjiU<lo|^6TLq*t`d#)M50w(&FJ}3hR2~P
zmQoMjC}~D25vY!m1^M3?*3pL7h*!A~j;uF#agXJ*=i&8S^+@BZ{=Nz*ZHOEZDdgt8
z@h_V8qzKeh-^qB{U^mK-$dAM0i36>kbJP6+in50W(aXKXZOadadZGQ6#l07;H`)S0
zoo|sd!eQfk)lkq3*LK>us|QmjbSWhxsXT0xO$`4hw#Qyt9_PvLr)wlYG7;+ZXb%*d
z^cEszrFVD!%GB$cQ6zpVcDe&a$Sw)jzab+!%xp;?3HpTIv^FiO@c@yFKU;C?=y%NQ
zq@cf-+2Wu~5g1D6sgsAfDo`-t`<$W~B7X%|eiX}g$>oTfA<mz;&z&s4w@4IZ+vK*a
z?eEv1td&SL7a^PAXR$d&2r9^<0@uD=r-5w5<^O9MsqvxGFVJ)*<htsl0LaQl6_PU{
zu})z0hA%F?kgZT4@>fnxr^a!Lupz$3jfc{2T=dzi?Sb+YW*dVv$D?pd#3G2<y;x>t
z;+KWC$?xvru^t#){aPk>c#p$UZlrBA%^tqIqr(>UzJyBpjqIT8-*}*we<7k%!BVr!
zGEe%rQ6;N3m2ulw$M9=!17{0Hq?aHy7IWT8lZ2Be@Z$GVSeT-DSjzd_=3f(W9revb
z{a*n!iUd36Ty2Ro@kqru7eEM^ygeI^|8*Uybd!{JF<z75<L2g3pX{aj9%rKq8tUEB
z>kMS#?I!_44UiDcbJdGTh$f0N%cWr){N=cY;~*vIV)%}*ho9;RxwC&-`vtONKh+Cp
zF&Uljr3d>RQ%Msud_PL0;fWMoR0NR*R%1aW7$|ArsGi{5?U+6OG`J^Ks>61VDO{DA
z%pNlx>s~bH?OHGgW>Z@>hqb37-!uC-`!pPX4LNVc*CKPP2N>YI1vqaa%S$NmNL^C}
z2&MTTc|$h)I`RaB2H+j@5|cH?iy9>)JYfdG3+Vz1WV$tO=?$4^Y&%UtD-Vc6o0;iE
z{xKFeIt_YTgWk8RIw53b@gm=5Q9WWBY?TeK!aGOZq4vxi>JL)*siN1-Lzwr#)g5p=
z{Y$2ny@D?lj2b#PMA>Kxi>%Vdl7IJ7q&D40UB~=4rY8N8eu^sxq4qMnk7ZZG6+Jbd
zx{Howy7)UGoEqxRhs##kQD9R8)|W3+dTp#PU^LW4me{>?Xs<Hn?annwofLk3#hwl~
zq}TmrE!1sd47u;o5hk~E_RU=4^}<5*M=*pvL}msi>J;dHL;}v?HXNgoQ;|JMkru7o
z76*HhIpOKkc-~*{JMTQWhc=_LWfulR`7>Fl$q=N5D*>@kOl>BTH7%_>oDTb<Ze-=l
zqv%%h%45rbK<q52V7NMVC$6pRwD)xjQ&~#jtO?p@e<TWn!<GD=-L>d5I?duk7!$Bv
z5gXj}#*rl4La(dDQ#q;`+~xMgO1pd=9YaxIWL|z9m<koU;j;)Ru&0N3UL!^!w-ljL
z?M2gI)MdJijb5ox!xqKoBT*QZh0sMyQAEa(8L(4^xd`bWbWrDUrP+|w^)IfywZ8$g
za^jkcGMvm5h|Nj_)Bz&(kxtXjZfUlsc`48=0;eMonwUo9hulqinxgn7)sl;^E;*%1
z*NH6lBHg;<0k{ab+Qn<1Qd&5VpB5t8Cr9*FRzAwR{}H_r7c@C6GU|-}@6fsbZwbsI
zrqFnTJ{BpfuUp6SjZ7D<>hDV}kcF=zRCQZTK&HSc7fJV7u^IZVLdG>q!%D<FiDhQq
zZ!k7;VI|^N5JPymSII^tRjj^IGWhMf>ytroUVWG3mj~jZ63S9;x56dKqbVcOp?`_<
znt)aqv|eX0sUtyRkv`=%v*jfk;uC(|vKn*3k$>IouIu|&6O@01(y;<MD@e*?S~cr}
zI;DfPYN_H!*?=K6BdTj*3Od?xX~{W_zvo8(*V<9)LIbJ1lE?C$F#b%ls8R5Lu;Lsz
z$`}8qaWRGujX)4!Y-BjcMrESV1jVCIa^<JMgIw~z&FBrRdslC%RzVnv&T8qg(^Q@g
zqRM{h4gQdsyO&97E+UcM+1=v<y=|2Cz}gDBOD(saYo2qK{~&s{+BOhylLNXThrTek
zPbR5P($ZaWxV-2pgry@tZ$}pt%9eS9cg_#8R51X)O<k}2BS`O$$*M1l{WMZTA3<=!
zmX$R=!<Fu^V>{e#07%x#UCJ`$rq{`1e)8z&Ed}rXKe|JMKJsiQV!P(WX=`?@?1)aH
z9$aV|J;MYzUTGjZf{ui80P#=CXwnYwPLcnT@bud<CQB685F*$wAQZ+3mq|Fo9_q7+
zs@vQGS^8R-l0%G&f0R(T9#G3sM>T*%di@sHj<DA~x9|k`@d`=WiNZ6yeDA`}hf|1=
z6+@Q9=d)Isz3EIzMmNJHnEC|s6S)s7%vJYzO`+${kD0qfc;r1KJgJ10Qg^XqnQ{gx
zWf!cES7~|RKbUS|)zK6j9qycAEwcZIQ3`W%I6K#RunWlE%SoBqmyKC@J)c?%yLX_h
z*GjpYSkEZATWnO4{bGydo2X$NZ(9g~oD=WoAhjjqZBn_FU8}IRT(|^1qLKCHi|CyD
zXt|7<b((B2g6ArfHeqIj=;wHsooPEg&W>@9x6hJhfDovn<a);vecJkG{Xv@};rZpA
z4}VwzzTP%DQ22j5I+(9-5YI1Phjq{>Fk;dud5XB_A*4s6Ss%^+Cc!5JB|T|g4VtLJ
z4U`Yfh{?WnPnW?uJrW@QINy$nUT)7_g=L!pcC~g9e*_}^m2N>d3FNe!8@QDYu6Z(V
z2Nb`pK^t(t%s&)11fE^=lK-P3@(v5xd4kv{XxOzzT*hs{za`4*+DGkJ0byjnc$O31
zCS$~i{zk&uth%H(*sS$xk7e&}l22oJ;;aj?ys`+lcWHEggp`qTiHE4B#!VA+vahrM
z5gNLwiRl(p#y|$dXfi_n{l-F4g8*(|8IP3tqz6y47PPJmRA+%e+^y?O^A89N2cexd
zL65d=OVC!-JXb26v+Z1IGy0(x5X_n5*d=as`Tb{ouv>cLAG5VUN#MrP=j|CYm=Jz~
zpuWm8RS!RcqH*Qb5&l(ME*c$uRmyQvwXBzhO55Ak-7nJ31KS%WnOOQ|pW-?vKj4&D
z8uKT3p{vC}mN?7g<z&%R#XkB$u}D<T!=;|z`#1p56}f^wPyxx`i?~w?8SOO5dprAh
zgaMRkTBtBnb&1q$8KvmQz(MxR{U&1Jo2<`4MSsSL7*>!?!H56M&B#%|kwlu%%#~Ep
zVex605kS4Oct!N-6@NHS8rIK+?H5dfGme5H3sDH3=FPP}0jc|3iX`HIliKsNyFKZW
zLsn41$I`6N>wKBP=rE`+1Qa{#irhw_=`b9Cg+?8|H=52Z*^fD?1sa6--L)Z3(1U~v
zX4M~QYSHhep-8+(PZDM6K%20W!$O%X?-pVLE_|8dPdgLPz}^;<U2D{)qg#wGRfKl*
zm@==Hs(0zPOZSjtFPC)zbh`M>g!~VHyp%v5|K6mpa)QXN0dcC_YLo@A&N*!B7x^zi
zeioF|6-_mc2BipZ;+)FLTTZQu(px!s0(mFQHGl>{o0!8_1&LOEME{kT_$(2a@-V&%
zHo0*MqgBsW$z?u{6RG>FrW>JnHpyQ1hE6Yk3({moGR<+l<(t{t4r~zhUoMCh=fGen
zhomTw)*0FCF0$nzql7`+{X|+IPJ=&r1-1HV8;Lj;!igH^*ZY?DU*3Rjiv!U$g|2Z?
z>!jzH8CvE4z|g8L9m^@ZzXMv*R2vWk5zRHPGHw}Dy{kk?|1Fwq>e3&fs0OR#LC6uq
zK<qN}n9Zb`ArLA4iI>+Hhb>nUtP(etMIkn=igN&8?$X4zcuteONOYCCSqg|&qrLXa
z)w#KiS(R~=d3U!4l;0XvZ_O^v0-^`k_$v?rC_aSiZdpOujaT=_HaQfdgH^VevA4go
zgeebQf>kIy#W+c&8mxtANyh{x=JaVEnIOZ?VZ!cwIsJ<2qjpDAu_jvcaw~Z*?cc9b
zH-2-3REdb#Iim49CRh0%*$*FxYui1V9fG_1)X%VNL9lQbAI`%TAP!cClf5ZjxYEB%
zmWJ>;fpnxv9>~Dv2FsE9Q@96IyhNM634#V8bJUozLm+hUYeG>Z?=4){k8ra#=V-y`
z@1t}><|rJg#%}__jyj$uQ+Iv?iV*lI@cpHT68)dNoh}G-tJn^Jt%qN~Y9neNkv*Ud
zNYFd8i)eH?d|7{s^an0xz1|x<vfN82-Z%^d<y5ivOx}~+IcC=E!G15Dr-}Z+?v^#B
z`=Tsa*z3?3s`YYAoAgH$(@-pmyy=q85WQoOTmhqM{=#P31^6#$=(q3dz5)Yn?N7#Q
zh1^QK8Hu-Si&lM)L-}8cXcD3IDtr{EpfoYjOvh-!hTIyqjA{qLrG<R68b1D!)sKp^
zKNxFHyUI1c4uvqtT3BzbXF<1fEGQ7UDMN9L{R6(9+Ii8vl>F##1omaEm4cz-`xtY$
zqqr|};+pBt7ENo1WwhpqW{*RUQzf7R3P_iC3Wa9-LoJUc_cr-6Qv5iq8L7lfp0@Z_
zMeckoU?uvZHpupSR?w5^e|+sakBP|=gRp~#o2EMa{fDVFZuk*f$m-}>k<3kd2+r)q
z)5!?`sHPP#w=s97RaYcZl1g@zrI(;AMf8>g#D2b~5Io_66(2+v&lq_s7;rr!HVXe*
zXqu{@mH`5KP9B|>*Cm1>`5QK73#eaC4T6zJJrT$i2++YP^@gEUT%xk3APafz@S86}
zcHlA1tWfT@dao`2Ku$~udgEfSvap}O@Q=tYhBC{H&9=u@UO2!Y)PJgtoJ&@Fpx>)B
zdj#1xhlZA~hp(_n=cV-A<_x}t=}8(l>@1=u7CLnmud4Fo*`{snd}#f%+i~W^KPt#|
zbV|2@F2i|dMYLW$n~#_b6pnF>n`+@ndf|P|FG_z@iY!R@AP<CFdO6spu5AJDx^gxm
zG?>NngY}ZV>j&oAXVI=u70fH|!OOv2KHWa;R9;}K5QhKkrDdMzpfB+K{MIh%&IWuc
zS)K>;y@$FchWgYyYqa(inhLD1y=eXS+)^Vc#=^+UF{!Zbn2V7^hL!WV?nBr$jr2Kd
z27zppx~aB8#OuWlM|$mX2r3Pq_souFg!!d<`JN(3?JB@;GXGO~+71w`UJm^(LnoSI
z7vjq)P%Q-j$WZ_rDYp{_JF?w4rdK;D#iDdY(oOKgSAYJzkNu4@_>jSXR=Z5{*@$_%
zhH@}x&_hwPGR&E9|GbW*&ECqZH9TrfwQ{#$xK;(wwQkcu%yrCv^V;15!r76^3sZNA
z{rP&zPIxjQB{qyMox1*_2M557)B0Hg&Cci|`$BC!Wykj=L`g>)B(|U;CJHQU*Gi}G
zS;6N3v8qRjnC-XJ5P(Lr-h55)EZzDQD3m457`^x%>klWT40&jI)<eA`mhMTPZOl)e
z`cWYj-lmT%3w9asIO!A^tJrvGY(*w{pqhjI^N2{KkA*11ubGy!yeu0}aB#FrXQ{+@
z=z7CwH^tQxk>e7Mx4hh-ng1(>CW=CWd^PZ#uXK(@?Ed*FcRheOEh)3Z_K=iSCMRnR
z+Otjb9Jm>6Y3nqLU8yVQK$?tftQ-=Awv#(GZ~}sS&>LHqboW{Ugc&4w)xS|<-0)y+
z7(sVqQ1^p4ZD+>|0yL~vN=f*A={gtGG`KRkI^KeUrt5p79swEv$)GLhgfzX#D(M4B
zgDn|@eb9Jhz7uoT93>1aVzOvpJRfc8J18oyF|`S6aGX$Ti>&j>h;?z+7JZI=Q4)Wh
zd-}az6D?v@(JRUdO+n?;h>%$ADt=Ct5v3?1wmLaRjwu>5HZL%U<`h!3UhVB@2u2fn
zSH_1KGh_Y~y*qUfIuyfpKTO6kZc?$nm$xB}elGVd$Ue5d33;TiXNmmrDB){d>BH*R
zc;Rw}>Y&q>RQ9*v?y;&y-5fIptFIOyEt#ri#t8aiKWgU4N9vOW7}zd7ihqXR-!*jf
zd3GqJFju+J$V)Cl(g*1AV=&six#rub`0_;UR0Y#Xa|q^1NRuQe!;p55RMCgt_)5C3
zPJrrK>wpA4Xnzvs5LJ!Rx1FvJG*^yksMx4KzB8}EA>?6wzZC7Isl|`9QP3Lh<ZZTp
zbx^DZq_RVB1juQcVqR(`EVScLwTzwOyHCK;HXJ^eJHWM%V#i_K$8qR%UBlfqv|_W{
z-zXH(j|LLMd;59$a*oNYEo0RhtON_i54*9>yris)ak<QVt;>n88^Gl^R$(QwhxiZ5
zaDjK{@;kIML+$TLq@2P(AV*3%z3U_KUeMjVYz_b9`|X!;7XfmI8e6edH@Q67B{qMb
z??m8wFmn94_BGEBt9H{5CKDy9m>KPvcf3&jhLAFzhC2;J4PAPJvWduv80=lsO-<Hh
zfG<*jzE&5Rk!XEo7l4&S*5qDd9NYdJD4(F5?w9%fojz}v&r-v4H8xNVjp+y3MkDts
z@VP~#h%G=5WDdNX`i@(I&Lgo<^#!q}jKE}YDT<0S_**()2i#J#wo{Y!;weBo=4r|7
z88stUGTYwBZ)Ff;<4BvJa#iPr)Dw}wb#D!S=`c|AE2c#F{-#s&PW;@@*+neHY;ain
zoF=`k#0bb9yK!w>3mkLbaJv<`jyGJLL3I4dDKY3jhz#k=Q3U9s-xS5hV*8AJ@^j2$
z|Gdqj!TmQley7oiX#Nm^Ck?2**YgNjg8M-=CIE{#vAd_?42(6=M3;UWlQqm(crOn;
z55E0l(21gqdCm31ep77N|94+pA?;w4et+BOi7UC`Wb}ri#z2kAK#>XOu75dfvz1=h
zfN*+Il7nXvH05P5fTw<HP#ZUuQC+o~k`;}-HD&NOqQPu<jQg9wk^dT8R*J|$(|~eA
zT+?!9mKKdCJc@~Du)M-%c7pefc%^#;4*3b(wCOQYhSLA6_-WoVPbM2)GL#ZjiO<<H
z6B0ht;kZopkD_;{%f|RAExDlz8<O5EOU@&EggT~em8uxnPtC}(_R9}`*uqaRFPTue
z_H3+Fm3%SS^NYsYh7pEOX8y+vNLi=&xBI{o?l}c^7jQX#<r2K=2nSBf$-u%oHB%8<
z4v>eQifL46HtI++2QHzPrBYi`$JJ6q9frFEsF8bEiwYrLSS1dMFpRd({z*4C1<ooB
z*m9L%pU=VhHa=41Mcy0h`58@l4maj01!`i|Jk5c6iB{Z6fV-!qgGROf{m{nAh($Rg
znf_*Ql9a|YuQ)PxdTkh_DgbKA?}b8N<ZL*QH~lhIRnQ`#%L57D_R_#ePfi6=?JK?8
z@o$H3|7~#<1}2i?f%;L6walxUE`*h4HdkgxI*h;X@j1iVr<OoCg|wjhTDa`FWTfAT
zzhwv~QT|$-r)g=%%gId5?GR(ex4%jiA!1t(>U^188=_%m<;gmWMlu~-rv28rSqx;o
z7q@+Q59_)#6X^gtc0%Y!@kY7_BfR`V0~r{RLfCq@Iq)+I$1}Z8r~H|6WG5&Vil3r$
zC4>!*z*&7g!Ids+$$d+U*2BpNM303<`lg0E+pG)p+w=?>I-J)wLKFd1){ujB-0?zZ
zIhaTi_H_P?%Qpx}?6tp-_9JXQuai>n?5dS<j9R0ucorF{EBV~LPOl19{^n|JmHCi!
z9tHLn$8BU=TU%=?`Gb`J`IhKdX)V(1L_b?TY0Z-v&-~~`XgRG;Q>QmT9{e~>^p&l;
zTBr*tHeoTZQKELRXNDM-^F5x)OlzDYeeo^0@3y|#pyQg>MOY+U;}jDZ$S8v>=D+iO
zfkO9zvgQ85O10IcpM5!?^I1ypI{{4Ar@bxPo*^Lo8K8Nulk<B)+X|P4D3!3OsO93m
z0<HmHuKKZ#Uq#Wb9&pDx(!tMHXVZRTiJ6}iPa-{JSs(z0D#>G=cVav^fM1B>14$HO
zGJmgb8v0a3D$f}UASwnO##+LJ%$h$H(U&#Gt;{IN$n_#X7H(s5|2IdpZ%SN5h?1La
z1LQJAd7C3y_+SLFr}|*lgcOxP4bD5>qc-IvLZZ<+nd)xbqqx4|Rd5raXoH5@@aILy
z+^Z3c58QVC9TgV@WbqO=!#75HF6YCBFie7t?Qf*x^_1`kEQY8&*0e7|5;+CXWVd*`
zL7GK}K|P37pk7ve{#&M9GtV{M)tN_qH{%Bu9XRCbDC<@*0OV-dhotCoG;+^06jKA$
zxiPLow})oaKG!pc71%#0dAUv}(%9C;I`@sE5ItJm3?_3_Qha|nUZ-6W$*<PGb4j-9
zQy6z0vMdHLoxg9k2Oi!rtmJB~o5H5_iP1xYT$>-~iGK`;`E@WNOgSx2eGtipST1)(
zDwpQ=gn1~Hv*+-F(TV9uR{P2i0>C8mv;Wacz?u7=qXg*l%P7J&-`3d&PU9UYhF5WC
z2;$5?9lU5}>hYj{#h4bc?Oun9l<C7jT(S0kmjXVSK=5_thlf_<?t_DrwyG>i)L*&H
zl~Ve+ML$XQ8lxp=8JQ}C*3a0P3L!GDmz*#$G+l$@6`wsa!F977#4LUZ{<EK46+-2a
z3hr#b)&U~GEdvwIY0?+nZ0Dfy)O|JJhAa@Vm%W_sYl(kub|r@&n>FeXA<^eHwagZT
zLI-D0illawgml6%xt{t(ZH~|#yTRYu^d2%o99cy?rZ1Ud3r1*iH?ri@^8#6Wi>T&w
zGrB$bsfat*ol%y=Jlq3<eQ=SNuMLj^qP5BXEaE$sas&8O<B&b!w*Zr>;V{_*>Te`7
z$YGE#gH1VE6E`y$YBNWhZ>6MC2&oQFD2VWDx&sRfd%$V8WM;1mS+R|)u};OiBGW|@
zUEtj={IQdvX;Z5q_g8CI{a&|UeGnW`M~6f_2H$F4c=yjQbT(U-k+x_G;#{&;ze9X-
zZ!h6N7uH{A35b$SdBtI1_WBzhntjtT%|NVwA4x8$B`?dd5rE(J5pVyz#vhPnH+$(r
zuZAID=N2i{b2aR2utK#>9dV|9SVy)7iy{9#VOmgKvHi|VX<}xb-XOfkQb&7_?&0w1
z*b5Djl;tK|bVX{s_rc^{NqCW|vOgR@`67_sM<=ibfyOw}9%ogVHY!fJIc@h37@>a8
znco3wTT>w+y)l=)BQxh3#895b)(_|OwjwR>ysG=dvI;aNlkRzJ(^5aU^%Wp;58`H)
zJ#+q?bRI3<*6!vz_{L2UQfbrHHSvcutDS4uEx`4Ay7PT4V;(Tuo~A$3*0%;I<McZ&
zX5Y|tBcf@7Ri@Vg<a>I#dzWug%u;>JAUBEfZ4V+>DcF_p&(t_)f?YaIy#SK?is94F
z<6RS_)!^VmC);Cf9ortrUjC#(q`#sp-N>Tj)m57w0Hy{8l$16(PWAeu?SGf`(4F6E
z#NlW3R$me?6-VmegnW=ir5#MuLQ+Fj^mg}KPnYbjF`PwI1`2@R5q+tU(4Sig^(5Tq
z^iI|cJ=eQc)nT_e!$w3kbW=@dB9|sW7g6Z_c`Yvjvm28Jt(7&{B%MJ!Ih0_Gzi(1i
zcDfAzzRVh^qon+Dkmg*zc15jS#ct}9h%Y_?#f<?SgSiC}Ot_whNzvpGa~Hcl-q>s7
z%N8tr%#=i5c4r3H^H|$)z&`EN7AH_WWPv}09SJyLe)qO?^LO?oO^J2sd$BDnR(=y{
zwGmN<)kfzr03;k=nC{u=c7PI@y7JoEo`}=nfkrCTvYlfN8kQ$^eL*iRP@<ANKv9E$
zWEwBDKw?)`^$KO2(JBNA&VkHq;fVir=IQ&vqyhl3cO##3iK4z5;=NbhT&otX)$F$D
z^pd{XR)eQVAI!TH9KjY8vJn)5GegClM2y6ego|6C-jvXvx<R7AOE<cC7}S`tP4wsq
zlnPMlT6sKj_nFVc<Gz8~%q=OiV6X?Z<KbVE+?EgP7E`-RD^+x(2c}BNzrZh-q_xPq
zyO9Ssr~=$zOf~=Nmk85x{Cx@0Zuv%~XEfbT4TvHiv(N^zD~O)bsNZ2p6)D74sF>Jg
z;oig#fsJSzl*9f4)0RYgK5ZjB-f{0w!4-Em=R<l+c_~cAR99c|IfMNVF>R^AXKpiF
zh%uJ1Da%57A*-DTXsE|j{I_vzWBWSrGw(gK(5iHW=UkIfNE^2AxXh!MzP9DqxSTA$
zGbUB{Ks7@UwQu8HR|zoo9#&3P0jc<3Dj}Js2!Cmox~mbD@%pPSU<+*hBp6?Az#pbF
z>@}mg8>B6R;R<P)2!eET0Ja9>bZWZJ&p`Z_TCVp~b@W*evVqE-q+Q8EKIHz&|Cbe5
z43HK;gfdEw1l&jdgi(}}A&1)S?L!HdZGURCWsr+29u1uZ@jXGbUJ+jI?M`BkN`0sq
zf^Q}yM>XHZ!K^4i#kjjZh^O{z63x!!umlm-cpJ;iHi4{xQF|B<to1@`uXcpSV<S%v
zrvG?iL7n2mzU%0Q^z~Y-zqz2=3M6@U5UhfSOlarUm;Ir=|A5|XO(B}&m>zDd<G1d0
zKOa<pFpvPVE-$8aBpZAIx;V<%S-nc%UJ#^Q$k~&_eTcFGp*U4qI`{2_ks0{eIy!mu
zdg8LSm9aH2zEP#kd1q4A3HJhk`b#SO-Ko@kC`g4am%z8}<WCtnno--_0lPh90&p1Y
z%P~f)#ghDt=~iWtg%x*?iNj7q;o`~qD(d+?lniIT?vknQS3^8*xZQDiiM+|l`m~`h
zQBqZbI-X}cAxQLSPfL|_Xr;&h5R1o*f|`??J6|2rQD<A9lw0D9=U-q5k+>7Ob9q3x
z38I1DFc?}>yq~$V&qc*wKT-9;=acxw0|>A6wJ1~bP(={nNjaeky4*v&+Y8jinqS}q
z_YiJcwUNOgb6%jXFO{n<Myzfm9+BDFv{ID;@Y2E@9AFJf`$4`dEy45~)be)~6!I`*
zT-y46cdEIK1*Xaw(9D00617s$>_S#ODz)%=`}ljWUDUE-5wOfzX?J<qv8)*gl~4`w
zrNMNHBRl;$sMAc<8Bq6R^h8f_@BigQ^eDuGi0QZGr%aLxzivxwfpDWK_7kLg%VuI`
zn#%%EdcwO0*n`>UtT&sy%Cm<lMqUQ%kWEYE07F2$zwTB?0)%9{`=m|3&or-U0HjE#
zh}Wj7Ae`PEb|_k4Mae(aVyr*!^e@5)rgpz^S=~Ydc(}cTtDRNXX<ur0sA2paO<)&7
z*5l5{M(3}6Kloa4tmsH5K5VW?+R%PK%6FDanMYq#c3C9!%&%`_jhxJg5kYv-q7{(J
zjM^viw_S$Us4%gpfCn9;5Q!UucDXAOf-(l~AH&AhhvjQ@SxVaZD<1E69X<hs3ubx1
z92se?$Y@2Rq!0nY3I<q6sH5)1?yR(@oo*Af2_E0PcCpNDX)y^@E`Qvb#k;s0mv}VQ
z^-7Rg_zFJXQmMw|?de#@k*c$tVa>ZU?m=iqRIF2Cgjr`}wU7iXf@~&v;*QwjGS0IE
zqU~>&h_V^u(I~s#*x_IJksRM?4P%^YBt|F!E0PH*6(wl5AWdTzcSmt-hKi0lTwz=w
z$&r?yfULu@OHzY0q87DxUw}!Pb9-Z-yuOi%um%Et#PvkuT=?ul(p}q{1|R){o`ep`
zwQ3DPhGfersu8|9=COx`*n}oWHX3z`5{lyi?uDkh`2_HF^;H<c#!8)G+1&c65?Hjm
z>;)p#I7{~;p)2?2tw}_<*&E>Jx2CvLRQ5mExCnXR8ZUcq8N_G9AK02?pG6bxb@&c@
zmk&nJ3Q?dY=I#&?vO8(#0mT_Cj3!sZC<tr6^7ZaqO8tqEUZzv~j7GE1i+RbEPjnxg
zPR8~V46T_<*G-JpRuu^^mJXjjXlx4IMN_Q9)3KNpA?z1DZiQ*ctkgx>%H;zFuTp7F
z5-XdmxSK<1a$uWvlIC*O^?0rF9E0_Rt5eRN)s5jQX7t9#V$;q2O+79MbT;;xw}#@^
zblQ4O=WptE!e5+!O7ifS!m_jL)L5Kjm^?vlh-~tzvZKE&vuSf`1)+gQ{wQhg{rZWU
z@yK`&L~C~cGR$7dS6qsmmVpSr=rW5AT~+avq5+=dVIp!Bj>v9<S+}NWtMT{->P_@q
z;<oain122gpH(wH_{)fuv9$6N+V=D!j=W`{?Qr_6hSrae2XLSM!nrDuM$h51us-qJ
z)}0z06Z_iAU2+m?Z{Oh|;^5g*@0|H<*-uTU{1sX$tJ75VS54>m$0(M6Z4IjAX;vLT
zmet+ETNyu|-Lx)JdIaUsoWmp0exU&;#*NURFtI^{Y4a6cWe%epS#n=hOfMES&;x5-
z+;>)+?1lpT#N(pfA6{Xb+2dw%9WzvW!xWuo^RDhbxL3p`z=3m;AMumYPOyw2@g2xr
zSZ4#Qxeiu%S|#0i3PZAm_O5QZZx+B?pQI|*G0wH=GGqlR<@LV$aWTNU`s@n$b1$@b
z4ERNY+tam;dZ_bx1}|I4N%IJE#!it=ia?b!E?f!8TF9`Zr9<G@^M&(=A$*)q86!wf
zq&rcZ@=em~vSd5B?Y@1`PAyB90G=w|%**-FW{wDmiQR>TEFCT!<G<uHw2D)BcDRO~
zBwJ*R%a#@Rq!$9+eOdyeXrSeUlwtIo)P4PXH<%&PTr9X|yHM&#F0asuW$Sr~SY+@|
z`9VHI@D&?0!udb>zWX0~I=(Epl_aF%Mi7vRD>k)}pDw0l;PI@s>nkPlW&7*&iQzz7
z?Dy@D2|kCZ@@E4Hafz>OunRh@2cRu2F1DqylD9*~RS2oOrOWjU9rzGO#bnb3LuIPv
zXf%=vAF6Uc6Zynn^S37i{qX!z3zuQ(e<=44J1#rCC})O0iV-f%T;`Ngiq|PpJGw(}
zFeU$Q=Aook?)@V6wiqX~u^1=a-Ji1)Qsk>JqdI!)!I;0iQ#~R#X6!&Y1QYaO5CB#%
z@_v}HuMA|<pmTrF;*L3@B;<!2G%g*}bwK&IP*<LglQQD(+Yd$vtvgP(7=t8!S+h?v
zs)&}kF<F^0f-fua@llr~Q;3Pw8o5Gl7kBzk$PZ9Tjuwr@WjZLSDph(iC&O7sU2^yi
z9XVcqW)0KL3`};WmFkWn{;LBQ&|Mx}_6J{EyVcgpt!QS_ZM?P_=~8C)%r;b)XYHAD
zORq}YzYEjk&0<g$_Y>oA>EcxxO?bzTL%k-t4;F>p=%++3%{}9N(5iF1KpKaHH+hQB
z^IRDq=rucP_3yu%_|1LVXR+9*h)dAgA&2m*?26?L>O7@xhA=PVp(qquFH=4bMYQv*
z;=(2#E-t$qs|3`S;r?-<>99Ktnu^xvak>zZ4n{G<68LEMpU9Y_r#>wMOSDsRFO=a4
zx6O}IT$MN#GrPl0jLNi~@FF#qo@^2?@`Kg-7)}T1YpQPj^rmMVR%6SbqNDVoQq_wG
zrqyUAxW=+w<j#wB`VfDq;U5ZD;nnWwHV+Wf=hL1ZOq~?y-G0Qex`*oM^C~FK;svwY
z*LEx-&>42zPpY{7aUXi{Wb;xk&q!^+EDl~EiyaF8w@S(6;SDOu4(zVD1*%{F@q0tB
z+b%{v+(KSH_kEUg!L@_pv&_3elEn~XCr4(y7@S&mq#w-QBY#}yh7kh$Vu>v|x^&2k
z92LNZdNcyxg0v#%IJA5iwm*`TUbPR$uko@(2`!)P@f+LUZl9!V3Feb1cvJ?a-vzYd
z_l~F|Xf&bcToTd&39NRRS3yWyoiekR=J{RmanP&I268(P<9B6bb-$4ngn#2~gq3hM
zAdAHBOJsv575PUVY&fQOLGNDGh(V*ZRm)v&KbTN!+O~_FAs(BC{Xh;o-tW&y`v?oV
z#d4axq+?7*<>^R<cMn^!{g5DM;~V?pGwr(ETWJKqi^d+U6n9s^EY59Kuy?$mZ+)fV
z<I0;kc>>G}cmu0P8b0Q#I$VhzGhl{p08rGg?$_gkKFYk&3xYGzv6d65M37d^ll=1N
z5h5D-SZye~-xVV2VdDNmzi5E5>Grp6%a%}R%{B=RKy~%;7K2v$bR{eNxrIc&i5<ZL
zb;=Pne&r9d<@M~&&Y9)d{yr0H6K9!^u!%$VK-{M3e^!+RnXm=dp;I-Z%WL`zYYr~$
zWw7J6p>!-mq$9opV3QhQe5I!>Xh*@wh9?sX&@fwsV(#R@(2d0hhoxXS)$;WPp*~kW
zPBrXvBERWA8}FjKn8)>yzh8CBZ2-mND%37c1Hb$*_pfVfB=(5%$qC}}>XbCmR*BQ?
zNtTF7b;<<2{vegbDL2lBbbrvnL8>w!U20Vjy&a;Bm7b2+qgr+XO;(Uu$jy;O#7aaY
z2V7<%8bXLpJdyaY+eQnG+}&8}xtfbxt#Yd+5wgcriw2b0py?auqvF||$E0FI|M8ac
z^%Dh3AWi)5&{0?&l-8|kbPC`*V0;lCeDXXoI1R?ck5X2t#t4eYB>6^+s|T67*7tui
z0JzzIw{h?3Zq0->pX??IEP8EN@BKrH3YbQvf?!14`e38N-?xA7A^G8&hn#9WQpJNM
z9hr}AVx0S!<E0_A*WRVb!Rc8+AXXxw^xs9_$$efgGYBv2rjv2*Z*{+5>CL;LrXXq|
z-Wfcz+U;?UIgxGO8GtComJM+wL7C^6%XSf!;Q=qSv)CXYoG#7l&H;s7m%u&CTemZy
zalqKIAHj|DMJYOhHM-Q*9vX(JrkmYh_tV{hoATj40`pw;A`-gz-9IY>*}Irs%VvEi
zYBKA=0~li6IQD>+aE7qeIEIlXSw5O-i9HgoLMeewPI4z3R<GfP-|{fbG2wnx8-l$<
zcOb3%5mv-L@rBNDZe1psc}|aJ6MU*3^wH;$gVx@SIWz;^gv1M53jA2<_)u>AC?u!D
zs^Kf1^VJOb3Q*zD)NRC=BwU82ja_YjyZ+UUI5}KL$?+iO8$IZA(Vu|*^kdU(y1cZb
zS|4Bd=I8gkS4Y>VtV$j*ei|wTco};BQb9V6Rq?0TXP&4TnH*M6WnjVeS@s&)#kTX4
z{0k`x!#{yL2%F@Dsj~OA(^)9xkxg(sGyfv-V5{my1K>E(yw8SVbu}!A(h`Q$=Dl{R
z#vwflKJK7=vA4H1OKyq&%i5nv3{F6!k~{Oq;D@Ednh-Gte38Pcb4Kldo*7VR0C^=&
zob_3iz85yLd4FC?RdN7mVd`CbS1a$#ix}_Tvh*5^5Tupa*D4tzEC2%haO8iQGPe;-
z&#u52r`BNn$>q7I+Ct*J;Xk3vK7YsGl%x;{eKF#kr1o|W6N(s`eQQD|L}x2j>n9r3
zjJC;i^6UzvkcwS;nL}`L)7A8rF$)}+33EqU1t<;qo4d%-6S*Sy=S5HzmG)yh{NF~Y
zqoSgjd)F4cF3!&)IlS17g$g~<)TTU)XIp;Pxv4G)h_9As<KYQHSp-AYe>8rsz-Kw+
zo7670=>4=g6!sy7B|}Gc#pXTE-{!cUUFk?-mt)OD`U^IIk-jdu$sNJ3X@`7dFohn~
zpMJM^v?0k)I?o?(V2l;)I^7_$4J2iM_hUN8G`=@?Z82>n3$+`GUR;iRJuAqD?G>Dm
z5Y<ouh}i`O+e;99g|lyi6e0R%Og)t~C2Tgg*Rq9$$NE4DOEEmJBG>(089KIdX6t~i
zFgKgj9<iRHgFBIT6>+@~cUkx$B~Vh`NT;Qpk40b?C|lNk37l2$NJrW<TT}`XHeNuM
z>aS;MV1kdf3rWSGF4pXax9byKBQT4}@QEJ*QwbFeYLIRSY#e<)U+d;0*zrT<bqy#W
z=GO9J1MnQMI#O^oZ_w{Y1aDGq2k~IXFpDkawXEk`qqdaVweO+ZP}gZTp58D5q6B)y
zzV_lkI9w6-dPdL9Ar~!4O}x-EOe;8x-3+ajkLm!y2h7m&ra^G1E{rAea7NHGm#7<x
zHCA;u=s@B?PVjD$X2q<sNb1)&5Z~vq|CkujR-atJX@+2lKL!ZyvW6+{<%RMM)%1EL
zX2@Co)o#j2QeRkvPPXf}zc}4(s5N4YfZ{E|bMKeeEJ~A@(JjuU5<~U$-{4tQ=w@s-
ztqjg<ctfz`2x(3&w)X()dgEf`^4V-V<GT4%7wP%|OoQ*CS)Zk1wo<-IxntDE5J)K}
zG3Yg(86tuTmzH>9c`tYrA{>5ZxWQg9@)^>-(GD-eX^yL0lC}_9kD6G^>#B78EBb!H
z>CCR{&B=tGxGpkKn<Ft^mR(IU_>PJztj+>B=vx3sIUZ?<D5@&pcLtLiIFACN3_9ik
zOeL($5`wlNtBzV0=F<-@%6@eM>a8u$BT;8L7iic>E5Pc-6<jM4-^jgHe3T+qg%COL
zcl<DgT~@J^&>iunO$d_w)po=fp=o{Ed}m0Ci)>P^f|ygxEKpKiFiKSE=i%|ZiqDrn
zba}w4)<?OO@-KA)!PdMoz@v1VRqn}GWgQjZ6>uyc2#NZD*<31(u=l|Ehl(#v+gWok
zKw-E4kfu8FrkPECRY8sF&oLZ@?E85lAh~~R<<6(&eBtTxOC76QLoyPCYKaRc8*uPh
z5f)}_fANg5N1>=+GtUj%+WOunSa#n>d+*MgXLCW)jj;KU-ZP5OH@$!a-MVwN8bS;V
z1nR+N<Rp<bE$=EPU=e?%5D7@t#?w%0Wj_I-)hykWE&Z;KBsj;*2s<SwM5VNCc@D7K
zuO3_)toIRGn^1R0LX|`T1_^602D<dq=Z-WCnAFAEfM1*TI&PYoyhfX&HZhTmU;J!f
zO-a-e>1u$i6`f*);sbN^a3OZQqantGLp;+s#Za-36Vhn?QK||?NkJ5V*O+d<TnVOH
zWTdD$+@k|O&_3=VIzYFHPAA?uRwiSZXb;SID9f$|2BMSKO?NR{z%w&>B&%Ls?(6-q
z09Z0a`*f{7n4(Y|#oq=#q^yuLfQ<c}l0B+564kuAWsuO-IQFNt)p>!?sop!)qh_--
zT$==8<i%&%qr1yNm8v8Gy&lT6$-!^ibkRuLLI{mrs+SPcS;wq18C}l*E62S^k&z0c
zA-Pe-!U3H!7nGjJpnPj_RueP(0F_hf@-3hH+Zftb1LVn*mhB3tMPd*IW9uZ%RJ$)I
zUO$z?C6LIzu4nN>Kxr5Vx^Gr~Nl0>~pVHJwdldx|;n3C_J5bHtrwLclFSNUUU1+3`
zxWhNg?`k8&*4w(6%Gq3g5qf((7}!F`5E<eGU$|SXkL2U;_iTpBCX@WXfHLxjG#xS2
zmRUzjND!?7MZ$q#4qO7&w6Snf2R{QbF(ev9)6w}mkrGkNjtcJYzh2<vkCDo!2QnP$
z>k+Jy8fqg4wbxw!9~7d{bB8<YC*OzF$8U-HVJu6a_k<#L)q;*7<>(%;+B0qeH?^I1
z(I^jeA(=HnLeU;KKQ7d@QMXtXH6mYOp=%W#?q&vgGyz1TQ$+<%3}Hs-O+`_LL0{T5
zvyy%7K8d8zQAPXou-olKx`l~IN!sG#xLHcNxF*Jaqky$@GK>W%CweRit{Dy7jtkG8
z<~N>Ah_%=zx}UI5Hj3NCjFVhSty9W0M?h$1?JDrPC=5U7_B*w(V{GjQ5=TqoJc2Y#
z<bRojh<ibPGZFHKVj1{#9N61dVf>1n$|uQ#A-Gn$mqJP04b*Gf&FUY|8C!<{PP<@h
zjS#+^3!%<WnaMrEgbtIo241snd*3@5rWQNs{KdV?<GVAP$758PDm;yy$0<KAeqYZC
z6%&NuTIbUgmYoV@X$fRH6H;SrWF4%i$W^lOf#YEMzAgz;xhBh2*XFQxR`YG61H{RL
zpmYtU8mof94J4~os^4Jq&dr()e)K8KSMWCjg5rPu{|{cBw)S{-{U}uH^yG-3E)!>z
zWA)P?_!1I4ejlrSi1OV+j{-28Q{n|qA~r5tATh3Z9QS3AV9F{)msZP&CoDMPstkyO
zKyTYyJBX%*!h<<cfl$@HgB?XHmJ$f>4Z3q#pJ7j(M|99<=UN0G;%l~@7HQz#*g{^G
zis&Xkdv{IQpdtRhSIQ5bqlffxDO$NUl%cbxsBoe%brhQ^P35?%f{3Rp$Fm<ZdVWtS
zJ?J4gzx5S(=J?;*G_gbEmEJvG^Ll>kHIl+zq~O&kjq7=c?o@Z8UpUQZ9sC4LRgs8i
zMFrlbW;&nv&u~OgMP2}<Kf|xkwfxIGLyC_#q~}I?Yh+HPRvMxZWf1Zc*j=|4XZX8|
zS2@?eSY_lOOj~c}k`{|%5qfNhfoz)Pg;(?|QTjUqOJLf#pzYuO6yqEheO$HdEq<6N
zIsD*UMoed4NbydrD(r|v3|YR<XRxeYqD&dh?wSCE<t&eI;=ixyT|ZXwEFgT`NQsP`
zgW3G;XFH`QZD)y2wZldVkjTW-4@JNHxZ!UM*XszalG|H9tJLDK<*XYEw@cr;muJD8
zB7$$AM~L`gOLfBO$2|sdH4NNsb{_*5&v(#9*zPwA&`!cT%%Y|5(&&Zr4>}E!mt;E_
zX2^t{IVeT#6g<7gUK{ZrHJare6FXzb{%UBJVa&8?K8o1~7Q;<$iV=-JH`@uu8}4K|
z{ra174yE4M@N<9^eTMmAbw9kK!Pmr720N#V`xS5+i@(=`R5pTLch@l_HL!Z9F+-qD
zsDhmiE%IP%-;R|A8g&5ZKRZ~;(mjd{ZrhIrj=Jk6QCTibQfPH62XqUm^5ZUaLgimy
zO@jF6gv#AAo!qcR;$PNh++%-LKs0bMDrGZF#cr-%Pv&+h!{O6*nBt30U4WEkZ6vWS
za=mjd&+9a%cM4#mXb>)T=F8A-L2Ac*J_^%(H7++PzB%q&BJZfu90W5%Tf^4%s>=b>
z0`T^d|5kWcxR%jaC>W2v@()H*ZPSDAKOH#DxG{+c3D*nOsPsjPW7<X}694NT7R+3v
zJgtRpl#jK8bR(e^=Pj7|M-Yb9HZ`2!ZG%>{-{C4v{-l>{%UWR4`K-FP!NS{6e4y;L
z>3>_mRo%g8YPoMsYO{Fw8$6VhC{9l&<D(2fs0Of?!&ndWecivG2q1BC)PeEX|2(<H
zW5mG?uYU#DIN16!7lg3DNoWg0C}(M&-4KNS*z$Tz7kcGo3|8P54+)RQaqF@kH_Zj3
zW^V4`{p<Gse@#rgZ7Ab)Y$o^%GI8ey1jUn<3&V3S`X(*0q?`mOM~xDyeCj8kxfzna
zS})(7;Rf{^qHRIp3=RPOi^%-gY#-3aCrq<6?$Y%K1YY1K&T|-zV;fPc$?=W7D-q8q
z_ACg3h-{@HeN*Rfn!IRg5<!{q7#5Z|FDHM3#G|p;>f+qbsRG5rWwtFx2L3EoWLta^
zJ9~$5@f3fw>cjA$4b0n%sYEBP=jGQxc_gZ;T-l-ZDw$u5w(6n12@lxyaZ2jR#P_EL
z@L>ey;scuRCk3A%omzxImCP9@<8ekdCpt;w|1z@7qPSZ8)rnot&?7aanSQlNN~_be
z`zx=g3{t=^{-JFa%Sbg2N?fz~B6ksRZ8PCG0TO|@jS;w4SbJ9JECJybNH;Y*qqucW
z;*)!9!Z>*yE($yYwX~36EtGPEepkxM_s6RBW|pY4MT!*{tZ*TPQ`$w~#yED3%>0a$
z`&N5JmH{vFrM;4Q@`+wl91icPAehy$EEm{n*gv2nREF0bxnuh<C5=kbZNg!-2eZ|2
zeMnDta(yYV!;L0pI8F1g2B9ka!~FWiNJm7w!hCY-$`DOt)e+N!F&Wei=*b+ouq`m2
z&N1_?qh$h<-0x-$mxph)bJ#l+`c!b5y41vI-dU~yMNFNcpkW=cPS`?d(_*VGEw20>
zy-#wknYzF~Ic?&$?k#S{u6Sy)jneaAykE}I$E2w13n4jm86Sej#(k$TS~do5cnp^b
z`nDb|YvD$MP}C-bQ3tD=*-wb=wGr#G<qb7~bI}Vmp+0$Io8tYj<Dg$HnrUR%6~TWu
zAe=@xIr9SyeA||oBq2nG2EKb+-cP9Wb?t$^UbHjK!Y6!SnkM2<6mFrNF!vrV_W+-s
z_`O2o+n<UZJvd7tqFwCdYFw*(3N3<noNlq!o+o@$wdx$`Bh<{#Do_oG-~E@q8ba;q
zkP*NBCIX(4qd5>7oKTn3>%8i&x!5hp^>Vi~>kscCiK$qIhUCP=;$t*U&}MAJARbR}
zi^;vEPqo=K3=1yLVdg0XjO-OirZZa}HYk~4)@^I<i+;=#jJORSJ~VY#VcdQMar<cz
z)E+S<$7LjwXKc(rLkg&Th&g@QRUXme6Vp7KC!-TW1g^#4FO#;S_FFWnXQHcm=NyH8
z?U6~)$+pq7K*=MZ9eUWB4;T(oRgX2~PHLq=CE2~Fmlt^?;<>?Rnjt}%=h<YQt)~;H
z&V-N9ViqDTe+sPtS50Z2*wJfHa7BEg$;o)MCR;n-c{%=Zjza+%IsrzJ0h#f<>Giz$
zWPOM<Mh2YFQ_H$D5pJkwrNgcmdrtos-QiKamfImnLij+Uh$=$t(Sjs<8MYu#P@h10
zuYcZSO<!dTXeYR8TKgn)f9W`vW|&|LBOTogTq&2JoQ#BhfUFbcuZA=__%Bk`e!4XI
zp4un0z3P507CPll-Ja7RFSFk{j9z<nc2;E$GP2N0BCHdW2Qw<u2Y+asiQcFrxoy>x
zmX<24I*UzNTW_Niu@3M7WKhYRenH?Vzv&Y=haH=K#1c<k|6|_+@hq|C3`lj?W!_7x
zbhV?rAVwjT3OEg_?*Gg@hm~9?V{0{1WYE6fy7r$=py1zsy-ug`s=H!WqSlPENPD7S
z=Y31FEM|m?GgPq+P%NWbSF^pTB*3QX8$TQFenqO`N<1~e!3b{Gb}IfU<<(IX=-CR~
z_X9&-YABqbfXkA^a{w`8IJZ2S78I$`Xc-=vdY<fQZmXvAVKN@(pl~(Q4~!Ed$Jdbc
zNQa)fHG$SpwUra;!kC`Un(1D<%4x7{_6*%<65J045j(SOTFkZjN1_r}O01>0Kj^%b
zSjw>Eu#fPf0BKXNy#L&Nz3U5LFa8Z*+%HC8`R)DmB*r8n`3U@KX^v)d8ch-q7D0To
zW&ck^h$EKz(uS;$xhS2e!{=D%A1pB6cMHd6hFxzA(1$E-QOU0F(gFrXMvv4y&3Ol_
zdRvw!lpwvwpilM@_9KIm=3z(7vtpqUOU`s@>Cj92j|gW4Hh7wAGw`8SFMYW@Qa)p^
z!Ze?z?{gr*$IfYSbxdtb>+L<!>I%LxLA}`_GHFq+FUUAbc4~K)d>H8nl^_<BN9+yX
zLO(B=6$w<@d~Lg^CdLV?K5u<@6ADsimEp=jI^FW0-Ttkl`VBUNY;ycu!_pHnNx-Lr
zn$U9pHgMpuwIfOfq7AJKg9bVc^#u{+gT?`rT(sCyu#L)4mVf62*=A)B&OA7~JygXz
zGS{}KeH#ubaUi#z&6s6@`urWs5G5)R>KMRqLmJUmiYZeeN$UMprLs`0Ud*t(X}#$h
zW@T#An70F_DX4UPq;=yMl2cTjWs;d+p~Ktl9GbzU@5PE+l`TbYXVia?V-V7JRi%P+
z=rkE>BzH`nznQuq!0&Z|QAE}Ryl@YE2w<;>vs6*MgfXS`&$$J~21Xr)O1r*_tu(2y
z^!u<%?yNvbR7)@;p_jE+&sMDc)*<k0ymr|&f7>-D_PcW3=MV-jQjG33O5`oThnbwy
zg4|k$fO&k9+uNXb0OCiti!nNTZtTvaw1DL#%Qd#PTajhSg=wPGpA(Oy58FAf^B1$6
zG=@R+Ps;!QO8;_YHJM8+J7Xewq@AQzfL@x__c69HFYbO^f*=la>)TE9=Jk5qG2U(h
zWOr`rGKeFI;5#K$3+dxhXQJWN42nkLa@aUrM25^c1!k6OgKk<2LntOWvV4IEUlxD=
zU+#@WA*W23f85Y9vVg)kdbyXi%1LD~rx7LH#=Fr&x$g~6aRFWiq%MYfTr{~mKK;El
ztc3i*#}CEOP)8dWL%Y)<iRmZ(?Yoch<op``GClW|qvifLQ;z9xGLuX@ly7@_50((Q
zW&Tsdx|d~vYd_Nf28<2rnI|hVP#AvtVOIUug@u9@zG4O0xK6j0*YKPgrn}e<Q4Mm}
zM};kOb|$OUpnbC}Rjtr1KEjC!bjiP~EBEeut?xZ(DqtCERH`}B9;-{Sv<BR1_H{d=
zW<dN*VI$!)N{ZwiP!_QI1!fGW5~l_dl}s1BYcMki@#YZa<5QmvWyYs_N+jdh{Cq9-
zhx~0ac4m3>gp7*p1wDEJ6`9I0c4n*#A&4-6F6&Jo0XOrNsa}>>7B)=WvbSW01znx%
zlo7fxb#mNhjddS}wXJCQ-)XQ@8N@_SS9O}Icv6ul)(TFb%tlarbxMY*Ys@e|l$@h(
z2yE@~&3^X}wpGzCE1;fvGJ#9PODErCpJem<KS%?$M!y}qu(-#4Obm{XJ(xBGFdf61
zl_z=k7bstvlVHeOyO12l-JeItqm|12<?Qm8;^c!}o`PEnI3P$S)3jyIxJ>!8e(lnY
zU<IQB**nUF<>p82QK5XaFcHquZ=z04^!qJ`ji{8TT`rqfcO8%21Ap)mm0|1+mkQ_Z
z&&wzJt>|Ynrwtp&W;MBMPxk<wEx_F^oGg1&%`+=|7vthtTn}v&4|U#86QC0r0vra_
zmuhw1Ku=`t;3k0;H;<baUvFS*c{%;IOKD!2-W5?Fdx!0-UKh_jC)DrK(sX6zzWpcr
zLJ2JnoC2U?4S}E}?eox-p+m7dCu<7YcPYU(AYC<L6|;R_=bw*=>{k~uz5cn2p*Im_
zY;%<z4QMuq+9RjD()r9P)R-|Yr}ZS6qqrvmf$W<++dYLkc3;ZIv5vD5&FIg~2Mzkf
z_X!<G&G@Y`1t#Djn-u8@U`jVAjswuA;fqIH+K!bP=NBJ|CHqoh3|k?k$a0Kp*b{4Z
zvH>}Nhh=wiVc;p_)|yXF)3WFfI4*W$HD$yEO)@X%P25)6;qRo1C+WRqYYe!q`bpX&
zRhPom@l|e^tE2gz@N=#pS^o6(pmxC>2`^-uv{2D|dg@50QLUzjHG|I9VqfXPDnI%i
zA>WM-TBL7QdtL>)iSW3|Cmx=A{u(vZ6TZdLmJ{+<uIVr@e~X)fO3`x`vK~zD<pg&d
z=$O;bVq7VSP*@C)kZqGuVZ-atX3^~e?OeR^>AGSV@09$5)GIj|Ds3E&zG<0uGDSsr
zh?1~(%2HfdUU`#;<rB8%?L3T}bxw8_hWn2M{<|Rt+w9Zpq!JRTqkY0Mb7y&cxWj-F
zkDiKO)^Q@rX)6QocSXvX4fk|O0o`-(p2-F57+GfKpE+b)Jt|z_4jf0{&1Rh>B~Tq^
ze#<SlLqXc4(j}#~6Xvm(=EyJ3p0g%c*E2d^xnTi-WMeT1%RxjJU95h~op6ZHIx!`d
zMBr5VpZaqIW>manReVp{1hsy)f9iXH>xh-$fT23V&^hP>FL61-!383R9U@eaPA#?9
z9efqOX&qCYzHkW?`?erl{5Boq#^FJk&gS&7OM=5P&4KV<DzdwU%Jnj~iy7VjC|e~^
zF^!;DdC!+CABP=C5vwd`Em2%1)vaVc;psA)|D}ip!mt8jtIRbWlOvmR1~ru8ehlCW
zfartzSZ>iBi)M(K*|SzoLH-HPj1Q7_ozE&|`}=xl3`#n{K$ToDrw!osv}e2(DVoQ_
zsgTRmJSa63oBGs>->%utef=3Mj<m4QmVcE7*}>^*gGJt!Dwwwu1^!{$qZpw<+Pp#0
zEI~w-EUOM|pk<e<mNKsS16};`DM|NaO0t1*hGe1evTQxK0%KiI2jnUPNV)HvC4N}s
z;3thl6U$#ie&?pkhdx1-g{UuM+Z_)9R{N`Ut!3p~sJYz2w`4ngpGsL`8n!WEf_OXi
zxp^dA(y@|Vi{KC@e3%IIq1C^orzHRpcN1~e@RfXZZL|iF>=elQqtKzN4;a$R6=GHt
zp;(c7y!hQIGr`dmCI0x(3Tj;HGNw1AH@`ahz|H~a2s?2u9qI4Ig+(GkQ;3O}L1#1}
z+|G9JkHo491ry!z3PMc9j^_mHFG;#H@MX`JzrjnhYV6?qW`UwLSupCJQN+Qysl>W=
zXxwRQ%-G`(ld44DE}pK%C=RH;Tu5feunCz)JtB-5CA)Iz!3EkHe9w<=`i#oU{&aR7
zITcYx8H&;!<nyJXX2k)_j8)16FK`3V)##0@;TT?4646KTK#I*y>zX@3^m;M<!DdJT
z#)^E8)bxg3Fs>|ZkA_Zdj<qM*5P+q$I8SToTmT<(<7z+pdAK-0fxlUZ4!eUFkJDBf
zzpHv;T3a0fa)7ggRyo)r<8tMa>yMn^5Q{OxwceSip(5WH^RQFURlk~W&KkyxPd6wt
z?<BOCel2GrjFCpTg$D*o)3UOsbrh$GigzHPf@5y~(vu0aoKk0_#t9F|A!?(ibuIZy
zcSUUV3OK3LtLKJQV^~0V<yTIR1s~t<>SW{psv61!;_v&tf+H0yC8CXju8)@Qs!i2#
zx8+UMJFGQCp?x*yr000P)J?D6dA?9T0nUK4D$(r?2Mu(@f|$d{uS&}D%60)DZ<Hzd
ziSEGz98S;`AxSm14pvK+M=WI}$5{$<cNZoLIhfXE2R&HA7)j<z`jD&8v1Qa)VMs}<
z3AK&X$4aXNPd}GB#R@tIb8uT*Q9^)UoYY*IuW-%)BDN2LcdlIjMUwqXFn5wKP3j?8
zx62%CeJP-%Q#vn0>Jz|f-y>=`ja`>tSRgy;<Tw?jB-ChY@ZN+F7<95E)@*OkA5hCw
zx5hX+<}Twau;I?+@uN4m_vRxv0Na!uQ>;-~y~_j*;ZGYd17%csNEPe3hR0!02@vwi
z;w*(#tCf)^b!~^ChykJ)A(aN2C^DuPh%m+FF&g0Vra%Q)e?*xV&)LuqKDx9{BvoI)
zi=oeXjMW+*zsyk5R}+@zRPl0xDE&X86{f0M<5QY&&^4gk0^g&E5<(QN&K3oO@$iO3
zO426MjU=q%M_u4;fmw5J-2;VztH)7Iw*r@ueZcib4QSl)`DRgCG%R;TS%a{lVM_|*
zjz{;MRX8~=IHZh3Jfg2yO3<58m9Bu+6cj`62JItqhDYJM)<(qjFrL>6b3|uKx3(w6
zXMcxnd*fxtfNFcd-)<(2q%-K$W9Hnq2Y`;1d<z-9qoneHfoa9RFtD=`c$;-JG{0KK
zA!|A@)Re=82rkDVIVhEHIS}G|gIEnW+12%UVkW0}K<7BXBI*pO{)+bPWsa#OVW^l}
zZ8R8J_Oz4Y)q5_kNhb|ZBFHzl;C!W%TsX14l(RS=o>9hB6z0CfRjYhsA)Yr%kXv`s
zvb?kc)%-U8VJy&0rqvq6G(a#LGvl0c%2OT!cAjZAd1BPl3Uv0u9qrOMt&9kPh9KfY
zXlb7auIQ0l1JbU@Pi)6DFX2cUbIh2ds8>Do?>q%5=r3f&SwC<v$D|CJa5M_FBH0g!
z1EWP)H<c#ihsO2^B0C%hqava6P#Gsh+;PGo`{ne5OUE_P_q*Hf6{C71ICeqVbwyUr
zGvd*))0%Sx;>%&F{@;z%7=qH)EMgW1C#qVaUqh$N8TP4Ep|_l2zs<j$ec_<5sQPV+
z`IXXf1L_$~4Oc|+5;Gj&l~Ho!?Q9Zg?Rov!_<0q0CiWs4hS<WA)st(s_h8Z03}cRw
z^R&mywh@0g%Uq_ij^V21$srZ`u1oj~U94Lhz%*yw%`%(C&%7Ej@heQ>RwHp=I)Yg~
zDkfjebU}ZMt7mYZzX(r<q@H@tl5Sfb_wd<fRwG@We=qGM!U_E^6C#4YeI2fwYUjSs
zNMF@-&L^_uFR6j@+oDYJPLCePYfxMBNSY&@*&mZhW$y>@=k#Y)c*rR-;WTtv&lj_&
z8OPfG{++>;9jvfaf^e!Q#TcAz-1YU@s(KB>)EP`ZWMi+WQ5X1=1b|D1FkZBQjB_BG
z2#=*Mwnn!5v_`^i<rZ_semH){t=C0_mswv+ky-*nv|km$%er}&g>`J`gW<0%`MV)C
z{LFwUqW+K~o_N>chGG1$MG6b;f8{rYLDL*@IM(Sb*i;BwiHpC9y>p=9!W<GcQH&jw
zzORyLaOA*L!wjBv`U67jN0(BI65=841#F)<QbNXjy2yStVInIc+e><OCxTQp-}5y_
zBwykvN9pp@!jeXY=sR9gYim3$>$I!$rLX1Ocm7koff5#YK|jbsw^)jksS;zxv2pBo
z`}zjaP-*igBueU4PT{4|xt1>ZPnSj4v_UgILlC8%MmA}Y8sg3TCftF4*cq)mlbAYJ
zV}`69awavf$;E4UVv%S(+C4m%IsbSs_}N@U9_s7YGHVxHd6O5&YX}N;+MY7spbWFV
zV&tQR-mLnzF9%2ldMp0w+N=D(x&Ek@!L1ka*^>nmgqG%VFbe=ia{X@;DWOUa`V{$`
zYu|ZYOvZN~Nt$I4Rpe!A1kr?X2iiZ{lnsO8A82w4XWu;Fgb$DuYudG(*zj5bIp##r
zCM2omCg_Iy8Z@q7vfGtnz9hCiL#{U5v{!_Thr;nC>DnQ$BJ;}KVB^r8c(U31spXn=
zH1%X9#N72CDeD_93c4_OKY9CumU(Xr?BbmsnA;tmO*hp8vrCBl@iHAMR;DTEVLrb?
z#|S=gv+Mb+21NNCukGs~o*mtV7n5U82FuSnd_$fLvy|dad(WXzPz!sa_0sAVCqx@O
zrm<42R5Ze6_&Nf>Y}Qix>=RXL+-ITfh#p4U_kk1rxsPJJ=&dj>7^YCyf>oFBUkfg0
zlzsD75l0-_HYi~d$0Pmxpxec=)x8HAF4P4s01-nX*)dMGnFYbtBky*vCo<PjhE=<;
zIwaU0(j+_ut9PMT3lx)mERmc|72vsokphL};Gv`AA%U@>0Rp3bHr^4eZEMK?S%p&0
z1>K-@E8DCDm!y<kE)I=V&9GNN;*E$HTDOO0TW_$wr?b5T?Sj%)Bn;b8jQz9SmA&Lc
zxO1XDLgpb~pIxK&*Y=j>P{Qn&3(=2_qdTg~T=+&cz`o{3ipMBGbQ0Zo4Rh<h!i<O{
z<$CgOQ!-!Vn&D)mHCqC8S~9mUMhWqsiqcu6^^}l;!8{7PAUS@#X=s626IiiGD2GgQ
z{=F1%0>I$)0*xej%VeL6Zk_?Os8BEOM3s&$F8pRtQ~|YZ2UA82kBvGHCT=1S*OXDB
zh_46^?&K^8`+ny8X|*^_chNS+^=EQs)aB$q`m`2yS1?cq_X0S}utmO3Ez!Zh?{?La
z^{qlQ*trt1G$|retmE|4vWH<LFKMco6DS(s1b1e}2T2@p^_CulQ*~GI<la?;<>wR%
zW|zdTypDa^-VuQZ{eW`A+K`vqa<xPyqe)x&yK=4iwxB^jbOWZkbPAhVBvTx>=Lw}y
zD4v$=tjmQVBNF^XKr8w(SlNy-B$8PYlAIiE&eN0XWfxr*0V85A0ccY~3+XT~s}I@8
zH_;~D^L06TNnP+nkVw0h-|8V3jS3;KXg2H!r`R<(lw5-)CJ~%=u9&qt{TC2Z_OK0x
zc_4c0>`~clGmQr-d$6a1P}j#;cr<c6ErRy5COTV{_ygl=kUG<Xn?C-t*Yd9FUI*ps
z7rtg;0@eL#x7OR6vL=Xm+Z0i|=B*mNE0AA(e1+HX-K54h=PWVAwppr?{A9I;<*K4Q
zjlCHTfS&>(XVu`qT5O4QW!8UR<z<Odo>F1k*+N$!;8=%WtuIS<^E)0e-Hy(rt(A%k
z^<m@FMz1Zic<2V2dO0HQu`mwzHHO9;R-vgn-D$Rnxpb-;Is(8bq&GD^HLt+NGp#W*
zL2s6}%k9-)--Kfy-(7pq*RtFSLC^cy{?4}xl-G@y=AiH9hNE)1#7}dQ(kmFh5DYp*
z<MgbynAFpm{yju`G6Gr4y%ToB83f~cwCpXuB0Qc<JdBW+^Y-Va{-Qtri?98sK8x+A
zvDy}2^n6-pqBA%qzh|e&x{h@~W`}ozz`iuPnFS{+Pc(r-mkUAd4pMnn7%UDL`v->i
zj*VKJ%|y={G!N4Wy2Z*&mxu9(OK()K**HYdZiL9&oE;9z%+$htVmFlcOm}-~8rrw3
z?J13CEUUv}g2|u>xt)fmLv@~q-HHzbh%?t&|9f^E0P%fpL8aw1<6fy=j;pbfS`U+T
zLu-!;ieO}on4HCI4aQR^IeR>vN+%AmOE-l)KEwji@H@+F&Yzx%rO8YmZYZyxbr}i=
zGak;p@*knNECXyUH{>WoTv<S)@RlpQVsP3r-CFZ0{{pRn!&6BwMC%{3z}*y8w%Zlt
zE<F?4^Wm)D{)Ef^9R>TV?dZ@_%OA?)j8gwVib@ltHf#k(8cn{j0WpqxA9NqYD}G}a
z%H!<qNkdlwYNwz9Ai2NWF5@Z@apJ7M14X}P)ZT7SGpQySsy%8Yh1S9x93Ojb?A?7l
zC?4R02_`kk)=5DxsbSA`b5YM=(=xBoB@MWqQQ}X<B_aA{n6}r@f>PWjuntd&Dd8*k
zb`FugaLzRg&w?2-@hF06opD*uVt?GP799?jHv2gJei5fA);{f8jKeyy4ljCMv2uTR
zoE>FX7GGEmRbtr>%Abd+?r{fB{C<5vjyes%q55lQ=Gd-4LFuJfNiuzjy-z#zBtxf=
z{a2*@kv@4mZNcC_$I<x4`hWx|#hh-4JB0pJjb-8P9}7Z3LwBPs-B;TUsv_cL`t83Y
zMVT~4iD)tASuYrsd+<ssxw0+AyZ%-Mf#8Jy;a^OJ2?=DS>Bur{hJkuYN*+H52U3d;
z=)!(e%nuOSW#M~RPWBpn=LFUVZk{*qZ_VlMErl7r90?bAFC+Yt@N3?9aRdV}I?QXl
zb_nK1LhCaLSIBgLRf)@x#i@#u>fI86%(v18gj3{mnsqdSGLa3l<O{^?cs#zfuBuV6
zEgbmAt}U)&-t7kbs4QMD2ff>hqc2pRt?_m=bl_Q6`4_3QW_QIjIfX&mr<>vo^EhlF
z<H;QrW|lR%YiMKzle>mGEA~t%X>TRF29;JWSDhV)0__;(WnixSzt_#dz4FysUn-TP
z1YCsx&3v+ubR<p?$(mZjyP4O+Uxy6W72M%}4GC(v{x;M2*^M2NSRw+uliw}+Fn3h=
z{XmdWx$3X30SO_U6+0Tf<*X$8ota{|H->TZi1QTtobf=jk7rie9b|>iX5V0Dr$fCW
z$YEVyM_NWja$W6$k=K?Ii`iA*2~{?KVuvF``-)F{{+%jSOr2|MpX&JvI3lGHC$z1b
zL7IZl0M?^pT~8Ua<AZb(>ww-#@56`|6S%;J4liW;xS0+;-Xh%;H>ahyJGM#9QAX%=
zHO@jf=}a!x>8e>_b@7Sj{_y!M=u2AJ-(K@yI`lO!pTDr=tAuqtYIZYeOSUmC=;Cb<
zm8iZPyZ6Zol1B=zo{wtaUq(g-Ysu~a#6Cj_HGeD@@FSnPePdJMemWCHCbc7gQozs{
zk$gcx;Y)~_=u*SGO7zzVe?V`)JLl@KrsdApFXQhpbI1{T33v0Ryl)yE$n-&{n&(gK
z34QLf6A4fl<W_o;uf;mQJR1iZK{$>g*|h%&&{0vjn`BxtY9jDjK|IB2ZObqGU*1N4
z!tg|N8UL2i+E6?WGZhEcxLkMNshucElv%=`%Urh-5&s+E`XwB>_QLKhKfxAM&e8OH
z{?^Q@2Hfa4e=)N0P)%-bSd<@~3&>rvqX?-$h1d&y$%DFWD>>Sd^8YVL#E%v=^N$(%
zP5ac7vuIL~%$r<11@*9TAgR!O;IfsCT${%)j-%Zn!jRBXtw9a=WnAkzP1vhbXZ75P
z>PtDNI+s1OSN1$-YQdRTOGttL5%jwG%teW(v&#v$H`p-PnJ3fjvWiaqVwOS-1+vo3
z3NAka&NrYX*+;4}(6<IDQz4quC*q|xW?O#~Oh@0{D#t5&i<QrUN`%9jYna}`&7bOB
zmc&o|ST{V28$qg()>2~k5C7G?)|zEIAb+XYY42sF3{6^=Jwo{xt=-IghkC7cyQSUK
zMB+Q0k3FiqX<v0Ga_Ul1+w>>M=G_n~R%0k9JkvCHPYDxs`$$HoOQWrWp&jBviBh!N
zl7{Ye5WHWJ7D{>8?+h*BZoJ3y7Wq7ml130I_!VdlGdjnA<6B^}wa{@tO35YFGz|J!
z!)^z;i*RngwT#gVQyZwDKnMQp5`0PpFv&v|k#vxL(kLRQ?iQcYvM~fHpYJJP=X=5z
z#~?#v03)nSNEy{Ij$Q`|2kTX?ya?Tj?Ks}-;xBn&$3#{tgFF|5o)si`hW%#M{(pWL
zc*5`+8ex{YO|(B_Z^gW76xhh2>Z-WbH}5{Rf`5;<n+H&RcKA^eqZDozG7YLDRs5Y@
z91CBvYvk7EqAMmLcmCC*OPw))!R*j)I>Ne3B0kvs%V#U#5gsU?HM?QQwYQPiKl9Np
zo;*qRVbQBdW4kGr5(Ms@m{gEqnJB3W+5?%cA3zV`GP`zo=e>sOFae5NG8^%Z3-qnd
zDhlky!?k08+0IJoM3E(mh4ZM-TCD}nLg8ti^=9YM{m$mY{X`GgMZHC)61$kWzUd$g
zhK)KAxRY>fKoiwtsI%v!Ek2|vvV~@9s#>ERIYr*6l0~c5HvA)kvgA4u{%pah{HtcY
z9aCV+p>BDOOZL}9>i!LJ&}m<5mw-1Z*KLyYtkKunX+}q_^FP1W=SlFxUnF6@Cz`*s
zz{V^0-^7*xPW7Fj!&q+37Uf)mg&?B}q<*(iw;=rW!hqldv;56MwbXOmuXCZrzKs@D
zc-h#*%fRE8LeAWlgEyq<#R*-Tvy^E(rcl#}L$rJ5OSetM8<nEVlY$q{{m__g?=!b8
zk+gfSdKZ}wNIn#Cm_^XDou|3|0@?T`=$|#QbRW@=y&!!C<EC{5&QRsgkE!19`gp+g
zP{wk@I+B|9=z68}4E;>BWU19uO$vtNS7BT(mT!M5Lw7Hu1WjUfQY`X&EpX%a`$72@
zNfegiI;sH_`zgpiY(+Id_y5j}))mD|UWK&kP@0=*VB0G>86XpkCSCH9+;Is%D9X|7
z!csr_sJsKNpnRD@Ozv7E^0rVuIG`-G5Ul|-pVjGLUMJQpTE@Mkn(!2IYk`=3-PsX;
zRZe?M_s-5LZ%gIC^CqmFE5tEYHUJT2JTGb1f(7wHXr-qj)w2W~X?^}9u&(bdG1uL2
zN+1ocbu_B8Q3qMSO}-~gzlww&<m^)&;XnxXf^Psj%R4al9wP5Hq($>M$Ce7h?R|$L
zzyAZ}<pfy%-;Xr8%N{nSW6DF;ffXY)s>D}qG@l$3s5>!CfN(W5$NV(heYJ5g?`XK}
zVr|H&WbZ1%7F_0$SN*}Ga&fPEWBfrSX&!x7L|*{!C!Ep_U8N}&4dZyeJ|pHysMdp+
z-|UcVM!n|O+8&g|LV*l|lpl+DOH|eCB~dGVoR-)z6LD{ycR{@qc5D<f+`w8k`bN2h
zUcV1^WEwchut@q1?75iM<wp>*E9l{llKf?%zR5ECYMouNR6EPqFH#TwE{j|$=8~%v
z41KlHub+2by(DN2FnTd434*hYoHNFbO5aVeT9W_eGH~(ePE5`ctno$pg3Ug|(4nk1
zn#oO-{QAtK<LNbCbnuGKya1G01Pxkk=qh4<&+O?VMrz(3Iesa~c=g_Q?K{4X1A`lt
z%3Ube^a>mPiA;aW;j!o}=TtYwu8VSr(2>YMd>FZ2vIWKw9#wnh2L@{N^fjgGSbJt#
zgDie<?8&;~BLFnsnMjfo0{vkBTwi1mVL_fBw~6Q3b+z~$?D6jC(j=i+pV0AIJn_Tf
zGjxg)nOo7i<IZT9uGAFOIw?eJTp!~acgDtR%yD?QxiE0KNmH9}jX}#`!PZA@gd7nG
z+QsUO+T15@d(f5`$V|Lyc&hoR=HS=}`=;Yb)x;JDBcO3$T7>^qXVJudb0|YBTmfLv
zcM2Q_B5<l$or_1gLy-vP@p{Lt1lTtDCW0K35Y1$ah2Du(1Yf6R43{rrX}@+WSfYc$
zR`qr<r0Q{;SaoqkP2|FysafJ_Gn($VY^&)eT_n6+fsyv^^x1%$0|Lq+Fcg4grR$2I
z_YWOw>sOCel&%r)Wiv~5xcLTyKmps{<wDL|oqhYf)xY@ODx@Zul>ISYc^FzQ(*5hL
zoo(*<ujJPEoBF$jpm5<Auu*Pk?K%{<Z)?m^6<oF)TikB>l}?<`WD~`Oi_Yii;!jjo
zmCv29gKpOjtZ%+E$fu0HJJX_ohYZqdb&VGr8CbT2MXFQ(r*u^z7ZTnkLRM6!<vhXT
zY78ydRGMmgvat)vT4|~3o+g-WgzC1t|2|-i=E(gO{&HpN82F7Rw6Owgx~s>p#fb04
z=HVPOp8Fhq!?p)9!p@{J1EHVgT{VDgCG(0+s|OQ|i$fl)Rk;nbHWNBK&=&(~em;Pa
z@ZjL}ukHJU4w6wI9{lj&z{!l#BfuIZE);J=#j!-oCQb^<3(NzVWkDluMUzym&#vEs
zf4vQjqfO(wVtQ~M5-xujc(2B((*AdlCPAm4Uh5<D@#I!{UE+kToqn}bI)L1ODTiw&
zcxQss&do2AevtpV&V(aAW;uw7d~iw>HjYN>1!3^rbTpRU;(3e&D77-A*mQ!OW9<n+
zMZCxjT`K}Wz{e4GQyDty`;LJ|eyd{g!J=Lwb=%upRUg`{T}p7g<_4ECmA7HEtB8}_
zK=96XJJ8P4)3e#@l8szbz&ADo93rk<1XRPyEgHv8Ghup|Ng`fNBwsX@rqYU5D$QHZ
zn_b7_ml$4ue_vhsu7;u72f?vN53u@_$m)2T-oAW)LsqY3;_!OB)oL{A&Wi}nM8aFU
zk3|_qwa}|)B!d(_5LrbKlRr;MgtPWXK!R$L^h@f_aqJ{NkYA}Xv(n4DDhWE(H}RZh
zPtuw4U!=fvFqE4HF2TyUiWSnWeO-Pc8&iXqj-f$4Digy1ia96KxqJ-yhaO=v_f)dQ
z06##$zxC%Fsn8i88r(BJB#6=4yJ0rMFaVs9y@f~RCxHG}c^xHCxkz1~cyLLBh}Otv
zqC>ANU+P<0?xyZ!laHz_ct)^)PDEKAy58Ay@nZrSDqE~J=Z^)IR-5B%tIHgj3FnMw
zJb09yqx5I?qA_vL+rqr=t|NDv@T@vYvH^pzq`=}&Oz$gfgFuFD5YfinpTBSR`|#vP
zMgfE+CVWeK6qdlbrsPA|Ob~?YY7w{JyMIvyBrIur&c@qZc+sy_DfwpyEzOy`8R}nQ
zye1+7y#HIEW$}6{3+s3hoOW)PMg531wxG8gZeelrcn?1&J=7?-N`$?J)wORM{{D|-
zu4>bjZ3DWvED}5mP;xorXn8L*t)~)jrj!Q9UT=vhrNhB0cF+8_MG9k~h&%Tko@|)j
z<LbM2USaAPnU@{4-ak04!0+mXvlbJ~jPS6BMOvotc$ETZXW!z<u`}6ahRb}H7xIX#
zGqJwJ8<aAlKiAC1A$c-r8Fv3Nw>eYk6C09dxz9R+M%P-Z&ay;rJENF*rNk1Oaf*E2
zkl6j2l{}pr2@l>DB~Zj&{z;3`S|?6}0IrB6H_kdK9>uWLKmiOqYRnGL-?9Cf+n!n)
z(jdn}6-9vax0<RF%>N2d6ZBWJ^X}7w<YzNL!Yw!B0FkMX7)W}D^~iyu@-wTD4;}Gs
z>R^?d{kb^Kv=gOc5<^u-vcT_aC8w7F6E%I|sJqn4e&$hG5rhIDeys06VF6k+tOhkE
z8g@kuT3FTN`N%Q-JYEy;A$~{K=ZXp6*>r+es0@&gx2ln5j?M&>-5Nv#x#4O*1LUMO
zJ9jdH0Y`*}bB$wvzvz+*R1v_Nc`Z{KgQxbnQ|kW383~(IZp8#~j0P}wNF257sbVK+
zLtDVOLFbJ;Aw(aPg?0?1DLM3AdpX|lHox4BAo1A-WQ~C2{oSr<7a(Y)BzHUlar91s
znB>a10HlC%{N17cSJKWz!w@g>P1o;Zpwdd384$v(0;G6#uo(?=N=m=86y2r2?o?5)
zN9&p?MA|*UxnHth0OrSn$O>+_N3ehI|DiX9gvHbKYAPbcA1ZQY1ImGYxj#hv|6{{A
zTOCC;`VS2^6(g6{7FP$?6m@<^VJN;kJIg%W#3b00HE4^yxscH+wV97(?_r+Qe!qP+
zLv!I3@Ok^A;ZNobY`le*(<tp%@*Sx{F`2;z3>ni~_opaLpFg=KBGOg0IPQ=hyNH7L
zVpU|+J$Zu>Am>3WLtsj<D9c7qeuuO1Pk;&&mVGw*QNPgL*nfSk0+PQ(!6Vj-1#E;3
zRZF^-dQ!i(VD_8v?dvd{UZpdiW$kgrC}!k4czY_r_svK?VDd<@zct{#@)R1A{+Wul
zVt4K<Y6i~6=LNZ(u?61Zkk>&Ug3o%}bkb3d`TB(AE|NDU;IE8G(nUlo-YQa*sFI)f
zZJa}WFvj^r-Nek=O)%svdlD>f5Ydfe{RV-QWN~KYx;1<@(XiJ{D*)P*J9tV7PjJXZ
zm<<RjacHrf+;9Mpvv*Z?R>1TU6Z=}snhpxR()kW9il43g&cwU#;ScQ&5v=(`=Z={I
z#nL6{lgE#*j?!njRCIxMvcaIvI4iMLTn5_?1e^|17R6S=&Jciq1$}N=kS(XLqF^r(
zQU?CAv~bk2=r^?O3Y-JYXzmC;kSm924}lUnVn)2C7}A|AF?1}-Vn&qZY;yL*;hguG
z5gPAMnGh2)y!T3vOA8{>z90O#o^Et$k<KRzFn5oJkG*HMJAMLBBdG9SOgN$eT6DM$
z4BsPSC6qwG$t6di`1Y+RZ2r2|;4Lu7becnx=6P2C=rSWi@g4~|-_~nX*I}Y6GFQ7+
zcZ6Ks{Sq2T?Vr+Bun>EV$?6h>mAvE9O8%@Dq+X~ukNk>NLK-Qv$pzvPMQIy9$1nbD
zW1V4F+BlUqc~)*oivfAA$)Dn}aOoc?>WFJa+{{lr#X~0JS@v+nB1HF4yVnyA{_x<p
zm&^JypH_&~g`yHiTQsuC4uGlNBs#`u^jJQASm6;&_wTL^;vt&U_E^n!5_^QF$%;5|
z-|!;~vu%rFIAZ~kLBO|?7_PH0DF%2#VcX;W&C1lSXBHagb;a7qL`VPM=^&8yc!T0N
z)UM{s@)uRLn!%ZVPD@()|MG1oz)mdf@XlY2w5Vy(%!mM4$1IDZ=Pu5+CZBsNRJBX6
zi&qP2kKeI{Kg*k0oawOj>Z0^U_x#b}+Am-~fkhb6xhZlv^@e5--f7x~r&_#{$fX*=
z+1zX>x(DZ{+aZZ(#Jw5hiNqoPW}XBY<tj)nQdFV0(*Um#K&1tt%lN)b^F(eEBid1+
zpQ1szyh~|oPXTC(&36{RF`mf=RvT_uO~0MqD`^{l10hxciCSYN6j#mGHxB`uIz)$~
zQ%p&$Bl9#NL&;s}aC=WljUbIz2j%Q-Rbjh*>nJzNG!~D@hApS*_KznYwSjl1-^}|`
zabE69?;7Krc8CnkSjAp(8{|KaH-pH2C~iQ&yC1kfVV9g@0oC`*D$k#H;Up3oLo34S
z-tvX}&A4*kvUm96v-)jRtzQ3b>MgxZ0)%xX-lS7gDSp8E9HQr@ogu%}=1|&N3fCkn
z)}J$&%_h`1IfD-DlANsPG|EH0)7dV2<IRa{^?)5}0_TEpuYbnUEOl$WcsGRATdNMQ
z#CP}*?S6ST>oJg@hHar^3oUBev~c|h9*P<u*I#~s(=YAV3m&BH&*!s!*{&&k21dzR
zibMl#UUV_7!J&GNw#eq=|G-VAzQobBb>bIvQ`&Zxn5K(h@cFRNM_%Lvpr8t#bdKT<
zrN^FZwW$eKppvmx7ti{h4ByBW;=Dh8p;4O`amb<22oO}$H7x}nuQNmsTK(BJYk5k+
zgW8%%z~?Wl1<t<YTUAW|J7|at5)}MTaftx;a%JyU1$lMH5?Aa*wBkC?_Bpka1bGZ;
zg{tvz!!5$gu3oG)9Xw;}?}gz=&%QJHSVL6hnv|k!8CR7ofOtdwh97VKGNGe%2w1hr
zUFBf32wJ6+kR9=JIrlGTO@wLN5q4_umJQHrr(3;x|I#77<!=hW5I_s_%_OHvzfKYH
zmwgtIFPB6CLXgGYe{}Rqf+ZTW_MOy04j_KIM~VdZRMM7sQ6``iG3?Ok08)%rWNX+n
z&69|>aq|GJHOxH6jn~UN5N~pFY-MwjaqNb3i7qr-3anO+YS*w07)ydcQ=n(!dGQ{?
zBYV;=VC;G=ieT8<rVHGpgbbzpE$#JU3O(AqZ$Q_z-RrK+C<^A*$5{A+^XY=Q=3eLm
zfs|3(jud1H@ObyMTSVub(K0#b#Y7JaME%>xTPlIb_O7wHI#vo8KR=3($n=Bmiw%a_
z^z0aY=pDZb6DrLJo6~8>V<(Yejenp9dYMmtUcMZ8b0{(!!-h^_v;z1U8Wl5Pr+KO#
zLM0E-(d$nd*Nb$T%?eREfmU3U$2DB#PrC{?Mxwr}PCGun2=_>l7LfCy)_8pVp@x8s
z|9;7S;f0rycV%)pf&etbpw%i71o46bC_|eEHQ`~J{*tH<!#Ul}n<w-?X);LR3O31j
z;b|glg=#%<ic4f)XSslPdT=5Mm_44(lhv?s-NhoBoK$tMy%NsbaX&IhhRPUx{5-`}
zff{tkFp2M>SC*`U59i6)O8`4!B%V%1?=VxP!vS_l5$Iw7;ny8Z3uioO1j{J@e{<$C
zEs-7Aqt+JmuH?F)3$aLQ#H60BCa*8lB`yId<@#j`+#>kRwlMg4tQMv&A?LPiHQR*n
zH2Ssvpd+?)!;Dd?=hFl^suxM#=@HH;+qs==+%Q>3B9tv;YXnB5#lP^{@<3{A?8EY$
z2p%lx$UD-*-+v1N+or=$hqatfMW{O0Q9xq!1&vkdx%F6YdFmNXTM1zD&u6vHG~{|u
zg%BSs79s~Q-VM~k_sDKJwsHa9jNomlz^8eT8q{aZ9IGLKM={iv=j@C$7O&V>Qqf#c
zFFB5k)3i6l`1@&G;i7nc>5OHN6V^|A;#o(Jo-!cr87j+bI(*g?0~q{?l^Zlx9eF5>
zidQlM$Rd<67;{6p+H8|IJIC4th#-x%9m6w)1dk+Bt^tvJQbK?7mn>}nk<AKeQ}eO|
zDfwESITZ2;!_he$3YL~%H*f5}1mpVRtjyM58H2-mI~I_b%H)ra9Zlovw|c=nJK0OM
ztS9pwN;^YsayO?#gB;aHst~4KTf$d}ariF_Uay+uuI0eN2DrL&9P#m%Hd0jBC|;ri
zt)y9PXaetmDm6hganoKf<x)(9_*x*Wem4F<+r1`1i3}lsL^GgZO9<d@w(wB<Q;-wM
zFu+%nfsT$6k98+;6_KRS{CDS)NI3T6woem9UlmSm^Qzr2$?qtH3DRhWOARdFB%3(d
z3uvz2nH<WMh05-+;*YdKo*{6VD|`T;XJ@#05r50ttu5~~7j{cA2_5F5>!Ld>cv6*_
zZ3(j&qixK5;=P(fIOsPP<BC+KfCGypqOm1DMFqxE!~ZWR$<$0wdyUZ{h`Wk{o@cKH
z%mizV(owAkau+j`F6+h`grOHK3KJuA@!#<@!>;cC8NnP4=&Jg7pX_I4SKc)tSou58
z=Kgwm>tr^Jv=Dm7LgHL19_8f9zlD@!F*v05jUWU`#7m{CKW0E<4l0`nZn)5L`Cxer
zj%@E#LM_~+dQtD(+EMjN7gC*kP5uE-bor>7H2p5m8k!oASif4i_DtBP_jR0vm@{`J
zOa>OQsF5bI;o5>1s@E`$8S=NmmZW)5l|&Q?qS_0k`5t9;>ucrh0@Mu1-^I3Zd|1x;
zz7sJ_`aK9VV_rTD1I8>uk7LL?UZ{l`Xpeq7FWWi#UO{LVaS$AzW-x0*pgiEk<(=IC
z+;vmlR_w}n0Y*PL(+Thw4T7Z4br48s<dBe9Y8Lu1FwX)<*@+}m1iHeRK|Jl}n1))x
zju{JJ4mZ#tp0J4kCQ#doZ7(2h6$t8DQ#g+8nyc2JyRmMlgn$moW15oT^y&)7R=ZWL
zWa&bX>*HqY8yk02Gt|y;p85)%iUKat%>gUHb?)H6*0>`l+W~YiHsxFKa+0;W1oIKN
zaK{W1<^Hm1=S(f^d0=O({+>-%7hGKJ1SlC4--n2no=P#kzoujk7@-{PFJWjlEw&+N
z{JWqb=c?yy2i|cezUh>A*Os>on8P4`gz{kUk$Yc8uz<s)PV?_YaLRW>MwuD5t<gy1
z>EH_4(;;^NdI8?!9-xtv0tB$&4Kb#uL*O2YA%IK|2u3Ay$UWG`vbpO8JIxk<$Mp_Z
z&>5;PJ5ScI&)&;+2H>eIFTB=}-bzW~(-kdch0i~G{f}>1{_?jW)hO}k-$wLyZgyy<
zP6ZQ$HkgqE9Z(R(L4_`wE)xCEx)>oFY$7W}N0cP1dv`Usmm!Vy7TD8R$Nx`7VRsXm
z1yU<Q8@}q~LrDnb)j&Amq(63HQbTgpXL2`VJt=DWFtoy%82feQPmDnNq_)4~UDlkW
z21;TIgL1FaqwAFodl)nnl6|g!vkBk{)LyL^avgv#GaXVBUVe2ysit(Y9;B8ZAcbME
z+*hM+p-_nh13eMT=Zoc`3FU}i#Y%c=ku1{IE2%dG%;i~EF{07m4;40ytU-Fv$N!b&
zgR-3p`~r2nZ+5d3d~o5l{pWZ^R!R-r70fCc6wVd>r>7LH&`Xmg)`QA-uUt=keF#We
zxx_$+>hz264X`|iAT)3=H^!xxsRxADfMPP#r@lmA3a78FIunD6Z=yT)v5XD_^ES&w
zDQ(mt3RqFH&RGArigDpTi1wbY3_qKG{<KP2Vp<|o3vFUP4h|n`e%CJ4h~-uiJcvhu
zl|Itx9POk>xJwNhEQz_yxeBEX3S9T`$XKbkbiQdS+%eZaLsDY02?qFMu?osE3Bws$
zuShb$#tb+6SAVJ|4S2`@jO-yIQst1y%R@e<xu1kgu@@C72|8@%_>QHNJ&@Q;+-1mC
z1UYW?ZRlTkC~be&LSN-os6Aa{iqRA!Xl?vbm~+I<*X3>J3Rk0@7^34ou2DP2b<qh+
zy0ZpfUp>$Gr(UIFl+O7}CfgjCDW-Vo>1G$GNsqm#cGw}LemgiF*=sdFWrPtS7;{m8
z(Tvt$!L3$K#%IupE}hQ55JP2<qX`U=17m(6-i?yeAUA=mZ!}$)I*NT9B@Z3m!Z&n>
zks;g*#h+$Q$w}>Kw_5Vm-uCd>zdO#crE#qtoc4-MYZf{~DJ7A+H^oO+QeJCsxy?FG
zvNa#R>E8WS%QvIkcj$TG5A<~?AU{XoJ4%7Wk$4>XABeaurW`fA+^+qe;twpsfe!hm
zZ26<C7iWRm*($5B<#Ij~zpov@lz1sODTv0ZJ>{5BLnL3F7(svk1$;4DUF`9ta$h5t
z3%^>P6ZCk*>4d~2a9Q*&U~f5mSx8f&9V9B*2ENW-q@gDA)kZ3^uhkJi;QXo{wf{`0
zGQzGNSeNg%j<ADskPL^rq(|>dF1zkHHa^adA#)cVbMW>>*G}@a!RDZJBKP^mjlT(g
zuzM!39ZsAwQ+JsaD!w2;aK(4*Ez~A_!nXBm8ZvnkzGf?Ka_L~bmG_TxPCpvWUl5~O
zg-!zd{J!JuCVE47^Fol}Q0LueFBwG_QtL}2z@gE<OY@vw?IWZJH*?cg1Iv!qQw{|I
z=b*A*R(?tEwz-=UogmOROWbEB7LDzzQ+D!P&ob9Z$(VQ~-iroSwanH6;`FfUX{d0W
zfeVxG&@!#(0BnwIf9Xn#zhLHx=@lQOLeEqQo1&#ik}#A^^4a4&+q8EbdJf_NOu4=d
zO*y))#ai8F8x>J@T<Q{?9tY7@<Sq=ba_58>tZ@XK|GyXU<Zv>TFrkm&1m@)Ug`1&B
z7R+KYf6-ah(0^!PD1OdS3xwLW;6fP5;mYa83b#d98kNn82ubBgFREGZVpL6`&>oLb
zz+RTm1TjrEgb%D${EhsABZknfjvyi1rlF@WL6j5`5x^rhS`zjdiv=6L3Z|@gaKeH*
zzG=9}Ai6bb9=AMj8O?mXynv5~bCTwrnav!LRusV3pNG^fh{hF@8yJg&CVp&3;)X6>
zCcesF3Z*KQ@%*vGN`_3CEB>V4wVA=;Ts2ifd~(9$1M^czHtX&w+BUWhn*<s=yVK^+
zHZ5z#beAC>KVVXWXB0CTM5sskHG`~_5=-IqZS|qKH~9tipRD$5%OyU@Ri=VNN)`Ds
zz#~l~Wtmw>dszR!rPaLz4j`s~IO&@=jS(mqyh8be2|ms@D4gv;+y*Klh-AqL1b$Uh
z?IJxlzYUpjEA(51BH%_6nMC|a32FF)TcrFiQrG!dBu^vz^p3LzX}_7_H2z)mPB5}6
z^`mXkdj__>)Q0Yaw5pGEigzmEPxkc=l$djWb1P{+N0`5*ysM7_+qDmL@?Sna9tRcl
zFYNI&Ezn!eq?TM`p8L$_wOS55-snC6EMXaz#6rJt-MLhl&Vi@GwdW0h@J|RJ$ShAz
z;`6tykZ?@I6qG|Ne>~XCMGWjf>hl8c*;`k%4=QPh+T|xRbFSV}6-9O<IIqg2Co=q@
zae;>ApzH-87-u?0;Kcm_2+%P+8`dTtX^W0khBH=sKz2H)3>7}gO`WLZ^;Wbt%GyC3
z+%bS#AC#uB<)nS1+o_Y95u7_h@c|MOYm&x&8_^~iNQ@QSDLz}<6LWfgqpzaI0Ok`!
z*lYr$?Me2C_fWAq3O8vW@$#Xty#vcM$H1OuCk*j-2lKes?{DPBENZFwt2rFXgbu9b
z0jrS0K|%O-TN;U|BLYv1a6wYPL}P`l^QQAeW}!_%B_|HFW$^|$U-l0yI-U&VO(JQ1
zoXPa_k@lk#Mu<{-+6bm#B85J;OV-MHb&OX-k)xu?tp#qcuRdoVIr0JDavu`W1)S%-
z`jkhKA;p_XUp8c~i7s0!AOwb+XS8#@`<!AGtgX)L^VrQe+76A7N3v$$F|HXJ5AiS$
zcd#-7klAjzYU$}ai=lj+n^a_sk#)d<mD?2T;46eSagp<j$V&lk$a-84@i9R?yJSRI
z=0220HR@{Y<uH${_R9Ceepaw1E1J1~_gT(Ot4Dp4atSaC9?c9O42(&~$fY~@UNk}|
zen|)DE!cHfSLi;R3T<A}>O`d3WsHF|Xzu{m#<@vlY&SY`<@kk1c%s|7RV-Z?*CP_a
zZQX{!N~5rnmC2%2Cf+VTkBth_LfIpvzQG6&<uT^Qp3pUSyHD8)rw!hhTNx-9NVk?K
z^h!IG(K>APgHa3<v>5<sTa|J)QLwJv>?BrP5l%B&UvY(;=+=L`0JzR-5sLl7kMPzA
z!ka>|alHyW>(n-vw5Y+~UHz6dW&Pg8sb;C&e>4XLBp(b*XTV_YU+53}#N+k}Nrj#l
zMsy-58P(>WT)-0bFTS3^Ms|8DUZm)(WLOE{e*zU42@8|6<wPFeu;)$Q!e(z1N?Y1I
zbR^}IMiS5w=b*GI6&^Zw6AOv0z%kBl0f;m_o+sZU_w>~fzn!F)e?TzPADTQgl+oJg
zDpXX`eRc48hc=q>qg|Cuo+8!B<Uc^<i~La58b$BhWO$xm1(Y{Oz4-}bIUv>`sQ(YI
zA@duNpvQIH!j^nMn`tO9qk-y7(NI(nGYahzgD#mwUY&C3&S~b_dw=(pYZX{t9c8N&
zFJ~vDT&p|ickYX2Z3*-K3Yz4?5;kE{ei|evMYzTshX(TPp~cEsvB{=g3Mq_=PCD{i
zhx10?=&WXcHi4quXPvu5!K3g68KFu%x8Fa>&c~j7B|sjYq6}#lI4B$<i=_(mCbA(Q
zNCpwwd`<7*jOL;o*sSc%ZRDO@$yyk}ryBbAndDdGK)>Z1rxm4gMT(`I@l~U|gj1qs
z3exX!*VfIppNR_HnGshSUxaZ&GL=QH*tUPpGre>v<!`Yn&CrSZ`tDJw8&Gmp!oqSP
ztQdwZg=b_R$>$&=mzp2%u`O$`B|)F1M<xmAx<>F#Z=bSdM7rTd$`kQraAxU>*0-53
zYkvxE#o*V(u)poK@$1zZ>Mv#-{}YYwqlm3q-iQ~qMRNP*f4QKi$-7Ad_t2LeZi3QV
zT;+VQ=+%aObMP>dpLVRr#y>PUKB)uN6(LZ5-11hopp<v>CncR|BxO*{G?B`B<p7+*
zD*dv2B{dgcJ)8aQBunrZa%r7hj2B)vwO-r(gR`W!U!@s7Zq8%cEPUTgC{R_jwomlW
zxr*E%keNjas?Ppa3)hgdwH)WPGBzT<{vlEyb32-hDmpy~Km(gX&?^bgc=>e(j5e)r
zK>rM{Xdz5wQi@+D`=7k+4T)c`iUO?T-DtX8NpU&gRr;tJf075=f5xjBhV}hlszfZv
zxtCsG4w8Y8gJm=_ttoU5#i}pDAqKzGsZJ+AJrl&*Ny`Dk++(&jK$W8$><xhlu^>b_
zbI@=br=3&cdK}!WA9^-=)JJOh`Lxq4<%uP5qU3tdf#<W&0z54nIj#(r!sP;a?c9-l
zyaw$o1<hUfUEM`_75EDKp=4#9uV059C)kM_jUMQ9!Rm@g)|Bxjk;sh?r(VWTC6&AB
z(<1Dlp;rR>irkuzD-tDKE7oE(hXQ+eM<zhI5LYa4kn7SXC~|Vpb7OTOl7_D>3V^Kg
z_^GhW{9=2;c6WeYPu12lZ`r~O35`tuCL;<0eXZ^<Xa?(Se6oj;&T14KZt5?`wkIW?
zygIRRUH#ZtZCFpg709v|T=f#;KMFlHABWjqOa#VMTs?L*L->K6I7Tk#e7S+0JqkPj
z0x<$jqF7l0OL}ijaOWCqUT6GI*nk{FMk6QAa^sSb{X!+)UrCn->}#eg^vGDqaJalw
z9jm?JZ6MB%6_&fTs`2h9ID_3_h4e&b*W11ywdTFiRJVs^TFwc4tM0ZaC`LjFOx6pF
z!3__Fo(yVnU}{*Zp3JL998Ff1G!q_O)s&a4ncJz}8g?$a`A%QCS@^`p7|V1b%#JpG
zO&@;RKR|I4__H=e^F;n|qc60@3LI(L$BX?~8VtePapEM;b6B$4z9-uci!TyOsBM9q
zSa;psWmTA!h*dT87S;+6^(BmZ%DXuP&K-%{H9__pN9Hgd-rJ;|D%%#@AWw0C&GT6m
zyf8z+-cPuAXF3@*DYDI<{!V}N=Sms?loGK<QMXoXE65mV#0bc0ml^_^Mug)$&6UTf
z+(>RaYrie8!rRQLDWc~>0>nB2>R~Y}(|;lr4<$gn#BK5SAn$^u_|3>oWiI*GUcV^T
z?UVk_!>5B90dx&n^Y9vw!!##K=`j%&VwxY0EoSC6pLHtcdH>{+BN?w^s|+R+IQet;
zm~Cpd;_QxG#m!gW#&}1%dPUA%9jako^iumzg;}hxSjtrQ_%X*lZ@7vo9dWuide&eO
zntAj2+Dnq8xzG*8RS_~myz4T();)YY#t0lVL0`o%-A|lR)>=8PM?B5FzUnZM5FC<$
zP4h|2z*s<mq6t%dd5>=}W11x!BcNiC(h_}T>&Xc5C+km;${M7W(1d%>+l98J(9@P%
zWVV|gFqWu~(~j~D=a)6Kj0FPSw$$PWYssVyr%%K-uXft-yS=p&uK(A|0gz3I8dErS
zMzc4-KT~hcFQ5DeH)mk~>O`=B(sBu}wLDnYnjmY=#_cFVFk4Ng*P04F{ZzuUqa;>_
z29w0`VBn7iacB8PKlH|g#aMIfP@#AK1%y(&H!&Jl%#$ztsE~_qrf;WD$fSk@7vEHJ
zk&2L6Gp9^5>P>Ju=yr(yVn~{C3`?g&B6~4ys^01aqV)v#<JP{Y*UhoM7?aqG?i9F{
zYf~Lj>l~0`^nE#NGg!m|nAlk>&`O)DJPGdJY+kh0QISD(Dl9aG+Y3+`xte=xJR_aB
zsWRBfuh88>HVoV%Mtzfh4_TatV}7n|@;p@X&2>e%gKZ38>Ha{KX3dI!1Xh(REHw`9
znqhIv@&_zIrg!i*(++5y<yL<8#A{1$!3SFts6sdK3upP&M3LIpde7`jI0Jx_NVlDU
z2PP{B-N4Gpv|fup|E~6;yNjJ^Gm%7Om4=>Wh7I6{CeSlxOGiSZ0-$?Ewer$a@(48t
zm7y7`(m0gS%r_4yQ=A|+fl=$k>dV4VR~KLPX3im6PqG`Qd6w1*j`N;z$$^!rxY!gO
zPlDm~bb4TO8a3CkYIv_+sv7e_^B%2D8>RnaP>lH6F$+53rL!tGU3w%9Mc~rp3Gz3x
zC~O;T?yxl;GCKPsBvCEnV-W9ZH);mr(5pGQeVib7SJR_$-DKQqMR*$8RQZW%fFo-u
zjgBhsJ=_eBq5>PLoE%59mQ9w)^8CX^{F=S(Tisud=)L7gn(_?IWTSw#L?qBLKt0dr
zGbhaZUy^>#^(DE4ySrNpYm<htG}+8IkwQ>OhBVaMhLln?|C#q#ac6H6l7A$y`N2-}
zPAQw5vT9#%FQu*#-Xd30Dy?y`^6$UoAxzG5jI9R5ezGR@<5K=+T9Br7NrW%OJP!yn
zH9X_PhM}R;1o3A^+?;0@H_~ie*F3>aw;s)!`N3-!R;V>ENATY81~{vIBc)+b{cG;k
zH%5TiG5eP42)7+Vmm=?y&M%&<<2kD`JNIsjIJt^m%56-%+q~q8VpldQOK+pU2KO3T
zgAts)3{cOfM{m99l+hA)9#7F56WWXlv)>p$l(`eW!eaX{8fsGQa-3FZ>_6IQM$;Wg
zoFO8<944=7gL{+IiP%k{1<Vmb*RzI>=UPo?<ajgay&p>zQo?n#XPO_w(JnQC9GfB+
zn#ed?g*?vUPX7Xt`Zvy;8J|;Mi~d5E_~&Y;wDB2qiR2Vb0H5<prH$E5{%`0;pM)?&
z`Zg+gvL_S6FjE)!$s<{ui$X47@c2a4y4wYety28~a@C62zSYr|5_K6P;JV~aeGDvB
zFOy(c1U5%w7_0L$@Hsn<_HhDpm6D0nR<A(}r&a&|`~Wj+KB>Y@pVp59(|reg(-33p
z5zEJrBz%=@R)}i};XjW)=ldquf=wF@zE{Qe%~quS^1Y8PVJa6R1&k)1?sq|4%C*Q)
z>sW~^)T{@~Jx36DHZgn0m(`o=UEP+!Bm}XXgDCgr^D>3Z9hPfy%(DH}{}R4So3s@|
zc~{k}SOpUWB`poU7t;csnXwXX$sDFc1g7`tz#7GBFSD&qluSMbnSX8fB@7$-#~WxK
zkb9YI03Wr=5#17@%WOXg05|oIObzm_`}K!2q|dn%{OqnM9T5bg{EI&{LqjRnuZaS6
z!v4#SIK@q~lZ55iRQv+-(D1)l2gagHCs(N|<-`vHwOe(+$z6eEDN8lbMO3}d$QEl}
z1in-st)T7N#o8J35wMe@#*r=lcrDU_7XBF|#5q3D8~wWT=di%bn$nw=#bm9xOt)7?
zwI0rG`0#vEF(3`1UV!<N{r#vgu@IN45P&p$8QYPdgvXI%tggHE;eCSaa0hC2?F;sK
z&+%>Y1_S8^4oY5&XlO}lT!MRK%u8Uy_@|`-93oEy_ivg+GF<&w2J&`0iP8&}{(4bO
zwo+O@!lOeLbREUl@9uE!&i=T(nhea5O@9~}MJFU+fvM6j$cd1l@X$9xY07BS5)5u*
zKXA<EhiW@m&wvQ;O7JkRwvogAJHw~PlXeMpopCddBZwyo!A%aGgd)R4(@6%HkKe`+
zp*d)FX9QeFqJHVVZKQ$JlXFkIl^evg{52Q})E?+wu31`99IVj8;>ug@kPPI$?N8!_
zSJJKS(5>V#&@=_@CM)Kh>KAb!3k!m)m1<Gqf=Hg*(4@O7=bTCJP|LDRvIxANTOpUW
zXQ}JCii?CDFS~OmGHZ`hRFi;8UY*Y+pAx#c`?x4KYC2xDkx+yQqt!Uc+SNhhkL}|~
zB_XpSw81S902T*j|3>4A*~smXYIZa0bXN)w`C1W@CsjB+4IOuGoRthOJe5T63K*uT
zs!$#VG5?N4BO9MPM|3mGiKkAU8yd=tk$ih|OE34fW@AX95+aXz55`}e+gfSbA<QuI
zNayhiVtlv%V=`W|7fmk5zgVvdOStN8x|E-?-BQM~q8%Cz)sBA7U$x7hzenw?RA|u`
zfo9=Yp3Hc$9QimH(Ab?qaYUo=&Uo`iscdomj!9l+y3OqH-=3>i&D30tixA!_zri5+
zEE_d$ZlQi|ZP2W|Hj-wEH7y+f-Q6MUAfDt9wb}%@QuUr>RUfMS*-B!ty4ImUT{|FQ
zV&AD0vwk2nL8JsNW?hy@K_;zHt?`Idj+Io;Azbcw^j$nQmvO$;3kVi~Wvy1#Vsq3q
z%y+cE@20zjRoekppwIx-{imMW8;CT$5Fkr}@At|0(MMY#iSo&+Cfvn)B|9LfC8p0`
zvfjwS;0-aj68zPmjw;7h;dEd3*;9Sjdu;_4;0VR+6kZHyi_UV%Ps&eJ2k-e#+q#Zw
zApJVLe*23Y7ps4W1uf9`gZF^@%OAl}QeqQ^Kn;s99=bO+ZCog7gPL{nv(ax1KNf8@
z(COlyn$AVoK{{!SYATiu>8_yB`V00B@pCW((te?uGMxoV)2TYy2$F9tOG_fGz(+1K
zuv{B|Q?u$t*3YW44QzlTP|9=;OMTEPeGOAQxpkt6>2A&Hu$E?wB%6De(KA0InRWYA
zIZF0$3W8deFhR&uoAmcuG2PU)Lro4?zO^wDsvJZw*!vPrR9&b=R=}sjC93xlQZA^M
zr~u@>pBj@C{Ku`|6JE0&UDszJH-^u=O1O|2^CrJq79e1Y?(REW9K988d4h7Wp@+|O
zdkAsl#i;1tD0qs7Z6(k(T>W{#D>!s<D!p{rDIkKiBeJ}8RxqC~7L2RqK~mB#AF0dU
z%nZR{G?vX$zz!ilowL4n=HY%G{_X@ZV8T+kZ$iYA^e=i;BVd6sgl>p4PIWLTFZ4Os
z#6R^XyWe6ef8DCBjh7F8emAHQxw~?4I?~p^How_DO>~G?>N?K6c!^;U)4z;J-jCdt
zfxo1te!sU7W7vg);Ko$OykUhg6Hk_R4!Fxajg}YVxk?$*#bp36$h$4T1{}^<Jo5mq
zm6I_V+a4M*0re*vu4b5(_LsP6DJ-O0^_nGuiUuScJNLX_b2$LtojgC;#XUQl{8lZu
zHsbjA)dL}0o5cu#02z-p?7Kq{mOR|cnqNb^ez9H>ek;}Iq$+<uLYE4m_2u+tGw}f9
zOj60@w5Zj~6+Z5VIZxvk@r7huolJbTZSo*;wfCt7?e-c|j``a_(_C-A^3VI>7Yvq^
z6G<htvwUc^8Fi#*Dm|!e2C`Xe6{Gpce^ciYN{AgoNT6@q3KAQ(2ppltevNDkM|!}M
zi@MNmnJeu%IIuB2i+>9i|7vId(XX+rhNf=3bpS>;`QbbI#mxdPiqKY-`|8>U0uMbn
z*Zz!gq%Vx`@`Af3%j=xT)RryRwrR&n9xY>A^%r`*5^MX`SvEOINso(K{IGrQg@%;s
zJG0BFn^IQ-FTCv6m$A^e-B2#1`A_FM(XGco{o^(=n=1|$MLT8I(#(FIB_^w8(YdSL
zp>G7zpbpLw61>p3G9bwz(tg%Dh1Ge!`8DqLFGRZsv<q<GNe1rHrW0ODyOdKfwe~F#
z`9ZEyu>wY#NyuJ7mH%MG*$Q1YXO6eL8&H<<D}r>2s7hEP3~8EPz*%U-<`YH;ohWM6
z?>-$%lZ?J+HlRp6*x!R=F`iz_;w--Maq_8(KN08I4Kc}>L~N+Fok#pA(P{Q}?|uU7
zC}K)-;KLyL*lDe=YBum)46;JEazRR}`!Gxt3(m|2CGmm9{t|*O^X<M57ORVSt=B5D
z-U^ODZ(C@2z%c8Xio_X)+*ZH{dt)arpN4Z_vVBh))ZG~TT;_<~23(W7{;_c@GLygJ
zmFm@6*6J32Q4iE{eGn>^&%tEi;>Dn>^{%*650#+Ft99>tCt=uO9V|W&_O0sZ>dX}A
zNWC$emEooX<zO7&^01exxPj~MfstT$4tf-_5h`=~^hx`Pct=97R;G*$m`!F9I~}We
zqZSw{m$3F@BAN=V!W*M%)M~?98z!@e80nPK@B%oKcawujGl#3c1vTkTO*2RuFAs_Z
z(SgL}TEv<WxBvlGTQ|dBG$(5s-sBdTDgI0c>5}IzW1lx24n=YyXQU7wT2A%nl$iwx
zdd7P9SDB$-;}F6|ubwHIpIxvN5v&|u2(~?hQMYobl=Iu8Ca`a|B6XtAoLnbX6yE>-
z3CH+oI=&#gOLYm*!G0$Dx?qHcJP<o_yDwnIVB7FY)oCo3(0;X+xf(yLKUd`9h=%R1
z3o@+C(!EZqgzO+^+Ga4r2P~J>r+aWYKELDJ_J=Y=m^M?;xMVn);w4+@yeC5Sye?R}
z%f74}Ky7j{LM@J7X@<woiuNSz*72|YrQd`byill}e(cfOhc}+RYNCJe=Y=7^fIlSI
z0F`2Pwa5tN+j}BrDsUymwB%`!Lwf+Mx;C&}vfY5W%Cx+*4`1~!&5S^SGjc#UkE6O?
zGV~1z?Wr@^_wog1v>kdP-i*(3Rg>qdcxauaK#0EuBAur`$RLn*^Thvv0zN{opQTde
zN@SW-H(K0a4klrB#IJ4D=crOadJi6has?K)h~RtFh>;u@+YUtOfB)0|gu&gnhW@N1
zS(=3<`5iI4Qg=FYlwYTHgI{#4UZZ}-s4*g}4>2M8b#U=uj-nM1Zt;$wc~1-upwSNg
zM8P)r$AC3Xa21knydR-p1DkSjwLijfn@S?{-G?`;H(2K7R##l!#k3j&cP3bVj6*4V
z4A{v^?>5`K)V~y2(J4fwtQbS2!ct_w2O+U-<>$Q^6gWQta)k&h#M9X7#kQaS>7B~j
z-b)<h4SgA(JxZc3@4NiuiMkw0H50g-+MbIBPL%bYe`+?JdJ>?m+#YmQs+}Cz480+B
zI6gSU;h=BH)tR4y4ld3A7AVYTont%SU<dH5L?JNY<l$@QWZSwg(xcl=1SvxPN;LY)
z5oEMnQ6V;zgTudMtL&Uiu36i{^?@uMn$SywkB`8{P%I5OmBs<4UnEypOQD?K%(^d%
z)EZ^)eoH!BqkH%#Z5d#4oMKE&f?V@IhI)?&zSuXR!aaFM4O|5YZ0C6k7dxM61#ZEt
zoeC+Fz5gD!qTvV>>cpIXEE>`Eg-0YnfpR@(Z{trt=)^faUwLxk0*WiW<b+%n3=CsT
zKjzGVi6dAT!#{7=2i2w>vq;Bwvnaj9vsI}ZRl*u-c-$j)n7#&pXT#6pR+_Vt!KG}>
zFi&1Rvps4XA>UCWxYmUM!&~wm)P)%g1vn7-^wX`DK?it`_5k3(tvl)g!+R?qN;Ce4
z$6E@<_Cku(GYZP#CQ-CT!yqjJ>=Hw#*2l!=$QWd4Ln#xJo^Ermew6u5?k9q!?rr4g
zP#?UU6H;6$=s>{3RfT#3sOyng%)lW->NL3dPj#bWsl{3No72sbVB2J8Lr>$t!e8Os
z`Je1wx1omj4#C_gkjoXxSiYy;Q#fCtxh?fHTq#P0XjvRtFlee5S#hH{fNs;agW9sH
zLHbLqC3?Bpyp1YaT|hqX&f+$|JX65i&fqf+9P$n8=OyjYxFmN$bnlAT@jNZ{S7Npy
z!{Z3?0dyaeGbT8P*Nl3&mji2X<U#%hqVnAZJJdL4tdC2*S?8=nFU;rONk383O$7sD
zhQu(-skRpi>GhC3Dgndr;ni5wQ66E2u}?2M;ZmJ;%Kc;_1run_eAMrXI&IqHAo6xh
z*=LB)(-fbX&D-MfNB#|$d9s%UTbJB+{gPypy4+@`2SVP+IF3UwkyZ_L6I=Qo&eQ*(
z@5=a8FM@3ZnAT0fIJl1;O$DOY0{=VQE~L1UOyo{{!QUJ-zFP8q`*$>5qdX-5CU^;W
z{0{;#xT#EW{ap5Fo@(#sK8F*X)7i~X=R+}k*gw4I_JNj#Rnjdc(csjsB{^80GR^am
zR6AK+)gNMx9G@JaroODeWdi{)=7YnH8=y4L3b!2eUQp7EM)*d`MiP<*d~mG6RT$V}
zT!j&eZ2vTN@{|UV{rxz7Wyo{e@VX4Bd4j&IzjK!jt<BG&#WxoY<^#vTUeL%gb}kVv
zQkMcG21c!%qOFiqd?`dmKn`UF(eez%{FA}-bfd`Gp1U=E$0!p&`SvoiTPmi%4gb3V
z&lVyHNC2i2#<IZXv-OVAjlQ-d@pv7}E)=^gbaYLe*j_3-t~ij;893%sbVX{Lwr1=4
zWll<0NKG5NX8}8G|7(}JwLr-FBNi=G;h+JUg4@q7zbV`fz8R32%f4@Nh6e2;p0Rd=
zemc#SE%x6x=4u;c-;WkNs6~x&qC@Y1uQxrd^y>`imT@mnPTxn!HoyQCAP#rSD#f~m
zt+k;758wDyh1_MhS48+WVa{g7fELv?3hqxXI@_HM*OR>`F026>kr3aU1!BlgHsAI(
zt*~5WGIqKmSxS_XV<R@#Kw)bW@mhgwUg&~BP<>yg=!pbZjbfBWZ{0l~@`#!`B!y9@
zkGYRGMnjB$Wj`fU9^DRS@6iAo^=qp9QRMFBUZ>>m$`Vqzr5B8jTTIp_b_pZjr<6?t
zYEOwO=?&!?&4sn`b4fr3=H<|uo*Ws_HHs91+)pH?KAo&p!243UZ{D*~#D!(s$OqxY
z9A7-42FW%$Fn7&3;0P4Krgz%cb<a6QFj<Xm+b+2;Avzdq;X$b%!Cp7$fHCF49QK*(
zpwPeJ5~3$OdBJh22IY3w{of`vXD=`yRttHoGw*aPxD+Ls8IQw!_318}4nWJI9PP(^
zdzQ>|LcqbPTyR{wW|cNh&*V}_oZMblps@6k3(NuOQ8YNx(F3`g`mWY|Sqyqo`t&~J
zh#K#4YJ}g!Z20rMv6}2NE_ZsTxd7I@=)%j)c8JY>E)1XGS>?RyKB(M&S`od5<y_G`
z!Iup?K82h`lg9hzF>;TpvUR||{(+Tf_iw*9(_MH|N@rrzmb;hylM2Z*_6KV>nBz#3
zQe#C#tmxF0vNia8C)8(VNZfK&5a@wqX{jzhy``oXq$6ylGFH;|;h|YJG5It#cA&W$
zS_&1L)z0p#a{uwo*@RB0j{_3VfZD-606>cFmvPP1+l5j}SL7cj7NDj%#dJKJd8^9U
z{2o@`l*mg9O+QpVeQu+}LGz{yNW6`@_gu7if}dsP&AI8(*S95vQEWPetGVq!9V{*M
zjz>_Py|<1fYmI$$qslf5rI}6g2?1mG!@k6Oj3>IkYKG^mJ<wLHW{-xZqa5a9X=NQr
zPd8L1;h6!|60mhpQTDC@WcbC$@FvNfx$apXi_l^`R|&gO#TNLKmyGy$(}em%`4sKF
zDe{)K)f$3>B5XE$gtDJ=$xas&-Z}mLDs}2|+c7AB3C4T$M>xePDtwBCdfPwd(fyaR
zCPO3L_}<HeiX9vmD-4-3y`5&<E73-B8jhHe!U=Sd^@Tv8k{7&eZWVWP$RUt~2^$mp
z<W{4>qt1ZsrCu-A^)b5uK0#o<UB&3I)qq(~iT*Dn4|6D=E}r0EMK$Y*#nSgo)6&~4
zS>c5(N7Eka9et<P28M>ymPq83mi}dpBJp!&-q>_N*^(u~j6Dwe^NiEeo~jI4b;eBE
zbWL#G-Km(@P?<yQY}#&yJ>!9N5*{yF&F1-vXUq4IwQ*9A?vejJTc&(u$faY(%elvM
zVp~PCLT}{ovL=g<0JCl6^RE#-Bu({EyMO_CVmS8*CEz*O5`3<r>es|o?bF;DJbk$%
zWS@-~0#Ieq2C5>9i32kwSoa~_k)SUI@$8Bg*+D~Id1UU8e<KkPSX5Fk>G^KZA%9!3
z0WbP+!+K>aDaEb#ScZiY+8)|i8x1Xr93ryPvS5sPmQJE~Al-c!Ou6TaG65@+H-MBC
z=ZOkiOMpXrqb6S7@X&J~#x$6dP&XfLKIxtUJsBlCzuE%bC{8>@|Cq<{f#JpJ-$dnY
zKxl5l`jD6c!MuD_VVD7WR23XvTA|+77bq>vAX)6Il86aKDC$nYqdiKgBP@5K+nhf}
z=C08gVr~#}CeC8b`uutbX6i0iMA|4c0IA&%x4KZ*yM@+XVT5*5-v(~Ydwlqwq&<1^
z<w1AI(Qv3Y)YhQ4gS~gqOvJMtCVEXsKO^|*1lotb5J}7Z!w74Qkh%3;A^ymzRw;pX
zY(8L|WU%O0hiinY+AgN6N!-nJ!9jK5^!T>JT5nMAcQch--Oecu(%u%3;z>}A7L!ar
zog*sN84bb8nQyseBnUuK+{3zppz!}(Hw4e<A*e4R8s#n6!HQ}q_1WW=E<t(guH}$&
zj{fxAD=a#$oN4-E7e0|Oh>J*rpals`>ZXrDI9OhjDptGafdee3o+#)Iv5cahkhg@-
z=!@mD824jwae66Bi&}pL*A^47Fs4I&@*_J@b{eSH^?B0sc+3wnAqBU?c&?kbAkh0J
zvn_8eZ5<J`xJKy}>NoI=K3qqp>8rgFu!<7KD?%_hrb(&Trv-5crhXO`sS`&RFTF0T
zV&%;(3x5|Ux#u|&mrGFTr4u8CX`UVrHsqL}-$x^m6d<ZlOiL>W$sSPoSe1o(J-3uZ
zbp6xA&={8!=5%!h;z8Tc#z^h}kDDW*!^WG7-4$DpGIq|qaPvY}sGwq;nb2+2s*PI%
z#<_PJ&B?uPh~i>(dAGZ}^qj!sTLF)M?{?{q`E9m%bUTw3Xo&dAvPk$sIs-XB{2Xd}
zLrLrx={C)GCV|qz*U9<@f@{<~V1ARMdDHzTw3O~NcUQHFy36M}U%N=7A7|Kh#CBWx
z@xcB9eX7)83$_RWN;J~9&zSetHh#n~{G|8PY7PI0FZcpZ6KQg^?j-2(OWnjgRN$M;
zKJ^kw4dqvAULNzVu=UAW;hK4f5~w7?({`w?);YhPVh#i=&WCo@XW{GoFj7TJ==~sB
z>91-VClgu}f$tCyvXegn=n%_1;z6$W0_bGxM9DxDd9Mn|cT4@r%L`ob5k|M26AWTP
zdE%N(jyblf>YZlGBna0oHqR5Zbv3G&ED97jcQC1MS*khjLF!UF`);z9-f=*+e94aW
z_L@OmqtU9kD>Ep8)Anu^%*V#U|71(7psASm>N)5Y8TKG^F%ZtVZM}>**)xwv5t;n(
z>icseo)d%+=77vX1ck(1h}xO7QD(rC!K)aC(6an0_Qfka?xO6AanKN$w3dwqKRzA}
zGCq|f20hTB;PY70Ny0E5;C-=R<DSr9{QX_`*wKDu`7o8!U(Ji+Gm8e>w$ZW%Ae}^>
zdnuMde~353h7Xk3hCI5Hd2Mz$%>&9+BWC8T6Dc~vp$ACV^IGJ|Kz?IsDL0^J2}Oa7
zOrBn%rS{008zlJ5K$}7hQue<!j#zFvG=GMfU)z;2k>suQbYj`^q@J=2o;Akv*V`+e
z?5}5khYWSHCWtCd5)4lSV-iR^!j4*_REB^Wx;*?n_jhz*vo^QwC&(CqlSlL2u%n)l
zkEEk|c+V7m->e<$bWR-BDVo$ye2qQVP-gb3%<$tvpZPUoq3S!PFG?DLX`f`H6#hC8
ztb@HU<{uZp_IWx;eGrQj9#T;ZfLANr-Y5J1$%ZZ+SxUHqEszz}ny65p9t2)^YCP&F
zztG4X4a=Kr3|=Ah)_V!K=JSHJniV4MPL3zW!C^jVvvap9fh#q2=RNeN+Rgeo$3LB{
z#uv|qcypnQR^I-d2MqWgD<ofYj2Wy#9is*QI+EvIoath*{hCOI<+C!!D9dOQht_ln
zrV#<O^TJzaaIW$q`ElUKVGML8StUnP^l#=58E}<Immi^FHt}T1%LeA+7*MdHIK8N$
z>mTQqM{j<3gDZK0G0nbFbn*dg$M1+^;-6(`$9#PW(aVg2OCaDQh&DmCridbrFd7FN
z^V3dO^3NOPE!kw=hAlAl$mEI&AoFFeeGue5+G%+KSc;8mKuTj6JRL=a1bfq@)=lfc
zq@hGo7#6hlSr(@>D#NT!=UJ0Q&*8bDSbHi+Hdn|*Tlf=b!)Mdvn^Q~qYN2lH9B!6Y
z^!;kfsgid7xG&9$gJwtc8yhb*8SBhYske($e6-5F>jb{#QL6lxx;ePnhw}jCl8)U0
zk^3)%>N%iQrd>RN@~}*(0fF+|;!^PdjC!19c^1qRzJ9kw?H{4Ts=o1YuH-CLM>4n~
z*}CQ?{NfGp&_(eNNVUy`-FgDO&?KG4@w}Vq%MrB}0ztZZwr7<CL+=%ESW9iS<PP8m
z1OMQA7cIo#`bEj|^;-CfelNMf^yefl1W0$0^*65~v6gom?vv)U)t9~#aPsc3?a^xC
zSSgFys}1E+rbY3dDW^=Uo45LpwZ3jzaj&S8`vF7-ASwBk#Oa};t{|xom2a_?(N|<K
zjj#ukxf%CyrxRY3$RE;|$=Tt+ww6RhB4cG*5XI+*iS0tVx!*|6e?`wx7p@*eC>=I#
zXQJZtoY9;_B`!bw*a}n>zgVdLJJ^NAC>@>_U{rx5>VixiYB~0hehCpVAXqSw+^qsG
zbACUa?@vV-2gL<xSMp1Q71@6gHgg5n`bB3sMVgXJO6DmWbjESTWnr&Ih{-C8afaYp
z&s$$3tC@^#Rzc-u9g<omQ<uJtY9;yabBIZLp_6@!bDe)8hau}dH(B+kJ)EMv(qL^a
zSCCUT%hwF717F_jDFpDVK1G|oV7KV8OAOWhqQyBO$Ei=zu33a!^{xnrF_i0vUvAS4
zu=ZQ{zZXn!xKNqM;JYmil`dLec+VtVDs5Xvmo2gB^}}+lNZHNjXk!}i37d&`r(xP<
z5txZryMV6-!o6Moh(h)z6JD2n06jp$zj!d9H;Xr6u*PkklsQA4j*uy-i<2OE5daZ_
zuAMreneeH7@JnJuRRr1+=rl<TesQ}3Q?urRd#3ggl_;_ayG=7XKVxB4QxwYdrQa86
zVo8qkB2k7H=$65D+d+Z6mgWi03Kxg3{)zu=X&v=(4V2t+^zud146J}!R~A7GAY^Ja
z-l0*#)|4RCA!mIe{5kU~zKyG84s;AUio*6^;^{LpBuIBMFqALqK$8~8!qFoCan|&k
zoYWEu*Wb=|{tO+fMPAUilaCatYO*wb_7d}1N>XO>O}A}|HCYt_PhKOImmtQ2o~O{0
zf4cWdz6@Don@#!s_f&La>zniC&6(J(1b7kC<c<yw2cgG>b`PAS+L15ZVv^aFDUB)d
zd(rT#Om9?zGba2_14ZsO7+Or4i|(|}5xWFvs9=DjNVVODi&-&dl|IX2dNiLSI}gv2
zpOJ#Um3r+>AGGG3ePEHeumrdxxkHU46JR7IO5(6_Iko+K0e@*YB<WZd)2|%9QfDNo
zb0b6Uwq^@N&(Vk7=H?{mF`NJqnc;3s7lwsGW|gK1SzUm`_Mp%-FlySlZP_oN1`;&<
zA9-mFwO}lbMWZZ*yD)<e{`Jg|QGdI=uLxYlpR%_R^|-Lt4d12WMzBA1yXL*#(%J1y
zJ66O3K^Sfi5M$iyyyfEzs1*V!@6VWu<le^=>2hX$59jr`Ft;~#4VB=BvBbC*@h>cH
zE}ZQME(!&%r_S<DNk&>mi7i!0ayhB0mv#A*TBbrblyDZx{EHN?Ksc3#Md)dX5y@7j
z5}Gs~zxHc)C3{$<vWN(JpSoA2i1~P8FZYdApj7`b@pj-WO`7<Y9Af5vlUu@lENZ;#
zp2Z}?i)Cv@Q`N8U!HrRhQJv;#G7WZ=2r;%ITC|-{fu=YnP4aF_j1og9N0-x4$EE#I
z;iJ@nFLoFJE)XR#fYanq@9oB>YO6xE!>}>DVmh>c$qf?@2$L9K+cRLGeYwB?#<~Qg
z62cnL579*3sg>wRJvyvDeRc+>jaze9gZj9(0flIoO0yV5Z9BMJ77(pMX{)jDgQHO`
zsK}*BlN7-y#f35bffHHK8Y@S6VT96DuaKzZKEgKhcNYXc<{r~*Cf;PCl+FnhS#rS2
zI{6Gr7p^NJf0tCK5CITRcM`#!yN;e`t!`8Qn(dLTa_5usXy{wjfgR9JyO+nL5)ZfY
z!Agr!V-Wa2Z|d@tw7m#$?Wc$@B5IIe%SR|Vz`(EG?Y<4a6BeK<Xuw1D1`8jEcxqY-
zpxRubX-KtSX7Mai!Y%PSpEE2GH4H~E05Ke`VmgmJKXEMk^O4_C7LmLw^qK<`dm||n
zzYGqOTFN>aPyJMe#$YYM^KSThK6AS*s{8x3ni4RkfH=$ldS<Y+)k@yx2WytkGQ<e8
z=-BK|m$-{#(SRI$hPn(hZQV8^pxv6g_uEY9((Ik)*6&}JaP}}bbpO5k7pc#7=~PFA
z?B(>`Qgf3Wr2fEEHF1Y!y=(SFpuG}4Maxc*x00R!Q7VkK#t|Nll9fRp8T&kVYF3+V
zzgR)Ek+Q3%3G7>qih|Z*zGfHLMVIyF+chRTd<M<~W0g8iP;SkEn{9hm3pS3@9+>1X
zx3aK$PRNy+K>SF_2F*k)Mlx5Z@|Lu|(_(IxbZv0g>79CttERT?{sI^zL=2W>rMlsy
zHpImyEXj08tdg#2fi#kTVs`F0uxV64la{EFU^J1Vhj?{vU9IMM_g)@g!HwzLT-BI}
z{$@(A(M1{Fbf;e!De%U?jEL8gp^igBJIq1geS~r}Pkm1Yi)|yhK0r0G*)l-9t|9$U
zF`yOjTb%#>a`UdIS`4%}dEGt(oy1CebR{(IX@sYpZx<hXL{EXfP-`i1S?3(Z<}NxU
zhL3{nK|;YxyU-C-z=|PW+)>WQBrEzZxV8>u&*7Yg)2RZcpRnU<e*mr%xm9hUI}2v2
z-Yz~RvU3=<>&AmEaUW7aduMj$*Mm4<`E3Z&s1(q)<%3Dk{hSWHAT1SXQ$-ER{10i+
zrG@Yz<mjf0x&YfSGauT3YWH)QGwQywD@K8fo;{bm`e<ijZ^nXU2&;qMFj#5G+=w{^
zQG0{|c6;-cu5iuDw2jPNR(ZifN2OYNL1s{wM3o)$io;MitTpCwv7k_V$PI_HS16{5
z@!X{xEpIP*8p_ql0*xf@>OxwNOmA$F>k5>@dTUSRrz}pL_ikv<q-JPX<kX|7Jd$an
zPL+vKCp3^djuFQ-+3n;`qxmAS86TzlaSo5u;6G@$XnEKCM81&V>Oz3t{@-ns0}_&U
zGzo@`U9dKx{@)Ove4tJpUc^mZoki~rmg#2MY~Vwn*%6tFq=mXK_jS7@2>0Ddem1wW
zN-e3K^-IQ;_34Ab7~60cg5w{lUp^}cY^fgA=xe}^M_Zpd!FzFeVWIDnMpC45I>>Mu
zqB!l?pxPC6IOzZ^VO`kk4t%|a0mG&l|MW^}WeGv1U4Pi&H*hQdAcQtD%SBq=aYq;|
zWw1UY=>IBEE)lz$XLtc1t#59fr+3M5xHw|2{IBvCr4pcRl6?9?t}e}np>jmszx2PB
z33<ko8yf@9^oZY!DXL8s;PpLAN}G#ZX1H^K%Rw||JV+QC$e9qLt-#P@v5*<)LJRLQ
zz3j809`zja<M*nT?DUOaN5YCeK<5gy)yRK4H_OM4gN1Uxk|lQc>VP|_9qn%;1F^2y
zGHyH8nMWj@)8~>usVsJK?%L{3*2d(S4vLm*s~AByh<10Cd*=$X!8l_2=;~vIeJC_1
z{yOffSS^BuIr2dv=ZM0+Jrl*8*m56=VNWy3Nh*t35?}Uv7`l*WD8r}-d%J`_vGn@8
zabec8u>mVfI9pM^c-pJLt9wd{S)g`U1Ul~79P?SQ8ieSFUTP^vrKWMzC48nR*2&u8
zr&|2-%F_=(SIH`k>ta0Wvw;aed>{%+G#~xLccjB^L1#dQ29_jHI!M^``}mx!mh#aV
zYv~^E{LJ+h(Y{)rr282HM7MJ?)3o;^lwW-2Ox2QBf9pDw8oR&UmIHBm3}Ri@dZz`w
zUAofi2+}C-_1xrXJz<V@MPH`9G`W83P#wzHmAr4uLqHI`tECdw*t7+<*Me!B{v)_t
zSBX_bXl`6=^Q9PbPg=s3=HhIAxz4bv!OeJsKmil{&ykI>eKgIQbfcxKw?TeB!Z}DC
z6Q5Lvoum}pSB61P)Eesh`dhsRVa0d`A%Pw#n`&*gzrDycbunXV6X@qJ&U5B(Xr)CM
zMznTtQ707eBMb@o21SO5VlLX$aa&4Q%KP4n>1Q+|)K-K11w*C5Xu4vM+6|N+V(=w|
z&<*bU(6AdMZ`r-~p&?Z=i;im;`S93oP@Tq)aoV8uclu2gQX95$v70s*?WFQl8{_g!
zFtObNt!Iebp3QXn3x|M>qsCnbB$$s#d}KvK=dGBrDl7jFjw==x4TQSg(Ka2nh8IBE
zB0$w}hT_p{pkos3c}l_2-9PzWDYLa-v+>h=G{gP~I^J}by;_zJK0VeWEHBIU<>xay
zNOxcAlMPi%!OPB1sL?6cYO+Zoey3dBhjSXmXvq~q0v>mbu=BoAVmu`Z?ZB%)<?G0R
zUARS_OnO;-V>TvZDS7~`j^4KxA}sQ@kBCGza8JfPOSwJ*%s7<6O@!j1Ah8zX_^`MN
zOuJ-$pkBj=q@hZE>*F_dA8!Nez8yH*m8V9uuO~BN+*vmjYu2xVh=mYR)tP+A<l&dB
zci>YRs{w}bCv%8rv%6mWy6UNqlqLwX9fwf|>e-j$eAV~H<g})L_kv_CCH7*1NzL!I
z<`OrK*wMfnM8gCiY`L0a_a|q5`ltE=)TI^%mvuDac`n0e{ZlE&xI}i*1jC%o+O!}i
zGycz@BN$(@C`3yR&81>&YxV)|KTk1A4`uL*OzW9w!=5kaSDe*Zt`qm;L08Z|WJ2={
z>h%*)K?^XL1xEU-4x_`Awy;Y@^PEpf%$fuWOmGz2II)_0`V*M&(~N8Si5PRux$Lxm
z%rk>mBtGEfwUhKea8zAw3mT~PD*+0vQw!~L1dz5DYLNjs{~!~5ePz&|DSvXSZ$j@|
z5+J{Zxa^2<Q)C0>^k4BwMW_Al{IQA8T7F9LBeUZdRDu=Mj&1-<qd`}$S0i;X5Nq~p
zzy}%Bi)bpz^M{70$`1aoF>BnD)#Up((t*^$=6EGTBlE87pEmRQvZZqSE5GeKjZ28m
z&PY3$p!GIurn5_gfJ1^ejoeN3hFMnNfd(v@b3y9FhLW^1WTfmIjg87j3zZ_eXzp`m
zf)vdiXjLW4<Vtmg^~%me%WE#~v^JlLNB<!#PZb}rxA<C3@cNfnYu~5KK>^><(>CCC
zQHv--n1d`n2##`+^TL1>^=xY-mbfp0Ka!V1qvve$o{`o>%n`DmHnH(=kg*%B(=ye!
z($K@rTH7h!lv)tO@*--in4!DbB=9^+S0`B9+#<SYpCLmYk8juI4zl)NJ`1yI^F@;8
z{98Zam5!y<&`Z?w!^Qpb7Ln;diR64$R#Ei1<}ttmS`yVie$E%gGW_fMl|&De0^Db3
z!}PX^uLpsucF%G`3jf7+Wdl{Rnl{=BN7{24Bbl4ryzaSKv3U;{^`O2VO{JPU2P<qK
z)*6+~Z_q<8p}wRx`I1@F2-OqZr(zIp*Bl(TRF>ENkqr_cL>#2p7`u&f+os<#E)JfC
zXd2w`i_2bmCJ2qkVl5ny1eKM9DKu^1dhE@8vW%pW@^AMI&NC-4TjIx0S*jrra%$$f
zK{@PACvL+3MToOoO-wb7u{zcCCjEOZ!6DygW-DZ43LG9i4aoj#&VL0i#{xOd6BOBz
z5k`5+PEd%v0HHCf**P?1AM8s~mS9fB_u&i5&bQtA7n7JIUSF&!opr^|1`@3z0+R^T
zdfcX9#4pu#t`g(PfurC6FVxoCg)0kZC8An3)Pv))l!#4rHz!UfS;lbG##9<b({0Gy
z<qAv-y_WV?f@*DEExg*?{CRl^ix}qTYsmVbC1`mbC%l8+%;)*g{e8S*ENdSlW`?8_
zUjGX`pe^8~3BUrIcIb=Qr-eY${#YEe`c_2`{^>z#Ux?yG1v9kue1{@-b=p|B6{2UH
z1P+$+TV7ln18N%fG|yoAojU4;BXFmLtYyY&Z><|v-%Gd5WBdgy*IQsjY6+795<D?;
z-X6A;D#MlwHUkdk>ps;kw+1=mzfuHtb_6G3*9hgS%|UT&JuWOzuq^18lYGo58LO#j
zTLdzLS&Z~>A<eDsRV#fTbU;K2jk0#eZ&`~Qwv+J;g;|3`d#Ubl62}hZ67H_U&uKe1
z)*54cj(m~g&j&%;9NgQ%Hq5Bg-=iG~sa4pSC|BDXWKGGSL;43Z{#s;rxufT-p^tUD
zE+trnv0`1=i6%%Bdd*H<5q`{bPW)ok&bAE)C`y8Rf#vv?`noj~V3cY~SP!agVQt}X
zzIgDJ$Wl-r<T1#WKb^Uj)QVp!ZxQErN}z2?M~Z1tRGb?5!eAt#c3up*`8cIsgxfiI
z^{;&l<%XqKty|Y-hVvlL+b0DdNU?x}WKXtSjQKV$PHJ{U*a@dj_{pY`eC`$}5?9NZ
z_HSEokMVdR_6JY)s4Mczf%$ly8bwUU<}lmkzY7XX0k4Bv2Os%{AsGn3W@-^}V=1h5
zN=>Epd~kue;9+=w$}11gMMx!t2t<|VbFx8&eNzrK1%~b|`TE296*k7dU(XL+EV+E>
z8uVKvo`lD{)naG}8VlD+HOy3-a0KPk6DSR+qVP0zZvoYRQ)MEK+Sei<<8so^MHn5d
zzp@S>y}1503><6Ssh0#1F3Ivsyu>Aea%N3#laeh4f6iz6L?S!i1Lte&BEARME^;J-
zG72-|d<nzr`qwL|caVgm7LIw3UzUh47VZ^8_at%BY)4L)VCfc0=9K5c6G;H8#*X1w
zDK^YlD+H_XCHFNjAt8T>x8s_DJ4@e#KkZ39ptl9m9?-Qnv!iZODhl^ceKh<Tf-&pa
zwXT;JX0`(ks+1tfJZTPsr>>*lGj@?e_!A3v_8>v}@8yxd&Y|I{Imzsjb~+AL7j0!)
z@o1GpH@%DI%&>R5|FoY4Rm**98}~lNlxBDR?U<{u%=PMq+6s(mX9Jz@OjV+4lM~i%
zjO>dL)8IOCN&}DmFh%LPBdnhPIMWp0eM9Dts9GoqP+tJ#?sp-*6*+$yuB?(6Ncj+I
z5^?v>8R)R#X!D;=bVI>Wws_~04!yaD%-^ZZU+R678<n&XSGWK@v-8<|3n{h&cXu1&
zMGHy8qp>j7hefuw{f!&!pU*}2%dfye!0dEMA!eLt0SO=8QL=UI4S{I8ZHQaYZfe51
zoiya|L{^f2hhUDBR!xK(!WFOuWhRAmC5Qa_h1;}HlvX$+yTV#E8m?Y*EnyeT{#HKu
z@F~knF?@?#ncD#@o$N0|WqgCP6f(;Za7rhMcocHS>oK5JDBCBM+=icvVAN@*Pa2e2
zY3ARqIaIM^yLI#l3b?HwwbG~vU*h75&l<}97ArMN%hL$T27r{XIC5@_i|*dKio{V6
zicTL81M}Z7h8K}c+NpU~D?bBi?1u{H8xP!}-|=yuHe@4ElD}#hnL4!IlE9kA^Wqco
z2d!>pRIDCN{9w7yH1R}WSw_vQ&C`2SqPXCLy*Zlt`N%{|u}fp^c!Bz47T0cpykZO<
zWlXyVGCi=yLz<3{*>?TW-)GmKk&Pcko~QJA*+p55xRf>ix9Vtwzo1*o+$SmD3XPiP
zP<Fw1y1pDK$)*lJ!kHF3{55rsb3EzZ+2;@(78+pvAApGX$*^a%GE`F0jHf-Wp#)31
z;BkX$8y=1igoPH8Mt2~<jkjrezoGjHW)TOtuooaff)@VNP%v(+kr=w;i=o=#NlSLi
zSl;g{$>&$@fOS{Ww1p(5S$|_OikMpy6E+j6Vmcx^V3Eri+1`@zF!58%F|aY+tWu^t
zcvF8O**NN$Si9uzn-(u;%c{FnVCk{>K9uhn3;+&aw+_z8K8Ato!=i%)p~8TELEXc<
zZJIlE>8P_}6kb7~<!EntsiM|NX>HW<h+4+kfwO`Fp@84CF-jfAw{MCl2ir?EY5K4g
zG)NP1rjIW#KwPP$oqCL7W{r4cC55*yIx_7c8wxEesiCZLJoNr}iK6=$7R3Pib*3RE
zzL>4ui=%$i??m?uk+5l`$&S)T_wkkk-qA@9wXF{NTcFK707RG7b>>ozMPR4$dIkZA
zWZ#ohck~Pja#{<k1a)&<vz(m1lJsrGh@nLIINXOxhQuZ8%fJ*&OG#?Vl3||MxiDTb
zSgV%KLtDy;CcJ_U!nVWYwms)Bu1qs(sijSL0&VL(hWnxV+e`i9r+suxBwvm+EF3^z
z-eBjVLTIs^L71xHGA`#t(&A#z^7+IH(SY4@SQFF~2))2(H2Xbj3BZD3z0Kei2>4Kc
z*XhDge9bMpgcJ=fas1+dVSWR+OmbPd4KOpu6UI%zm6LYs<j5DE#Y1D2pn~n19n?gw
z5A1w3;<q#nOdC){*!81W`rU;<wrj>NBnFhjS>cLdlRnJ1cUK3a9d`L_SqC2SxqRtD
z7*>Ywrxv4**$tw6*hJ>|BFnHx(Rh^eVpW6y*x-&wBHM9P2{)Fg2vTQg<7H~;5B7!O
zlf#-XlsOM~gSns<6Rq!5S9bY6=a&<C+8KrStbaH0b%?Kb8^|9}&JuvGmpQ92XVIaX
z$;-p)b(Y}XFPSZgB127)l>c3NUzZ>I1X<c2wh)=W&eS5o<;L#K2?v+1k7FnrqwQ0#
z(#0{hd30Loc{EEqp^5YO=L<)rVI`a{O><>ih@PH;!O5($SGsYP|I=9*WG|5Yc9(NV
z?GQWWCy!3CJy)VAu9eXQ{*soRtQTYHS|X(bV#<G%Q|+qVTkruQJQVXGNz-iL3p4$1
zJqy|!J(Z+X(Ko_TPjW26mhP@YvIIQDZ+2G8Q3(1OAvqfxK--bwT7xR~vn`8<Y|V9C
z65z?Ca{q&Y37C|J5No$z&IaAw?>fXD7(yhK-bqvKA{_knIs}a&EVn0^XC;_dtz5_Q
zioHw&i??uh&MWQO!YQKk4vd8fBbHD|h3@68Q~M)sbOTeq)Rr>?B~eF@(9yk57s#|s
zfnpT79zYzDHt(IM%fb_<ZdTz#|5uhiL@yw_Tb7J`@Iz}2gcpYc6s@5swlfNwX-b`d
zm9&gGe9^t}auEYF{&Z9n@ozEsBxtgGgVJ;0^JO%SWyb(ilklWwzD)0~L-Xv1y=wBu
z-ySm{JUXjp)9AuS61qQLV&DqM!*hW59rVE$KqOI!JzXy`{|V_lTVSd=5oP1-Buycp
z8TWF~nE0#V3ng00Wt}?0#|j}mz05$39v?b^MtQm7Uco#W>P`xL9B+gH>eq13JM6M-
zg6Wr7+FokuC1Mk{#;|Euj$ZGx7JwZuVaN6tf-Zi&i^8)FkM8B5lAc&P@HG}wFO!%8
z%4fqKd$=J;<x(8g+OEc~cnvHmLU$uJJSab&EP<E7j~NVvI-FD~uL*@B<Fw8$D<ng&
z&RIt;v+q#R5aRc0OAScRP+}vG{7yc*F+vFW5}F7T**7CBxB-7MW1lxrPoZ$_%}qaA
z-yV9fBjkLPxbfHm_zFA&T^Puu-U&_fNXABo7pBr^u#sAXKG{;Ouy#~ix?=lHPKgc<
zt}7Zgmye6JufPn`oyUOtTy#tm7HK`$j2ka40;(h(v|3j<Ggff1anag#C!)>`$8S6S
z6qD*;*wkIMHHD?ZOlP0oU6#YX@fy9Y;ttofzw7N&=9aKYZ@Z>S)b1f`_2iG8U2fRy
zIad`Reh8#NCL5#USv7I4*;SswS@;l59+jodj9C%J*ZEDePY|B9iLACI_9m=6(@|#7
z@_l~0`S}EStlj4L>X?U|xW-D{dT$&KpX}$1JvytW^*xfsb%YQxXoadc$<3<cel~wS
zvk&#eJl-n;2F3jPR4`c-MX7g)HPi*_<avW1K`V)lX_!_sr}|#)SnBK{`Yemm<9D!$
zunFhdn9-0soausg7v0Ygg|4G8WaAAu#%yD>ZLQzv|0d0~9$6=A&<yTfG_;yN<ptou
zl}cHvoHDpz!!p?8U2+T+$GB0|+XD2xD@iRy+qXEO`q>-t!N7%Zk_Q%iu00>KMX~Nk
zL$p6@XIWSuay0iNg<5zb(;G~+y*iCnL(}(OrTD$Vz{I807)i0{Jv4i_rqURSh?AA4
zrBmnbYL+QmZzL%ogP5|GA#_{IZ}oi(65Qo4soYhe?%zzvO6Atn0d)X;(ob%}4E3<B
z42P>aCP3~2>lBXdFt2*pVUA*7OF8bUJD#LX^>B$1uBumP)!FhM<9eNxGK`giHTj{R
z`YUzfig`xK8Ve~slj3T8GUzN_-`FwF^ebEzcCPCW_I-D!=y{SeK%>`Fxp#t-DKtzn
zHX!oqlNETy#zt!$WROvhg4UxqCuK89&(%#&Qylhf+=1GVL<T76SB=Yx08+KrnJv0%
z*d@}q(+AoiRqtz&^!Jy$Q<wVcg9{(%s87j+ThF(vmvGEDRw$Vy2Z(YEL$Z=$0g;x&
z>%u;=#gU`hN22%5NCw-+a@0;cL$M^bUH05y%kAWa(O_bD>V94y2}leo&nv!b3xt=A
zLV230aInE$NDPO~{e!(mkY!RkP$g<doZtWCzK)?QW4ZPzQ7(pY!?Y>kD|r2p*IJG&
z7ltu;Gy5(zQzYUHjH)Z1i>K0&H)+W{w)g4i3IACA?Cy5yOX1Yb8y{}Bl9CZ#=Q!`8
zueB=kT~4dy%s#P5M37Qdd6jmE9f?g&wT)p)rTc_=VGyE++_ECT8et+JB-%VGIR&iV
z=zFty_5B2~5qWDX%O!gGbK}5t9MZzET&uPU71ui=K}^GrPPcSmg^g*!K>6^NLlTs|
ze0ml*HilB;Lci4?<<9CHESEllrYo#Q%s^~z_)`Eq`#igNh{cr7+x%cdM%YXFK2;!{
zmBra#r6w%jX5a6f-C(LBy-_pOkOf9L$Kbk<tq|X3r0S=oJ#!#2HVE7mq%g@VFFM01
zU$KRip3-n^BE)YIXENK!(HYuJt4gD8BsgdebwWS$TIR2{qGyGUkSaRBw{eqgTkjWh
zFL?|+gmTvzc)=53BNBGk@CJJ}t4xSewHPuLZ=s_I$4l|W0eK=-+JyVMMW@5#XpbK|
zLsF8WcRW^K(~aj6FreORAjJnVHJq}h@)4iZ_@FFcWIg!9g`p3*UKqa=Y98>u71zFC
zmiW=qXK6tz-gScuROw+7?_+)vU-54huE`0p%FYZp2O0&#lqfauu#%2V3$`_p%v4#6
zy?YIb*$`(*ulrUcO#X|PnCUCTVlWT55O%}np19Hn!Y3@MayeT(*{%i~ZQK!B`A?4n
zn8jSo<C;Sy>3OvLS|WHNJcWF7*F*M!<{vU#ae#o2j3a!j6%7(7NOc7Pa58rc*KZBu
z3@evF!}E_0^yH8^tFimGmuZ?nZrl_{Xf3M^WJ!bEv2WCMSqU&a;ZrL&2opTUA2mel
z_`A%-vM?BGHoLNY84k_tSA}1<xptBfK7h;pm{sFfgueTMU2QECF6Q~~4opW!P5!kJ
z?a=Aor#i$o3AdN4qL9%u2hdnXwhn1%FGc!6a4t&DlE%BR+yexJcy#B91h_1u>?4D7
zAyoELe46gve`J*3?!tY<+O1Fo#lKXewmVR!4Saw)-GwCk)7o^>VZ&j#;wYx8Re0cZ
z@D0-@*h0UZ{uY|PF?wJ5(Yu4k@)KF+MnpUbtsS0qN5aU_Gq&6lmXC6MI^YdTXj+S3
z(by*a4uEEWp<xjMfK=C^9Leg2vsSVb^N>T>N&QU$Y|h${lIpQ)H7H+}@g#E%&;BDU
z5m<!^S-nXFGRH{!R0MN3%jy`G&UvZ{MlV(rX?MaQHF4d4bWQC!P#B}a=t(Eq!)7kJ
zKSc`kK}&iyJmG3y&|JE6*Y@$I^vJOyQ62Np;C~KVY#i~bL>KK_|40#jIK&trc?G_j
zHkI{gC>mZZ6lS5FEw^G&>)w^bx;B~Ms;E04&J!HAh>`I;TEy(;n{)-tmsi0jC&g%}
znDv-t8*i5|<Mu10y2(>IoIG|y5&4&iIj)Gm@?H4kXDviV+cxdUiqygEf-QnP0s(cF
z`qi&Cwed%Ras`siumSQuP>Jf^mDrHXXfCiAT|2XTg^m8)fddaDBK(*=*)=W*UqR!k
zj~N&Z&eyj^bn*<<&*Rr7bu%$+Qm!iyd<GkyKGDE<-2?7M0%-JtJZHwaH+e@sgmakq
z0qa@2at5c8d?Q<6i?&1UJ~=M-3`T=jCi6EZemwrcJsk&86;EI25<ru8r0mxAPGe@_
z;J{G^hxmE*Zs%AjL^#7U2oLUf;iov#XwyfYZDTNatxjYNr9^W1BLM+-rh_Cm`#Nlv
z*MCr4v=Vj|QH(Lu=60(fbuAZ5*4p_F?OSiT%dC*e+XVb3O~NMMBenRI5Ge2}`31f*
zo;{Y^ao6<1w7dv3xi`9<A%<J1ST9MP6*jESR1|LrvD9fw$+jec2;;dVs(#liA;;*`
zkKX&5KyXJw1aDRT+rUNJa~^d8S}yIqrIeUbNJh_B|GXg8*|1CKN<V-BIH!&A6tpa$
zzY-%k+ZfxBevMKZv1w1|iBt3qA9lv^48w?68QW+H9PWh)lHp&d_~cJ66N=}l&Ao7J
z^xQowp<A+%yVU4^5|p&yKEB7kKvkd)(m(@IWcT`9{pXAk?U_6JJZxcn{ZMyxGknw%
z#jN@#_tU3aBIl=bi|mb*vWP*w3?@gf%u8^s&<o~w0BRSUGkw&29_L+1;K<txWQ9%w
z&fq3zsEiWV9ROZ`2TtAJo-L1RJib}|Wnq0Cem1na0p_MNIUH)aE9rWo^=Cie&QWM;
zG{&2O0ct9llC;_mWbUHOH#Ahtb(OK@V`V?wV++tN&lS+1k-?A)g_6HZD|=A0EXX}_
z@;ZO+ubFA{Tx!<UPa3r(V@mv^+-oRipi;=F3BO9~VRr+5y^F)2zL>Rx6ZkPki&r;7
z+siLxqO{9P#=OGeD8IB_2l7qdl1VWtNI|j}2hYP(aJ|tFS2E$&l;1Ysa*d_#82*~^
zM(Vildr5KbU!~`Qy#81ivKCDB30=m5qJ~UG_o1bU6|Fy@n@A%Wh)z1<N1Vz`Icxkb
z7z2fJGQsIH9`?XsGf4W$qZ!xUzKXWIpo{B&;M%{DQt>71ys3_HP|h8*T^nc%(0NYA
z6;R$%bxdyok4mqSjiYOlOu-9~Y))kIsz7@E5L!ozjRScG9C10gff}P$?}{=6T%S(i
z(RZV|83h7`LbpyM<O)9lVwTHxN|cAm$`Utl+O<EE$M{N(M>e~>cG<9>*`7@C;wcC2
zl2iJ@IOzk}QOG~IKKUI4bR^dMay;0MpVpKF9gLQlOU~}5m9rP(nUv~Bge4Om$rSiI
zdd_N-I(%8eD|I{9wU?==3BnoRE#;S0|BWK_+iMCA%(G{&43zTg<U`6O*e4!TM?om-
zqZwOEiR#1|nQikd2X`)LQLo(-i=MhLkv26$jg5a_Zvq>ZVnk+#QNNfp?fGC2^<3=&
z#-Q7GaX1HgnXp$Qb!6_&LxLXN2M_-GEx{^n@SqN!M{sq$`#B0m03ZxWkp)cZjyv+F
zY4v8zj}_u%qieV>X-AYlEjBhy=e<h|FP7HpU}i-ZUoDmVFeGJ9f&)f%N;LvL&(^X~
zsx^*jJcUqS_hh4_%F~W(+EH+3E^O38OAcG<82DXhlf8W*Eo4y$_v6>^zZZ$j7{i2M
zQUkY&%u$??6$;juKY_l=&rF|(ZQGo|E?soAggkM*>EFhTfTXhmS{%qwOTkQ3AkXP{
z&Vc*X7Qtx?EJ+C{$7#U(V=`Z}xKhAJ)IqLdBAz4NU<hQXZj!vzX$n-~6)T6C{KT2V
zFXvJXGIkP5><-5O^cC{OaJsn?#!N5oUE!X0$&kns$Ua7Mgry^>0LC4c?i>DY)dPTY
zW=x_oDhY6(GWEPB6b?HU_&P29MivPh0`<}vi0yO01)9lG?dKI>c8dH(JBlin)utA+
ze}-!~^%El2{@?_s<sSTdXb1_LMM?lUU(NK5D;_NPi)DW@dOVObLsr}4VR`CZhd7bM
z&#vpC*|DbIoWcI;hU+}D-zaw46{f8lBeB{N*Mdz574v_hJE$ftZt*v2$p4`;tY?A~
zc-RE+Y!-E#FJjexPH0Q)P=W*dqh}EZavb~!-mJ!i)`D0(5rdMzw$XC!z6eCDN=#&V
zpaK>$?!0gDIqV1LV{b+#zM7nGKT<CwN|@B8XMDOM^#j`U31XbJ)Quqq$S#}st}C(U
zJ&GPV^NF4c`DRLNIo2RhfkG4oHNobTI2`lNisBlAlB78+Oq}YrN7J8$lkoLw)Dbdf
z5FOujZW-qluosHuX3VUl6!EPjlZt?2+f8uce6NK_*Le~w_W})oMes(ZD_iW-k9Xw5
zh5YBV&H&zyjmVBN*L~r&S6B3l!R;PZ22Z^KsoD0&VkdHFF|u`g03y1LwfI`d6L_&g
zK|N<EAa@>Zsg7xxCl8j#cz)ls_5ATe4CWEUX^SAxHv>rt8uKFJh-uyL7DWQEKs9dY
z)u|MsfNUVTNyAdDiBzN`sCGmYWt^)9Z!)-{KD083o`Rs|^_nQrH%9f6o@90QD<+!y
zV5u&Zly`rN%(sL}i<c8{2ppMk(Ae_6E9#7lqt$Q(^}IB2$BMbQ4cqWi!IY5zKH-i|
zt6q_X%CcQVt3}L7C!gC>sk>dbQ-x0Z6oC>}o{oSR^oaj;h7+ljsnD-y(UXJ>^h)>=
zmINx*WTQTOpLTnXhjK)1Pw7tBE8y{J<2bnA8gqg7fOthKVV;$sMT8~_bg(-KISwzG
zaGr)d->@p$bRr)+fjCq_!krWpjC+7s7xXcBOVIpnL6ci~58b+X=upwe#u{tn(Uw(R
zQ-H!l8uW-KFfbC9-DW<v0A_~dr&SNiXOJ3$w>zhjNUqds>Gf3tm<6X7NRJIZfdqK$
ztI?0of9qh;G_xY?KU`}PX}g(OfCt=WnFYBEAoFaav0qd@XRdKFARs8SQ>%?_j7;>Y
ziXJBePNlElX?lEU&ikk|c)K{r*VtpCUsQ}Ejb}ekJ8)>aTZ-1GNwPF4bmTKA|4e=V
z@D$}}Wnw~#_J?s_BQ}`Hcup{IcR#!V(WEs`M-usgjh*Nbo6mS!+fEl=Y@LF75mp8j
z4CDF|utA^2uq%lw<ZBsGeL>yZ$*3H{33tLtnNAwtosd}*G}{Z@baXj!8=C^Uq<sJ<
z@ID}+lWi*fZ|IC^t}ovnmviJ&?O9`<>aoNoF=+Hu%=B%2MT&A{0wBsky4sX3C8mBp
zi-_&t72|kw;yE(?!R(3Gs=KlimQwcpsfgUXYDtC;c>IM_O38%?W<@k&Y8>Xf+@Qdo
zugt1jQjTy!LoZ%~d33URL#P4O0oUj5$j_jT%GDW}(nKrpJBUgiR&RNX5y}>)AuiS7
z+P-pTgNC@lkC-MyT&j6|Zm>gr;JMnrOl#$;I+5RwYjPmc*Ch!<5MzsKA+KnIC53{Q
zaIGMBdk%~21PU0(O)+LTo}qMjMtn*ACSO9^ETMao@2^CRrDgpob`ONTRocu^o~fBG
z{~>~*_gw083mC1^W!C-NI$)%0gp%y6&dQ&P55;29g*r=Zd7(9y++mAkPVi|G|I;FU
z0?t1@L9YJb_w26HQ_HJw^C_;@cWUk@l+`SWz_f=#DG{SixjG<^8QFt0H-FU((N`%8
zh<Q69ym&=UP`)<9y$&{1$a74nz`HqYnuMJq<M0=|aK$|73UP-QJ;dz7GYl1D{L=bj
zwQU)TK7-{3VZM@mz-aM`^L2zI-TS(^^^Dva*ZuVtkj#CGm&o*xwfPawLDr!I<1_v+
zf^XYea*C&bl)mCAb;{>e;9UD^>4}S5AyFIARD55JAxoc`T>wR`=XRtBS5J=J+Hk0s
zi;845^qVUm5miaT;0F+rVQ;xMP~}72dz$z68`^dKa3*h1fl<_D0=1;;r;j4C(o+1R
z?S8JqKlT6YQc}zGw5NH$EM_hG^ObBzI*{irwTdbpl<(=9ya~X%s(?6LWFU3qwlDd(
zM-!a@rr3X??rfQsmg^5E--(Eut`@Xv1~B@_Usr4P*`O<EYh{nRdp=#+bBcRhV*y4i
z(HW1~H&bTwu@?wD>|gS~_5E$#a=9UhjsgNpD3JWXsN<l0o8g6AUq<DLy*`4oU4P_?
zI(RDP;2Jt48I<K!I5yNkB;5jpfvM=R?lnvFbO)gCXL>xrKunSrI)Fdmip$X~bHu)Y
zpV8u1E8Q-cGKb=JXoI7_$5jkS;BHZ$X1Da?i(F%ISUUFI6*d-Wff~VU4qUlSp2Qjj
zP+xM=P%PRwsGq^d)`IRr?w3VA0B;2d+YXE7iom%Gi+G&f-A>+n)4Rqyp&jw@Xf94m
z#M;hoA=Eu6`adXqRM*sdAs3kCcX8%|r#M^&5Aw#Nqs3%QXdTOwQUSh?E|UP@Zxs{`
z4wamT9Ms@N49+(pH!+!gt`L6>q7X#uN>U0Xc{2}{V4$(R;3{+;6TnbCkG%|#9RcV$
zPM+OJ@X-VM{$md~RcKadd;|WsbWmU9fsNKoQ98fe=?8>P25{=yP%-FfUqY<Y#K>Y0
z3T!aHm0uH<0<<Y0x1*RG@El?4yC@=wKY6ofGI`VRSL^W^(&jk>XJ}r+rojz9(1U=d
zk8sC;+4VP4>_G9Gg;jMgS>D?~4HC+U2L$yDyO26Xr3Bzktco?fwnQTGSTx9vSzdVp
zgYW-WmAv05QewgK-pf&MBM`V_Bu`0wiB5i}*!j4E1f^fch9-?~juRRQQ#2+YcS=)t
zkepli^%+(YUoG2&OU}DoEo8X(-@$`)Rj^-0v@YLWjv7XDQRavge!24v`cV3m3d9X<
z1534-nuM2)L<xqspDP^6S16IMWcpM3NA(L?Bguwx@*oW*e!CmbU`2&qF>$w-nyt*j
zfo$Q}vC+^kunm4wtax3Kz)*JR4L(6u5UEl0Swc9`)E{cIFvI&f7zta6C2-*e&l3(h
zK01WW+EXdhC?WB>z~kTd+uKvXP*MRIR8P4Y^cwDn?>}ONq(6QAAK@i<=~}X3(je*0
zt%3GI8`TA3!%1sqW;q5zVi6ljQ*9JSv({SgUmOgh(zQr?<F7cF?yK8F4nRKwz~`M!
zhG7>vJ*srg7$;-XmyVf_(o+VSRTc(oEmVNXu1&2N;ID$-E(c>|IV+F^fDA_)LHlF!
zw7(DnfS7ixnM-2$DTn=}MC{=M025Ziw*b-3`*4!w)+`db#8l61W$9bDi_5UxvH{dk
z1`>zH<6xcg^%ozqft^=W_Deh~3^<YdPZ3V*T^Xb`0I+>{x70=-IbMtl%y1Ti2h^LU
zR_0aCOa=_s9cQ-*AdcreDuWq%tkHo2*RVGjgj<Mc$wf%SUgPwrg3BrWRgR@cD5y7@
zomM@L>|L6Zpd^9AX=t6V{nsu0nS)==!T@^nQT8t?Gb--pJZbMvcoRx@D@^9d-U2tn
z&O!|QAbZ3vSyEwKZbX&vnT>L>nsvDzf%!aRWs1WaT__i^MKWMWTq0R5WbR(v{rlbE
zvZod`X?3Z2Y4;%33P+t>D|S&KY(F0u9U+IS!_s0684?E(tyWp6A5FBw$gi)9K!{1n
zEn`{HS+2~pz`Sl3d0A+>>2XV;`QT5C@N*nAaI@rxxF_52a*P+hMK;~mCsulC+JrpK
z`L6DEy|WoF?btK5q<_s8dQW7s#;(gaV;r`^@_fpLKCA9}(@-jyuhK>UfcX#n<1o<$
z6opAxeB-$J_0B(*`iO`kZBi_G6S(KyvcX`>V4=$ur1Vku3cP>C+8E$IZO}I!Az}!5
z703rC6MvLV#8sO&F~!ks8qAM544mhxq+&^?v!XUjr3_9Y96>4O7h%1#=arF5X@mi2
zaG$4QD6yByRDOP^KJ04kSC5|D7hH)V<-Evs=WTK5QPjH7N@77&T3t`h^~@^C{e?rf
zye-H&9Q2K~0F&n1Zb*=Y94xTXgVL&wQT@v|e)c93C1NeCy(m3F+}x#qOU(NmE)&bD
zi6gl6WI$LgC&1W=KTc8@p5-91Y>Kh9-BWmX9c@tY{@uKt=gg&MB?}jgrfT!DsfD)z
z07_UKnkB>?vobdMSYQ5V!#>qhEUmy(vXTi@nPl|i$xS9xj`qK!f-O`)HyZHfy`Bgt
zBJeez1c-}UGz@k|KT^VQ=gE{<%zhyiE8dkFybGCG&9BRVMhIv(a98DDGQ=AW(pfVQ
zEPg9aD~lIkx!Pv3mVB=p4D(gR&G)H(<?SQp@?NV`^^VypBl&d3)~~aq@mZ#PnKzHs
zj-H7CD(l^X`0dq=0Qc8sk70`$eVgf!Tg?M9c|9!^VLX(bg+iu)yel;`z0d^mrs+({
z^UB+|tyfDZjvECJ^NXXn9T=A52KfkcE7m~DK9wZf^ziSkcbDey%|KF2Z@8+z_9OdP
z0(4W<vx61`zpuJ=E+7#-LQ32SS!wlT-RuafMP*A0j95mU@AK;-rK0E2Ouc6PU4s@Y
zL*$}`b<Mq&P`kTQAU!@DmLN%tajiGp8S&dvHTLj<xx~Bz5eVy-V#{htphc5<H1wPj
zSIG}P*H}r~mZQ8cpkGSWauMjHQ?F^p13nXMDEW*7{X++#Ck6%aB0ssZ2a&;(zTNj9
z(jn>r?g|l3pk_)5lYI9VH2h77A%z10(4`|I7)NebyDTH@kK<Q}17WN`m_{bAQ)#R`
z^5*Z<5YE4uYKH<*oPg_uQs)AL!K^=hgw!U`>k-HY!OQqwqnnJmn=h$qL#IX|2s?MD
z><6iLoV`+DiqnaKU}x5gh+-R`Z}vVYHuEBv*6(GYRAF%*ObJbIsRdcwD>8BKec$ap
zxwho%4y7PKMCTbQ7?^<b(;#AKA0JYqh$qpiPAv5H&Y-Y|BQ69=j6}L#bgW}}cu6EY
z3^TC6$pKYm&UOhC)JL*eDiJV<EY)!+%3wN~PO#GTjMf3c4ut#?vYTT9KyLDeUHIu<
z`0lu^n58<B;lvBmcI!<_*mAxt2eXR0o?qnqY8KUBe`F#0NaFKHt4OT3HLqN#7`E$i
zB`%F7aX%DG1XJcnnpqS!Qp4ibXf{^11}GE#8Tb_;qdV^BiTAr=fT69I!k&<nZgpt!
z)H~d>{UQ0%K|<me3w*l2D8eU7Mj@D`tpfWE#Tv%R(QuGxUz~o&OIGCLNSzP)K!C&D
zvSDr1<OhTSUlsvN;X7#WY+jeawY`S+)CqZ6v^XiN`^RH#k4+V7ZiHn(Vy>O#!E@t%
zWese+BFDfcceF0U`x+)dnni$p&e&Z8#b<la#hD6)3LreC--ou9W~f6pNf#nTW((rp
zQH~{jlxz0|xd*h`9o3SN8}gB`Nm;@xmkuf0;wqlseuT}!cQ}a~tO|>QBDEmj!B}#f
zEKxx+9g{%4+xcu~jlZskw%RTcj6dr3BhSm|6wgoQnl9e|ZH`Own}(a|{Q|#gz-U|4
zMjwssfB#y5ZQG!aw?fkh%HDa&il5S7&#5h|T<Tb6C<wLyQ+V$=^e&`h)PLh?z$Y|Q
zGc$9KS$OejuEfn95pCJ@_T%`3g-dSyy3sMter4}D0Ukzkfbr%BybA6k;9K#vym!H{
z2Mg@rSsP)blAtY}83a%tbG+p@=v!#J-VjTby7tqajs5$&!L)A1Cj$4(N{Xg=hzBz*
zON_|jQfk8v|0HZsFY3zl7&llQQgpJMFFDKg0neAD9NFeD0B1N9Q`Gx)NhNdvt)YRG
zfo+1TcfX3Tl)!+&CcjMAR`#B<uC2y=#U`+v(!vO(y*`4AJlReh2Rb^>Y-iLW)0$X-
zu4e8)^%N)?KXqbG74Ao?!<?>CU^)V$Q!K$To4_n5QS%wU&Bq)!5n<Ne;f?eyvn;mK
zAE%_8$t7I6TPLanjxtErqCwpC`KL{AIaxrpA+icXg%BYJs1J<!jF^iX#il|=JjI{A
zE37qRPIZ|}B$JljdD^Er3}OKIa)wmT94WGdQyFxWn_Fz#H(o$PAfBj&v@Gtc6z*4?
zx3gJjQ-6=;;R>Utuc<JQCN*}vdsR6Ft-FRolQ|;Ji%q1N8!0#j+6dYCJ2X#)Q@mpv
zg8=SFtDi^!&sZVMdBgj~HEMQ|<eWE`*hrsNU0R2!TNI|8xA(sf^Ff_H;CU2~dr(3o
zFTY((XiY>wXj?oAB8u|4&7fNDdE}Ie9BdbJvd1zLMO}VB?RMe_Dp4Ddc<)k-ERMI!
zlh$tq)I(r;Jq<cX7qe5l>;l?70>s2xHAN+Y?hc-_ROjnxB7aE~^Vj47S9g-jV7KXK
z+YtDmc5Gp=DQ}r2NaMSs9^J;y|HfaOF#Y)8Z+~(I1=33Qe}4uS-sgPuv-$EYvPnXI
z=gFNOI|vu>7z(1ZB_vqnjEU>#!qxq%J9;0C-2RHLX+>@0$6Ezq0Qn$2XFxzsx#>Re
z?;${1$36qzK(IV;IkYYNBS4R_PjF!9ur@Pe(j98Ub*&5w^XApc0se4ARA$(MjevOe
zzD(l^c&^VgRBM>UpF+y+LP4(%Hr^T$s{@_PR>rj<N!I`azA2PGupVn0vbbIA7>e~h
zP_K=4O8kxbPKbrhE(O%H%>v1UNmXmk1E_S-qPk1nkG#qeyIpm*l#F=z@Zhx9gg!^k
zN%4??_v$#;#t5GaEF$~0Zv3a0*Lv8z83nNfLkge;hL?#(YcytQ8}s7qYD%R|mT-5w
zRQfJ;1m^(&JjKJvsf*mnS9qU2-DyKA)uzqtoCp1UH}RaytH~?rQbO!f{PZ$@A7XbJ
zo?q<O;~m**Fob!kv+IDlphE8k$kfaL|7X+^`?PLgBLa`giJ1m|`Jc$7^MFkL%}lJ=
z>pt-Z`iSz3*SerNyiFpQsurjY&Ac+HOmO~uMm1oFsDerp-+&}xDhdvO#-lO`d$tZF
zTHy<YYBE<SMqx5Z!R;pqp<M6YL;dXIOpS)wrp9HpK4|LH=dSVou@WPI5+Xd7y)k=X
zqRl%^rbUNSy<2J*A<V?R%LWx42o#-Whep`ODOgY6fFj%0R+?OGP<tPPc<K?)mT`Ml
zyetABGs{2e3-aPQxa?>pihCjwb#_=LF>=q#ByeX(V1|#Jgv+SqYaQR0XbBgdCPSJB
z-GwEpcv2(JmB<HXH;BR}9+H^X+8W~1@6RH0k3-Y?AwgRW&_bpR_yuGP3uC{N%SoT#
zp%#i21q$f!k&$rSNa(J6a>n@7n9!;9Y`i21<Z>mv8(?qI=C4GG=J~$_4xtzpG0GcN
z)Pt?PbhqPJomiXfkUvPUD$q=0i<OY45QVADcn4P}TEf+N9Iwx`%`vk1nV{UAUMeel
zk%|Lx%_A#ekp2)0*}6*uGp$^ilcgD<O8skQ-ck0-b?E*WfKM|}DmPmFS^cAto1{yw
z0x>+)kj`#vC)nMg$FBjzM4}atmV#LHrnYnW1nmSl!tQ^b5Ly+MusnnS#h#oNLG7wY
zgBVgSy*{}*iWG}1kNpUKwOa#JLR6w9o)-pdf<iaM!BXgrD%v`5lZyRLXRM#yumz6X
zlz3Z^B@;T<A$?KA9QzQ4R+zu{kL_a&v0o_kmwNQ6`ydIGU2U5<24IzO0+3ksK!~1m
zx$H@V!DeS_<0C;wK^rcQdSFiVYt%ww2ZI)OuCSz4XY`cwsE7rpU`IB!;R(ia43Smb
zyR;cKiJZEDU3uOTyGF62pWWvD$|tO2+JJQ%$cxpMuJee@+AV(Ck{$**p(lwEL>|uV
zYJZ%^<`7O!`R$A#(Xd*+4pH5Fl2?p4s7OmWwzlbWFX2*%=FS0KEf}?G+(5^EBwJt8
z)7k+kz1y}*ETFlH2%t0vBgh>xg~Bj>ut<TtcDop2rFFI&cPt3b-83;N0W_2$1_Kr6
zFWW%_x9DE<0xUcdw^SSYB4Q!zM?=x49Vl2Yjn`oud)@P)T0TnxC16|1PCinOy}zcc
zPa%!L4s*hYDqs@r%{PVW0PEiS<)6o1Q)dkP``VVce%FO~=PBsF2-OyKdSDMPBOyXk
zIyoH>AJYdl_GM%VNO{L<BmV)6S&L&AoOq-IUjA?8k@uSoSF2;oIi@&Aq5vf6EKj9V
z7p0$4Cw2%^2&Z4u-?;qG0Xq-xCWlI*L*2$bVO*I#GR9@C_dyFbln^2Gw=XOa;XxDY
zAWx#-n#vlMHX2JW{w`pE?@iYinDx60e?yjYO`EBZ4L8V2)I7r56pPMIW21PK39iF5
z{S+}&-)XVhgsjl>#05SJdu|A}#GD^dZ(->>VOg7p9hi3-v9djg=eXGJL9yL{2HB)x
zXiKKa$AhelQ;){=kw@Q7ov^x;`37C`r6QT27l!0zRv5<JUSR2Xbc*4HFkB~JfKSVf
zpUNSQ<iw7=>}<gVm1}31L$o$F)9<7{Pg{pb9sYF<ycD*GvX3OAJjKji>6@np?&UV0
zIP4ctU4@$gI=HW#=cWzvNQJ&J=uMhYy&n}w^y{O;RDmZhMbDP2x0wi7hUI!>JYJpG
zlvvbyUfHlc_Ja2<o??{SWUtPf=$6Z;r}^j29j`S6vl@!n4*Gile}!&+C&g|ldLX3c
zvXnMEXjw?M6*LXa!4?s;B~KEKVzql?uKZyJwB-Y~;`@yr7DoU-K)}ByW3G4M<U-oE
zc&S7Oo=QYe&!v07#-|In=iOE})-U_#Pk}_dy?}T3@DhOzohXlva&0=INUB7DMkU!T
zL@UURl_ai#(?aGmUTrbFLoQicd@Lt6MrosEW-nmvI==Ml+iPKLAW?TjzW;Jndf(Na
zd~nG`(6tw}GHY$Bf9gu?-AIx%y0ynNka3KJZB>mi$j184@mwn;ihpkDsFES@9j@w6
zrIIRpF4}MoO_gK5CLD(JI$JUNG12r~44wPG<MXq!eBCM;Pyy2*BY7X`TzzR}#DP3%
znIr0}F6dOI+5KTkJNf+G{D|{$nX~u9_t@yTGQm5O3}IN~i}8r)y!#tbMieU|uro4X
zv&w4CXC=nKU%4mK=q&O|aGW(jqa&jZZJLCP_8T5_62y3d7c#7Y?{7%;eO<2z&;^Yh
z`<oShYF-P;Zok{6JMY~=qQfTzVr+bvuD#8iwwMjOtz^jO92CtFRQL;8Tpvkm7q<Rb
z%Jr7jL=s~63$5Zulj*~n?ZJrLk4)2+O^uA_)-3~H=*k3s(e2r0nIFKU5!zeM3*>lD
z1CoIz;8M_}ojt2=iZrF0yMv1w`xu?z$q@4mu0vtXHsc3}@gz$}g-wqG!?lcSE^tn_
z=sT%l*Fb+xQApGQK(;`REbN4ZO#7y4CiZXNY70@13`yqnOvKFO?N|xZU_(3Y+a3&H
zc6izb*VpBPno?*|5p73%!)&45E9A3}7neN^0PAr?x(g^TOk@b6gnR2bJ;*^ioMxMD
zh>`555bM$qjNQxC0&_-E%owKG@g}nsPp8H!X1)B|+8xO%qy1o+oVi-)O3-6hr6%rP
zh^|Ga@Vh7d9gV*UyNY>9Kt@r22Oi~L(GMe<=2U(8(*P<eq9I{24lfUNsTx`+Gu>Rr
zrc3Z4Q7Rwf*^Mbp!eT0^x!^9rc`AS!Wr(E4{bV!IQ$Fdv+Da;N>&6-BzlQD6AdO(L
zLYx%9n(RUjCD}4GiVMhoeuurI$!YtmglnBDQ!e3!JB#X56Y>mGSI^k~tKjcoE~ZSH
zsfm1gfzcv7x}%;8Hwdceoimr8zj9WNzvN=ez3gqYzJ-<Pa}hkrpEy~9iHMs*E`X2&
zXO3@ydES$y9~y(FG;yIK80Q){T}~^vIbKRqx+Y*{_Kzd?*st!d1q(+=cH`fKlSPfc
zx<SqY<6*e3<vz>;hQCbO3t`+If1LhVzE7(Mov8E6%SyD4(p_`FE79J|g><lzc=geD
zTq^#Sm+O-RN=EIHU42z=!`i!l>fJ~O2#0R>WKyJ28j4Ad=l?)Jmi5YA68KTqk8tD{
z#KIz7DPe5DyMQ|MZJParqoA(bSC|5;3xg16wk^N}qOkS!MTu>(JP?Bl_J30L2nwkD
zzu!dTgUyH7R|PsSORSBAi_{}a&sMzxfTHmIw2&271bI?3|M!G#G*BY|-+tKa<<L|X
zq!U!8E!BOw_mMQMv5^kaT_#2t6@vkS@z>EGCVNIO&LfcdE}cR25Hptw)X#MVZ;pI6
zpR*m*DiAQ)DNTj4Y;U1DSJ}LbloNzLd0#1Foe=Iu%UKl4%HEV5_rlVIh%k0PE9q6O
zmzN7lIB_J_9@3vGhfAP$D{u=IKhzS@JzsQdTsE$W9hAd7`r}sL_pbh;T;xHlQkQEx
zv4rK7<H0&;d1FxHazXoEC~-gwqvPlzT^lnK>Hrhc6*BV9X3m%z?iZ0K4A)SSayblv
zw7Hq$;P<G@qHR(d!at-|yFEnG#ak$T>ja==mi%xjL(yi-F^{f~YGbtI&;g*u&idz!
zd*ZIEx|ZSTHW_$}0J~K+QQ$!oH8nF{#`P@9pp&tqzv;1T8(FH(>3%_@?HXGJAZ&iT
zj@G2oqT;A=ngs&0dwma-1}q2YDps{onNONd@%m&DGEEf_diIufI;>fCQo7Xe2&)ux
z7HW;uir`Ix%scMv5PX?LV>s$a^p3K(qQ)Gz4CyD#u_6pw(IF{);^phGmA!HPi87)G
zwXhGsaIdjZ{Qa6`w_d_i^ofEYWIlV<jg4!GTVB7uCT3s8xP!Jn$7PuvBm6HSC-Cz2
zpBH#(;ER)sKA|J{%XwdtocoLG)0Mw7DME$nRXzQbEYSoS?=jaZB-}0Kn5Beq(;ddT
zpmk^8oOH~ra)K;Ra-h1Jp@Iq?3;}_Xjq|L@7yleDrL)9(6>uO1SS(3bW+N@l^2t_|
zTJnzqT$rdy$sC=6?P<xwdlpghZF$pcpw~6Y+j^ZvKfxnkAm5qd%Xx5fes7$AvzP#M
z_w890+*Kv%J5}~1Wp}$NE36lWNQ(d)WGHOL@8~aGg>whB;BioLRAL0$-UPZIbbXj#
zPuXSO?kEuzuM~m>L5|FM6xM~OnWUp=-YJhI7x<yH>i28gS6Iue8HtIbn`R5D>D4NM
zscJ!hR=QkK4ZU|y<*bOJZD*V10U5^M78G{@nY`BtFz{OFUaPRMd@N?0<aM|knZt7#
zbyl5KuMD2O#HgEXE3qo01*MG);hd8XBN$5)fC+#sK<l_gt*9dqefe&<gDbVHvNyk1
z7XjX>1a|^^PS+Wlu~`f27A{z=Zg4&h*wLMGbMF@b^L%{X7IVXgNos6Ne93^vY~ddU
zBP%L!)2mJDndW|rg{#*Z-OPvYVr%l4f1n5=8vqQjqy-!PQ?}Wn3S=iY!?GQmz-}^&
z^DS$eO3Ggj?(m1|#xu+b3t~In+~$@DTS06#|F%J<j%~#J78Ce7yiGt3r}M>Db_j>*
z7*o)>31ovWO-gMl|1Mai)o%>JVglrA63e770F;9h-NUgMLbS)UG)9=%>fku7cpc7I
zQ|gM3#J_fQ0-#o2%SgfCgb(vy<o@C5z88&OMYTw$L_4@|Id~V5x^Sa7*JKwzz#oVF
z7vSp(9fgP3&cv#I(lfxKspQ621@+p+Jb;l^NQd)x0~c(vi!MJ&X<TGfI#7!IlY8nl
z>Ss88xZ2}6j|0r{i=Mn|mpB0<=;P@~f$(CDeFVYWHzz*Vj&YgIN5E`hL{h0SAqFma
zgg*$FAgncB@#k5rvGJUxmq2?2T4$m8ApBPzj3fLomvFc&HlyNHc!;-=nD_0E$DcS+
ziM|&+1n(KY`Ps8{;SJ9tYcbfB(hVg$7Y&Ilf;7hqNpY?K0#*9W40YpDyO8h46t<J_
zguOqVrT%;R;k6mQlV|A40IR}yLz+P+Bf3tulvAn_lKbETF)%7SdxS^79v|a|ke>{4
zM?v}jZQ{{0a!YEY^X_z(gTAq>exn#hrUOZBt1p;Rbt|rE2Y^6{#U*ZiU|6Fr8;d(w
z>xJE&R3m&kJ(hDsP8=$_2vwg%eVx7P72woPbS~aldQP2ORTUQStXD@8-#c7?s`nhz
zJwrXe#S{?MLx#|^fJ!XpP(GOPe7TFVt$`Rj%>K;B32Du^NQNc~-qB^l>F_m&O|oBT
z@#)$RK`VKLD}Ep<f$)V0mKhopg*|g*9<rbcY8h;@9x|0B(t{bVGn_n6Vo*Hv0dav(
zw3UHn$QOL7OSZW8cHu&xZF$h|BPp?z&#0***OO$6xxO@OZ|c1@9yP4CU8v8dcw69A
zbS>cmKYE#;3oUCz&8@PU1CNY?jloCx3#4`XpW*C<o%3MRc)UiL!5ylZOmxYzqA;9*
z57jGXmU%BEu(l>j^puPlq%pZ<>J3@Vi*^3uAc;{eHajuf6B7wIzz6^I)O9!sAb0Ir
zRzlxAa<>5`6%njsDXvHCeABo0MBvW@l|?MYl_V*3%;(b95`6vs)`qMQ6Qu&SP_5=c
zPd6^AcFbBEN@S>}MIP*AusGW}#VKoJ|L2|i<^oRjp>F^s8=G@OaZb1SO#J(CQW;Yr
zWWJMMYVfs`yIobVQuK+{e7=KM@Qg|%DFG8$?9_`QE@^qznu9AVRr`d9-~ZcooHrya
z$4$89A05_`ONu$QObmGH-&+6dfC<o<XOB@3H@)&Y*smfA4foyNe20PttL(NEU^`P8
z<=#eO=4bvy8K2eMj#C0fXN<*M)?C#MiUKgZ03te?S@5JzUHZOySIia6!dB!6OB<dT
z3Xj|S=G%3<QGd>BjGev3%q*0onu0Vh<U1u8`@H=(mwkGATKWGBv2({cu6g1;+cmvw
zBUJGN@l?K@Wy-LHWcG+~m}-nJpkc7J*ZqI6Z!tk9F&Ak69CTkZ<t1SzB_JJ+NCOdS
z^}&Tv2iib#norqutvcY#0*~HRSz}g>1@^{ulTG6AQ#Zxu^h9Fi^;n^Lfs`2~vk7us
zfY3YQcP#Z$s|q%4ExrxABU6R$TqGUF%!Xq~A!`TsBfYEMBv2%ypvkn7Yz_RQyR+~-
z;;Kq5$slpor(AJAfyw@PmoE|CiOCYAmj5y>rwWsHKh>D%H|@}!Ic?z{@|&LOFUy?0
zWD&ACS9WdTFIcielkX9<$s@DxM97H<>8+`czNM{pa*V(jPn7`~@4N^|L_t0QAReDk
zhag2)mp~`=mSjZY6WeBXk6Z|<^lmqK!kkLt9pBBZ^A?nR_-sjnP6y&-H_Mv4#Y3oB
z;{7zBTKnXI!A~Hu;0z$(yhXsF0Uk+X)-epoTrS<PFVH2TWAF0|F)%Aw#jH>K?s7Sj
z$_s}cuVE@+sq&{DwCKy?9q%i3B5xkk!j#pWDQH`^@UJ{b8tT{FF8R-qi5#!<WkauF
z%V;OF4r*Cf-4`T-8Lt58ASV^Q4P>K?gO^`mXN$I1WJ=oqI?T|!++i_uvAqBE^|T&M
z^9YW8>X1o_&60z1>HQeU_08tm8(4Lx8h~0{y@Fa%w(fFVXBKWQ1=`HiD9INB5v-yt
z>bMAD{MtU*#*5V43<xhle5t+}NBt@3V+E(ki<u^loN5+w7l>LgbA>^i$bYHP7xlX#
z@)!Z4aXaI$;8<jyf!zznWqaroa!W@bD5SeEHl4WPj~Z;{KC>Fr2-tV3qakHTI5B3z
zD_1+g`1eocF0$hOmvnrw6Ae`l+-B^*0%<<ssXX1K&#s7l>l|TsiFEJnKs6l*NdL?5
zGZN|m+;xl;&b>IQ7}2Ya$)vcggAArm;IGoDwRui}pteohhdd=u3^Dr*jxA~s4Bpz>
zujfO0$AWUqMg}dJ%kgP0NS<5V&z|{Pi<I#33a)8SXkT7eG%1=1KC)5w<Fe$U9#Voc
zG-Y$sfKAL$IQCI1qVaU~0;2_osqJJ~jzsb;)0q^cI%PGW^H2fT7&(l*RS@hjQK+Mb
zKv_axx!6%L`4VghBAZ~HCNG3^sPPeDH*6?TQ!CoT>kFobf0P({kV2}nq4}&VbU+e>
zbz(<r<5n;&KYZc;4z7b_G8tQo)c-aUCPMS4{Ls&#<F&K#4@s-4u|4wSF(?1K9PoM!
zQ4axDS5nKU9BEDgBBT5mO!e*czuFaoMum6x`sV(^y#;WkPFJ2>ku^ArQ0Yf*_c#7;
z0q;I`QftuJj=gQ(EJ+4uK7VwQuV({bVlWpBA4zegp*+ebrf_aSFjHGA71K(}*_OY~
z{MIs9ESB>$cuF<XXf$gzyl8tDL*qJJp!_m7P9&$hAjJ;C&D}*Tg*dYgG=VU!<ge%1
z&9yq36Bf6%u%gd;xpNXahorTHFJ`%JU8XFz{J**9M^rQ1V2|u3W<NrjbBgTQ#P?Ud
zqvzVs-}h9DCruNOr=&p464cSgZGI%BIb9UM2ifV30$nz1Y~g4W?7<}p5eRGK;M^Wl
zTGH)Rz5Rc;0%r>L#CAgq+@Wk_7_fKg#A$%yxJ(z@--9zUAukH7Q{hIURr_pi?IZm2
zKB@9(Z|)pd9YX>bx2uh3ygAfoasN?3D@*S>M|TasLAq?{9}77>gEF^7e4w?@d_Rdt
zB^(4ZD^yY<O^4{sl|PC<(Y<@=r-i+c(&I&&GWSs2WpKE6$rh*FS+*!BJAz?nqj2K4
zr{5M!u06e$yZX!&k^Y@gxU~y4&-sw=HVC+yzRYscpoE2NP?A=h70YpYP%}`0%`?gS
znlrQ@s=asx15?#fWI=TV-friU!4;nif{?-$uanlW29l=m*_7+d0lr;ZqO>AkQqmb;
z!F~0Yiv{GKklJ=}tGZBTMUbu4FV=@^QZZP{ahA!^o>IEamM#MkmFU1|h<Df+9Y@NK
zilhi_@M>EL5AhiVoh@ivZ+)rhPSOn*MHYQd^c1$$DGNwCh>jI5ZN!mU%tfzFVTCTl
z6Pf?(a#T&#M|#lA9VEkCkO11R<f>$Zqxave%)_h=JQ%2fqY^u@VVBIrDcZa^gD1xf
zP=F<!gL4p;bC=Sm2w5}aPm{0?yL2exDZS*mu3&`@fg#m7?EgX#`qhmuozLUmcs}hZ
zZP@on5{MxYU$vq~0He_0%DfKMqcF)e@fcdUwzw?YYg%Y&;6esc+MT}0SN(Z|t^cf|
zHLfN1%gzpZz->sJFfx>L*&gUIyjycqXpO?f0`W#shvHjN`f;tNemQQNg=%X`*@uzG
zqu|8opEEk;Or6b;MDIK(m`pd97*PL(<>u%AGB*h7XR=x$islnY5fE+055#h`%rHDy
zvVx4H={Y-|HL>Tgd5w>A(5v4mYvs8`lIJ9TF>A$1t5bp!JQ6fhuhO$9NW28W;+TAR
z$lURc>>LefKZ^IYq;4G@F6Kv&?Uj)^WEtuxNiG7=x|$IhTV~`LKA>6rn2!ytvJp%(
zY$vyj0XmJ_P47WDE>-<g?SHfj3nJq?)*F^jszKZywm}<|GF1;F!zUJqrMch6OMR&)
z;SO!J3W1^b#Gs}RpbBUjL};FYtd#}?X4V)2iGXePCwR8yftcK9zQTLU0kUmym46q>
z@?2RiO3;UnhtwgOTNUXPeFpEUFB{k`(Ke|ZZVnWuB%d&%x~;@{Awl6)9I{AcAaU8$
z^W~s^3@$*Xk63}Vd>3%yy9P^MMOC~g*yZ2bL>B&Q^)E|U{**fEr1_Sn-)9RL{1$DL
zy)xIu#z5H=q*uugbGzD}xCLfc_Wm;@5Y>jts!;Vzf2cnJwp1JxViiv(MLojHrXPR3
z=tum=8&M90^czkfp9l!td^|z30$MWS0Q`E)tS1;T_Mn09I-YC$?oe2i?Zuyun+5-%
ztjJ3JaT;#5=Yb)<<OyIFha9U*Tz2}s>gP6O+u|FD#FHglUN5+RVcyMPgR}{C($6)v
zqbRN}%o+wKllYXkGU|9d8E{mO<*dw@ZU?&h$t!d#*+%scHVue+WP{A+pma;&3UUO>
zgHk_~_tq`FotXS1m@8A?E~@&AMZ)5>BAY;Cq}X5Wp3{OV-(?gUEGVtcd0<krMoP&s
z-#hjfstZx^YxyKwFzR?7K=VS)9{U`gcbJ<Pun<E|t4Lr>UIK28oF&6ibTnRJ_j?kv
zs}2AOJ+1n_7xy5&aVi$~wCWY8Uw}FziB+o1VObeSU1dQwcXLS%dq;(UIhcreaou6+
z`R8SO>$m&`)0s@H-S2qUWn0tICM3!5XLfJFK_x*wxK~yOw|VTzL&<O;v6a5wlKVfK
zrJo3i-Qn_EYlv+hW=g9XqKpS%2~~}U2R^b+A5$K~M@c|cDE;sdxW{Xv4t^q2z4}5b
z@uj*&VxR3Mx02mwf|6>eFA!+Ks~GPn0c|LY|0=d;t`tmyOk9A89T(AajncqSmpsa0
zN1xr7Afiz6K6q1PN~AzpPxFjyK2@D((#f6>f$`4Dll0B@F3dD`6&_x-v}>20%&uf^
z5maqmCy@aD@K;2iNZFY^*<}sLWcG~%x~3@JAwGbZT~r_#=-b7lb*i#k1HqbG<+nl1
zht}n0)LLJB>_kc<x$I1PTu2vN;%I1(-4`q@7?&1HLZd-jh1Po+3#5%;tcd?+jwora
zUN1+a*cW3TwAsnrHj;}+&wv0pZP_N5?(N(Dp5sa#SqIPlJj@fvQ6n&y5|1Ey;i2tx
zgcp`*{;0Hbb#ee!2_X~XgxqInj>1{x9orq@-_MC!V+T`D!;ZxoK`95VNg_}yK=M+`
z(Ko>C7x?`$tf`;MA;(lF17W9vd@_`RUpCY~c6a|#O20&afQo{I#0Ve<2SY4>>r-g-
zRE7hr?<6i%Q~M_L4qY#RrxYdDszvaUJ~NaA?_8cAH2WjawTyRg{8&b^i}#7g{*oBT
z2obU@XIq(vBeCW#_$7SsER-u*4$)YLgiiKHMm>pPdE<CRq9pBo97~l=IL9NJu{nLk
zaFBuBN<EIk-w^p=r7s!lnLOBTOiF@1@z;gNJ<Kor_HtjWYgh;^{QpmxRd!^W7AKBV
z7t*mL^A<POvG>dT{9S5hf=)&Z(L6<;?^~TRVLt93Qkq<y%*vgA)6`oLT9fs*d^4Ns
zpeV{~c^v~67w-K#m}evqViowrZlC$>m9|Y<-Ai&}WRGrmIY=Da^qW#oz;YEN`a+5E
z8#%t1Bro<@zPra13#sm6pzB746I7NTly8VG8;nTqTat7u!&iBL6c&CprV*qrvHK}R
zD}{!T=B~sPI)svdkR~t)YnKFeCAFhRF(^8;hSq9zu}Se?LkWhT<OJ*f&!uhyfhFk-
zq`}yk&c>Hq$vcmiS;z~$)ZAF)xBGGco2HYv=wkW<CtaHd&PiEq?gdD704?i}QF?KM
zDZY4;c?zoB!Mfd1t0R3m#k6DJg25$cHz;v2cMe;R?L`C&lwL3jcV8OJbkgl{VXZb+
z**_IyW-;IDtmI51WnIbC%&{+Q@uKQJLZgd!M)TsoB!o`P)rmpg(wP1WTRhDBV?i7T
zq%KG;I1rt(C1rSe<{a<cUo0@pZL(nNciuL`=n9UcM5^F~klrJM_PT@2Ly+8+X`+&O
zZq8L>&2ZRdPP!C~2-U{?=EYIe1~XXlkMJEs(sdFw;RoV6UWk}u;%7@5<D083l9AFx
z7kLUZ*tzZlae$F^Yyh0sSL2wYZ^B)w5;1jWs2BppLv$%038lRJA;-|fd}JFgjq_7P
zY9Gg<Yv+&WMTCVW9hlwFA-;m^_i!*(=cB7RS1-vE_x7F9lxlAg<uV?vV&?P91dHEf
zp6})ZhWlBn(P5T&+Mxht-$L4~-B26mfSL<?jLpF(u(sg@C%`AI>vR?-4t!Drrn%p(
zWl-I>oE9W;7DwU*m<lrGeG8#%;*IE|urQ<y_lug!!qP_OK44Y(Pil+rY*{f4DRHY;
zQgf5am`0+r0bD`p*~Rfv<ErIR<@!`hHYQ^OUfTJb5PK2wvaPl%SEHt04T)teV@sE#
z5h8!uIwq2m<!rDhl%p?W-1+SIs^c6*bR_QfO?G|BV2exVrDN392wtoZ`cdw9zNHv;
z8>o`O>LW1ZKu&^2H5Gzz904$psZO0r;1AR3rS+2eE<G=QC_=2tFB)TC)Mvfpu0~M>
zgQKPJ?pX)>+C!B82(?(dV$yTjPOiUYG6u*g6@w?L#8P$!oX`<lCTQ5X(=+$kYE#tB
zV|QK+%@(FeKNA{)Ru{5e)I9Mup{?Xe1l!Y2wy}f3qcEf`t_<})gr6^-dod+_BbQ)h
zY=l$_dkViroEZVuhi%}|sPQRbup9%DN=E%}-GAp7Bs-P$8a{<ZTq*Rb-*?@Hunc5(
zTc$N0z3fEr1UeQfyaXOj8??zoSG_l_sO|iTNF~Ka;uaUY`zyXH%BBW&N<6Or@Yft{
zG?<kdqp>anspt}uuM~MtxCSY62}1IwLQpM<&}uTAE0@$`QYMaXhoFr58(>GN!%(We
z!j=EPOs}i{?*v^8nPJYp&RZ$*hctGtg-x&$PT=^e@yDe(&#>kNnnuj*t{<j*Y+aEw
zOJ6N)5+s4DV772x2_3clzYRCBHJxMw!U(Iy6Fgv|>r2R|@MnrX;#0uQKYl2vAOC$$
zk?bcqXAneGwj-uBcH_l1i}~j?BgUBlEHHsed3nQ--8@WJ?HGW1VFZ3WgIZkzn3%k2
zFqh7lgG?;9bjtzwkKRk15}Mx@iE9IF^f>9@YcAHJ8aJd=wfFAQ^8|X)2h#~vTVW%=
ze8l)=dm~2PPFNX3E%yF3(^wal;bz%|KuxFS7YKV@aV@s*hantYd^Q%r7DzA>g93Yd
zHhvY%nQ_k`$x~1vGBkxR`ZbXp5@8YoE4Li@A!uZeyXz`>kq^g!rHIAT)N;DI`#Z9!
z3?p)ravc267!A)WgFdoCEO8l{^RzOrcexSPt;l2S;Y&e31OgBGw?M^~hfA~nw)&>7
zJW3H-tcjcovUmLO)WZW75A?#vp%1K;A73Ld69GgLtU1^>A#B3Q50)|~+D3pZXTKKY
z{#r<xi5QDjT_*Jwi@F$AwXNP5wH$!5qlBBKH3S@E(O~jSv0uU&?Np7ovk{>^l=XWt
z{(IRjO(QhoBuDRO@~f=5F*ND73fg`W-8rZ&ZS2%EYpXdY<2IxJ`bFm{-*EuuSVBF%
z7k(XW&*IYGs0f+OCJur=Yv5-fd@!nE%`;K9uTKZHi#CD~m00bcuni6fUX!2e=Lv)}
zII!<6#!i9V@TPJZLu~#Bq^ulE?F`F<XKkN>JBZfB5K1w-XfGY`(;A{gy#@@<edcK{
zDzclWLscc^8Xk?kd3P2)M+jF%p0^b<rFR^WBXv1(&ho&YOD1IlT#Q2VR>-DeX*%V2
z_D&Z*|1zpxFis})`XZr}8n;#ULPGWZ@!MI_E<2KxAs`)qo~EQpS*_BuXD=zC&1I=#
zLn%Gk=X%(p1G&{QtNfJk*;2u0x4h*cDqQo&6S+Cq&rI3_1c#951eTP;3Jivb<C)5n
z71mH;*P2hrH8^z=np-|9ARbU)hLi;+yS8VAh?9)}+tLdkIbd5p@5Eniqcvr-L~uAX
z`vz=V2YLx2ti@hJ-#J>GX!y9o+Z}FCiJ_w`&^wvV!DLhR((kM!wHg68Hjq!qE_8tM
z(PI}N-+XQUR}u}Z)JLGS&4=Ei)rc#?@BJxz?Gu6UVcI$CB*qgwNq$NiIv?ILkbdne
zQ&LjkuM0*E82x?sD^nHO6~V*~9#k+gShA%Mz99GNE`~VZA$YBGBW+wNsrXqh+_J+5
z*qWhI_$Z!iy(VoI)7;5$ip}1(IDLOV_hJFQzGnt6r;!9Gl&P0Cxf(joljWI8L_JWS
z|5xEEK~IkBAi6|c)3Jg4s4jpaMp-fc-0~3q6htyUux0<6%Z^rjILv(2!??6ow(6yy
znE&shIlI;NIoK)O*_7Io79h<{ZcBuFR!AI^1smG87jg0-v9j=q5eCnJgxs%T+|R=4
zL3bUFfg9>o87rE~Lv)k-8-<xN0yY|%^!*tJ1y*5qYL$FLU3T){@R6wyDq#zCia<=1
zIiom*MIg-#?p-?PvLqi_3do{z_!vtl!gn{P!j+Fzgkop+SJCSqdQua+<17EJP;xsW
zfFvDY-ZaDgPdtHjN~7~t{zWCv{OJ&-((wJGivn;EE}$R_ae8DrIVGT<d*|8nOnz*L
z-|nV~rvzMef4=6vjXKibE@47tlH_;1r;=NcN^XL!agLHS5c9Aqd@rMZ8!ef5T%0B$
z5X9WpRT*<kvfGIs?M1cP7eFt!p89;Lu5)i-@6}Gl!b!i!(lfcrM+k4o9jl3m+D9@4
zr0grapX$Ab`;UzWi%`c8L#xi6ZMN|&8astQ{^QP5v4HVf;fM55J;$cxj*th9W$I_X
zEZJ2di%F&8o98(ssif(wnQ8PjveWGOj163r-@;w;p-kx{yE_GzF2KM)qR70Pm)4p7
z)$$b!b!o-Z5t(kq>b@-q&4Y<}dRRuI!8iZYJidH8U&y;6DHSasu~07~Yt~yj(}Wh8
zi>i%dw^M~s4FSN1rJK}FStLKk*8D!gRrRT^MiO?}Asisz3nj5qAm*-Ms%KPQS&MvQ
zjC_IKHRFjQp2<UUR!myE)}YfAB`~*xi<y%{N;j2WJi7lG4pG-=T=(E2$5ak7Eg9jG
z=WEKY)b>WdfW|W1bCy{K@DnH26fC`>&xA9<(Y1gRb9$z}n%XQ7$B$TQTWUpo1_x*w
zt@D)lYXQM?;zun(m<-SHTI$120$HXMBNe*%`vv{BDc=mU=TzxQx`l$C9`p$V!SfFG
z*g`HHF5p}7xPS0WLwE2uuU)@errCBkXK{z70S%8b7sePdn&Q+Vwk~T8SM1%Qx$ag)
zdn<W_tfVhE&z`8E?b^zSWrF2Wb6E(_)tpY1CxsInk23LtEhEdj)th5=q<kKn7JD82
zwyP&$i#oDtaW<|;Rm(H(lsTy%9BD`p%E;Tns(FLgaqHKYVn2*)9Ir!ekN0M-lv>jW
zrwfF3T(E#JPQ78bT9niD_C41V124`j(76%u5Q4&bK>@EsIhPd0uc0a^Yx0=do>Kk%
zE+RYk6CQ=CIYD?Kx_2}1DYM?9S6kNdyXwo0^&gZ4q&A6A6mi+ZLd#YAHuN8TYicy&
zjk3i<ElltdqZ@KeChl8LP#P~a$4no&3PNc9%%;xlBW|#{ZU@=p;0y}U;yg+v7QvBR
zgAsnsjxm(;6Z(i*zZ8Dc>9n$#et2g8CED_gVqJ^!4{iIL%me8GjXoR$w{(|SO?RE}
z^7e!n9@6WrR-<ni=xx;thYAi60uS^%iL$$+5-c$h>{Q=#3kUl9oy;$M#G+zz9Kwh%
zDf<y#Y@(+xZ6yaSMM&}M#!f9-U#8*Lfw#I}N+nJR8v+e_4UkJ{e}!8zjahMtb2jgs
z^bn@+Gq%zlgK0qxy6D0SWt=XmH}Ye{n|M+}>F(Itp{J<sGU7C(<%&y6tjkl^EKGKY
z@X^meW@TEX9~PA(8S|sR;&EHs0&AaBmW}9iwzv84Xq@MZxWzH5j<(-Pw$?{xycQm}
z^Ndp@O@2jL+ERTp)-zlZ3;*x4Y!!!4@rcaxpDmH}i578yar^#3?3`aK&TCX6{1+5{
zn3O4_*~<ZaVejr?h&86g<TnKV*a_UIFlL~{<MYs@W<Kp5wJ0W;USyxvz4^s^r4J{o
zD;rAaAINb%ZLJ(;w7cBqnzNUUG^#AgPuoCvibhTwDSjP=h;KxPcmY&TEGB@B9ZP};
z|Eh56W5kG=CC?JOlInJ?^#~~Qg8W+}k@t>-9_8*gJU(mo@?j1(_w*c{V*6UJY&irt
zG<v1b+vOf}gO>QnQ0Rf?S|s|EN{B^I;7$!Pt|QJsh8$&#Jt7*S3bzpof{haQRwnB=
zmKhPQG|ZBWX7Y_F;fUf!kcf%*ZHk8j*__Dt*M4xfguy*@=|%gmzp>ZwLqc{@-S?DJ
zVfzXph7lY<CUbb3?H}Y<;LE@|aC@C&!7#pkz*0E`O9nHXv!QE{EJUEny;7E}#O++;
zk>y4)6yua;%fp_tIMc`WQmN%9f3{QQmPseM#)64{7q(+-Mi?bj2V~O2+2?qBTyrko
z{Fb!2kY}WocsgZ-)@nORETtfHr>2W%`Tz>D(DPhaG*WDkb9<-cJ$xv%{nYPy7iYqO
za?#*=1pq7QV<$<aiFTx{s`~lFMf-QL0U_8EZPgA4gY(943iZxS4fh$G<&Cwq>#>#=
z8+RT`R6Z{k$FQPe2my-#Q`C2NA*z<Y=?rDhP+z6nk}6A)Cr8(s+>wU3%4#FHc33Ek
z@>s1Pk#KS@5KYP})&fe)SCNd3#$q?QhNL=He)_XwQZwLsr5YzrJF#Q4Kp5Z{BZaeL
z7hIYHhuX4~jX4@d_h%#eBTj)|@wAPZ8lQSt-)lQ4fwA<f>mm?%<XoIji($C~0c>jz
zAE<JDF`K0mQPc^pM$Se{GW2kMT^yi>_R)d`db`L_NN7?EXAirJ??~lhZ*(v`DHUn_
zq22tXX??7|t|=%&`GK9n);8r`XSVBdjrS9R297}mB1Px~R0{gecx?%MQO4YRtnz85
z0MBYuw2lE3#PdJ?+}_4Tl@&=d(Ff<_gw>?(ow$pTYzOtn$n;^qyi*Bl7-ju=ryMrf
ziodJNjajY7VGZnno$CC@>rEQduS3LD9}eoOkB~%H2rp?9eIkU-DOMHmtyBa@LO26x
zT5yxs@5&oJN?e%*Z_BRt+4L_xqvm0t<w`{*AQ1b(pXe!st0>U8<vW2J7l}2d8;-cM
zR6sn7PrZF!Ctk(9XVxE>unsypVV#wQnA|oqo+n`n7T2USn#_%~RyEo`j)NA7QCJ{p
z#{=q{1S?=rj1!@-?u<q?UzSZy5=C$zYY2uYTyIc#%^&NU>+9~=;nHZFu}c|&TVAh@
zU}3e!sWJV2!4|nh2(=c3g+PqNxYB?x+8=8bS0Z82GBW+$m<3ECVS_2&Qhfb>(;!;k
zImv}XUb=ot>Rv~bIc@Ned+;wE<~k+HBz$kPyu{NEgRoWDIoZn6!aS+*$7uwm>fFO5
zLa0m7UsT_S58ywq)Q|YP)|ED{#H107CxvIXC4h^eC6*T39x;HN+K+!BD!)4?1;@_k
zAEv`ySholYmapOG$#-3<_&aBukh2&hYoxIWk@r?@+{q_>VAAAyYQ%YxCv(I!_r)X5
zOAVccxo`^V$c4`5SK2hr8gtr&SbXdNEXFajOU?<BhMmgOd;o|O2UrX|OHXXmS|Ee9
z+fA6oGv+ldb?A*12TDY{l5vhg!2Nj17R2GR@&fenGza757WOfzo1U>Ajv^#H^-IT*
zDmv%j%w7*M{RT)6pMGnxC)o4QVMa06UUqYfD*^<sSgcF_$ew~=l440_=n({zl60_U
za5zG{Xts|Nb=e=q>C#KEFE@kTX1dQC?}%^$;LPyI(_=Kf!P4E9-GSx3EpYasSb_7O
zC8@`1LzhW6?TLq~VrP|sL_LGo+A=u~dM~lbUluKAl0Bybvx<OF2VUGfNlA20shCo~
zO3sRRTXTg|rVpJM*!nYMuDnYG4BG5&f)=+G31h;munS!8W0y#&<|SW#V^*6HoOhEb
zx&&^0h4^EUQyI%rccIl)`RyWL?Vz}J6J2|ilQd=b5ihD!_?rCQtTjxt&LH%&(I`?;
zT1_q(hLtt@kE_9aaTX<ewH=5gHubhJ-yH>C0u)?4+E)q)u51sjl?@enA~(w1p^(&p
zGf%%@<G<p7>9*NBIHHSCC@z`|0}>c;1^K5eqmHKtTb7tq`;odnZS1l-g!7ZiNYgtn
zW+QcP4GDe;D0^n2AD1P54-Q+&vrs*Txs{Bw9iHGSrsQ!=jZ_nRqD8f!FXyS`Pzf=(
zzl@XUHHWq@+GG5yxTn2Gs9*wHO@+8rz>2`*0V2#v9^I%l5JjACD3x90VC$`w7*+HM
zu-ZCJb`_q;J{^^CGr}?x$766*3j7z#RNoNG`Iki!j;o~((7)98+w~K_>!_!rT5ph3
z3300fHR(7Xs`9qOsC`zo_~HyEGa88LRA(d$S_G+}!iAS?^w?4Ksj<?~Xhlb)|7rDJ
z6+5Q2v=$7WoJQNqu1Kt>;?JX$0g(`&!ez%h!(I$hAJmeGe$jtIB|g3RFL9#3ki&i!
zxfki8<71)98Kvi?)4*K7$UXvR@E~Y4OwLovS5+ym;}2LRutIkrRV0RT_jyUiFdDSZ
z2TqpkvR5J~SflO}U+x`1{RED{Dtd6jW&W+&^}VU3GrS;;L_y|S8~ut#2g}4oh=Z9x
zLCFfJh9v8%AO>&=%}{&U9vIm7twK_To8~+of1m7bC#5Dn?m@50sMLK-nF4HxBUZ<H
z<Z6$0j$mk-7_&1K6GAxy|M=lA@tk_&!j~Mb5+4RP4N#PoMq`}0Zz}pX-k+jn>}Dkk
zKHo@jjN*ZQe|grz+JJ*r4ufun`KW_sx%}+=eBxOP*=yfgu_7SKD3SQb%}+di)ZtWI
zRFWZ2ih(`@T1M4x{Y1(Mkl!zxom(i>1x_Wg#izr@5I6n$;avYe#?HByFjG=cRoUUV
zBmCsw+_?l*%(^VZ4$KE+UK}O_W2&pIUBT_k%>Ak$t&^@9EeS+ispQEdMnWiAzAQ^D
z!~IzCSdC}(&emkw)_lg&)4TSM>a;_?TpATzfNyx5ssA5Qc2I8%;8&tTey6S$+OHiG
zdvJZQs81ldeQEC&Xa!2iLBp0ryQNEElZ6hWe<*0+5$9A>_DaisnXCF&40=jvM(!%~
zQwF)W^MG3vn2mH!zMrGP@$h#G`fHlMQ7{6DSn7FN8ApQ$4O%%-BmgKB>k_nNJ!dAL
ztQuU^Ye$Q(V%qsIGMzP=rfQ2L`l4m{p(TpbH<GN*%$Q;Zkf`uN3RUE356*#rhX`W(
zZ@2GkeP()pW#AVc0&Jf}(6n9Zl|%Nzx=rjoGe?NaWS87(!76CX@m_9M-`Avg`L}rD
z@}I^p=)_fq^$u_^Km7Y@WiJPGuON=wT~`U~p*2-c-%YQfFOu9zg>A5s+*h90(&)CQ
zEfR_N!-IxSSfPCup%b2uM)@XLc+-YybGi&wskEEv&m~2k%Zs*MbSp}EDA0`t@TKr7
zuX7kx=di>>tBo&aEWygcLl?YuR-sgo#-)3qIdHh}9H<#&=wMXqogA*VSe}<E?Mbai
z9HW52Wo{1Y8r3pOyfBV}bDOewH1*URVtbBLA!rb8XH212M}PJm@gSjM@28JH+@%EM
zPOou>0~<`uj&NR;sln4g%{3G?_k3`FCD}(2W#0FUNv4p<#Ob|zIx5~OfA2*sBXGO7
zmgM3n@2wBB7()D)j`3V;bZy<KV|%p~1kRimZR!NMAZ2IXeYY6msfBL6gNR*k28-rZ
zu`0UtmlJkC2@8$7s=%Fm<BP{s<%i~;dOb#W(%W==%L${k6t+Kz?=&IIkGmIZ{ON`3
z4_-GiEkgcF1p;l255cz5n)yDt&)I`Y<O!X?0Ug&EE+U1JmR=AynUea%Nwf-Cu=!q*
z<NL4e7Sw`$fipo?S7d^T`WN?nT|>l-BV_gnh~LU;dEbbZQeCX9nZ|l;lV?~1@Q@vg
zjkXEyq^U?r(&xBUx69pqvk%<!-`!2+v)$GKB*;UAN6LJ5R=UK7i<A2CYvl-!JViUj
zTYk&?sUt-d&^T2KzYE!%!=x=39kbfrpfif^o$*naF~`f6?o-xmVm(2o0a8j&O34Jn
zv_!A9W$9gw9SYXnqp2L63PEWWLJl<)zZ?LJ>FP;eyF$qvnme~?(#Y3U3k-)n$QquA
z<y8htX(BrqJ1zbL|B`4%x2eoZwAeto5mP>jSB*t;!VB9YLgDEyJ-yIdI(Fo?kJQ*Z
zx=V_`aG+$av%%Ik$N3#p5A-2(=b^8dD3}&9<EiybIB`JZE$93mDe#RVvNywg$zR9x
zu)mGhr+ZI0`VC1H?5he}dt}#u)o}=^T~xf1lcXpg;3ODc(q8KtG2#QhW<G-K3mX&P
z6$ZmFsR~R`GIQ~tYJXn`rUEd~Fo7fAsia*LXuI`1pVnw{89M2ysmupU7O!7N@JCyG
zOO;Q+Qlg?T9f8m$Del;Ev1)QILesvzGa|@g^i4i)0EJ)ig(WIxQ2~Sy0$Q-^SB{hG
zKkDC+0v=8Rpe0cdX+3E9q<JwRbg|E@xr+6o*bdOApl?CjA7MYJs5=uJdya?&#kqE&
zRcSdjBTN6#YA8dzPWcF|gL(7w^M0S7Aq#+#H?P18v!9*l;OK2t5O`4)rp|g|P%fM8
za_;6{n=SEGcC{7jqPfOY@vPhM5yG7%PQxBqq?NaG{SlX%Ecj5ESNv6~Q+mg#(s-5r
z4c{H{6i2bkQFj~Lk+0;kVBMN$Yv|hmM`uM=soZC-{f=F?vCC}WBeF7m@r)@JY<S@h
zg8z4Ksq;(P;28~nq4sXqebl<!+wy${Mn~@2qH~D8GzDF@`7IfmICz2uF*!vlp}+P*
z37L6Bb8w*g5nSA5(g`_6VnqMZ8rTX)w0=1B5?I(MOi?wAC1A^R*;P5qr|{3W$Agt+
zfy&WsUA7mU5i?K#EuZnv?rnW<^7=bn@kT|l=or=Lo=QD|b0h)MDR(^Ht5`b>?LcGp
z(?z!YCbf)u);wC8JO2!48XDCsotVS;y5|IHj*sA2^3OQ)##Wo0zKF1mL%g!d<y8e#
z=KBd5tIw%!T$LKP1;NhYi{B|CiCs0ZgQ?Z|kYHQB;3q{ldpoR|&XvgP4s3lp;yA8v
zn@y%cipW5h8Y+Y1_LRy@a~BPbNfcGX``>%WZb0uaP6L+tvjUGoFr8KHWA1WjT@P@o
zzH^uAD=Y%_4}E<%F-jx2iY*YnqpCuxTX56k$!vUG4wKk2bWmGo{lRke9M8bpMck7-
z_jy{7EDvdJt~ofHMit2bI5t6xQGU!PVLKdUeE3Tc#bkgjo<#_V7fZh4q{yJqoz8M#
z-szlm^{NBBBqEG)LkNjg@#X$J7hI2l=28Y~&^6R%DIFJ*0BSH!wxP6*lK7Ne=aljI
z4A{OW-H{NKapzlydhf{^uYPWuc=vG~5-m>#Z$AeU;d`p-Rmohw4Y$T*{FZhJYti(I
z_?|DV)GALykem4XkS*ih^<DYsE(!K|qV0vzqan9o9?-yBH5R^cTVMH9K*{_T%qPuf
zZh1{c_taD^*FU&7(g!+K<J^VZZjt<muE;}Ov3P?&Q0ECgf>36?9(5A{{Ok`dY*i@j
zlLAgY@IdN9N6Pe}<-=5d$D}=|hWWgT&h0b*3>lK!<3M?%9q!uq-EXW}aS^c?$`k(m
zHb{3pyg?}~K<iGA%CNq8KizO-6*AWn0!R=FjwdU%R;K*IxYe&Uk<ow?kXT^%uL$+h
zLi>FDmR9DpVhR59sjMdE(x(Xj^eIzfImMgVTh)R6KARF-+oohOs2EWlzdMBs`AJ}*
z)1NvAD+YO9^CsL;f?GCfurF{uKlMmMyl^FUUc!m%Jp)YftQO=EByj)$H+;OyAQSlS
z;X4D6$s#>FF<mh8;?Kl8SkZZtxWdh|p1S7tt$HAjvf~x)RBOUecJjMalYK`<GC4}y
zp|q%TDTd%qBY_!eMUP3aeYAR9ta@$FeQLz$ZyRiXQB}iG#JatU3PcZ)NQD3*W6%jl
zSh5w7G*qDVbi$__gp3w}!<wP@h19(^uN}ZLvfIl<)_5;E&RKjsh_}p!XQkaZuzhyJ
zT!AJ>C93LSOy*ik9er^ivo|Nf9~+gKB2I30n!GCNkcg9cY)3X3eQG^k$WCcebB|;#
zWfVb-*)vNzd_lT8u-zdeYuvJeALH^Y9sXn>p$r_Wa%D*Grjzc`D91Pe7iIIJU4!>{
zI{#6=p7`KN)3L&3XhZ=0QQfM7>E=wYfFSbQNmA$y*|zujq@9ZK020n;92SOZ_7q4a
z!UKlta08r&%X}fGrBUT;&R@7|g{AjOGL>9s>ti?fx(Bt}5zkI54%4pVm_}$1KUZH3
zGnC9cJ`Md=T32&P$Uddu4DRz_5EHK!Nhhbw9%06H^@Gf?jR48KzQW_d?DM0Pspd4B
z#s;i57>g2e_QUvyS`aci=pKXPqiRpnR4_zCj1-Z_$x!EOo${8i9LCL_Z(rIOab&?o
zWKyAL2vjyUy*T`s=+=g_V@Ih&O}Rl|dVB?HCG9bHI>1*kIqNZK_(SIzsk91`jTvz3
z`TWw4V(=6#@cxpKPj3($p^$(Td<yq6%|wCvZLbbB-DS*4@SPTTl|+)Ca6Y$hzEjH(
zf<FJNjIIcR6?d<Z_fc7{NdQ7o-SLK|`Uzv$HvdQz{a3n^$D(&9LDE5G1r>coRvHfs
z+w<g?1!;1Vdig*>GU$**-en=G$zo32H>Y^s4I+Gth6p$9nO{L(;Ws3^#de}EY-Cum
zw$nVGslvb3NeCJkx|cKAyd~s(E}f4mQ<}j0nKCLIToMns)l!amS(o|Gj2f}zPO)@N
zC7w%XM#Mu#DpOv)!F!TyW`~L@8#b_@%xj=>E8JAprVwv`nan^5oek%X_4P>TL}<fj
zjGOr-#Vs3s^hAvmR?ZqyfeuJU!evkH|FCyjZvtRv){+|<pihNW9_O!xMZ5KuO+D*p
z>umT@$+Q1Gpt=IY%~HU9x|N(8YQ3$>-{J+JaKys#`RZdICRT27V&J{&^#j>>eH^@+
zH(k&n^oec$^N{E%w@9l_c+T9o762TbH!9z?Sp|32)+@!6>^NFZqzpwV4$@Dm-+?Rn
zN(T2jp2F@7mYof2%>RZ@a%Xgm^>(bXp*l6FStF*2ys%~?6Mh(#QKyfP+NMjNyhMIK
zir*l{3Y_kQ8Wo>=S6(N9+0UcH8X-IJV<Il&X7W|tGI;~c<L<1DML9jlH7jxd51kFS
zE_JZ%H#uCrYy}c;4+J+{;`rl;$tb)RfB`uEi_VbwBqE{DZFqi#j@)U{nh$VJn&7Z+
zG~)<zqGKrvh)_4Jh+~#722)TwRXsZ?I!9?S(<%fkyZEm6y)F{+S>N{5Fr3;2a?`AD
z-r7LR;qU1OhF`S$jL<jeUmfQ(yn3L2gR~`HYw>+*dp21|A_>F$fdNmXUyedkRB!i;
zakXp>brzzNx%v`?UYAU@*y&INM_!3FR|VMHDCQEP8GA#0d)*KO=@sCd<x8*6@KbUV
zz%|wXPF71Z3wp&^1Hh@YXwUkU%d3!$Vf%N{+@p=fQ*+Dh1NxaYdjo}W{;YR3B8F`V
zwtUX%nQ)#*b5S`B4~_}fnfBvN7!iEw(>DyUoUAMo<TTWY+;=~1f3y0&WHWZ>hDWw2
zm)JXUgvRYZT5U-?^g*RR4x*j2dGFEG<AQ$mR9<5Cs|F5Wh!^m<>!noy`4p;bl%l7Z
z&-Bx9^nr6c1L3?HoF^pM&bUV@u-g|jR%n#Dx{Qzu2~hFE20qzZf`vugX)@L;zvfIW
zbHJ2+6g#tsiY>ov<p_s%>e=X&Vn-TkL6nfHfIr~iC&o19fWkScz7>>#4wlAA8u%9&
zoT6;R23ChIX1N;=1(_m&N}fdFQ5g)&a;2mKnCzmQA8-BJh$PUJCphP3gckE<E2cCs
zfv8+52oVPuou`3{Lzd;E?~_VtUm|=13d-9bAK&lHR-R`=^)<c&o_ddZBVFRhBol#k
zRN~WC2ed{mm2aNv%el`oj;SPg^~`TQE5&gWM2YM`dwLdA4aZ(P%~;2=XOaMV3VR^?
z&K&h9Xvb6ck5PVodz5CDk8+CvfM>2FovSQqZvxHSY^(s@n$9sMoqbU^r)C&FG1k0M
zieG6Y1il1M!hLn$G-p*y>tQ`m7fea)(yuxVdWj~;9E6+g*e3|NFn9ZW4Cc)}a{3%b
zUENS;lSlg*EDFJ>G%5tfOJVq8CnU4heFgR%u_qxaLj0&fo4jIy+=o`@9)#POOrE=}
zeO^PYKTmq;CV2Joah0)umO=;3mK$ZEaVl{^Q!S~k2dhsB(>_rz@nf}8`nkzPPfCPW
z1vChqLObYnw=aO;?2LGbahar*h+?dcH@cKX3xbbI5%PP13b5$4Q(3Hu5flP&2jJ+u
zNHfutk5oked6vQajo_7>Kze6-2fg9p40K(-hByqL3XobynxBwh4r_mBO<sS-X8a{1
zXW=#cZSA6}GGt5WfN~8fk&1L|SORnPvX)^Ghh~ZKku)hs16sGK8-zo03(Pg#2aWMq
zf4)oqhL+dH|INz0M%ivLc)p}L&09!jcFo;Me)-JNoVns^dDSXg(-IsN{z+Go_k|Na
z-<QWe!!$$(09jBRX_FDeX_3(u&5m>27{{C~7wr$>@(_JfvTE7++}#(I7tW8)BF7*Z
zm0#7V=>oTyZc;%LCQVdR_aqR4N|7O53-#y2x~xb#lDyUSy_I-mzroo=zZkS6V30v@
z`4+*yb1d6mXsHg5%6!lMhlhtOYw<CH7@#320qh(+6+BKF@A!<Qu~64w!n`hg@*9bU
zlB%dV>-_*pK(@bQlt`L!J1x|X!M)N$IcKnTiJwDt#Ptxu-I9$8@nI0W9;bTh=z6M@
z>AS?6hG_QbMD~^!yc)QN-mTC*V}2Wqodcqi)X<xyQPlSo>nrU*>)w9S$Rw+YsKicJ
z7fpUcX0xOcntKZP?Jh|j1~snPTNYqN%OAIyEU}NGSqc#WDpu$Bboz0u>@`hm*BEDa
zf<=oz%;9?egsz=blU@mUa%utZkStQ5i$N;5xFE8dxlu_ZJ66BtVVk2dEQ}V=K1BV`
zsKks*f?cc?3~h}<48n6$iz4rM2q?h+97=4GQaQM*-y%6I-JvdF&*w6Sjj(1^_yo?m
z{7JT~8jqBbT@G~Uc`W;ef?*&@w)D-7!vP|+Ku)f7d|1^l{leg<JD-)j7Cy|ihp@&q
zh|EcXVfG;(+lakHHIvuoAh;yZ0Q!rY3%hp%#HFVt0C`!n9Y{D}gW(SMN;iMg7b;MA
z$-HT4epL7$Z66_opcTG7NRU_08IqWgKLK(f-wo{gLt#<{wRVfvvZZNGEcA*UkX}?+
zvu=W4=8dM;t)>}o(hQKM78D6_XikR8B6e#Y1q`oV{8f$--v;m;(NU-s_u*Z`Lww?B
zgRYDG^o>`p_`L|DpWzj5L@lKn0A9@80KQ%ER(P?<{t^>9lP|srmL2$f5mimDY1<I(
z1&@`1IDDXxBe#2f6NgjngwR_U`C`*}C*eJj$q>maV$z|!OOD$ZRAN+2mX9vk+n7Cy
zK(^q{<>sn)<s-gt&oV863t?c8q)?Ht9ouwIc6SvnLN-C+eZSNNXEkufI}w9B!rFiO
z<(!omP-2D#?zGTE6n*kT2FoAL1ej0}yf7Ms0^v8RMb2#(UIHF<wp3O-s&)pgd})hj
z=aCoiyD23qo{eVGcoqTTW!k8_WqVT3mWfC)^+#M~MlCeqotzyUx@UO^RnXr#VUO)I
zu$WmoM)0oz7dnebOt4CHijwk|_kYVQQ@?7e3r!0Ykw$WkSOJS|hvkuOAZK=oIt5`%
zW-IKK<?y9XehNTk@JDr#Xo$xW5)mFY4<Mki$sql^&yGo2pW36QHN8pHzEd}bPo%D<
zQ*+qEIC7ptcWh%4hGkQAajzQ^$nij5eGx;+-$ZV-qBE#5WyTPNN(^6%OS=VH``G3;
z_K6ZYB@@;P`B144;vN*CMvsZPXk$(aL>3BxHss*y`>RT+YYrxfef^01#KbR|-R|&Q
zTf^4%Hq86I*f~bG1r%44U3|6)ZtE(-@1}vz%5a6Ucj}ec(|#22<MG>}dX|x>0MHF4
zh9O4`lw#&1U?>qwLuO!EU8}e-K?a{>q!Ejw&%0?7YL_}bj_?hpU8}RpRpUCSe*cmq
zutTkPbodo{`t0;FzW<QpV@Q`MJIJ(i?47Os)=aZq$(!{Y(=vx{`MiRq!SQv;n{w!?
zh8)Y6I)k;sv*}0!FW|};Ss+lm_W7;iIp|*6>6E1|9?TfpZf_Ky6sRA&6f)O#VM)%y
zxAituMjhv~&35rZ1eM1F91a4?oc}_BzGcLvsKRBlz1bw3k)}8EfnOD(L{%5XU+GGJ
z#V=)HrRv{+rTFoP5XIuiRQ={<Y0F7Q0c?}$dR=&B?jJcbW#4%XmW}8WnNT>Q(G|#N
z@w^B?m9fconR(g{Fvx++$)Q2~Da5>!C#0Od<CVPV<JZ$vZ#;n^=j#--(__TK1ht_a
z6DXj4(;Y9%a2$GPeO3E2mq+=}Ig())+@wnWy8@zy|JBb>X(ileC=<t8*GpXPF?H{S
z17A)>#GE=ys(<-ka5o(-1SnqNahmBw#l5b;bc}84gDqDyS%A{76y8%(Mw4-!!?lH;
zQS+}AfN<7gu0QzfSnVWXK*Zstd`i;1%oPdLb50Og%+`_7C)guZ2#@zn72yTUQtoch
z41p`bu71d`L7z)*q$e_C`MC5@6x?Q+q<mY~f4-koP0gX0=QHXl?io9&e?Y(joN`ur
z|B;6>-px#J^4~t%_4ket-F-yt0TQ*Zt&<LIBXGJ=4Tx{ZA3K$zp!0@lzru3-h&$&Z
zTz`v8hNeb_UGDXsK%;0*$@|1(cn;`!ON(GbwMH%j+y5Brs+EsXT4r2=nb0uULjSNC
z`#~w-X7H@TJE8`Be33(F7r~u-)h06FO`fC8SSmo=l7qWVW`qDQVsFs;%(1ZssiK3^
z)*ae8PLHKLew|OFte<|QxwQS5iyp#zu1<~psPD-nIf$6%Fq+&ER(PG}u*?Go!-G;Y
zsD0`&IlKv5k9}L-=Z*44#uO;}V99}`n;R^dE>pvXj|8mT<l441<Bv&=H3Ish=kfCx
zqi>EM*5iDMcuL>gXKt=r;SuH>lMJ5mo?{Xi-GLZA{8~V%X{U9{piTt#)dZ%*`Hjaq
z+~vFlS+R>P&<){dwkywRj=@i$1ihwknQHZjc?(sF*w2afSJk8DGQmyJZRKn+2fwC?
z@nG!jZh}SKs3`nFim*b388%O08Y84l=SDG5Oo6YW6PPOH)=MxI;sCEz&z@KbqXdV>
z!`NL^`AgBefR_td@K@!e+q#LpW7{13-HgWquDjM`_1@C+G)#y|L2iBo>iO4V9)P`u
z{OY+#VDj{-k3K|HM>z8h6tTa&Xh(OlLpPP=^ka?{k;Qn_^Ns+9Mupex1;gCL@!dl(
z*6j^D{5-*~;p?wc>-H35mM7;k_{R|B;2d2XLX93wm|w@qHNMqR0w#2Yv`USRBTYFN
zsEzpasOu4+GMOm4oL0C6pNR@ppdwp5BS%O3vENo0QJ#KJdS~JBVdu+YHcnB!DiJGm
z3s!s&WiaIW6K(`fsCX|F-dx|(ly&;UHd>JfV(mp;sh?0^i_<n94G;2VlYJL*IHx*v
zbuCJwo9wC0=-O;#zr4%6j~G<9RZY&T2F)Ht4I->2MXwau5Uu?;DF~x|3`c?UM<KPC
z7#d(0^Rx_+aZCCKb10N{jKkXo$&w#EqjPuo$WC~(>m?;0K!J|x{Jzg`6&9fHDNX5t
zqJ0&=oFl6mXwU8CD*^i7%DP8z|LaQ^!{>=4d=BtZ+^It8AG0-~mne?g+-Qbp#Yiei
z5w`*dbWtNMQ1bszTfa1MeY|EOXXb6%Y}DT5T}FdCXD`||QCa$9VZ2U#vuyM7z@GCY
z*r_y?025c}sfNn%#B4%z?9s|M6U*pnRq!ExB)1-7Yc`#OY3cgQjut~b+sxx9pw~Ut
zDJ3w+l-ig2hPUzCHi)Q@XrRrN8VO-cJH)&}oCNUyb%{&Bn^0(!&7}jRxK&$0s~(g{
zRYE9+44S>d<UHMHmHk~O$hEO>p}SV!3A8a+1qqzushZ`{WMiWi&25{{M?%8M&~vUX
zExQ2xp}e@`l5Bt)Q5wmLTf4)lV_3~q(iqoY@5@i^L{lonCe$@dXjPoWTD2*!gmECs
zaxfqY&qh3+9#eD8lBBi=z2R@;HyB+${-_RiPd3-`_4PmPe9q5J`Zlc>N)!p>grbof
z^5b3WD^xK2j1HKHn>5gk5>oj!c$;R8!Dj)Q(F`B82EZJg?2px&@MK@o>zO&$14`^G
zysgx|>vg@7(2@?g1`Sl!WPI@MqK6G+#4V_03~iCK+2>z;_qU~wp05FtgZGrzx6tKm
zL8{qrV=e+0(rMOP>h;N_Hhp5w2codPXp8-N#r;vy%0LVX5b0rUt_5Az^Nu!XPfp3k
z0o2Gew!22==9#rMMe1NsQQ0&Yz&P4MGi3_YB%qj7?GpM0T0EtzX^W2?-H8{0tP>?|
zG|e&JNEp$8Hq}y=Z7MSeiM&q0n0V3%SlJ&be~+B@9YB?*q+7(&kn6$OFDapL;S=)N
z)oCyfBcgcmGclQM*Pr>Mw0!U8)n3?eSQs2?Kw1hTJ9z%b6Pzw1P?X{jwj=<vrIsM#
z%W-?~ekkPjY99qrx3?a!fOJYT>A3=8=imZrurs@k7g141z=3A!QKKFTr>j>=Pe9JM
zUbGSr!BXCcnlNwU$WR6-?!@`RiWmQrDgahg^R$M&q)Ny$Ma?^m$1Bv<>5??$p~zva
zmIEm3wJ3PfonCHaKb=-vZm^PHHUG@+vCv4bw;<}1t(Nh%GD21TYL#39TE-Fvdlh1d
zf5=?N&TEuA|L#LWzLQYd?kbJn;8!yz){!T;lkR&Z{j(c1Q%~Tr__#OiK2lBy*tl#)
z&6_QfXuVm`H>(vSoi!rt1n<jtveztmxsu!z@0_E@2@+|g^SZbHlu%k!$^Gz2kB=v!
zL-w%V*01uc<Q$hEpNIzl?zmw`ZHA<K7eAIBba~n#Gt-7;Hr4nYN{T2zHgy^(Yx*ve
z4}L(N=ytIqbIjXTK*GyvcgKS9rpB+od?kY6)%N@8@&TFv)mNQVK$cX6aTCGUU@kUC
zpHQrR*+E>j842UX+rlww2WWG7Q}wb(tR7K3jiH3kaw+kEV`q1I0`el`7uooiXo<S?
zRv0zGlhRMJ05FBCFT~|8%!_O81FS24X(vpjxS|=UX}5b(vK(G0T3&a7tjLNtZVZ{c
znaC+uy-m3{JAZs4H@4J8SZQ<nX*<nn7nOIqp+%K6Ozh4zkKhaK5~W~(QR6__Tv=Sq
z9qg(i*OcfnV0cxsp&fYAIn;RFAP7eOJ3c)_jkmp}e<X7Ax9M(pnIo6o4urtzyx((-
z&e2iBN=on0i-kD=Kw!`}f+<TTj)0|*_tn_#u}xI;O|oqJrX$xo16!Hs@|7q$<?tS4
zoh2>HN_}813mjyBae5SvJ>t6kW$__%BmR;-up#gN`F{}TVoe2By?88T%NVc4uKT$O
z^aA#|i!({aY?lRuI&9Ur(EWWyY#;X1RL9_bFjVSaG;HY*UXW&w0!i#qD^PG7BDY;h
zRby0`CSVmI*_HM}K+gGk><rOKXzGpCiqEI#$Ap}^=c$u2*q1hOZBl59Km~6H{zNin
z*%aX`89$<zQu2~M9RHPYg3z<QJ0ZrDc9G4%S~8u8XtWxGg{Bu2iFYQNJL6}-skg=a
zw5VweDU_jBw$zUgmJm^}KksteT47)$)WT$U)ye$vvTlES$CH$GQVSYAI)?~y<eMe4
zSS@o=C_Mf30cW-rk`=w4Yp84v#l$Eyy>k29P=}b1ekp`lo$}_+71u}fn9uI6CfQZD
z(Z)bZ0W~QXHPz}8pgVeG9Wcb8(23TO`pua+XfFNsH>$sY*0{8W01$`Ki!AR;|3V02
zD66ZiJU!_Q-F@I`*54x(-|ZWQRU81dD=Qo=5Wv<lj7t+8qq6)pcm?g$x=}0@fHt+Q
zO471c75XU^qq+lfz+Y%1Qxpp=nEI!qjAaeMTp+tfNW#|PBwcDVU58q3>F(+X7yHeC
z1+VtgA5nJX*Lwl=@n1y6?ALfnP;mWZJXy1D3oF*6Z_8fpX|uFpPG!!Y-%~~#!NqyC
z|3+~7r!v(&F%+-BjnVviF9sMF)w5b@J>ylk%EI?$!w&;RAiW47>1?NzyxMp-x@zAM
zS5P4-Cz!SON3abR1MJ;M`i3<+Ru^V>$~2k^!yqUtBHx)t*{^WM=nY}j;ny8A=WYG+
zZq6(+Fw`xtrgM!tez6g99n@tX@hq18M|_Tqg+O;wow1%QkJHBzh`Lk~$aFPzR<kkb
z$?}lk7eHDbVZ&%r3YA&|7JxpfBMJ5v?``Cy)8cM|?=O>Nh5eY&+Hs#IRT)uW_tSP?
zM{Q&>%PuCWJwhLPV`4UVvk`JdYV~cF^M2NliEcZ3&j|_n%}Hgv@~)Bt9-<8f!AGLS
zVSo(UCOnWj6*Cq2zYP$HjdN(^6IOgy=^#cQOz(FZ-mKqnja9!d|Jh8Y0Q4Q5A&#-T
z{|Z;_zP3_}pPf+zxYY|)(}KHr49x1L3fNif(~n@({9W4~ygUI%b-a@zQl_O}GwH=|
z<*l}NYbJ{@7hAV14%L%RRdIf%Rmt|xq30ppQ$Ml@_A$P&xt<e4NKCq1I!M~-EpW_?
zm`k32F(fy{TXwmyR?@PgU<e>Io-}up*q;N>gJ4YB>t5ZGn=I37h{}D5H$(I7;n)~N
zcvhh+O^EXu^dg}M>=GsbF4rTXJhR=zj-L9)pClH+iLcsGnt+f`o-z7BnlPV9>f*rq
zN}r|`XF1f=r=>hDyBkEUV%5Bjs^NRI{T?p91La0RqYTjjd3537mjpXg=M0BWmMd7k
z{vKlX+&~jyFQjXZDM?}$)0rZkZY^bt=F@t}AT`_0aLk%|S%oGz-cT`}X1VVLVL9aT
zx{mkF40>D*eQglp_dYi*47&>@qT^tAvOM_z%K7XBX>TINg`}PW7D|s@oTwBF-W+7V
z(85Hx<co%F#j*|!DRjV5F7>FmL!X{xyH+6K8S78hEK`$@Zw)cpsnj)Agoz;Pn5m_a
zqblF(_bWu4*nx|lrRM<N6<UIdL#JKJ1-}Oo(+rz#kJ(}uP)31CkXpNqOPpO2^~v;~
zP?@QNo1EJjDKvR<GVY{5`ZdDp3+jd!S<aylgJ8<zwK>=|ID%;WaqMlc!D`<lsit$D
zy3{jL7BY3%MjC-&aHSjVUbtFz`tr$+cT7Zr4;!3~RE2(kIio?2ZvLuPvdi?@$w&tq
zs38S%=Ipo!ry|BNqtZ@ik5!#<illQ()V5QdnaCAWLEnhIHc$&|t<qxsmX)yRXB9CZ
zq4JU4M}t?81u)^(ei@fF1bX!Pwgqq`z6*;CW`dNxrg65&m3+gO>c6g{4*sBQkCeyF
z)Tl^zLp<+-tLPm7Fh(5;hoL{B#2@u1lN1WNUP+HXj&oqT8%QlfBnAa-CwpReehimA
zMEV0Tq+kspa=6H#24~0V(bjyeEfeNw4Kn;a_o5eIMxwT7n*_n~JIYEq-wina2!Sx8
zh!(G1)!iT5x*{H?ZV1|^!(dPwBQ9u{oO@<A;;j~>b4~667)pX_e<dSXy&|kv8>c|R
z>~O+;nL5bal}460ZE0&O(}DT)h?LaFs(7fk>JLGowG3*`SXlAWF>AuD7KGnoW@W9N
zKBCJTconFDG!Yxa&Nl*UN;fM{`qvy3g8~Fry@p$_{*6)~2p}$iqYm}|;S`r3S>piL
z&`{3Pf5nuVR%OLtTuV0t9+~PGJE0Najj8#C>Q{#51(PJ@RJcF32}LHL-=w#F+4+1r
z;M<kBfmwpQ467EjIM9_MDNz=zf~K@ii(Z%hBV&E^_vQ{e{83b!<F(-9O?f052x#`-
zc;oyrpow#wrBkvN8=-;1LHw{ST|A$6t@+&PW83#}>F4J?=cU-=_#K%hppz+D`}zPY
zy8c%qj7n)a;SMdV+!ggAS`a9Nhzy~M-Fvr6ErX;an>QODK1Sd=lb8}N21VhBBA8Wg
zX7+jAvP;|ts4A%3ukfok^4%ignOHCX9LB(FsG5iZ%1EqaTp0;F0X7Y2&%ByAP*QI0
z%mOSuC_+Sn`uo|TW|yGXaeW4BJXUp39gkilmvh*PR+Yn%x)@62tiQj}--#UeKk`4S
z0(#DVet{~(n3b8?(yAE<0WyAK2RR(%$F5FZvw$pMPZg016FDY4^guLD-Rt2NY(J^1
z-k?bd@3ELQHA0e1vHnXBaSW<0d6Jd}VL3K%<1IYRjcnH;7x$IEG)IO0m+}A33V^G=
zYH3C`eu{rco2*!?{@$(!q|z_!`8D0|-VzCr{Qi}2)gd&qjVU50>3zMciaNXS4)XSE
z{$lS>{taR@iZT|;d+L{KS#)>u&G{zlrt+1;DzfG4i9(qT<2d($4SzpY-}UBj)y(ro
z4!6B;=wmbU;%tQsg`Q+e>IS98%nj3VM=n`L>lah_*taf)>(^Y-ip$6B*0$NmkxDD#
zR5_eRW-nf9tTCKbHRuTu06YazNiL}FR-35~NZ&jHVMPREQ!`SsU{Ou$HlM6FA-WXZ
zOER0yr>N&$iA3fAI{Kuce&P<unnGAxa(jd9H)KPqB?wkeuobyp2MZy5ru;nFjilA!
zFhp?xlS!J2e6zhJ%G^m~junM)Q6iOnM$ez+TSP7@Cs0Gga6h=aY3<Xg9@d^A$u7<A
z?G(R*a=CxjA}aGCvd=8EvITtAyPm4S90(%_{wkJxyX8}Mey@aJwmBqj1QTvg_a5@b
zr1z}lZ*V4{{dL8h1{tBm_SsRq9Nu4Z@@#$#T+1r<(7U97%Wb`IluU|F?8__-=ykI{
z8?ok}8iV`Ha8cG#ms$W1qqbL(sd|@C#7B#p{;!|Qe67}9&q01r0chc+l0*w91466z
z8*tjH1L<Yuo(u2&4WAWv6KA~3j_q5kz<?BDtZ$zOSZ+TC)?F#FGZ>5Uc|+o>(w-@V
z;0|q=+;wxxYUm9$n4F)jx^tI0^%o=#U@t2qv!Vr=O9I<3)ac1l$?6KY7j)QPMIN?!
zYoH27i@JY$<i;xCUu(HjRzg+%>}^&+(TUPeA{bmQV2elD4Q&drww^{%bGrsVn@gUp
zUZy)I!_UJu&pHN;w%h4Y3y^*AoGB9Beew(bsQiHn;M|BD_u$XI67cA>e@<b`C~qqZ
z&f}aK5jLq_z1J(69SX0WJUQ=z%#CI=DpO;FdSA;l3#__hu>J3G9nQNEs>f>I;(y#b
zTS*H$sa3L6$f0iM%SN@26bU7)pZ3h?02(kweB2A!6dyftjG>N;x(RQu<dB0%a%w`#
zY*+jctKJ$DYnz-Axrm=zc*WL0T@^yBS^UpLRxwjx&JcbIB@2yQwW<;@9D@usU~tlX
zx$>>N8t-c76rp96z2LeR7zjci*6Za{q+xh=11I<F3CDXFV9Lj;#OCwhGj@iNG{%xU
zfPeLDv|N;ru=(XCzh1!98D1IO71$=lS!%ctxy^Rr+FUK7Gx$QsP1yIeH-X7gIm;!b
z>$na!NvE?DDoYxZR-XLOF$A>EerU`c`G`Pm^WIs%fYy2HwJ;TJb%`T)F&qfd-wr~(
z6ht|^@6I2zanZmPYO*IP2qccWnhyvhETf@~7`=vo*dOr~+tVjuTK1+Zk@<StS0Yei
zKS&C!-ijp$F8NvIk-(T+Z({cN<UVR1Pwl{|*4Ruv%_oV-JnW8Xq<{29F6YbLnGn;8
zu{)I4D8_|dNZ=2ja?G?6VRf@!9&YPrSWZHiM1VP=B1gJ?*Ywyx>&ILaK~yrqA*#qB
zd=|Wv$Lu}oi{;B6n6}XMEX);o|7jRzywv0WYG$fDLw~i~Zwg<u{=eIbsY^oX+n=7&
zgucuDFvG;ZH+yfnEPx0RUYyk2=|}WGS?zBVeRmAbqE<cXSWLV35)BlkHAp^5qKRY;
zk?QW0W9Tt43+VA^q9U|YuyMOO-VCk^3Oo#g>Z@B<;r5HmD+fPdyHJRYsq6@reim9G
z0U>k<YAF9r574O*PWStXTNgk)O_awF!|#fZ;lBu0M=;iaQ~o*^L`rJYpaRFlMe^Qi
z52C}2L>OFHuHHILZ$ponhX~l1T&qHcXW2u612P}I6`(IOb?F22(Mw!I*pFC%MW>Wm
z+R#}p2Kz|n;!yvfXww~)nhn4Yl7^7xV?VaoS#317_<LS2-KpYp|2Kbkd9~#$)-%yp
z>S?QZlzJD2V3qra9<_Sl9*%Z#*WAW@lpsuu!Je2G-a%Bq{=l<LQXpxMRHXmMhxjfB
zt^^ozIcwlv=QgJjtR4bZ;vz{Ww-LDe&uD3mGRP9W(IrSvXUJX^*7?XKoPkRC{L87G
zZfRRqgn{8URY6M=z@r8w=QT>CKi{Ps_B0?d88oqqi8LObMgno7&4bXlHxlinzb+Iv
z(=Z1kVIeZ~6@^lfaedmv1^P32L&co@cF=Z7+)|9z@mxUnLwYMn*!x1r4)T=FZ|or`
z2HQDwx<D#9Ie@agn_sVKw+^{aoqG`};MpJx_$WUvXaa(;<3DgtJ^^5Z$Dqx*vHMGc
zQml=5=8-keD~DuGcFl}}LyJ2}5J<fXeMSD#Fs#-LhgQE2zu*k+L9NWf>MGf-GG%%9
zyzc$Z_dur+I|xPpW63$$#?+P5Hn>Q+4sPls`ps@9Wcf{grBnj`1NvKRb}|=$`NYWn
zV@&MR+K&{k8+Y+NIccaAx*~JpV^!kYSgk73)&`7SI;FHaS(GUuVhf352c)|J;U`|%
zL#^ot<ZhXoA&3G`uFJNKDDN*D^0&LEB5_}LGRvr6?xAO3olDkR`)jGN%GFM7VJ&0f
zyvWDxIm1oyJ{hVD-aeob?RJqxVc|%PqY_tZC|EyI0=y~Qd)^3&3fkg@*XrPDX+?TW
zLW|sW+OsS>#K}Y9R)5_j=~b;cA5^ZjfjQV?Ns!q2_R^FA&TFaNVWAY7+YJ(zx_pVe
zd$sGUT<k?3k0bzKhgDM>*2~dq!*xMOl-Zgtuk)Ua@T9DL%kzfOdLOCjs<;}MSeC^H
zfsV#YszM{7gc<SxJ*BG@Z#b$L_tbWHjg}bHsui=p4m4@Rqf7U>FHnN23n>BEhU4BW
z-P}bvP6eqr66mWP;{tW+0Bc@<?vCbOU@m@5ebEmVq>E%O9u=kATy5YxnM=9brK3`t
zkV8e8)=-GJs)c~@+P1=*i6>CRXkxNs2;nP#zA>c+3R7W~&UoYc4@I_O#va1e*o{1V
z`O%B9UWgMQj|2Mp<aw?%10Yis1)iQFQt|KAK&$hz4;pIQLvrq<T(qrkYtD1%>iO4N
zWDgE*z_Cu%XuleA)%)GD>X-y@W9cFDzDLqKn?HAs3DrbAH6wGSv~*w+DEh=b#B(`3
zXtNOfb8w&sS4g*4?ct=cJ72Q_t1yST;;=jn9crQM0WhUqY#iLLwdjstM3#I_c*N$Y
z&a(|oJrWP*nyul+%xom@0fmToS1RoX+1IJHDwj_t-n0;kXkLI*Vk-6}=?7=c^w9Pf
zU9uv!^Ep)i4s&KrtvZ;oPzU<|Bz_?~^mYxZ2IN4zt0$LUoiwDlXhEFY8lS$BV%?We
z1~Y$p)3I9WS}8A%8IapNSWHP(yg;ZnMiqL>iw<amH&JsFWo(K-yoq51K#e=~GA@T&
zp?=tbBR1I*D9P}j2X_+I9OL41D94CuvPLh+tJbK3NpA5YB8$_AN6s;$0E8u!sClk%
z@HFbRaO-$%vr|2l!^P^N3kv;{C=dc$<IZf!=5D*p#SR)(6=(F0Ixouk@5ZPM3>hA1
zQ&}!zHB=N1#-B)2xR93`((icI?S?DH)L1$HSkU(5sUQG@hr$03HxCIx`jb}aHX-&|
zLfbF2u*%ao$+*m}7y{*HJQ2f1PjirQ*<PWDRtKoWY6oE8NpLVdR#_28=e-N{_GAae
z$Uc?A*rG>@r8!M$9MG$<3X&G~q(ag*$GriZj#tiAI(tPSjYci##DGMtaN?yey**St
zP!_Xt!O5<rs;u*ek3?GDg=sB(*Jmhu$uzM)N*d{N5sJLWIxN!Kzo}9FV|+G8%999a
zp&DRrFkyGq-9QaGH6$UF?1xLbN;U9Ou#b!gKA`xVwdj@H2&N(9Mgdq5B+f2qh=_qY
zD-*XOPFviQ47`$2`QQ<+tb3Co8<GNlHJF#4cfteig_~UGYbjK<*fn>bSSNSmDH>`n
z94%~Dyo5C&o!dYHrHNLjqVQL0UB=yd5!xlP2ggBz8uk@?r!a9iSXr|0iJT`9Q+MKg
zYF6gkba?OXQ!HV}#8@3QTU6&5OMG%^IIeIrVi8B3fse<Om3%e-nu5MtB{@gP7&Ikz
z163wDo#CoD8TA`^>b;V3DNzyUy)FmI&k^*F*)WteH1uguYi-DuGj7zuFO<_1{9wFI
zm3KB@r<c;$)>*Z(B?m|a@w49O&CtrH=W`D2))O&3p&IQ1M6vYKONqN!sjYu{G1CLR
zu`x<0Zj+kn?H@24Y}v_-)!nuE4531*LOV|{%;oz5bfEgCM{mCEEu{VO7muCKq2M_W
zqsk=Au<G}xtP?bD0Nz}AbqKig8>~*dBXmdEes~ex2f|Fh?+A)-tr0er_n-YoS5Bi9
zlK@FDI<&~N2=WI=a&0e(FMZ?(f)cBvu$8Zf<&N~=Kj%fa3OwH**ZN)6?$__0VRjep
z`|M!Wh~`Nr(cwDyZUw89cLR+N3Y)WVEk{&t^8aX_IeTI1jK_V}x@PQS>ll{I5RqG)
z+;C9D0Z<dta0+^}*$qP=^PJ+LSdW_XsBrSbV3V@g4r;g+1Y9*61(P`4KOeW+BWn*3
z7LWQ~#DQKQI>&$ukc*fN;oj$Z2{f}~pVg&@J^Vigf+96Nv|u^6STE5h5Y)7uE8$rU
zFoIqmv=Gp&#a5NFOD%V|>{)jr<<)5tzq@r4qC7MSi;$#aBUaS-9p5ldm8mr1{BAI%
z#y0JhcTlq!;W?oSoWxjFFNO7fvsRBEe|v<TW((b)H#O4^zTC}y?$^F|uW@!HLp<k*
z<}9@bKw>r3En(Plv}rd?U?tg}<F2mo?>SCD__fzJE+Mt1uyQpH!*)esv@4*g`D}su
z*&1u-Z`{~r#x81$x!O;ElGcwU@I-n?nYTL<7(<4RE;+S5LEw7VrjX{<v8yY-!Uu3m
z9k2L(JMj?wh_l-)+D7VYNhpFw<z3t-19~TiC@lp1@d^~RB1Eeq(<ZRmnrNlnzq@r}
z#M(x>4TIj3#@NfBS4@wtbC_12hA9qyhdF|E@n27>%ZWyFe!jXlZ(|!6UH!P85OxU5
zmzWYitE$404bla;O@VOC+4&NrDGWr=v<5+Jz*j%d$XgePhzt;5YP*}gbP>+KnER)B
zMi+Yfc{{VPD=6Mt4)ZRdJ}h5%_`=tMf@k`AS`o|lp)&Gy4R^f$)+8)sfp>+re6khO
zSGLvBdM<8s;Qba+1AG+*W)hL8m))V}O!y36p+4O`z~yDFb8xt}Y-n*!dCkzBD9W^E
z&1~z_dx7FhKpl^qYs%sPL^Zv150!gp?^7!#yD<Gn&4ve=^eVGXCuuxgjm`KDZe)im
z3`yyrD%tyt9{Xr`@WGMutw3gdA%|=YPd2Qo@NL-_Q?mWtdRzM7{OxA}duHbogoud?
z?uW~P2m{d2VuecTH2*k=X`<ho!dI8Jnk-*!yIl>g7zBQiM}<i!S7;mOz}8De+i^b-
zzDdFsf6!){vEI-j2a6p>({{M@b+{+a9lNdw^SdWfuE5j*hGj%Q(~iQ|uD3{}u(o({
zhdfbL<}tc~>wo72PPxX)mbEJ8*oD^qP4Z%=;NQxJLZMBfcz&J!$a;3^kKgq33mJTF
zwn<@|PZKaXGI$D$C7&S9Wd;GvNlL$X-+Pr!TV*J3w*rq8#$}#spT@M|1A-;V8zW%!
z_18D@O@jy>NwjM(3t#VS`1wz&kzc<k$3)#=sZcT%V#<NXeFaKUDt<*zkf$#!jKZep
z_I_-Vn)x`PJ^{t`y5bg854K;yI8zI2%kdmn{9#qC+WzJ5YfQxujHD>iPn^Z+*;S5;
z+AQL%!c=wyc(PTO9X6P)9RJm@M)dE%ijAL<U({kz2TtN@B#6DeuBL_Zy45>p3X6Ue
zNPP>o8m%FeWlOrxkCdGFtYd(LBfqM2OlCdXPJfFpuaB400*1%8td#5!wxJ~2mcJ)R
z>iZ}aQm<i++}&$SzBUI)(h;;|HVz(I;S5~dk4|jQ`doaRN0fgv>U%29Pi_i~vrOlk
z?4|j-84Qe|Vi_;t@MO;$D=7_tz?ywj5Su0G5@(`(Sersszl^eTn7wN34o9|(f|qe6
z4H?;##UGc7QpH&{TjB6fAoI#S+*q^d)(4jWCY*QjVdhYty`DrAd8xT$YLgO%TD_<R
z!QqyCyxfPd@IggB47qjj2|<-L6r0i9#2IOEgiQl>Ie5L;eE6DB+ViLZ<f4?nDMESM
zLR_aE#()M*ZS`ZN;Wxd%;Eu!5=g|dUyw6$?TADgPUs@N8k)2r-2L>CSwyfR;AMZ|z
zvBd9f<^PHMZvWC{-G2~Ho7R5PH>Ll5zg3^*VfV0wu4UbL-hz7feiau%(xQ<zK+UVS
ztaS-27Wtsa;OGBK93XJu{;X()8o;#P3Ygi(zq(yTzm$8}+@oq=N6NQ|iZno^K<pSw
zg%TR6qwz6$nzp-WD6frn53zbE0A&8iQgB*!M&eVBlXxNILRN_aHSEBIm_4Z@Mfn8W
znyMhvPesKv1zgQuW~l5Q3%8QJSZ7UE{mPMla02wmLk#@x#P0|B{#+RNXl{bt46=2G
zo8nY|X6s6|`j=xB>A<!akaCS6@h!kfQFwf@gQL>$tRgJ0vEIX|EHKANe)^XWY6zy$
zZ0^WaAZW>?htyG;3pF;?02JdeO{Qj%TzXr$rZ>yN`ccY>U=wlHghiDu0qS_GorFE*
zRW#va4TPneJW<{F$e+W<cjYWq8D6P*0taG5jv7Vo$%^x&&3=m6LKk}h(dV{L-QGQ2
zo^2!)TJ}iPW1Eso+)K5g9#pLiJmMM4D;3mht)wkU{zF74)C{YzDxvl<E|VF)Q;QAO
zESEs>!!F)XQ2SL`-oxj@`xe*31L_mG`uYz8Avgea0OUxghqz4isF`hQ)0?ALT$8SU
z&|p_5$&_srCkq0>`=W1>;niYk8la+YSqSHnMHWlXI8gA7OWKiauw{&8E7XdJNyqPI
zXT;;n<%Kl6k=1NgfUU6^A&efGWuziz(sRJoqY7<&yH%9jeX&Cx4o2S<Y0s6N>cRI_
z*E&RaN%LXwDW>KU{m>z^2@8V1dA|!_lw__jZcbMPK6SLV>?k1jLNy(ThidyLCz9l;
zA1S~#W%4n5u7&9t;f9%XAb`L4bYvhLL6n)d;vE;s2Fz@TK>LeQ?!IZxl%p{oPe=Q8
z{NLCZgnjZrU;`+S>DD2HgwG;=)_8r80p6Iu^5|I>gfdmEgKixKrkTz%1!s^pWJ82U
zV>C_%jiEW#rSCtelM*az1{GPY@o)IlF(!TN^^(-K`o_=H+`o<&?_rf}f^gv*E+suJ
z{bIQs0%Gt9k#@npta<P=g?Usr<b33KvB^Q{^EcaDx3aF`4klOQY@*f19N`9oBl>yk
zlr(a?NxFE#GMUvukuqgtJ4K4#)nlZH{(BBIfjuIIUfpSA`saicNOIh(?E1WNCk2fL
z8FQKpAzMx$^l2yB>*0|}(S%YP(`#_KC65Q`K0x=m)1WW8$m`adxC7Z+ZY*XdK?0x9
ze{e{Te+9O+#82ESuaOY@796WGx`I9zjM3|+w(R2RsPo)>q7<1*0&69~VO^4LwWn^s
zqClh>PpYUWoSJ)<F@EdAF2LW^QvHO`MJ%@9&A9(k`T$^HIMH&XTOGYSH7f01?Q?r;
z=6_G8XBs}JQGBCF3VN%FRoL$gfh#s;#CC6GA`9w|<&Yu=aFhi%7}N+hR@7|9NFJ08
zp7nJGcux4Wz*|dqF6}?IJ3;2s?pC4lwD-4D*3nRzQH4-9D`s6~VJkxyNv5BV>1g8!
zpj{;NNMKlE7(F|m^@(fe$a0Vq$``23&yPhxtF^84B<7-p`n5)}h<^XwvnS<_NTjpW
zO$wxAqT@1)eOJ(?e6zEQkVZ=IA#!Z)V}nCprlRNCc*IL4q&YreDqj}^G%iWcaO(1K
z$5vU{-_~R#nedZ?6q$*Zvvi2h;I;Lixp}EJS+ewTtaMDMg$8TUovE>L2tMwY-nP^W
zg!sj2%SZATFenvFaMcgEYp{2p&Ju2o&ss#aDB1;=At2OuFOZ*akVwd7=cn6~jojI7
zv<DrOUNbBumHcp}kY&prCFGbP3$h2ecEu(12Tg|l%XzS=ShHvrL-{sa`SgU^9HmHr
zE3MDqF=`{D0eyql`olsa!38dNA2hiU*nKl5^<2z1$p5w0xG#_hz)Va>hULeU<*_$T
zd@xfkVlX=AnB+YnZNrY^j%Qo~kYPXIt3YSSYeEg=`dM)`5ClZka$pMr=_IOelv^*a
zai32j^eOVR;mvkt7|>Z&$ev+4XDh@X#tzSThEn{B#3u=iL~Q`5MCU%fx&q|r9~yIb
zs$xJ0YOX>`@yR_Fk!Jtn)DB*x|Cu;_31|3BHpzu$C2q-Ph1aMkwNOobI=X6O&eq?v
z_)_5pft!!^4h=>on1r<iAWsMPB8$Rw!f^=?UTGB(q_htW{|db=y`CcC{eY)y-A=wv
z!UJES);=|cp1gt|1JeZ5y?V{_e<_iIEm*QXTgs{s=1o%JrJ?-np^Q(B^y~TuVzU?m
z-;>@U@g@jzNt%P|1Pv15QRy;|Yn}vG;ABE-Hk|QJJ62-JKNH!6h<_?zE`CJWc}Op~
zC2cnYLqV{+8K|8>__l3M$;;uXpV92h9A|_wkaFutm%QyceKN0dUVQtRyi2710!&e-
zV>s5{6}~Q7m5cI*rfZG+Rk0WTA;Z^L1(=xRelP)kQErp(p0@#T@&>;*&IrU5;%8pX
z)ZH~WePn^D?D*Y&qt5NKLCe_dR-6W=5eL<rMCD5bi^KCb=EoZ0v^-NOr40!*02sB|
z7bEG(vq1>`)i_yY&4wB*osmeOMam$9aP0}{fE1*1dxQjWW#NGC`<hg1%F#F)q&D`7
z85Z%H0KA%_x1X5qJef?(9SXg$)V)%w^T_IQXg3%9<GptPe1r(#ciC`F7jFY6O|g0#
z>Sb*P5JpBoN`VO}8XX5=^uRuFRhIH_`A(>b2oY3n<@Jx{NoaN6p|CKc2cnIf5u0F{
zAV>#&0+qys?B;kSuy0dK^td0kP-9K1-oa$Q_Igf2m^OiiAtZU4!_+jfV+(zMI>($+
z4Wa@<&UQMu_HsTW-AEjd8k|JDD;BFjk_FuUwQjj8eQb5AwyYjzVO#o)G$4L!`|DFh
zZ~TOhht20pByL%Gq@+oz->ZVct$jg>jQtr8U(-30Y$`#)Z-?bTtigD`|5RN^uMuG1
zFR}xgTFDBT)TK6_3{%~4qewQf{Zuh~<Rb|X-yeqQ{}fy_o9W*4RK=%PFJ<Ou`V%Fn
zLdJ?(<ejg`CX(6Z`LA2A`Kn<STN-KPZNv3F_nv6c0^pxhX2w$j?`PlI^Lo;!=r83x
z>ie)y`ZktO<xb@iSLsG@8f>v)o)a+nn!PgrM=Q<-S-F51q093|{HT*Z1C67H;(1Hw
z%M@)gh=K6)-BOMc&GxG!>Ncg63{*NQ!+E)$cY!dAPY!`O8o%Hc4{B|+HfSHzutD@&
z)6UcSyzo^!($_{VFbD*Tj%*ULTT|0E)$JtH8Nw)Oh%3wb_9(>vNyd8D0ykDFOVkD5
znjer`|GF6vtTPcO9NELf_5Di-khGeK{&%egJ%k@C1ckVce{;1W_Y{*BKAL)7WpZ}x
z7<iVT1Mp=scZYh6%qcH6;!nB=3nG{l7@_ZDOMS9i0P9plV0k`GZX1$LYg=|K{op*<
z2F*#v3uT?ZJLy1L_5k$s+F6gn(aN_OGDRATZjjR+saE&!RCSkWFOR*YbqP~7dh~mG
zK-6PMJ(aAhR56PSK=gRW94H!PYB^E-T%*t^q4<cz!{T@Y3WQjNz<+%;O6}h6x-_Y%
zO+!Os`ewIP&Jhx&%6StM8?t*>bvHvTXpH7X8gY`D;9@b49L<H9S3T4_XzZl{%~*v7
z45-I>`zb|b52~_C%QXx$sPPUzX9U!V=V9U3IK%4lKlHW|x|eT|wswrfdcgJCO>dMd
z#l+*7uXE=DX%ZrZpS%zRzue9P$%&AxYUjg8qlRkB1OP0TBH!OF;dX`=%vmfCiB)Ai
z)$PuIn`txFhc%<l<d--en}0mIDbxMUvkp1>3T-xA)I02zkxvQ-WWpR=j1M=2i`||$
zw?<0ATC_@k^z)-mYkk|%A6|&RBPZ~&|F0)~UNoaO{}}B6WGBf9I*=**AO-2oRL`b>
zK<b!&6fjT&HU_-li9;rgPv15KxYzkg>^tsN=JmDumi*)ik-3NfkM4H65U9;L3rR5V
zQ#dvfNci1Z$}&r#;UC9TRNwOtHN43$SoSJ3KW$i4cA4+;>Jhs@JWHLq+|r~Z5+<#Y
zv;5|9hB1`TZSuA7N0#Nb-Av<7<>MH!<G-voHS|}#g3u1?p+)g@IGpy@5}{1n?z5Qw
z4QFed4VDR?u+CAIPF>~J^@X_(Wo0P8{`x57Q!03ZFYNlZr-%=NV?}{fon&Ds+o_#P
zFB~WP5J;iMe-D#&)hCj0mAq@%v`<jYhqYel$-weplqYmh$`EuSLFcKJlkmy_Hv3~t
zf`JoWLsH7rPs9fTH8HFNu2n-E(64uOy=E*hj*%`;$b<9N!uEF+G}0fD8TBdDjU@<G
zy=J$3PS8!2bz&h`R6jN_y&|vx>64#yc>G5n`W|@qM8F60%BE0T)$FKrBdCYBg-{1o
zcu=T;Dbyk;TQS#fD-5uIgrp%Nh;&EDiERn*irH#JmLZ=Ry%hArE;XS>c@<3BswGHn
zf+1({C;qDP(iDWYoLh8|fe>b2zn~_0OA+n7cZ#Yu+79)S*=EX7m{sMzHt_y@yCd%y
zJWkd1L8MMsHW5)TjvzlKp?{ThEZeqcC#1dnYb_l=iTy*4i2U<ZzaT(7?Z@N9dM&J_
zhsI+K9bcAoPEVV*7sfoiWON<&Q)2tJu=_{R3B^RY<~=HpZ;~eW=LtU6<>k+yr5jAP
zhpkQ~+{o%;-t&2;clzQ&ceRUrHKMml9mk}u3{!)qpTkie$O2lrLpb4)QR%{j*kqD$
z^*FtUB$r|+9*7tPN_gDMA`v8F>}mcK+WT#Sfo8yWq%}3KS-Axw%*4{-y-qFSYLx1D
z-bCh1<PUe}to@lE2Ft=@8k5volQKv}-T-}!dyS`Pi&L$DMccM7iY*V>tbBKHZB2>1
zZbPB@@6d%{UIaNW>u**qF}(P4k`04HbdhMyxfz|8qlq3Cq&zrIP6W+_CZZY{>qvxV
z7550=D^I8y7aDJ`9m_%*jGHO(yWq|%7bZ_$OBo$hhY|ONwtORvr6bL*#Q$O6*D<0I
z<H>&OF1vK!4SF9c^yZiYwzcV>?3>!=b=kr{>c9JbUb{DI@+VV}JwmGPZ_BUSe^#8U
zKXOx!nqrOJxg=OP129KL_<sP}zTQ|`b=H=<=ZFB*AG@tb<8igs7PYSH)qaz;=fyI{
zf^GWCmYw?itYk-EMG#X1r}L>QASei@BGq*O<SbnCEeVD(e)LkVID5Dq2pYrc>RLV>
z%D-N9u~JT8ZMj1{Q|Yx|f>@38kDTdVqr0eBfJT*rdEUQpKUoJNCbGH?u6b$N^Kx#9
zFB}2uN$7?`Ma}FHw?NUJvHI1QG`i_&ezpSU>49RKy8q(Wk2|>8Q{_`3%T@ki#@#HL
zudskCGUK1Dd3e=yJ!O*K(ZT_gD(69{`DpMD7ou+cM0%Ydh0w#ol1*tha7<4Y34Ct?
zRNVX1Q>*iYH>^5E^O)IL;^PGZU*~HP-a!kznA<rt=6bKf9>P58eKIB>LkD2?sN+bt
zX%+`gf9#4vF!OA-6wLXa{%FG>YkMrDb-Uqw-v~_s+@QC4(~H8eFkE?uz#LsydASkq
zg!R>0MXF-V`F9lBj>wjcnB#RM!-(EgPi7<9UzADq+)zX$zRc_~4|7j+6>)IUhs8fP
zm=^CmjCeST_rCb$a2&J*ec}*S3#_#nDEx%@*{Ge(YRn3AkvXtD(tW2wWkULu`i&oo
z%i2AtPMi-&MN8~4N5qbNkBx;<B*K2kM0d&SDv?lDm(ySUHB3NPI;Cn(s}agj0h05q
z5SgRm04dfTye>UO9UvAJb127YPowLd5oLZLI)-C43{ev(G*sB8-M@T9Du>v4%jnYP
zkjA=}xXEWNJtTkov?K(w9JKYkE*A9LL^Al?OqZD{7{ZJ>0mX1~K@@-mtu+TlWUKw%
zP?Ff)nl2U2K4?&rE%DA5O{r729p8Tl&6nHu-P*SvbSeZ2_*+zg*2ahef7+{HR`lf2
z>{jm4(VpV5xzw|{B?R9COv(a5XqW-R0-fY22WFw&toXA$mqmsCOH9t?2!9}+F;sgY
z?y)~I@E_Mbz7*O+(mGRf9GWU{ps@{?HtKm8BKKGnbr9d&^|cV1y4MmB_ZYriM=x%v
zSq!%|1vE9#B6LvCcto2TjGZN=`+t5ohd)hT&9{c2q(&c$KQ}3w7_g{SU*XIuFUgHW
zOIfMgqcfEbcL4EHW_vJJ@=>uGg><OO85wRu&y`P}$@MF2UuwY2m((9ddA2=*{l`-h
zSL8yU1fq#rj+-mTR3U+b>`mO^=_ep&8L6Cdx9|vejDY$*7ej^(Er1qtMr<$3rb@vt
z`bF4a%YmSTLay(n`9U-J2CPzCpBB<r`$LSOw@%Nri#6hgLT)8hlhY?88T$x=)Z}?X
z_d7oR3Cv&LXCq>JJ6t_PW95T!CU{tPbhTR*<}9<VP`))<->;~hEMGB7g;3w%fm4yq
zB#Ms=gd;Qc>HCGlAkT~WF0DMqCqGVf<folzve#Bk`|94GX=(z2N%Z3dSe%(N?bp?D
zu>-wYgr-4wBNHM?7?+H%ayVPu<X>(qfF5(B?)yUeuYNn?)C%p_kKr3&{y0`#s!Ekh
zw$}Y)@@LIL+3j*;c&MgX7bHNs(&>&<uQS=*F%rr%|EjocBManG)m*WB;{%Vp+0F7g
zbSI92Y9y#7VF8jiIXOf9$6%s^<D0*#(>m@2bd@qL8!ZDusAu;m0G*k5BCiN&PE04H
z7~s#bieW7BMtn{FOcR0~v(d-})S*qXlEKH>`=L23hvRT`#PnYdIUiJmF(s#k2a)r>
zDl&YmJlnKBu1uF81~l?cxhaEt`CFr8oq>8qKGb&MWWjr@e+4YBn3<M#3+9MGI69#9
z$!I9JU!mGKfc3fHmOGm#-tw{)6QU*^$co;wSs4(BRhTygkHaZY<e)hn4r{Z>BX2w_
zK`}`5e8ye1U3d=&)bsJAiy-$8U{<op4SAtYK}g#1=2-d*fC||#ma})_9U5-dMvb`~
zjf%cLq?_TUzfsl~&=a&UPItwK87}ob=KhT=G=1fTa6)ZEPSvBn>|~l^7Eg_$GX{MV
zVZ=tzYY$T4d-h3>%by!+r0!IADb<r&v2ZuYH44G&J}7Okv@K#2C;3V%1!+%^DAl3T
z6kxHiVR^5tF}7I6Oq5WdJ*pJSjvLKm^-FPk0uJvjyGB`4BW$E{bDz4_rx%yUsXHWk
zSpxVn!|jOasqI$>-yR{06uhqIRu*)fcF;li=9GI>zGnlV-QEM)s7>vOk}WOL_pXyv
zWSMHlJrqGoA-AI}V2<xmF=Auo93{zth%afzZo2~{w<Q^&FrD=HOdcw&wm-l4j)ie1
zF+{C}6fD}#1JLNjdBng_!|cAj@?wu27W07<q)5*@(t<pY#moZ6y7~+{tzHzof|wJ?
z;9|}-7gmW-(BnLR|5!xjj#2FJcxDS0oV0`Zxtai@RVxS6eb0Gk6DY_tmM|gouCJ5u
zL8HJy1EqdnNU@i<a1nM3dt}2nJNQzU)Jm;vaFo)d&b0|!I*~xu(6SzTYZQb4Jb8<^
zXZ-F`Uqn4Mrzufda-7msMYjjc$rrq<1B}L9-|dq;2wX;s)Jv0XbUW-&+<~&hn9%(6
zyqqDfEf)Bn+eRODjiR?LvGH+@6UeiFU9yfRaMo<6MmM^Z0_`^kf0X(-nIy_s#&^W|
zW^k1bcx4-*>Jp7cu=u^HHX1YanssB42)@!75<O`3Do8vSwax&ea+3(*;pVOonhY3R
zmy*F*Y_GV~Q};Q`5|~aX?Fi%zeNbVLWKb%Y=g0uApfGqpa8a|GQ_wWMA*ae9<3ULu
zL&g++2W-u^nBOy8<$O7YxzpV(2XS4wC<KZzWBkenpfs=M0YD<Z-KqG|xaf!A^R<Mw
z)Ku;K-S;TeXz=dspprSx*`c?~FH?t>YJ;hL={y_1nPQnc`KImCWenPF!7Ipbd0t7(
z6nVD91?}yyfc+XubVNfn-gtYcp6CHZFD!>BSu7ifobErxB*|S?%Xm--<dDHSF8Gjj
zQ(Dxz;Yl=F#;L)`k)4)iqtmd&L<lG4M;_V5b>iUOuPj+9wn+NVmBFkl!)MerM+%$Z
z`_rkLJ*i37-ij6fS1>xSxp;9A>sFvOQf44d^HG$TuZLm*6`+BN@(xX2Al!M6`}=qQ
zp$}^l<;FffxkeGBUSwqCV-lk)eH_ltM*!m>^e_kURQUEw{*#ch8~H3Ug#B(G4e@Ud
zi>hn&od34h2-L(w$$QdUpL>=}8QC-jqtsx>O`+Z_Lz9-}W7K#6U3vCbdqJnEgk*U#
z<gU&_EL_T(tsJ;v8093GIrDOz0O7!gs8i1j2`$Nk)oYSyRwVJbN4I9Z4HX^I^1$j9
zeGtm70&SrS2-ex$+FizhpvWhDO8R=2&-w`q{l1I{-_7x)o1te7;Y_n_9eJn-En`nn
zV%ZNg^8NAhE=D{jmc3*a{>=cW>sYw<;%my<G*^pWek7d#%@c9<FYc>0^3&&yI%xTj
zJ_nBvleG~?m<_?6nhiISIl^|Z;HoBbnTXB)MFh_N!R7UZwlR6<5E?RJY+UVCl^pWb
zdEX&!KB=R!-Oqrs!<HlF+4i4skP!$7j@phMx6@a|eH^J`1oFD5tG>brtRwr&6ND*j
zU(1*50Ra+D`S{RQ7_kwnad;<mU4%;h^6ts&cu9n**{jU8<n{~wEWQ{Hr*vzj`GX#x
z08|(6IcM?#4&lEjAKDB|`_ijT7F6RBqWji;jCr%%_z`z!7#=L)#YY{n&3)THE467L
zj`6N`5uO{R%J=N9O|2R`n=MCC_*-co|0hGk3n2XLFN=5J%Otr;D&H*e*hTID9ujQ=
zEvy&7y{7O2hC}cSeTE&-&-H!487-pQb@`4TTeX}LedGP0bOU$KZo0}Gmf9X$$#cz%
zvs@U{09h@&`-rccl;toN#HY>mX8-sQn$HrrX&AlR9NcOVNUOr*r^0_9*yA=~dz;%i
zg;x-mJ%;&V;iDWZnXGYYLr4-CC+K^GE+1e_36H2p+zLDSwHjye#=>>x_Wna6zQXgs
z`v5z9dl4{@a43FriD?p-x)lyhHOI@Q72BaYk&oCY&hy|!tc5U7x)v6pnQ|1{23o1s
zMpW|~2%hg$Li1wi{uS#*`v8E*(Ruk&nNj?}uKic^9+v*5crW|a-bp{}|6=o$_WUev
zeI8Q~j0a~Q)g$v~qK3`pX!ikE_{C?gp>THUE!#=42Z^1-*=1T3)j7PJzCq-;z;bT5
z3F#nk;x5q)&3(p3boXGvY%~=1EO*KA(~nz187ddrvGrI&;1kYkhEvG{`peno+XsE3
z{mrYQ<GGwB+3NM^A^p%uCI;+9@JK<c`+MJ3u8tGSP~fW_gZU-g@f)_EL(xqNt}pue
zBi(azt%4Q38-B(x4BhRP%C)-QP?cf+2tIKMZVTvB!JKCZ*_f77cb1YoQB2JuOtlkR
zvy<8f3xr2SwnnuZAP{6PbMt?-@JHdqivQRL^M-6fdAZtiOp9jS9#Z))t;EU}pIQu(
z*nf$CB$JyQY5xkyD<?HxfjY5?Fl(*%tb_zlikO?}Bg@Eg-aV@^5AUEUN9!`(wLct%
zLLTqXYdp3-6uPDW=@)hG#ok$zkJ4{%)}n*>Bj=bN{HKuZ7P(`4*0{6O^$(fWn}$(j
zXNck+;vgXfd8jD$QA0mbz0^`gzO|B>Fk4xNnKkD*NOC=muZ9}z`?~8{_^(0`Yatu0
zxR0EoPXm(JK&+77fI9^=!zT_enlyB;dLT(vSX0mP0Rr3iC&*aDJzUvu*=$n8p<Uo-
ztvlekBzdMl=QX(adas)9pvFMCPfZUwo)=I{RkFEX<>7SXM$?H|z^U<`th?<<5=F&V
zsx99pj-1<sy@RtGpGt!~y5K~%QBfiH5|=jgSK*(anYHPWeVjKN<6i^W@tJyE=G{{O
z)sT_#b+Gk|_)f1G>0#@&NZu7-AONU-Ok;rN`^?5PRz<ljH)|Hu;CCUw_f0HGR)Qv-
z3p`_uo|g-PAn~FfC#w&DI=qrh%qD#NL`h!?8Ga>q;-lT1Y|76`vl_AUOg0e9y$V)p
ze6%IwC6ucWh<L0RVGc7{cvE<1irc0Ji?*8Dy#cf%MdWaV?w6TBLqXz@C(*~<_V*9X
z-d<Cq1SjOGXJnijM#X_&i~bSNEVA2EA#F<LK=p5IgL?k$M#bV)r5k-tf~sLxZ_$}x
z50AE{ZAizva~=TcJ{dQ;dtIgG+=7LZ(wb*GWMspn?)n!(IPaBOX41a3=Y!~LM8?VR
zt8boIU&CWdeYBSd_V3E?rH=<1HexbpKQmV^6s~{5Pi2G@NB{fwZW`V*O^q5psLYg+
zc3;Bw#Nc|^%H-M>>3pJ@2c`Q$4Z~V_TJc&();koURlDxA1Dl<5?7-#hriziopJ9Lo
zU`D&wnpV>EDgdl^3}+gTKqiXlwkMj7h~FbB!uxqPSJSIiUOIc(713;kQH}JoTS6mB
zM$$U&%TfmPS)iGlr8L>Wb`UeJi*;FI0L^24SIV#ngusBCO99@utZv!_r+gmPl2k5B
z!Dmnh4^|=kL3qHo4nu3bz8q54X6Z-6hgXL)x6>R2)<Qm&q0<>eiB-UF;J;n^{&D65
z!qo-b-&v<T@b2FbuVFoUU?9>(&uTJfu^N|rHDOMGd$k3NX!fz=bswZ0{BJ+w*ie?|
z>rAaUpa~F9PQ1lqZIiaMVwxuJ^w<Vpx;LNAC3Vg<ou&Hna5?<@XZ4<SdamVXGXP4W
zDx+Ff4^18Q8yexSFL(UV(@0+!^muM1=qVuo8pkVHlPrmRSaIr^B@=-u_Vk@(QnaW0
z+a9Uu8z?BMfY_-nXO!pyom!yMu1%aqdVS6XV8rOo6G!A+b-yDy@&T6bT+0&8O+~sc
z2j6H~0E+Oet%8Z%2S_c^Pjs6_!Hv6nIN)B6<CJdbBUVXAXFNY?m>4*uqjOBkUj?<0
zVMmSy%~Mq%m%@iOY45Q33B&dj4)|)C+fz~+7r;|N{bzGa&e3`c<u3I_(V~m#mk~*V
zT9Q1G6A(MqTQ2wTX!Bxu0d<3=5EzWJD9x2Lf=!;Cfo+2rLFmPM$$dmm!TL*3xcsjH
zNv67y-H@8gZ#DDyrNi|lB|7#H%w`w*deugwfD?@p#1SaV*ld=uThtoUfp<2vs8+)!
zqAvCDKF2iSY~<6h+mlBiK_ejqeHfP{n%B6!X5C(CI%1If8yaMlRxjrIaEn=lfe%zG
zC!lUT6Gf%CpqhC~c%n|#{}MS9Iu-8sPg@r{HZcixQDkkEM+^X+?&ywtyNd_~(>d`C
z!1<wm>9Tdq%=h8?C|$(IZ6vVe7S3B(w8O(OXy%M>$QpoMCfeo{7vFqsH%=3u2ZKuf
z89q)Ev`UDr((9hZ)UMOqRfNZPIBRPx<X6Aa3gIE{B_&Oiyr9lW;9`H+nz;ai8<n&J
zf6G$@qDN%CwUDLWWmWFm)A@MsNm%?lv!j6}(J;yw>N=DqxPO?Zxhri3IH4}mBRVzT
zo1_;}G}qJqrt$zntMwf4AQc=PZ#hzfT%@Iuta@fSb|4o(>g~7355~JS9=@O(1=+81
z#CKukv^m&S<m40m(Td)Cp|WuW4_^M8n;FPYfdiqG+aSr31g(vWiB*UC^|QOj?&Y4o
zo%SN`O|HO|+IW|+`LLI#0~RHjq8|?7oO1bFzgk)p!&5&=k)j>^SYSGK2&tX3s=Fqm
zgU2UmFmD^gyDx%94bbP*hI2~^SBlOBdil%aljqxJvHz_>`P~WbKxZ68>^d;|PvYd#
zv7xZOA0PB4!Z=`WOFuXRwz$=om}HGZi}1prhR+fS^TD8!EEJ@ti#kL;nr`m$*LBA=
z@_kooUUQI}263>4-MCJlS|oKhcH>EvP*m2Vy+P+Myi^O~l?_Nkbf~kv!R?M|aS7mw
zbbVUBQ(!YtG>S_b>OkS>WRZgG(f+xI?%>jA*gj!s$}<>mtA2$xOyXduQ=_rZB`CE%
zQ|bw!Jqc2@9#q8J!lkCK{&<dMZ;6?DjeJyq3(~C1P&$yNN4o^Z?8H$@8>CV^Kkj1!
zsnsO34Ew7&0;t+-bw}thK#Mh7uC5oWl^URE@kuebc$z%TzB&e)<)|Dn#JS&n9?hQZ
zdjOJ?jg<=OG6}CB4kP{$xL0UmBIilvvqPm<Kc3HGv2%Iol39^a=+|{nnjrvKF;G&L
z6wB@9_pjg=l3Ehhq~(80?KZR+!qSp?BbG>%^xCxObr-OV327xIu7s1#Co3LCo+7v2
z$ebh!=8wm;b#Rb$qao3~pixS~n^I#B?oqPziV_Rq`p+~(ax5ak^a=o_tC{(>9IiIh
z=Py{icQN8sOiF|XjR+2zkGPGONNyO1uuYO#kDTk5k1);k+BAwZFtzpV^1QdNI*-L`
zXyg!LI1dsY9+_Mg-+!M+N9^q|S2Pd>>3n;vu<?=9mhf%BoR!067t45q9RU+G&Rnl3
zLJ=cov~m=@1}cfN`V_V>f+Z>bKr+GBYYCA~ooLzSvNX^NK&9b~ZUn>OpY55mtf`x8
zieZB27~cY4Ptf8@%-IaPqCIF#tVqy{kpen_gDIKPT$uupZLXUcD;k`5@!_tzicRNm
zt-Pay%pqHV!j|RSP2gQ^yV@2k8)AZwqK0(sE*bSc>WV2g5k(h-J|HX%b<qOq@Kk6R
z#k)25l%bz|4H={}jUX?~$M82j@xdTL@+)w{Dap2csOi{}tUa0fo=O%Qk~wAg14Ar(
zkOm!;AFnwSe_E2g-}LKS$nZ{Z{w-I%)-z)la${HZ=sC0`qLe7~zw=iAb)xL~N8Vy1
zXXTKzsIls!zb_c59GzAi<Eo$8%Ff9Y?Pj}zL!nsv^U|U8)58wc?L-&4OhdN>H+=;}
z>Lz6A1l&}SF}P;(KDez(<Q1Y^_K5nStDrj%_)jw^O-By4Fg1j7HN~GJlje;4b^;jw
zRnEfFS7<_CU<zsVyVmh+z2>gV(2+kECdJ5QSVUb>7;Hv1Nh~5bMbT1bhbpGQAIA~7
zO3DE$tifNW$iqFFsm0E&?DiNhbV(oDAK<`w?#fIG{aL2H8E>4R9&~#gyqQ<)(D|3R
z)qEZ24Qm_k^|A}+e-FI4J#(vY;#JBaa#C^|+QMdpt7ib(nE$klv}|veHAfy6T9z@~
zE7Q=Y$?)p*>k@rvcHu}7YSIkrGdqcG{B=RGu7#wVO)q3D^9QkjoLHOBox(5B*l9Sa
zzy&~?t<;~0E8!smt>|K7Q-QzQ^eS*_@XXRWI_aSSbb^vu>RRsdS!hbaTdmod^WQRU
z)Xjzq2Nj!e;lSdZ2J6`bDPcr|5<@NJ^KC#)u!@_RgWU5kBRlGy*UGw_0O3AlR(B6t
z6>K!{M|N)lQ<joaCAT|bY|G@o@tl!FksC-~;4XY@u?;q=uhPun&-^{fdiY51HTY1@
zRQ;7;x!s>bhA+N1t5Ztpp%EA2+g+maHFZgXF`L;Lf-f~F++fS=k4u5+F1fKE*wQxo
zQ4Jj}&g-LsEnGwO-+raS?+yb<I@WlU4k^Y$5L#^}-|BQMCsbpwymI47OQ>hW`-Hh-
zdjMfnEJ8&D+P}!j#JMRPB4~a5ZFq2&c{3biiuQiB4y+8WtBYY-+>cxaIf&-mYjS|W
zSQI9K=UC|LUSiX@|HVAUauCot|DQTSvh<C_j~)vTwWHnJW?dJztb1|0Dn3@bhDtA&
zH=ingSVOViO?0`^`kfF#@(fL$2c^*t$59E1ZY<0J5a*U6(NoK~(;Nh(8JT@_2=1-d
zBHDpO%-qM=a|8z<!M?;&_44xqo8B(@ElT-CSsn(N^I1wTRZ@td=#fTuX-2aT4DI1V
z>D1u!hUWG7-)f!(+kqB`eJXNpZ+Xg(J2nhCkNfy~XTEg!q$j{l+!i&DQFAW{>~e>D
zvza*#C>-rIrS7q91a-U=7s^Sm>_e`bZ{DTz_<vieP|RQ~r%6v_|MR6>M&3bz342iB
z)L7|*;fxV(%sg?hKWn0nz<-SQ=v?KeD*(c*QR`+lFgQ-E=eAAwCGuVj?^6*sdpXYZ
zx>4J8*-eH&P9sLZi9mSkj?5iCd!y*Gy=J2%-O`Vh(>9E}FTPA&w(s`8tt;0Ke+E&w
zrAW+G-}`D!^u1E`QDqdd{DTd;t+z8Q^J77p=Xrl>cO4B!H28;y8#b7Q*8Xt#lzg&w
zU?W~y9TfjpX4H&hhQD~TCuYwb4Lq^@kwVn%I-R7N1dc571=6#Tr=O<;Gg#hDIeAv*
zWf_BP<=9FHHFZx0`yU9IBXfF#?-lEc1g+xlpPVw5OrM^oXF07PP2ytf<aKZmX&UMT
za(j_PIi-+rKFU4@-HfQ$uRcVC?mr62-*RQ3;Mm~|XB>EnG2aAKdr{4^63~{$QEpL2
zFL1S29%mpxzt=!!1_U>=54-)qfmx90rcxtr?t9&8MAnh#a@={ejt$mr<|Wn4*VxMo
z<7ix&WyPCl6_}T-)f%vh*gbPgKFAlAi&Rx+zAnQu79FTDfDd%Wf=V6aDV*IEIFh9n
zK}fIu>CPIy0@d@Yx*`X}$_`R*=-oW|GQSCTZMGrAccSh&r;lyd6IEZ-Q#c4V=!Rk5
zAu(%83|!T0X9nm=&~M4+dO9x0j0<|g-s*L*kb||5X$LR#Npu!}h7nD4f%AQVx+f{W
zl0QD-%oUV57W@uX8skw1D6A+FZ*AHcxiZ|%O)P~_u>hM+R{p0%^-=9;8^3UT%PsN%
z=@}=u0oSjVrzzD?=Sp@z+I}BW49Y|^XUyLvmg@rCS#b<{OWv{}s#6bZpgIP0@9bvR
zBGX{W&(tbMH1XI{VXVw{6WT1CKF#5YNX+0hrT<ya^frKwf(oC(x4~?9i~e&SDh4g2
zB>%t_>=Df3pw+hs*7%w~s4iwyTo|ry;P;`ysvWVnpdNqBE6t$CAK5)TXVUrV#A<Ur
z_8pv6p1RquqyqJZ@aH((^~EELKaq0i;_hC7UOqRQ*F6e+ip!B!b{~8#RxL;N#x?Gk
z+2|9gSV)&YP*{o`tX&qzAG!uFXTqn&h};XZj20OumhR3%_f1X~IFa=(Z=NiAF#U{`
z7@U5(tP@OSd;K}&?%lbv#xmjFtQDfuZtV{<gei0m#FMb*(bTeEm&Z*5G=nx4&pQ0q
zeg(948K#$U@eUs(_Ehap55n72yYu*GE%-h>D^T6TJ-1<qS>JmlZGS4a4`qTmr44RN
zfkS>XBgHI(B^dNnZRj{RlA>|AH~xX**C4<KaN9*+y*5W9(?`m<a}Nt-9bcEQcWf<F
zB%N8l1#X0%AK$DO=4kbFPiGh9aRtzd$Y`Zm8amsq;o(q0@=*{&tFmq@&W)2EC8od<
zPFyd;L?tKCbk&X4+9CeN!aRsafQDcv6DPV);vzS<=N{9;YpbEGi(P+m?kS=^GR{|V
zkx*fWaM@K#9b{+5abU7E<{nn{B&&(ZNg{<u2~R_LONDz!E3__oXse={_9<7~6gaO#
zf8s`vvyM!`5gdW6J;6gP|3z2vlowX7_L~1Ir$sB`K>QiU=X(ypF?Ioh$e8ExY|{Vo
zA4vC?2TI+Jz5~YzFUuK2UdfDb7Al+^T=RWRUJ1JUD^xL4>-bTfH88PacXuTRW5ATC
zQ3YdcJ}x2-WZ=3q(o{2GvUO(1HlIMF>Kr<Ztap{*=E#vL9@iJ1G@I@*49<cWNd;JL
z^#2AR$cQ-;4HhYOi<*jM;fI7Jli0QweM4R%gptLmirQ4iZ?WswW#@vERNnk(e4e_7
zxBF+oaH63XEPCB$*87-$m$%hM)?^Q=e_%L4kShQinUQfHHM<bWW}u2k^-Ag{6nPV3
zrnI{oGa=dDXbTqM!Z4hi2S^=tI2eu$(Qg^3y({(X>7qycJF=2UZ8);!!4OeY6cd7?
z7-7L5Yhn27NI*}td&OM{AlO<r$>o0BGt<C;j`34cP|-OcjZ{;{-eKe>&Ioz~K%{>j
z|L+oNr>iH!!g&4qypX1^hw7=2#d%@mC-(7LaeG0VV|rHb<x@-`T9f1~>c1%g8*{zg
zInCDcfiK4F{lYmw_?mQAxeM%;kl^j52L){b&J4(_s?#kF2Lev_y-CTo>9QL8%M1Bx
z^B9w{$=AtE^J&n?eoET9_{tUqmWJS3gn)r`i>sgT-^ABSp_@ak#>L0Fd$`2Co+&(v
zqMLf<X*Ns&VX=3O;O#+pGp$G{TpG&z7YQ4_I3aR;0kL6~?W`V5{3`-`VYY{+Wjo2M
zs;(TDJCZBRdRA8cQE!zJ;y(y17r#B^aMkgPduV^p^*FHz=}m}fGT^e-U!2EEth7>k
zyWw6@h!8WYegOi$>l14%*Lwt!l?H{gJRbypVCkJKjj`Kk>;$xHB*aU}{*glpsv^Z?
zKWOg_jQOqXAejbdnF~aCd&6eH`WaC+B7*a&?D){bjQtbXj3URg4B*1?;jY6xl5>oR
z$e7J~-D)(z?UKxJEWb-^SQ#(7B+g;uM9q3{<j`U7zo;h8iNBiBNsJ|>E>5Uq*mA~L
zYF{%na_Hbb21QP3^ke-1QL%<*55$&qBq2fEe6LW(?DU#cIXgTng*=o|g&0A>u1%Kq
z361>Z?)dC4s6Dshp$INufmt^lY&0x|we`@*xkg?&L!&0ye5_!Lep8QvOy)I(H<e)j
z8&#PSlMgA!9>qU)Re~*9M!^(VEFe5bTcyQ+`s^gX-`UI!`z47A>zx4GuRB<5tRb{g
z-pXLUo-2&14K?7G{?;bA(lu0nA8Ipmt^O)av237Nc!|;MT(jJrBOt8rhv~kix_s44
zh4-|j|Jjh*Qxa7^V*NCGm{Kya&Sv6wnZsO1>~bi!XdDR+Dt%aaDS~#KjDOsoeF|bW
zzeJMw>zW@4+!RB09E7_^M~%NpB3cJBFmTDzK_5NU++4`zxsI*>zD*3$El0=dkw*%F
z7t!w~RK+b#2>xCpqLT(qoS4SB=zkM(3i&)WJqT!?>o6aGFcKEZU92!*mx^{~x#XHU
zMObg<rM4%1A7_F3pGK5XUb5``$KKsIF-oVY@->;YxrDfGhP}rliPOY_G%TLzU#rb3
zg}tyS=XTJi4%%<)GM_z*QF(+rvzcn5Bn;0d&J)8Sj(X+c$C(IVFZ)v~Ho06shk89d
zNFk4ALc5n1`xYd9l8HMsUp2|2IS;Y`oKcmU@`Q=Z_R#?x0QDOJnP;DU=lao8<b<_o
z(GGi$0@iwk6Q492A}hUP1>j}Z>@--Ju;B;C<Hd&Z?X|L?T<z=L8V=h|$JPp6An27X
zK`lxJzy2O~y{r3$%}6Ownk0Yo*!-?v0HhR9c0wcOE2mn&?w(b;VK_77zg1<UC|W80
z#kBSQ!yJxi36|ontRP#Z8z(Iq04&uROF6Vdm#*j>#>SH}gZuytvgd=krY2359fK0J
zB_+P>`RVhJ3J-+rq-23d<=Ax$2dFYvPBmL@?FD)w4g%>4liqZnlLRJ&T|zLTfy8FA
zX4ZT59Pva2=gv$frF`D-GMKq1{XBQ%s@54UGYYP&U*tIi5>xD~zGOG%0P(N=`uyH?
zUZL~;&#VVUMo`!FQ=D~ggy84hgdY!Iq+Dt{`L04W-kN6kYRvyP(P;=Kdm{rKOa|vL
zqj{6NwB3dG^(7htz8f<OF-?xHAay%QicXW7GhYj*dZXI8H7yaw4P4%vbP;Jk$AG5G
z=!O_od^aW!^?jKDxrVe2(avM!K#G269cNVIZB3mCE8Zh-L{@8;xd;of()KJHo14c2
z8i@))i<P@0oROM-J6n}RX*p>_E4jy&!kcAlg1_93E4rewcewoxC4QV~>P2!R+az>V
zTijW@iQg9mX|*?p1qvC<V%ZkHW?d6l<DSoOyyz#hQeQ?GcuMqwNH9?cqSB)LER%65
zh=*3J=UxQPTP?2T^$B^&8kxT&+1d|&X`24-&q)ou7=_@DtI77>vmvr12aN^S&jY$(
z29_s^7k!O~O=y!kUeyWS!xkyq@;ZR>CMDq%#;-0qXFxRbp|9!Mn&gW{=XFMTw)IQ5
zHr`jTen$x@yclInD8ZRl=XuD)z$VevVixM5j%{R+^#o3)dX0UlmNNa(h!q|thmNM{
zaM}6~HQE_&QyaV-bWZD9|3HtK@x9?acMnUlH{9!Snd^q5ti)m<&tV|wi~`JuYzn?g
zTmbgA<N@HC@C1KK4stT3SB@z@pDEMTNoKo9Fm>5>#6gv{tP?7W{}f8aP#a)`i%m%~
z2S}<P)>lMl+KvGkxP0oSZ&-`V5H9qc%1wm5b3V$#@@F_THH$)+m^6?q*#wWx%_c;J
zo-b?=GMkQP5xmO36Tr@va)yXZ=BMn=4dz&KXf4Y&?pP#Bc@=S-&Sj>Wy9-*#ZHipt
zYxWVYZ>>Zx%JoT2)<^Hg4rLACE@Vo}elc$$EJY;eE^;6ESvgx9ZKiDozhF?Izbo8%
z@~bIVP6{Pq?8AlwgGxFgi;9Tz1i<z{v_xkfTy(CPVG#055RdP6$QIHzeOxJe4dMsS
z{6?c|UHg=xjknFhE(Pe!z;TmXI|V>&r6G9y`$76bER(Ef4&4cKigznCG{jaVY9yyi
zu$f56`J3-vC9^8TW~XZR8jy+f^nhg~nNM#lQq|x@j82=?12Vge4;MLn2eCSgDYJWB
z?Lgbt7Clta+i$c4GwRU~L3IzDkHGi>xv$Q58u66k`@?r<59O*$3Unp^O;S$$!V;BE
z^=~xdUeKoM?l_A_dsf&8nENuK8#k2-J%7rEdFZ_yBe8Ig>2TMplN(b@m10AP1Cs5l
zdZ5oOZEzwUR6wMY!Fu#sUS}mBYO)C*h_OS{v3*+&TtU_-`{UCJV4KE5j*(Mo9IW$Z
zsj?c=9CR4N?6!RcegPBMQ_<_Kt0<^9U%W3@>MVsnSXO7qJl+Wc4eXXVz=5xG-rDre
zgGuy*1&=0GrFd=R*;0DYA2vhvhmp#-^{Kysb3Z5mt3&-fC8mI1eO3{zDXi`#ye*ma
z)zFpcFL(IM5Vp-;(kU~J2Z#!%<)AUAe^p`ca6bM11zU#P8+a%piM6Pzyz3*3psv+7
zsa%6Ag&Ewkb5=nUmA-M;r?XzNsS3)fT$=EM2KK+zd9n&+1?tKvdGva>PLEF$@Y)<_
zMZ@<G8=O#V)>Aq_ny_rqaCMq5h{SqMlU?n+Ar{K04Qn%TUAh`K+#)6^A$r-+mdFn-
zXXCHK4$b<#@zlQ6yNvZ~P+#=fqS9#NXw^uhY<uEBcdM7?&c?b~fc6yiME~=a>q~wu
zb5)VPAJmo>%bc!X;)~F9N;mS>*mgpeYSm{xN%elFgKy;rh|h&1c0#;iAqjmcV!EUk
zNXi3sx5e8`5$3ml7U^ACLRLn<w!0FNE|96o-tYs&<2_fqE-(k!*M8C61N)&ooG1~I
YgkQu`e(=s4=;BI@5l~;xuzYgIzZd1&VgLXD

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_tx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_tx.v
new file mode 100644
index 0000000000000000000000000000000000000000..03eb4640354b6ec809e830aa29335fb0bd78542d
GIT binary patch
literal 83560
zcmV(jK=!|j6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TX$lKK}92#8z
z<w5lzh|93$TsITP2;V@}4^PjRdQ<Po(;TVOLSywMM%Xs!DxZOM2!|}R=FBco1}Tu4
z$K491&VLk2FyFQm^pCEZ95(rlU)^`Nm#ifw6u;qetqk+YyHEc|os6dOB(9Sw@s^cv
z93~b3;HGz!U+v2mgg>29qS<u2^((L7j^6u+m0w2ZQ`Fhi_lXn7_If2bUTxTzt!;$c
zU#MTG!zm(kX01R~9lQsbzT#;nqmpF%Kqe{wxhXL<-0D8!$i!{ZdhTl7xTn<nt%TgP
z0g89F7V+9ppum+!^|W~(a;EXVaU>OFu1V%TTM17lG@KND;vDy36HZTzS)WQ^KRirc
ziQ>btO0KfDKRv{d;NQh5$LFz&5xe^?^%LGNFq4}=U*PyDPRuUjVkChY*BI2HXLP_V
zHwx7*B`j^oHF66HFdcIFvt|;jl}sDQ3#hAq4`&`qRf^*I5<Dv-{M$IDcj_m*9<#u`
z-)`q=wj9!xbEAGjKOAE)RyIvn6-lGD18M6poCV|r4{_jqC4F(#VK)8Jedim^nmBB_
zNvfAX(-17EtSy}1YS#4ilRx4c3-W0Jx_xHh5_f;BNG*ah>EJRyL_t`+pi{hBv34)X
zhH7+De=$BqOGrzlH%6|MRlt%l+9_yhOiexRSpo5<4k<Q=cfKK7yssjF6xbOPLD$mP
znc9-a5ImMqVpjNwF#8d4!y`ZvIhMyFzDlRCqj6{@_#?-wWM|3<VlW+RQl1-sGk(`l
zHOoyrwd_|M48{zEi4Ir`X7BJ2(g9%`oM0YcW*gIh*{YAbXh64+F?0T*L~so%oR&uU
zp=OwdNwC%+_s2Y^uxQKmpNIoRWx{Yz<@kog^NYqm#%<klem|YxRfk&kJ6urDclpoO
zCtzq`Ux((VU9)C>dB`0%Tb`4<PBju;njJZbwOV-FP4dx5&?x7Pd2rWvCEf<8$QX*n
zC0|&U5aqOh;~1S~k|WM&i*3)nMNI!v4Y9#9Jh?QrMCom8IxTS7Ly&lGG&3zNt4fTI
z^UN;{o1|uwZJOexEpBiHFVQ}!Zz1M*^GOLqbD*pOqnxPyQtkn7q6r6YT~ykQC{)HF
zWo~+ke2FV&i)%X%x6v+A%$(Qe^51IsEeY?dDS!C5;koQX0x-5m2R(}&I+T2D!^%44
z#H)-_`mVCKUk0RbYKF0G(e=3}X4tN^g%M%2%eiH`dYbZeS>Rl-cb}?fBRq0r4eVT>
z75x<6ViEjkLMooitm?jVLA+Ppw-cCLzA1o*x{K>_@G>lddwV;O)ebNaadcvxB$Zvv
z;GuTGB8@dB9Rmjw?e<9nm-9iOD?;QAO2li_CNp@Oh@&V}aJ~x^IB#7`3!gv&B_yp5
zVz42GL#PFJ*-OKJX5*||{Q?+q@IBs${mPm@@-%@6K-h!Q(kY+DF=(6U>yDu|n91dU
zl0D!|O2f;~x=w8P%uetLw}b<XG$%JhWA04$4C)jNhU4d^O8OmotT5WfF$yo_R(avp
zbsRyC#Keuv{amz6&bY%1)&Bck$k1eQqctm5D)o-v1{2j9JpS}}cC)`B6(we%5oIOi
z4_kIWZ!(#Y8ag1ial%U(kWFQRn|`#%D!0Xae`v*Q>osHmc_)JN>d71vmFluJ3@OgJ
zfA&lk_Og-FBy9~oS361|G?<}%cb41x0ZFlkdpK;4c?15vG$2}*FbK<jJ?jZ-{9o8l
zCiv2P(^-TbtjxZQe{4SDH7AaTRR_YN%C<#$R-NFeAv1jUMCN9#P#;FzW-LJ|PjClE
z`<o9U;YrortWCW6j{)!r--<7+`=0Y3?Ri#XdMm&>zGrEc>T3RH;Q4U#%)MBP=p6t-
z!JAK(H5z{Q%&p1r!^{=DFLF|>fE$A6w}OXUWwv66vS!)swh$rr8AR22*ZB8#5UV3i
z`LiNS3}dCyXcocdfj=IGr6>}^mttRYm3XC|v4Ldw)d&&mUoxlQ6kJBvZcCL?4WBA4
zW{*u3STrz#@)bj3AK??ztI&0e<I<(ZBN{_#UJz}Dg!ct1>Mt8=ZY#B<LRVg`=Nh|H
z1>*k^Tev7yIBuoTS^<tzzI?QftE{6H1(uHwv(jxjV3Gk=nximaPMEXzDVQVe*sBg<
zDUgA2OLAg%rTBVtzALx%_*D3!{kV>ZZ`AE=T!i(cUmp;VoV801BevAlOo@Oq84P;g
znLdLI;eF&n)TEA#rKs5_6&dBkF_|I2pW9*^%F*7zW-7%Rh8P&liDWBB^pg^)D;|l*
zjL3s7cH#xgn=C0qvkD3G5y=FUBm}C<Gqcv!MJ;nz%`cKv$hD~ZKFPxBPzHTnDsucc
z)(bx`0?F=;X*75KOh`SOoY~TE70~Zn?=H2$U4qBpZZ$f2`JQCSndu>eN(y~?(sg(1
z<lrIsG9!HI{vq}=DHn(b?x)?*Q0g9F)CtoTz^nufj8FlwItMw-hb+rC9tsLBqXTfe
zAf(?KF#O7CdUhxA-N?bQ_Ph>`Dnr9gILF!^VR-iP6X3jQb)+LRdYX<7G6+9T7k9s_
zvV*Omw0o{sh5<90^M}VTzz#cYE)K)T#)Biu3DFef*M*oI7t*^0u`IZ@p5Sa)SIHkD
ztD6(f?+E@+w5zc6%hQ%9ywgG<%)HpiqsaecF@@jGp~QYFkh2(qgmQlfA4nHVgslTy
zFp3(_0oghs#y~&@y!&D$!h0F2c%vC|W(pzOO7dLwncFZbLOsr9R=EE#Lq5MA>VjZX
z!Tqc26UWH_((5YyQU2G+&A^#vJf*|_`H5|PAUON<j^qmQAR$($Hx+>;ZC(r0#m>4z
z+oc1KR|4L4k=X?kjy+t_$tP>KcOAP(Xc^na(iQ6Khh(WFow*y^=9OSiQ?II*t>{OM
zaFvgT%p4d&u}|_e_Tkx9RWH2#5VO<)MewOND6PHMZ?hpRv2VKHyL#nV$Hh5PCPt4q
zWcrMd<ZYFzqhTMQ(wgn5N0s$bw@M|MN~l<})E3J66uLRxrq@f7DgXny%Xni*QF=+%
zIe)CZXzB*Cy{ObrUS~~|hC01HKNu3TEqcB&Sk@f+R||+javSRLwxrYb)3c+i{4~9;
zfj<A9sDqCgEZ``Nje_#15o3L>%oHIq5GjZ>KrJboe5Y6y*rePR%+N-dkkrk$kgh@;
zk&rdr%-+oyd%iwtGI8MT;gL))eU-MalRRS$=(OEG<9<+PD$VZ98A6h?{~j1_C4t$9
zZ8Cm0{+}d>^G$s8mL(ZxmIj9XXV^VaIB)#U`sR0oW5Rt8I1rb}9vYXfae`keO8s9D
zOxcsRHCx2UG|7dNT0e2}-bgQAQXMM#_Oa%>jP0&2pY;$rayJSg7c1j&H24fU({&kv
zSVL7j@<jQ7bgmxF4ahk`x+a(Rl3jTEl@_<@BvoWua`}kus+I|cDi|-vRMRt8%^Qj3
zAi1v0$xFuD+&M2OhOS(k7HGaZh8VYY6#R}xw3c~(gdvM7t-L5Ipb0p56)!D@7I`pS
zj^b<%iNf61&r!4fYp99MS_JTL^fB>~h%C2mLj?b!3U@#~-*oNLgG#Th>05_hOj=3@
zAWCxHuWg9##H&B)y*wwFBFbxBygYwCkgOjOS{^YuMPiyWt2S1btn(=_zYbIpGJpLa
zO1#Cig&dqy8JGMwBQA7Y3MTJCymjrR3D^#y7jEgAy{2w`BC>=*-<5exiqxo?HE$^?
zJQn#l2^CPPF;u$^b5&T|1Vc>voAQ`4hO<(qk3c8T3icWmEYH_r?$TT0fVRC6H*G|U
zo`6^|B`b)ZF3%p!z{0X_o}tf8$qyKQUp!D$!W(Eb+YpYHC5^%F{zrwM;La`^2A{>M
z&-$G<ribF^h5=zP4VYaHwLJC6(0Y4l;VP#xTUPCj*du=k0r3NhLu=WpEE*&pjI!~#
zu%g5SZ}DHpWgp>mlK1Sd#<BbFbAcQhmD?t@GPZ>pBq|LF;Y`o}JcvvqfeJgIF@L&E
zztdCJGsroxK->>Lr<!l-ch13XIxl!e450Ls4{;FpjMAu<`|{sEJ`dqGM_alA3gvvy
zb%p6UshI=DX!&>?$+w9fO%jFd<l9xztQl?e=>qgSPfCT3PkXz&vL_B_1pTfQJP?ss
zimDXxuYF-f2;Y*{8zxzl-%x=R)d4Cay64s!@neM`nR^>Ax}rR~-{vuFBmo67N}5J+
zf!W5@_H^zJl5nb@iI1~?En%+`pR?p;R{znCBzXbCr$Ro)1pDy1#CPhYz&5@2vFuh}
z7%<LZkEzG<9V9pBR2^B%nJZDTlFNZor`G2vhq4If!Q(`k&z3};8@<^^ED@F_Ucq)!
zNCz^Kw`_h3%^okC33{xj(YSTfnkM|?ui>)p=gOojEIX`L&7~aO#^*{#muvpdT=%r<
z_?(}y?1rQbjS2KbmA!afLS2>rJ!r~%X=!b+#oPQ>k=Mn$MK^&)d0fIhsG^I7n|f2F
z{ye|&bei5qO!^>A$^cVoam0QjeM(_>^^q&LHRit>>Zo}hN9%b?+DuK<lbQVy-$H;N
z3pJ%Rn(|l^uII|DaprItj2j(srj97_oRBUMsePM49IXna6G$K^%}4HCD9S4Nr&K3V
zA%S_hnAY0C$;>2Xgi(0*?8(4#a@9yrTjTh)b~r6oCf<1Yq5oy(_{y(iJcJ$FAav$=
zrFDe8&}k)MS?bfUqsCsLlPsE-fAysl_i0?89Ht1|(sNH0{|pHw*;QFmYDZ&rO<3_o
z5mj%fUU)u3z){>PQy0n4mQG~|h*JDogr;&boH|IQCR-B&0<|l8rO&kv4HHBx-sv-F
z`eRG=rm-@XAq>x;(GY2;^2lM_;ygT#GHAXBRqFaYEf=W-7Pi1^aX21RJ5nC>jQt{Y
zw}QQkvy+~uqHc673Y>SH*!rYDh@159PIqC66#Fn{lGQ@d=820rTIR-IEz_n3?3Ux;
zo=QR!n&L@SM`E)Oj=cqlJO^^Fj<zFoP39O_XC5Ic9^6xIgiL!$YP>?HF<(A(B=r;O
zNq5OPPJ|Lzzs>31^w9T!6a>JYCaChkMV=?tr3WP$h~~3|h&j{ZfltFo#dkI?-j8|W
z@%>%Jd!5GZKn+IknkF6wnsK)Z(wpjqbP7y50!0$=g7>f6TecC*KKSWJx^*B-NM4tF
z73Mw>6h%K|^Lk7RKYvl3dw7`+z}E_0R;{H5tT`=wn{dnN2;d#f2S$?eIU=s-lOZ&J
zx?m#P3gR6dEBOwvffs(=v_r=r`F&6Ngf)JM+~ejX$svUJdQMaBkrXQHx-Y~|=tDj-
zxxBt6ueB>$>U72#HJ4O8nhqH!+xlRtrQ^5pIIG<p!mC_DmSxBXCPswXkdPGZXkmK=
z_>*B=8JU3HW%W9XrFHC5A%yjp-O8}WWo$quOEJUvte@aaa~X7t5;sxLw}IZ$tFI;K
z7Y8{tQF2qq>N!`pJD8zHDkyOc1;!{Bz;XN)PH^neHk;Dk<9yd7C%uD#A=3(O+!xD#
z)taLF`2dKgi7~a(zMvad=RT#+M%VT$UA1i62<gvGi8PvM?dmaovN_I?U)Y!M>Dqsc
z^!%1JwszE<Jktcis!-PqrUa*N!919gN^hnoH1f0-`~d?do!o<}i~W`Yy2Gw9U@Ay;
zD6R*FJa*C3F)n8x9f{W`dh>&-%FS%YAN9dB{3=PnQ}OJ1N;_f7Z>%Rnzp=g`>p=sE
zLT?fSCvYz`pR%w#$WYxL&Mwve9*2IxyjNaEF5RsoPQGZgSSS0nOtOmIMIsW8iuMaK
zNK?mwBQ9Pjk(=N7;w~qMH^-IBjU(q|tprg6nJZB0KF_n#TelLO9KlM{uJB5GDs(2x
zdst;RZqfwdnpTjTcL>*2Cd{LN#yFi{otP9hQ6f&ID8<Utg`Ig&swqelZg){W)3_$O
zCal_XT2yFi>Dnv?U?}G>WLfVLOgqbU@nKrwrp7&p)$jyY^Fa<WwguzYO&^LaJGixc
zWW#+>4>D_Ll0(WXyg!(S$2Ax~l~tSaY-dWf(hTS(2P{)2T1nST3;*-VPKD^;i|%2T
zRSH+)-mHBLD(!fst?tmk95**C#2<J1`PrD<H0M?>kXX0fBos&Br_VX?!p;KxtU?D)
z`|qe5pp3GIS-w+W8x4%c;psKHckCE-b_Wn__<F$`{5dcPt0)c|<FA*ei!sgT@S@cw
zid4dpV$fsC=^z4Fg1NVr0Zc=H#z5>?(sK=TTYg%7u2C5ll%sjA(v7>Sl&wAEE3s0(
z*Kr6u6eyH2<FDGqDj`}5#MKRCNJdZjz_|vq>$@KDj(35i-PGXbArW()|G%Wkx8TW3
zLx8J<e|gy|r!G)}N>lL^K9^i?8)YjM=8RKLITtX~^OCE}#?|W>{>?_hv6pT>QuVpm
z%5McNp^~)+y$=x`BpGB0SU)Y*SK(RPwF=z|xE(Z_McQ|_K^{0XieEZWOz_(P9uHw6
znhD{D!Wlc-L1T-XM7r34B;t_Zqq8tJI_h1JlED8x2&u_Un7to4@fX_~t){w2Ue0>;
z2j*bXxXKeTSw^s}(b<NP?GnVb%CxpV)`V|OlRsQyYL=Otwr|=gFthQSKmA%UvgU(q
zgZRv>bDS3Es&bYGSt&1}j`K7W*crPY5e3cY2Z0aWDPTq4Qw;@{Sek2^rJ{Q#A;=3v
z0$mq?0LIUr?LzYYWqOr$-@xiYkh4uT9Cq`#4f85C_e<KXCq^|LDPj76);s;ccfLkK
zzj84vWJ_KaHx;A#wPpcDk{wvUAgi@KxC9REhNSuORb);mES>m9G?fcjd25zwS((Jz
zvjQdXr{li&$%WTW^7?HHz;TQ-)yCuGSRRNq5^2iaW;-FB08Q)TDXkW};VH%iM{b?>
z*8Uj(n#~rCN_r((AX=}9d1ou#0G`^Q51hT4kfX?vaRZ2EqTuDU=eeIA+72UuoA-uo
zRtX&ze@3AiBM+M@Iqk=}*bn4beKJnw=kDgO-F(uQ%>lo36162eKMMV$;>5CGF0x8?
z_770G2}GcF@&3EXD%hhsJS7yS?)Ke|Wl^uWTn*(4by=vf#ays;sSG1*sZo~i*CK5d
z_q6P!C(k=>JfLHE>^s|tv`E4CNu<5dGwQEtdYwTt_$2tB+%j-y?0}P`046}zGvpX4
z#I6zxVwi*33`K7h!BwL58TcuiXZM|-ArX7j<F@@i&BMaor-=;+qypRi5lf6tB8p}R
z8V(Ab2c@ClQ(D>aIf&^1a@hQ<BfgAy-g<9%5_q9q;NN{Um+X#(6R8}kEwpE|EtLHL
z-BJ9%6{+QPflAGV#M^4G+lV?AS;YZ~aV)&X`?#VV=9+Rs|Fg6$@7SMsu4YK$vZ7i9
z=wmsMTk)lth&XZb#G5+O;k>4lj*}XQKX|C2hzdBIki$EQhuhQ*?N*h<wu%`}`g6Z|
zK4IpR;1HdA7L}R(Lk?%eZ>;keJItkuo-dlErd}?2^(usmqihS1kbbou8v-GEiWqdI
zVC!MLU#5kr^s+Q$f_{8{m_5GnmmMUYYQEiMyOf$^PfBfg1nXkN0vzJ5rqxkGI?xmn
zIoAf^<ufR{dFjq=VhpuW*am)-JWIbD1ct5(0$Qyr37gwso3uzj`6DO(v?a8~l|%y&
zz$|Twy^3KvylP@gxh1aKlza?In<wrJaGhOB6=i+4cxgy@@#Uc6M5pxYWcJh3>16e{
z2y>>pCKsl$I%O?$xTJ$`6`-NWAIr&fk>kG7gn=Xg9go@B-KuCT2SKB;(^fP-We7zS
z9bY_l+L|2nYbeGpz9&o7;~Ip3&nD<$TYL=O@TPz=NF=j<#cBByMP@SZs8|*hVjek-
zH%;0>CJ!TnE5O8Iw;iKQl4+dBVj6Bbs+hT3)-wTo<UyCcfNjwZCV@3zc0*5b&7`{q
z8TNjvVa^CJ6zqQyu2j<96?$vagUTN%wAmNTgt@D4(x%TQI0t%xX&KGyVNs^A3~KNH
zMo}p&(zw7>e4=4t0cFdcv7$R+&*R=P{%YBM;|RW2`vx~1I^%1#8E+i0R#7JdVA^no
z6!Ef;&QQ&cnIO8EHg(XQ@Dx20%vRN3t=%brak+SjKrsVwXq}o-je@F5g839RB_|h>
z%6B;54B{SjCYUxNoB?1doWB=jAb(f3l%k4MJYj0yH%G2ikPg0r?|oD~sD6}-n3v(h
zeW*CI!lE!}o}+0j#hu_$1Lbzi>K23<)n7%qhmQ3a=|`B_FuOG*<+vpK?#^g_Rbml4
z*?$R}1Tc|P<2RbOiX!F=3tZ;ZATgK@t>IaOJ5`)PQ{XJ(nI8481(Z?o{qRgiw>)o)
zHHL+I3-vkLnw^BZS4sxo4_er~CvnSd(rc#uV?!gu=&vo*bE+KdoSX!W_t?ml67J!I
z{MADK3(m}{bALY;`vZ?G`iFiPuT=?=V(@-<=;vkFlE3W`%B78rlqGQ(WB~t|IXuT-
z0wjV3BYju<!~Pyk_eH0QF$}o*nsm}teN2Cu&BofbxTljYlw4GvX3JJM_*uZ84c3~D
zPOa0J`O!?0ia?BdRU&@E<W(h_`{zx*d2S-*KJs<#7!Co%j(a)Jt;*(Zzo7!vQ8+qL
z-eWBaXR5R14aTHVg1VR_G-SS5^9-^6zt<X;-bB(YnGW{?&-P`b&J8mlQul|2<ddVs
zK>q$#VuHn-kVY}l9<FKS^w>1$thWc9oHK!YQe582HUQJlsP(R!kAT%Li=(W(VFch-
zZ$fWiOj+siM$QO>E$7-p`N8-AJ%HPVst!(*(2xA?Ch>xzArt*;ef+2eY)|Agz?Kox
z2>x+bO>&|y^z)_j>>v5I_vaHS3eN63)<_G7e|AV4pg)fG?D_vSs}#;w^S$S_2@Git
zA1CI`)PGm3L8movU7I3#FpnojHJ<PG3>#|dqUZ>UfFeIuHV@1%N~1pCLA31&om1-^
zfu-}BZJ3-=%?aRdUAT5szHxAP>HFXxt*UYv{N(}O8OZSxmc3foWyx6kPhXlR?5Z{D
z{!(T;iSjkNW%R}?UauiJKm05TK?secZdzEj)<D4Rg&o3AKxNA*4aQ4Tov*!%YiEv8
z7ED}@sDQZ<j23sNfV?-6H{+S5Mojqa+Hf?vOPd-9Zjp?4;OKe!WRDVVz0J-(=1r^b
zN`(QjY=X%<Z^CRm@srHGp5VT=)0c5>Q&=>@2?1GTX5>w+Yu5o~KLYt(u{>-WPM-?-
z>+14I9b7Uqd)E$<DlS$ScdB0%L!#%5rZ6PD^R1&j<0NISV{j-`Bk$Ms$ECX-RQ^!7
z)V4TyvN)5LqbCYGZz1i4c<8x;hF{AOn%+0I)K_944l1OkDq@J@lZ?n{v@)ZDZSpO+
zw)vX08a_fvRsvY*?;W~nFl{|zEYhTWrUNNpGxgpnC++s>%$~g-iwsY@8%kr1;qjD2
z8`E7|IbhP=`9ymQps@rWQ2bGOfp&B6hL0kCd);{tyP!NE@U}cFL?9_v78~7K#q<_+
zmJ>rr1><o;hsm4dtatTym>f4(xwec>6p#Smb=`2<XhGO_UeLkNrrlj^DBo|jAO@9F
zi)ypag{Irw%6CUqnA{7JeeC4oI0)2<ewAu=VKZD1K-*NPo3hnA9pZ{eo$dGh{Gh_~
ziY85hk2!6>xOF9Axk?H43)^)pUl08@8$~}J)7GwuF*j%EvRd#bjQ+tboOQMgI%wko
zplL)JU{9#P0-EIx6ol>b<^M5hJq1Cfn`+I%7e(YlK>}~`sZJUEoACobTCbSvm$_HA
zybai&5l_pSv&it52%}-ua)*eA^CycrS@~6!F>b_UdiVpm168w`?!L}txMQ-$vR>68
zyfz)8b?S<gAK4NhMr}ily98av_e37@<N4mpJS*A9)ePS1_bqZhqeGrh`rCksg;okT
zEt5?$A3sP9hwF<xv<qkGFX3cE{bVGsH=EF#V2|YGXs(~WH%#_#6-cUwXJeu$EDSXp
z@~zTP%@O@N+fqLgvx)WlM{?E^4cGv_VA)d8w5`whdvAjuJwfeX(r0@nEJPso{KWiR
zL9ZjzVnrAI?XgflpI!w5U9jfJ&d*!XN9&CQS(FiqOCEOeO<5XPLWp)w@3;QzG4vG!
zaD^!3GTijT!aDXAlf3<Xp8$MrP4coBNCcUfOVtlDGLq%S+z)btMquxL6yR@%ZRKK-
zX0bXZ(*k5<`X2DPK)+}y2tv|@hG0yoXi)_wLS+!Ok`bEMqd|eKVa~QTc`(F$6P@vI
zFU&NJBo4RwZ<OT=#mxMUJ1S019RB{v3W(?xdAr-L)!IMOQxM9VH&^Gt@`$uF?KuIl
zr0^kjhI{s?-`*3SvL`5_Y(|x;uE22R$Yuhy6IwOj<thXAswyQdxLJ<~z49MBY@MQ3
za3jk_wt40NeByM)oGQ0a#k*r}X~x>TxHRlLNE`p6hStfdyOh8iPU^yoUGlK9y!om%
z(aQf$npc&yhe$WHB1MS1K*}guSNPk_SliIyr?(;SK>*-8*$9QgmB1kd<YWsDR#%~<
zS92M2016|pR5+Sn;(m3T4qakAHl_Na=tfBZeZt%ki&eM-Ee?FGou<=x;}3pr^)V2Z
zbAxdARL=o^cV0Za#Y3Cub-m(#eqOOHhZR<5i)#lJThcKdck@vF;!n}3xO5RG5QCoN
zIjUXxc|NHNg<np9<i#2~5Ic{QKg?{6_Too-!dZ<zhfc}<+vdjs6jeFqsA+^NsK^uC
znyy%K%f(>ALfig#iv(NFNLL=al!o-Oz~fbKHNkw77dv!P`Qi2QZpOCmwuM#9b}g5@
zfX4TU7jwSNm!ob==0G-8np2IlbptxKa*hnGsU5P@!#~RHs1gwP-A~*{bnh#@o}xbc
zAH?r``U%9_sV~3tS3nJ76}QElUZ7y|n2+xalNwkM9~uC|f1eL-PFywWtn)P;#nAmA
zxSWffu;^j<IHiesp!@a~1Te@hkYOT!Q>V0pAMfQ@K~c{sl;6+QD62h@rq1-yCe=8k
zR2EZ_le~}1bJ<`)x&JhJmx=WT(=Z%VzYC9*I2ZWOfm)wd(RTqkF$-}8yqR{$WM7za
zj9Zptyushb^qQ7Rs4vz%tAbLp-)UWB>Stk&+S1hrqDD(!tij1A5x@v7W1IM+ZPun~
zY23JiK7hh-*3x$u-npM;C5*!lgwv@6<Zby&cg3gO(9-5>f1@a)r|2RDWWLzTS+vqu
z|5nKs{7@Qnb1>Sb?ao9+&rC@{!oi<Sdow<id3k}=Am(kQwT#qpz4U~hly|*kaKJ)c
z+dRr@?BucKPEIrjfs6PA2=o4`6`AbL@`_Q4*fzN9RgtZiJtvE*^Z63JTkaLtwX|5j
zHouz@(bhSHuNzEs*on!nH+;-x)_90fTL9&oHF&uer*sF=nVgJ@uG5JQZHK{|nTG;Q
zn`7Tnt3OGzLB_=~dX*;z1_buyt>;NfW_WwkU0*gfrd(M{npF2dlAj$B@%~9Kva1$s
zs_86DIA9{8IxYq+Qhpi%5M2gJ{ZK4eXChAA=ZHy&g@Ubxj`1*(3{gW9EV{o0j8n$x
z>RQ_E6xEepQ6W##6sSd)e+k>Gz|L{p2N-V#KT7&uOenjX7TZ^^YkDUAI$6pxC%%wa
z_d@nGKkk&Ak&|KP5p}EDQ~A!3j%GX%87;D#PiC@25QqGoPNkNbsrMf!A4+}_F#kml
zHo~VJOfq@Y2t1+{SY_+L67?|7*?<doq0F*14guS}y4{9>3{~dgqEhZ@bB&CHGKhIM
z!Ifim8UI_%bn6P61GG%_cn$s}NYoh&#$=HCn_+*H3&3b)aq+N9gnj7w!!itxq~!=o
zsn2mzi7{+J7p>=-@qBd4zI|LiTE;s8_?`-vKJV8mBg9?8GkMOwqnCh9CXB2jk@vS&
z?2Bkk4<s5mI_sID>KjSWM6tNv3Yjd`wzv9DT=Y^L=I!U{uhnmD9oMZ>gdezFO+n=F
zGyH=gKYuR2({p;hZM1uev3``mU*NkOynN}!1&eFHZxGo4q9#Cf)d0-~WfGkGOrCu(
z)`DqW@MfQe^260vklc=rP)9&|k&&M^j$&BFf1{{V0x_J)eI@z*B39RnLHx#J4ew;h
zm(#BaLHFw(s+uzgZe<i{y9{8k)+<bzVqGNeiPS$$Qh4kFJJ^J`{b^v$iLjXMq<tbu
zmKze9DLMK*bE`<GfoV#M+IO=hS}-#uoeffLjVQ9r>RKifF{-x-0%`!Lw5V$z)2^(i
zl#CwV<}e6l(dZ+GF_F~L6~yn3Q^7|_rc)<3#~pE0>*r)0ac2IvnFSy!=D#f^5f7cB
zTSfwOB{2Eq5bD>Cb}NWFn#;D_NL~wJzgFDxDnjJ3&dae4DPxTImFC;UE#W(>5Zu$J
zw-hCfg7GEB*^sg3&lR<A<KDC;ShVoN#;Yo@V+v5_lk}&$c&SFG$*;)n9^HqS!<0H#
zXaw#AhJ-U~5iR!bQW|t@3X|4^CQesmh=3oNgZ3+zm9b@|9zwnbaofEUSkACsk>Qu|
zj0Rue(`Z~R87VdF_fgY3BeRtmcbkY}NyzZTSa8w0Opf<;Oi>9m3SE`nwn8>C^T0<W
zIi<TwumjhXhuDp^zN_+Y=j#@SkANs#l%rXgW;6o#y(c%B+#aPpmNQ~b&~7VHj`56Q
zOwV`o5zDVScC;Ayv+-?_CuansPDB>Yc3h9iI*Qa703FLhv;_nHvQOuq9cu33{-<1b
z$>%$<ZJO0p-BF;~D%$uxKXOcW%?2-Ag>k-5tU;8pWQZz#exZq&YI$7w$L<D-n9@?M
zZ&Fc47(bm-cV%q$LA$}tRE4?qt2K?)@kD>u;L(!I(!*1R1Md-2v@sMt7p-jSmoivX
z8r*M-tL(1WkEabyGa%M*rW(Fg5g8>EejuRwejDQ#|78{XuL4?sQi+>W3IZx7%UBwY
z`}&vO2vxQ-nI+#o@SEdf3b4R>w9yLR(4VM2a*iGA)o@WcAzjAWept-u8Xu@Gg$MR6
z8&TCj!=;fZ>^k~i$2jRwA~s@ZUoNXjmY1Q|3;W>M2ejrD6rqkAH5}<U0?4OZg?vug
zC5QBWa)Z{JD$}DyvKrW)=OW&;5{}O5`Xj_Ua>Rd4mZ>hk=Wm`wgBH@dWJUNqk6RD7
zQ<(_mb~>MB`=M=H;bR=n(*PYA9^1>S7S3_&&<I(+Ec8<*fEAILC$tHUSxYi>FT-|E
z+oC^;`PKYZL8fx{J+@ru`#9tw42sz9k`vp6!c8S~Uc{v=&a_*_HL5SWU?l7<Hk*ew
z2|>ai?9Gc@e6xuSkt)UgLXhY}Cmj>z1}joS9=?uPq{|6oMh}3y|L_=`uE7g+@F*D+
zfaj+uEB80Q!_dq|s0=D&iKCE^pq$@{46{eQbOFJn@4qrt_X`k}wi)65h+$_F1Pkvy
zckG8FT}!Qx5u}d|{)s?~_8KKvNPS~)=yT{=Pq3a&0M#woa%<&AwAEuN=uT7&sfX-z
zgM6z7-E`wiA6abhq2;3w@5$VYk4jAy<QP$oFNnBZR=WYXOOk@b=1m0-&rA1P$9^bo
z1CYX5XK<wf69@z^dsz46m4dyd>Fv?~*U#QV{3&E>Y|l(^SeW3_wa)cm8Obo9UW6W!
zrW-~meRm((Nj6X!awdDpL~@{guf>ZIUFum=X)(e_28WrPt*W1=OQ%4K36TlU4Oroi
zGd_OicGEMRWS;Ukx=ePirY~qS<x%1$+HNMYZg5HQk8@<@d!q_(OECu4MEE0WEgE^&
zAE76~_-f^6!J;EP)0)IS3O{CsGdg9&KJ3iTW6del&<%FeHEh$)T1-T^J?&rn7NaI!
z=WPpGkYzG?x7dPcC;Xcq6jRe;aSgACbOC_La#TGJ5<APeV-AGbU=M{{1ol+tDROB5
zPw)FbBC|B8&c$-f)INfzm4n5Sb?B{0%nZux6W+p#54aIIz$DSUFa<2SWyB;3jvRE0
zQ?4%z6gQp@PxAZW^AP<YC+G~pA7jm0|E9r_0mt61pqy3TNa;eS`A%>TdWZN>mssPu
zv?q>LGZL|;Wt!o!#=G>YU=QQr9Q%_gm7O~<bKZV}_-i8kr7bHH4Nww>Q$VIAor&&q
z%;H_Q^o;%x?66MQJ(NKzdx?LqA$4n!&VYjbCpSb`O>5CE&A4lcJ8Tt*x^Q-KlSdk&
z+%KSZIu@Z-60xe6T$s@9dC`hlz2e(OsE}$&W&3Jej3Exg7pe$pf+cmQLTENTcZbu3
zdU1W{B?1bWJg9RRtC#vPq9V090DjV!l`+Zp{kq*YoxL_HhBG0{TzGhSaAr>MC#qcD
zA_2;nZ!b>kvzMI=(AR#L@59_PE93^bwHr#uRc!tP;~DKw&8WMjf74D~3THq1khBfr
zcWV>Uf>x{3AYc`P|F^%?nQlL!h1bZzst5nv^vUhkkArqTrx_KMnJ2Ql;0|swTs$<p
zB}{X=ojr0m96lIm?X{=kOavM5A9=?0-pF@jR*<M1`}q4C8k@9F7zZidz{A?bYv~Ei
zxmvEkDfOF4&r9c{$GS}0S@8&2%yblfGfpB_Q@P~ae%R4t1V8$VT~`uG=#<<Whe3EC
zp%vq9urMu)&>`FY#^07FuEIYC^?8J!ZTA(}f>CXAhCH=*8^N4a1iWd5k78w%v?2ig
znTk?`x6j-p4gcoavSA*n!w(f1I8FR2ixm~*7CdjQ;<V@au09pXoE1l^f_un8$xQdQ
z3p%RG@5hN-XT2z0;UF4*AkPX?jl~}#2jl7=LlP8n)rVZhqO04TXJwZP`^i!~#`mV;
z2y^IYy=gF1Np3!xTLjzi!}Mn<`FON^uA@Ted84nl;f@^zj!k5Kn^6!cW^mwv2{uax
zXBaI^2!`(-k#1t@UEAB;rV9z+vPs`+UWT39IZY#)7onubAJsQ|bWj#hmOBNVV%>#1
zsQ8&KbA3_UttnLZR2;;=t}aUcn30}A+J~^tF*ckqKN)f0sW%IdfM=q{S%hIyC>lx0
zD%8QiMl<_%WsgMoZpl&98cA3AQR$jx262+RVSKRP)R8}EAISA=U6z8)@)0ykDl0!i
zfu4eu{qTcU!j4SENxW<2lHE7?JX=bBkS>;1e$rc<P?lshG?H;t(pm)r+Wp@Px$X*b
z&~!km$3VmTy<}%W*r4Xu#_}E;8t{auA{bhoy)_UgG5x^)bABCE@6|pT;zV8_2IyuQ
z%C#xe)wiLD_!s=3=N|=TAWdMF232=m@yK}Ft0$%%bC3ZIWf5rKCu&rA@~(CGV-WUx
zN}@S9R9IF^DOF(^7eSvNK^uhwlgp8Ye0We{BN#AriukhnL^-yreM2V9fW=+NK=@2Q
z`vsw`vyLO*PRZ2hq;yVbyvv0x5;l!{&DL_<WYv!r{q6n#%Sv*JXHiI&G)rhHQVEM?
zU-=D*X-EsF^I*oefn?}COC!s(yJEnCdW^8pUuz#K+idd{XWGx&VCL<6hz4m|&A49o
zprueC+$zn@Ct(MSaX-d3gCdZ*`WUOwv4bMdw?1|7*4SEwKuQnw@0{X<fj%0b5^JH=
z5|=@N+_=e5CKJ!$ZVvXMxS3OHDDDVf3LjU&Niya5Qszow?&HswDT5@UKkSRMFMPqp
z;DKoFhTqee0TBq1ebXB)er9JnGVlwK)(8@BtfN~=|H3?Wj)4D2#^dn~4nsq}`WIHl
z=Mfb-eSS^Th#ZfyH0?iSKq76NF~(z5i|e#CFQR2V0T<ziQ0t<#KP)r<ul~G?Og^FD
zgex|X@GNOad9$Ty^wt3G4fmMKVbI?CM*f->+E|xjtOg-lJ3d}XOHs_PhpOPTsuTS`
z0RZ;<70gT0YQ|WCq=QU*VVO{o3CNx441NRY&rgEIcg}{-d59@J`{%nhO6WEEmKme`
z0T8x6v#?;8Ntfd)(;?JsyDE)P%gCGG9=*i)K9C;3Zoo#EzDyP^)b~Qk{<@LSgtPcF
z6zfm#gb<mV%TBcuW$bqL`7ASCY#D~r3T9<s*-c*0qQBs}38zkE8R>wJzJ2eTs`F9x
zBCJsH?i8&f)JiQOZ(?l*oG9fLv~dyMjV!CH`SnGixNPmltjHgD6)_fUV|oc$>-ts0
zl=AKW$B!t&q^!3uONLyp#f~T|Bt0lkz{(!~XD05}ij3kcLsT16B9MF4EU?TFS~Dwj
zm%>yW$}(S?oBBYrjA#k2^aP*x|DaM&>Bgv&^y-j)el`zi(l?E&S0bQ)5u;>CkD%;r
zRL^+RdFp}|n3FwHxjguiIXV<^;Bp&o;Hu3g|FP-%=QjW7W3V$)z{CvhrWr-|w|wh$
z{_w4%g}bEc;h$9Upbs`oMuR?r>UejH(;xm;wcs5z1dYqx%zGy$>F`XKL}&#HWl8f*
z|B$whJTCQ?W*PJr3&KOde&@t5=+bzOZJ9E(x$G)?l#T53SCG3+6CG`se$%^AKOye#
z6OBzoq+?s9qX>AE>V)lcnm#OW-H&~JwEBK9IH4w(1Qg}Vn>#NXZj#f<Q0J(+0-6{)
zn(hSNYq8%n08dDZ@O;x6*Sj(mmYUE}Avm}GrBvode{81D7%7eb8Ts3r4L3lAVSQnw
z57pvXF2m~Oi^pqOMLDN~OA*2*ixxbS--3E^F+3imfc7}GnP(=7?|FkOVWVt<oIKex
z6=OV-9>3cRZSXY;^rUDq9{p?>fNLFst(L>hKJ5-uI%gR@L-czf8?6?I5!c(&VqWY$
zi`0m9x;+-BVr5A5K{XLnRr=y{J{mEX#}suKGuWm~CW#H#zHnu)c&l+eOw*dcOR)_@
zPN-I%{f^k81O+}%gx+*OIBZ~@K$|jeAhzgCLL`ET#<>r6bmxtxvLwl?B@j5SbA)gn
zc?c{6Zfq%b6kice&djeDiJwXrirs1{?ddN^+PPvqqK56D^%Y^>RI@42&v7=`_nevy
zuZBq#kc}z3p-0bZrCrvw&6dOMOH;D^_}rG^yJwQTWOYE?E=B^c!&3izN@&NoIIzNY
zS|{rV!RyGZ_+K0UXMHitO7*R;G#zFkczd-#ho?^n5_lHQ`>g@|s=yNVW0@!af)NBW
zQQ$=WTlDEA{(S7#$Q}ppY|}Zd(YMHkvar$Ce{H@upglnKCLP`-vkw8T@P_;yNlqxB
z@4dP}#k*~>MyH#X?pm5s)P$(+9_QrRB6jA=A+nigiw(;C^jN)Az=-tchat-PD<PpV
z(HvNK*;MO7iP)O2MT?*HvrPStv(eJUd<1kgkheoEd@ixayiRNPM}McYJdkL1XKD~l
zUkS0GuI40DghjfxH-C36S90|**L7NL=$B=j6n;6OF@&8M%EJ+k#2>*DS<B^l-YTsk
z>pu{bQQYNB926WC$TAVA)VS<71kg0@H@=<6M)9K#=2x8SDxSF7vb58!xylF=7r1Kh
zv<s4Q!(oJ0gyB$DTn&{@DdzTTx5v4DSPA-MJB?eMt7!Vjx-yX4-570AF;1cvY!O%I
z8p5!+XOO1MUc50SBJG`SKPSCoSrzpzFYj~U&*6Ho#>8lv=N^(|XScdCQ66qy`1drw
zP*p(%Ot`}+d{__@yjm^S$WEvYtdxL2LFYS>=c33jGWMy@Ix{_*qjTjTz6j`>1J>=m
z+-9c>`mp3V$(afC6G}6{#))dZvoHV+?p$Z}>&{+~7U4FGW;*uWiMyca&DoAh#x%>9
zJS$#MV10_rrH;;vYZHxG_(+S^4<=a<!V~=OtN=M>CX`&ib7GMZbC7a*RB^c$Kf9Wa
zZ_Lzp=oaiMFJ%V^+JZ8RHcr(L3|O@?<Rw2EPBZ>NF})%{mkjPOrAZ9+8X0C^Nrgl-
zrU1JdQ@@J)3<P?0V8{$PHI&y-r?9HJ%Prdjs>RU|ipDV%4B4agO<NpE)~CWql`QQZ
zT{w7FZ2JG^Q=a1U$O_e~ZpnprrVxSe^P~1S1nggF_--8SjhMd?(iAWvaAfQ-%Pc5-
zX(eO2^1BFfXUGzFn5L)T0!BUYuH1nIawAVKm;5a&QE$h=oDAA9x2DlG>eZ|D9fo1G
zHW~$)f2l#60#dPGN4Gw)Bm!M`2fxJAY7*Q#hlIsn#FTwkKhh~SN|@)|W>tCq^W_KA
z9GyS<V}g*PU`qg&i)l^+r+R&-f?Jvjn92@va)W`zFLmvU7X215bX^@04rjWPWy3y}
zL9{v7TP?3$ow*nQ3IrRbcpn@#*UYk-kg$ae=JmPBiH1a%A{`Q5J2JwcmR6S=^V5op
zMWG$xFb^@|hyv__RK+<JoTF@32=;U}c@I$niWE;#T^psUXg~&|sf?VDxzDGcn|xFY
zamM%Wiv02Q;w|dAv@MNVw{uj`&VGww+dK+$u%pLYq4hpd56tnIM$UNg8Oj9aWT_PZ
z?ezU3EnRJMtw5=5JP@g!=D8ak2LI(}3#XsCIGIS8$xk+^{8RluSbl5SZ?>Xk&XG;8
z9d5f~&~trVKpafS)gE5#qj&EMnCTtracaR;tYVkv&yYUrTV#Wo{m8}RpI2k1p{bxJ
zs32(EWPE<l9;1-sY?-cKB0iRu<gOMZ0Hsywi-o<HF7#G=8eNP5lCwSVZ%yyUn75bc
zT0YDKUtwU$of>?<RL|K)+BA}K)qPp++P3xPPB}PZ$7xH>?o41U<KiqG6*j(O1Q*Gk
znB}3_j?{$hRg=bgvUpI%7yYFdgRuy5W!Q9+%9`qN;5E-qsp_RCAEF$ijct=tTTF`=
zyqUnN+CeIee5JtHE(7rilU``KJ6;K*!n3F+Rr6=tyN_)$RuXKi9v-ZsEzFa#hB-eE
z`AAC~3eY?(HbGM>kUtRjAr4{f#OUeP_IrJR6#f>5LVr&*8elZ<Z8NWNAMUY=!;5GS
zb*I9hS;+xC^`S*F^;>bU!IVV5tzsEta81A0oIzbJ2cp3>cb((^Y$MC!2sg=)ydAHw
zYgW2m#904s5@NTh_e}ysa>`Ya%pxlO+q!49T^zX87@j%Qe?WFLCi&HQMl(bTHc&xd
zxQoRlSVe5t_x5p`We>*>--)(5?T$?!3JQEr%h)PmGg7~?NpZ5Q3H8_}?xlTy&b2J@
z`kq97ARBtNfwBRzG8_+{1M?$ro6i8}Tb=sfKT@U3>Toa;I%ga2K<5Eadpinqyn=^A
zjQ0_{n4EL%r#!+;?27Ze94%szOn(Y$<NmDVN-Bi3g+{(iAfUUXZ2PKH1!vUjs8CID
z1y=-$uLOCnplg1)iV}*WdxiDhs3zn6GH8CYb0)FqE2QA!zDYoYV-c%MUbBDJGO0^1
zbl$FyfmOE2KY~S~!gR)^U{OYc6Jdw*PyywaE`4+@*DS=sb!6Kt4JbuMdoqrV56|C+
zv?ITebF;RC#NPL&?!T&@`EK4_%64XNEGb`X@lFj|EajNOPUnkeTNyfY?=D!`^dX1Y
zipC|&0ilG3MkYe!c5%$1e2CwX&*>D*z7(DY&K=X&8tv)MFn41e$a_lGR#pAq8cIoA
z|F2jicdlpxR#JFu7$tt)XX?=@S`&Ju;Il8?_QY#jcbZLKf?22^9@@<1vpf%dX@OtI
zu<kN8oqciKfiHc)CB1nO=7xf6h%-lKJYn6r?H1ZCX!Z%)x00470O4@+WFtPIfR9S7
zmKoK66;G~qNl=35DPwm3B~}K(K?e%sU#U$w4G^7dj?FOpm%d85%FLvIe|0h|&bDQO
z8S^dFhLfMdao^ZVA`Lh<-fZBom7+ln7ns_77v%2_F_V!w4i9A^OhPsoy2lNe_+X5C
zX`3t$ReDQOJRY0-#3c?FwGrw<Po52U*4R*C*)pX}6MpXjpM8jSW-D9!7Bo+15l3Nc
z_1c;BMoOzC7mt;%jPQ|V^fCbi@~)jv`cCDO&>c6YVnVO-H(+C8n$NYYMCyc+rzhO}
z+NiQ~d}MqUfMFyg60@<~@U&Au*n$PtrY@`HBdha5ZwZE{7P|N<S8ymVtr)sjdwMZL
zfXA;+BH=`+7U)4mIHCRHCCmlmN1lodKKMgZ^*DzwCQoC)zVkOlQtD2L4p6gM7yt>9
zbD5AUNBI*aqesCZGm=bkbb5RgO*#l@<oO#<<$$@swnF9(3Jm2t9z$=DOa8flDXBb%
zYd>U}KPNYSih=Cf_NZ*P(zC#PWjXFkS3GE_ClMK`p|G2o%x2r51<+wQnNj=^>Z5oh
z<+Y4}LkotSK1S@EvGEj5d`kb@7!N4prsN2-JoY4N@H;c7pOYNj1IAmE{~!B+DL?>f
z>7wJ-$$)cpOs$&EZR4TwZRc`96{zcq3B`6`8liiXsNK@CA4f)Ih}MtFd?TM9zmN#K
zh^Ct~CxbrE*3jc(iej`qf|cnDx8^t;ELc~eIy4>Vk<BJTPa1z)u(HX7KyK$1w6S|k
zHDiY^jG$jXt$jsTgl3;rGk57UKX}<h91p9;Jg^S9B4gI$8Wdms7~&3VPhDsnqmyZ7
zPEt7CczAyk4BUjf*mcD?HD!<!`80;5B(>sehCz5ond*4~2}K-rGVBPZ9E6NQ-gOc_
zod|QGdb`?TXds-=<)=3mevoJryO~J7&!@t%AM}}wWKS{RZD*V6q0;PgEbh-CN(~GY
z?Ju~fcH@MoA<<ypj2>ReIwLG0`uS5%u|Pv5Ncv3a@N+_@$vk;zE=`?)#q-n^n+Gnw
zp@U~TmT#H+;0Ci^%(lqx;k8+ig(`i;+Wim!^N5f%qJLQr^7pXKUzYzHt?wDTU;{BM
zK|1iVx(vD@3&x}TYs^Yr4Kz)xhe^K%ETn0pFq5z`=40ClTj-}R2f*m1z*L0s=r}t|
zOGZkuKQFiI)mEOxR9Tbld>{Sz7g!$7<uZK$V5WsMY$%v1RGb~+#X}v+!((;x@oZ3i
zALw7jLq;nCB;CM`)L8p-?ZgbJln=N2NDcBE%v`t*wF0ok<3mbYBzDK(2<109xn2M2
z6}#Dz?=1i&B6py%6raQly!O?*IQ>jVT)5^1_qPAB@m&JM@;C`$7r#Y4CpoND4D;#!
z*Ey;}ID7NVo5&f{@i|h0g`hmi_i&?d#oOtgYKWu-^@wmDbJj7=NLi>Bc!+_o4V+P6
zzsdy>?AhBnu~zP%ajKy!fOnwEVulLGzzN!m*xU$G^|Yb-m?{bM&;L~e3Xba&wa_5$
zIy#uvG^Elfv(hP=wwE3CG7I|H06jp$zaTi2BkY)pe|<GejPenKa>Tjc#%(j=R>J2W
zLUt&~n1xI8Us)t-F*9~IF=j{aYNa<B2jav5l&93{{F_s*nP~yDnoL!HX<M=gL=Tg}
z^|X(q<e<hbdYP#>7ac)u;_1L<aeMWWARIvX^5<@X4g77*AzVX3=8ptDCl%01`rW_b
z@5EC_YbwNJxO3jnI~>Y?TLr_4cK_x%By0E4M=-gHY2Z<IAPANgvr&g{c%v71lf&X&
zJJ8_Rv&SsJT7A{_34j3RbyEQ$Cv;1tKO_(4lA}WaQvhb0%=bC&r7U|dNK|7@mC!Ew
zr6Sy=tfZ8%x_Y<t&SP*jG)-y@X!%na8;gM;Y{dn(dxYP0DbHNL0o-S~z9PAh!7XLX
zunj$ox5Vo0<6BTDiS*#AL7(?WCdr^W!h5dI_c+G?ezJ8qk6r6BruRW+seJ?eRO9Gd
z(7!JFKVPD+>*&s0!+9GbiD{&1t4j<``&y3H(^i&}-+ZOn3!H<fAaFi>!$PpOHRDY<
zYeM`$-8cA{xLB~~pyYs_j)noD9nef+m|O|%9?Xc){Z_#cK@|KX(&Sv%Exr5(ga8zL
z2Ef&&s*x@Lu~KKOI@HjOA*Dcos3TNYAyUgXd6OFt=4iX3@z;I~3m#g`9jEOV`jlsU
z@m%J+?oV=MD#8r~a%~NDWcCc64TWa;IOj*z8rQ948^b{VVjsJ3FX0#{aotI4FKKD8
z40xgRV4iL~PKK95c+z|BAfH=#vy~egDKnp>=aBKJab&k@8W2YZ{8&Eg0}}mKE%<E+
zPN#;%h$~uKrv;IXl;4&`aDP7>U`i;pgIYPic;sI*1l?3qb#>{-Wbut9H26N+b`Dl<
zyX+*<(;o!-EUx?!)1(t?=93&W_DuS8b^4<&+7+d&%_HCXB`ZDhRJJgtmhIXQT`ex*
z#?d?pXcTb46Y`Ukh&|QqkwwmaWu{+X1gPA-LS*b7WJ|<J+DW>gqg|pSRXKtc4r%zm
zn4nax***z$%fUC|CES62W7#8nT*>|#O#{8d!Tk<KK>jC&79jlV3cO5lugdfvM`~Ha
zo+f|HG{@3LvpkM&TO{%XjS@S{A;)XboUMIQV|{nXQ&=6(5Ffi0b8W?Th$FXfZ?=k|
z=cvz}1O=XVH%svC@GuV(9D%nuKX2pt=at@<*IYS#I{ZFLno+7Vsuge56@G#wya;>~
zeXxQZvem0obQtG68MlToAF-U4sb$1n^w|di-FAEpiR!)`qL(}`0373YgJKR@#0ix1
zi8(SkfaE1TD1BCnBzWk>{%JMtkoT-Wi)!H6mc?Jzu=jie$oXSPWP6kMh19lR%o|6k
zyh-;J=7onp|4pmt6PEqN{ixTLR5lWgM)LeLJ|xcJNa(6F36C(K_Djp{er)Om2{Xy}
zKFoF^s~C+)u%2sfDnq@E6(-8<1}hvYzE*dIsa12A^&FBk3_l&Y1gY{v0Us;iOul~J
zI0ls<JQ3zhuo}TjBGR_T^~`y}?4v;6(Vv*8ia0Pk5yGz-&Tci|aoQ<Pi7O9}Z!6Bz
z2`)jeWcVs7U!i+5gS|v7Ey6@<g$M1Ok%6-MnRHNL9i;K}tAn|~VkSS`Y^s|Du{3q4
z=<*nzcO`s%59&90lLnrZpWFOb9PuKAHkXeAOf?cObjdskpbf8O7GzjZ$#D7ZAXIy#
zSWrBm%C>74zM9xU9(dvnl2a6yJ!RYBm)5i0+q#BRRejp=(MGr5e?i%tLyw#rl-hbO
zCP2_T$YeOAu@-PGS(^RuB7_KcfW+l&einH3i3o~>5%WXihQR0r;fFI)1&oLfUnKBO
znuqWE5x*6j2yOP*jB{Treal(%mbf~dMUb&3N0C)n>?EwAl&qV2x8*3pN1eZCB|&DL
zGXrB=(;Mo_2Bih*>cRBJAYw{`ic|H}Bk9*UUsU2Vk7xzEsoFloLV80M(aH7=_Z9p=
zA?X(a2WFF=vGVa|Ih!kSK@?Ic%1f%Nu0<|pXT^3c()k72SSvH&b&P=2_8nCzVyam@
zsT7KypQ>x9HQJFH&eOT(L2x~}8e|`4XDzTFnZ12+skPgw9H@zlW?FDFBrt^nv{s(0
zgGop$0otB!8wI`$(Zecm(frlx685s+?MoTNuh~lc{HBz3Ug$y7SQ6H4OJlKz&zH*O
zksCiy7zQRgWRkJ9!*==y3XvlG|BuW&wUC6~C^j!eZHoLKz4*UcC0HW8W&YBGf$|oQ
zFc@ZbO}w&-f^~j4!AUD7JC)L41^KiomQrQUjh)BmpL3Enyx!p&kl*CnQ!5ig5qe^W
z)E;wMWp}5q!Ja}snWmG)kkDNGnl1*va+ndSXeGgQ8b1LIsE0<=>i$Ep!YCW5(7tz?
z1}m`atJ(#}zTcC`8i(%K;ouAUU^#q<sDgL9*Uew(c?<MPw&gxQC5*p?9`W35ED<?H
z<UM}>V2m(J{ZTQ2Ic{_5mdZnHs{C7=WSgxk!*dE>9s?vCg72=^vz!0t=G{#e1ugub
z&Rqj`9lF%+0qW%*n1T{g_HdeueJR~?w^j0b@w3>O9~Cr8c|TsdIy~2z*j-`<Vx=a7
z6gTsLobn07r|C7IYzMu$;@j!+5v}DFpTO%%4FEo&j&A+E6Fpz?Bn_vRA0%Ko^641a
zF=Z9Q?aR{Py!Nxhv4e7<M<UK_;v?!p2mQ`eu&dwFb>7DQI4Ym?Y-!0NKGb0<1kt`#
zIQzO$^nSZ@8x|n8D13wI<dOylkWSC(ed<^x5vpi^WM!(VG!}GAObdxS+Z(KxR89u>
z8Gw<*OR2KT#ItZ7N|N~fp`#?R2IrBLW=03`tlZB`aSL3=qCcWpxmqh~T^f18pa8$e
zU$!odr{rKj<ROo5i^s1B2X1e&2Zk}N>}0<0^8v7g!!Z0F=1ITFvY9m+ThR<3`tq2l
z1-$wIt%hZYeDJxO1~!coVasQZefBf~kIN@xMc1vSs_xsHe*o25+f9WbW&S;<SO7P(
z5H449GjoHhZ1`qqZnowPJkzh19Y1bC*G2Hu6iUO(w(3+@Y|Q&4q*6D;hgH}m(l2rq
z-zigdsujH*7Vxay1e<KJ<=6Vdn0pd&cd%tzugIv%jjD9q6RH4}Jt9jH3s2LyFP@OS
zl2nQ9k=~#(sV&pVrfcLnO4N-pyNl`h?!R#6Wq|=AUGXW{_x0YJb*lvEh0SQd6LFC=
zymlu_{k_5f%K)7O`4$<gn0_SYz#J>Xc(Yt|?X5V0r;)=+&jy-Q8-SQhfC)cqz7#AK
zPo<7Y(R+!}Y1j87hAw*FzB3hSS|7a=T`PQ3bp1yxuho%#>|N3LGU$d{?%b~rND4#l
z$ke!Rif&GJ&`XsjJ~3a!^@v%M(<F36G8x7vmesGlZqAU6<HJ0A?xuk>DQjZzK_3p!
z;>z!<*Fl%6iuP!W5R<mzqqTKd`{DB{C_xYnVOXfhzuzK7v#XJWhkJ;*n^4P%Dfcdh
zhA`iLRtdQG{S_^8#LC%osk-;I;T;}J<E<x~{c)E8=;n{{@>eXM<hYaSb}kzw^fpHR
z=(Hmptc~ONFal@*TZXTI+V9k+oP)d8`0aWIZCrx-+T`b^*fkl_)(YUt?H|u`!ZaIW
zbRym*=(Z9T(U=1bPCq!?^Vf|L&#58I`Een3*$gU~gKm;E=ZQi%v3S0<9aN^gke<}2
zAJIv>P}FY{<tJp{YTtKH7KZzib3~sY*Sve+YEuZXbSF`l2aH~%zN@BS^7YIq|5o5o
zE5>lwKr#WpYB(P64ucW_{AhrI)0$XI5Iaj5EYWZ?@enC}{uB}U$3eLB>Ez_AVO53S
z-p4W`?cu<}Zy<p39VnBY1{iumlsa52aIa2q=UO4{>K1$6`%>S;U-Z5EA|`Mecm8Kk
z@K&I$ZA{4eSdi+i%n$>egJN0O`B5M1{16(@3;23)7c?PW{UddO6^vB|VT3PV!|WVa
zEyfLMfQL7@UC9Il{fA!-Ii^ieq=kG!ViNi6#QcJ5q(=gE2aZFPyFHG`e5EV@V;CN>
zHv-64Cd?3~(JK`;XoM-gX0YZ~zVvG@VkYy!FDu_GHDJKPcsngUfQc$4ImiorP1`;t
z_VQ^5_38PBU`b@zH$%hODzZHZv>I}%%uV0Z5Cg^!>sosMP!IWI^>ovYS1~b&A|ct)
z0U8kNTQg9cG&9OilK{baEYwKX7N<jTR*2}wd@u25MACYn?XkDXWH^)cDn`tKOtc`b
zwCLKVrfMsmf@L@#{y?lxnFZK^1O02%T)sGv9Ev|YD%_~3wRo_T;E0PgJJEi^W=(QE
zO(aPgQXDJQX+g>y!niJW-R@3``PE8K-wa=IecW{!qmDgfP!t>;ER(*xh5xEVtatF&
zqvcs}Xs|F|Ad>giGQ$$Tfn}I;aWKXCWP}hj=+~?>NyxW0mjAvV?TcU>3%}w@U7l=M
z%n0i)OMh3kif(_c73E^PY(hjkS=8qE6q6YoBb5B)&Fmghuv&=j1bJ>6SwE9$%u8-0
zRkz=hhJP_Z6hI{t8=X}61lPkRyIf|_Lly_`&DqcM0Soo*==-Q&J7V2q@o2!;@xcm;
zdBHDi?DPcbLE0h%+xHjZ;POZ&+&-(GuTISo=e2f?b6B_8Ztl3x;KWhEN}&;A_XF2C
z;#8DV6I$y3<!)1O?#34mARng{6|yP)(Ysq$2pcuu3jtsgzXV`o^lc=5)fxy4LuDf=
zr<aOJSJy&Pch-^25W7T1+w-dpJWzM&ID<gyCD}E*1|Bo#pZ9y`)^nO1N-ybDi~i1)
zRRpkqK|rFNIvHL=h@-nJvY83k)x}@-NeLBuZ)lE52y(@hKELL`@D<r<wcf^`R6KD+
zQgUKUpdhpz9O_exiVWe^jmKkMT@TB0M7eLRYOp8d6I6UUsoy{&r&)sE1kPK~KpSaA
zQb<Ne8a7{g&2yce!}O->X7*+Xz#lj}S_HRi_RMS|!FxuTkSci=TfCl46VCehJNM{W
zXxkjtg<&I#=3{UJ-2CnUuZG@zHgCfRp{`uSj-8l#37aREMilr$DD$quJuCTc{8iC|
za=%bsYSu%t15I{j6~pz6gQ<vn@2>tUo?HviE_QEN8*F8m1g_eNYe2XSXHYhgsr@V^
zOqi_QN3h)Be<u^)L)SR@VUlO-no?`3@MgEptv(Zk%}!VPXimf6rCpN&I?FmIRM>hF
zyWSrA5#*_m+mZ51o`wt)7L3~zeiO-;36Y0x1V3+1sBI?-GM(4L4W6~M3}PBmUf>mS
zX`ConvsE`K5|EH`6yJ(M?uMch&mY{r3cw6tcqg>Q%c3_X0-IrEB0Co}mr+Yr$lCsJ
zt~VlgskMeSSnjtuuk?_c?|oQruop{az-L#<X2?jz8>-oxKJokbFpglfSnwSR*q72e
ztu?@G#v@=@T7YMFc+!5@+KFT+fc^Jrb`CcLckkUpkauH{vLOqVsa3!?pwiRu3b#A~
zuRmdj^vWFgG`DItk`ss|`B@8Rm6v+3rvU6vNSUcTma($3#(ju6Vb@s>_?5J<4?UF&
z;jn)!9FrI32geq1Pgqxrp#hHyGWBAg^$^}ltLqcNZI|Dp4bE>@mxB25%<P5+*d;1z
zN@#-AiCC#8D@~$s3U?0<a%eF~zkvLwk8-a{b;zlHiDQ8gPFx?3v?L)$kM^Rnfbm#F
zJEn^k(aNlIP{m6dAlE(4$z22FpV}jqTP?UP+|!Alr`2UqCAk0^_~Z^!X@sCz?tPq!
z4-FIx>6w$>BGpuA+s=7wIO?(((fEm6CpL-74->4_8EK;1XWK$ip7h%3Q>2>{p`jWg
z`0o-JTcw9P1huvnDO!sC+q7=*6k1QCoLOF&$e(CNI6-?!b=?iA9o8pTPgs%;EU4+j
z^AV9NeORw3=8*SVZpEA`E(h5K+rO?A;fy=}6fS@PHj#n@MHCaiask7Im=<i<&VG`O
z#*Av_C<wk{>AGvg&I5x7Jx^du9an&U<Eo6gC7XOZ;{2y0{7=7qnjA{Ssn-V>rms57
zWDXzdgP^Q#Fa7AS%Xt?ZdZtIoD=s;s*enW31$YUC!6OsyOHczyQ-DGUY)i`Qr2>fD
z#QdM3;WKw)R{4eWP{pE<)vw`oez%)@@E%ZK)nS?2AQDcrBm>$@a3<i5_s!C`HB{Xo
z-+VSdP2OpkK-%X;P4C3#<rR(0wV%cxrsD4UzRZ{Y4Ws~U8h{4tftw{p;>4R9<F9E_
z-q<cpL&=nkg6hVBOxj{{zGb}GMaGO1_mWZU1sZQ%D{_Ldd$2H>VOA2p7eaO2=TbC-
ztTc?51gyoZM|#h)PVOI%kn+{-TH|J2$?%SP7{#)+{2kpcxyc$h4h#ZCxOkkqyYGt>
zMn~m3w1PbiILpVEJ1<||cWdCNfRoRQpd}f?CNj^&dmUf+O{b-|;Nh7EO1Hhq;f*$6
z;#%Ylec^4}Y6xbOFn%}>Q**=&DPUTtZKVJAlE0*4k$2$6o!Aa?<-TdUm~0jHNoU$a
zWH40ew~3pES<+*!cAkQf$UR*@=kTYY(iHo0>QjBILw@|EY?f>B@VB`58u0X}8F8Cf
ztwVpthH6l*<j0@I3v~G4J+XblmI3{PSjq|!1Z)%UQ?{_3+bx*`U*&m39uYFU)pClE
z(c|?J-<sa;AyHXcG6~PzN}4cTP=}s<`n=CC%2$uX<=dPIo;HO*<udDsb47jHHSG8D
zXC`&`aaEKmEfsIDog&and6K&YAyP7U^9SN6nv1Y6>^1W`B#NG{E1MwAO9RlVQOv}D
zR}C&C+Ii3-{E=rJcd*v$*Herf3tvZ+d;n8^597RA0d9AfML#iJRLrV)$Ch%Aag!pK
zCWgyGOsDMOQ+W_?xrk1lnUZ+cH!h^WsD7JggZD}=L0ugmXO7G-MdHP|nQIq86|6K#
zIsKUh2#j`oqz>$`2cFb-<wAvX(aD?edi1i-vJ__K`jRqd2H>t)ItG3me+DLC@RUZ|
zTQzdoqD-<l>bbNyNup~hOwM)eEONneK(yJuxA^{iWP~_OBA{Y@`fh<KeK1OiR~~%Z
z-LwR@kk^GFtQcCZI0JkW=!sa!nr;`DzNHqgF)$U4ra`7W7Yc~`7NuWhHh+M*CU~-u
zq$(FOV2Xm0hs$$HqSD==((RVP4teOobR`Fh!>!=*M8(6r1H@PFWmfbM+bl%#6La#I
zDrzu}IB0{V)eip5<7;1blxC+7#?3W<*@&qznPjj(h;88sP+m2WN^pRKM(&x}Vpf4S
z2w)Gif-TdDof-na^*fzh7DK%YPEjqmqwzg#Ya+mz46?=r$nsIN1jSTP5BH$qU1Hsp
zk==)X#Sa$p!r(4~B&nYRvuJ4D%5CN>jg10`pn;S2i5Ll^L_!w-Vvf0PF&tt-={D9s
z2FnC*&#O!j4$|+IY4qggkVwLjX8Zh{@8voh56}Rub_aNd_HU?$)TlDs9&;@K`$!Lr
zyx8$$@UoX~3K<}pnET7gUz%1^1Jsze=8B=s4IaCb|8k7cX@)q>R423dT^IdEbol<2
z0N0FMqqJ!V*#)tB($SFz0=>vMx3s+^Q!!9)K*hL-8#=)i3<SMr^Yn?+<8!-IW`{jF
zsZL@x@3=eco(I`!=MhJ1@YZ$=+Prj>PofG`KQ|3Xgg}hs<R)a9a2~45xCl@Y9Vs!3
zTNltQl!93Cy_)tPa`EV1<>CzxlZ-5+>jScvp0SGfdbvVI?N05laOkIbQ5f&IRL$6Y
zXLgf+*-L4gbt}feVdxQv>)C{z4B7+%o0-nyQR$mw$JghhG2d53ToMAz7qcrb3PW?@
zPJ5PQe0>b?H2@w53j9+qn&c&82&Av=K|ao%C*K>E)mR!97PO^k0FkE{1!yYMK59+-
zHwP;7E?;KEE*XdZKEXHvIogCTpZdt18K)*9oj`xSwi&9uN>p9^jKe^srbbnsD`an|
zpOznPOLEUqS;Ccxlu)ZGi#S;NZ{`-1u>IuAG%!to-phX2=Ey^{t@4)njdjkil&T_Q
z8=Jwcn|!}#fHL+0c+xM!P@7)8vhk{67(!P11e0=EYR0@reWG@~=ZR+q6sp*IAkm6F
ziwOvs8*wm2ot3{bRQVJJXd$IW#iq}rNTOKbdN5(?6YR=LF`eXhT5NPbHGSvi*VhEa
zmV^bA^gs76zJr~f!Yo(`f=e;5HWQK+r~BQ`t5xlSfgxn*?R>6DGcfJ@d)_}f2clqg
zSYO1mvb@D#79`p*d?+<qtdZkz%Lb^Tr8fo0_n0vsev3lZJ{$@ma<!>hP$%BDbA{i?
z?BC{Nw-l9Za^NRj4Bn*vTd9k3t!f}r7qEGLeF(!Dub@}Q#(V%|n4V{`CeakSq)&z_
zBPbWnEX9Y$dbY-w92x9Tm%b%(i5+(z_UCmn<%ntcNaM^;*EyBaTMO6tShe%6NBlyq
zpOh8e3#&Qmd0S_#)((MTNCs+oBpkL|k==L?a>3!7L+gb<EpzdzE`DG8m)SD&CnU$-
zR#Z4TS#qHbojUp7wn)>)Ivy>S1I(xh)wyW?_}UodK#T!}?;vPk1%#`J8ZTq(P6pUu
zSqs12m_H&&1<?9$n6r=Y(*v_Zem{oUPtyFYcz3E@Fk**aE6gZwq7^bsB{Vo48hL?L
zcYA?L!4K+SK6HkB+QtQkDx&0t7o{5EO4kn<A+&;7+9T-hqT0wnW$&J%Df@M;J7#4$
z0IbDbrhbc&_KNrmw5L53tp<~NPXJ~$%m>5oG^0(Nb;D@Nx#9Mc=YP~l9_fD_=a$Qs
zPUy;UF<_u1%X?3h*aB{|kCygnNvo1mssTo#jMw`1>i=?;rj^)$y@Gt*g))(;Afce^
z_M)GToYuHqI6-pL|E9LW@On!x7Db~WgmAxvUgS{7W;_-BDYD6X4Y-U<B`BO|6&Sb)
zY3)qQc+@N};dT5+VEwD~aiq9pMDr~NN|`X!Nn9++cKOIp(`9~>1-q>krTU-CQ@9ej
z>nOrSy8w*-vG8&_92M*dZ58h5=?n~Zei0Ps9z8^bS;uOByVs%iLUw4PbwPKCY2ZDO
zLg6CNAwCfgEde#=lpr!b%!(&u?sRa+sPODf_px336`uNenyf<+_?+W&Qi7ipRa+Te
zQ$e9hP*dZc{(XE6s^Z2vUcgic&J%!Se1URGoET2B{5o#2s5HZ-r0ju41J5F2qqDVs
zms%Yg1RFqd(13D^19QDr3|A59!zTk&@PgFNi@WVwGy_Y&1W=WCkt5}8;KFmR-oB?L
zGB4wyHs_T&+Julew!ylXaLo$z_UU;rX(qASWX2et@SjjUFVv$HAvVf#f8?B8R(=BD
z-UG+umf@N-@4=N2XjCb$+Y!pBbShB*t=bFv?X~N3X+L}nIB+5-)Ls;Q=8L@aUSOTb
zF8iuO7+eHflkb&j8Zy=uDDhg><3VbHUF7FPG2bQaP(y32OS#`!6KYa18;0+f9K`Rx
z+x4n8*0va@3PcJL7YoA)X!fPCsH;(?2sDi3hj{O{;ja_tVR59Ha@T(To?lQlT(*Kp
zsJV4=52mTm<%Qjljs5~o4sNc0Y6=U=MCY#R3#_0+Sw19#4@rP+x7^kuR4X}<a2}J$
zm>a*c2|2Rr%wTp=)<n<K2+?Ma$i=}eQnp7Q?lQsr|ND$R=h#;xmD_g9dZcO?#AO;|
zlwwG8dbdaP4f@UA3t43-FaaRYaWz=@kndJ`Z-f1(RTF|#nly^CkhzUv`#1C89$S<J
zMDEz+(L}QcY=G-&r2jVum;|+09t{0Voj}O+j&6<{9S1A=nol>aUcGxSR8Y>bikNFz
ztDXwE%;$7%DwO7P!=x5}Zbr;CE`hUlb2~Qx_#_3kU>tae&WM47V=z@k_N8-&DDChe
znFW{1arH)-YDqbN#e$X(aFX%B5TDw;AV;xcR#YT`C5+pi@;go_kC7(BGxHjR5kuKV
zt*Mq$>rn`PHUa)aaoFD$zPzBA8FLNo&1TPAEKnM>rOV{2jjTN_(|*#~WQ>WK284gG
z?Q00e@z;=@c|cH*wFikKFtWNf85kuA8MlZvSTSM02OJzc;l8#w#_?~W%nh;sN@cm2
zs(37p*BUH1NB2VkC2!=iR{jYeJ_>=Q2iL`c@k6q0Rfh>@%}P(l#c?!q8+geeWlBI!
zL-KDRz_tdh`Y;TV5Hqgr@P)f*5w9+#ndv3~5?Uk*?=H6!5Zyb!>&QbTI{AhmC$@zO
zVX$lag-J3bmV}nQgcwy_xqDW-2p*nXic`XRTORcRs-yj;9>6c%AK4&1G+GyvoV35Y
z=fSk_w~B$wMYRIaPK`RK8(EBI_Vz-XC&(ri8X@KOvz#^m(t2KT4*`Ggj_MptDxs2&
zz)A3rU>G(rTZUSy(=yM%zYCx83wgc$k58T0g=5-n2Vv^Sgb1)3!L|__2$+)!>SKvn
zkT45>LIvOju?Ey0&|mO2z1Dk7T1&(e2&3=lPk9PlN<boQ1h%tuS1x%x7B@M!FNO%N
zEh=2M+(oZ@l)-?_Ka%D?oYAt<6Z48paCj6}<c8g2t6cMp(9xY37*0XBEz6EjN_<Yk
zm8IP-dRyJ8NkbBajn&`D44$R6sWdWTkD7lo6cZF*Ail*2i&*t8>bshvrM$=`nTU1M
ziPwCX(mZEn<|y}B>Wl+vcz=Eu<0*5sOqUf%i!HgIuGu<N5c{=wSU-G8q(7aq_~mP9
zDY$S5;>l9fwrXUz{gH1TaD5l!N;U13qmC6=l~}ivt;rS6I~l1Z(e>0IVPWB~Jy*C1
zqp0WNOZXLRP=g7nz)f^`S4n(Xq|qgEBucdiF)nZur)j*s{${GIyS`>wyY@D5e)q4Q
z$X`J_@b>(zo|p|eE=7#eTWR3OU3s-Q*nOpiC_S}u@}u`5K}J<Y`7QVJy9`;ic+eke
z9DTVsJbZvu{%BJk9b&dDf))qyA<|0YNdzAp&X74^$FM=&l)vGc$!KmDd^S=|8wT*=
z0pf9d*k5f!Q^H#R?b~joE^U<^zftv<*;Gg|xZ^y9_ujz}Jp6qLHsHwuN+QTq(7)KM
z@;wBET;7TFw_dC-$I_^K2I>`O7{{9;I}DL9)W}NTmMyh_)aOO!2LQsSO4LLNU@;wW
z(lUriZM+6vd#_P`Rp+@S`)VK5kXm*6GQzOKC6#OnrKOc=kHfu~J0susYrkXe%&Ss-
zoBK@)+O5FZ;1GSV+OGZ0&Bn-w)CA8m;DJHfu0Td`aF<}>ad%xYkZ|Du#3dXnu2v{i
zLJeCSKR1V4z4VpM3JAf5q*@!PB0#!HghV}*r8k@TU1b)`Y2WVuYEAFT-2qA&+#USF
zZHnmv&L0b+#=U2!3%Z8(9>^>70`4g2L)EGCBpd=44N@e_iL6)=S}@Kc_Y0C#C<xMB
zsQ4!*W672#ns0!Qu5BYubb=R%8P=!pk&S2e?|?1X#etVJtm&>nomBvXbUe#bo<RIX
zOmza91&7DEO?3;i?C@#85InEQR2ZJge)k-AqCryhc{Wk0f1Z|dZ!fn}fBe^Yv=Jfu
zR*yUvRkc8WzD6Y`oir~(sApB2xUM%6j@pbNEa2>A2dn}*ctssHaUX6w1(7{{2r})+
z=R+t$LC}6$y~Tams+^6>gfo)}cH^N%e!k<=lpq~i(A%?HVkDe?Xs%bX4-EsI2+kIT
zG~bOr)tki0AbS&P+;G`-#&_iU1O4`r_TPPLS4zfP<Imp{pAJM+9wfDmBPNu9scz{W
zX6_q5@mj%mppTHqd)uuXve?Ggl9Yz77sfe!$`hO}%ViJtB2Od#=XDUZ?EEUyszK>G
z@ah2r%x+xa44X?DuxRw6fKU|^K5mpvh4rYjIjaj+4`cg(lUFY}C+w`h5cNOs$chFA
zI}iLWIMYq;lmXINAPGpD;dN%S?oT?lTfNm0vK5^q9xIwyo(IHHLiNS$5hy}MN$B!m
zkWkS-&&&Ls14*!uA%~bKiW!$KSHik&d~}hP1w6sftWEP~ME6SxdCv02?nLx<>kjk>
zl;Ai<w~Bx{F*6#zP9EdL%ab(th!j*6NK6Z3PA6f0xs)}3aU7lY1WV(;q##JM4BhS;
z0i3;q1~wdB!*`Wm@TzmTBF;gE?RE3L-R|l@&DdO->Fp>cSlP-_Vp#G}E>Pa!)QSnN
zM^N-46Azsg_C<Q7*=bl*MbA*I9BDbJ6c7wskta7P@5KsA*2sd9vH`4)u}W=t29`v`
ze_IOEwzRnHDjq-R)Dy}?$e3f<3~>vB)(4iEY@|u=2g5KvC5kge+PJ4p>4=lqd@;X5
zsv%?1`e@xIsz6mUIE6?r^ht^23U_0T<By9b1asMGvc>e_;Ry<R%|QyH4;}hL%EUaz
ziRrrp=NRzN;P$wn3GdQ(<MfzfvNP>{->y$_@k6|rbALsDuFWCvdPj-1p%T6q9j~-A
zS~u0MsdnG8*TnUZ>1eQ;|3W26jaTA%yH78jZh~Q)1{U)uUj&0{FSpzqw1n;EC)tlv
zxm<?E;@!(*=jZ&}NpV#*IcqIa>P8mzK=*51S7TEJQgG0r+Y!hCox8QWo-=OPNB8d=
zbSgFFrq+W<oz2Tw*l8K6RQU;MQ&aTDKDMCk`Qr`f3JCEP8|B3HZL7p(1xEA$WDsT9
zxAA45m<y!uactyBRN<7xXqJL3gCHglTX#FE<0&C4hnG_1(z|mIHwT5P&xI&k(O-q`
zie?fpVTx@w4N$cJ9M+{AJ2gwQHs(tJz#e$gg2HGp!?kU_+(bt&1OS9wq}q{E1cVj`
zl3GW7Ki7cy^~mZ+F-0EAlSe-o?{FV^>wYJOz9($Yw17E5aQ|0ngGoGp<oX6dD4jzi
zxN33Sr#G@uv2N47XI)C9FR1{l1A$>bT!X-eC>Hi1s!O}Mc6;d`Di|ECHowq4_;Ks|
zhz)aPQchiaXxBz3=(JvXeiAJ%a12U+b@grEG^DuOqv2QGY`7}@EN$5Xq0gS!!Jqq2
zrt#S_5%m?V>v}5Mg_9^M(MGJ;mLd@O^?gtRp_S0%MrFI@`k+Zt|Mx%J<iZTzC^1y@
zb|z#&um7Y69U+bz*|KF3R8YK_>sUpr&FJFAZQX2>Zi;xHik1(V7ajZ9A}^}sZv|eU
zK`5%3uuJoXIXG0|XM3Wx|LQ6MVa-rbOWrLmW6+L2`OZb=4eGzBx_8jYF%nPwc`!tH
zr?gJ@jn>Eq-#ps6M@o&Mdg|yJE*ihSTU{5%3~+_vZFtYY|24x3nRV)gyYF>L(}tm)
z9pqw9e<F|i`NSE$*#f}Msc}njG>DDDPTwYS4|{EXYHOOg61bRhmYfqJ<6}yO=1K0t
zYZgsJmoYoNg2Hi6X8h41I#b+UZ)&gET5>`4$bz#)53b_9ilRK#t8vTOo<hxGr(m4x
zwy=*e=&$$~jL&MN2%KFgl>K)_asnkV<Er3w#ReQKg>Mh`5tiJpRn}cd`_r9t-iUrX
zB^?J_h#c6@fT;&@n0xB!n{;L#Yw!YiGh8MbHqJq2!)+Yumyg(d3WZ31<|vV)ImhMt
zCKBW*`J!DhmQ2PhQou<8c(AOC0lLGpMHGVLc=z{P#+(xj=wRH=VS0JJsuqAwdB9My
zkt!2mzJ_9A8eDk-ARk$*xma(yaB>38jTTJ|+~iJLCjZ=0UTq_nBa3v-@i1v0Dhei<
z3DuWp&QO{PW7-x}>%R56wtNQn=Uy!o6reP)wVp=|MP2P)UWF;?%Z?<;6OAtQkgC{w
zDM1pSLiGGKu-e_Xc{I9O?GLr4euMH&IMWuX&g9ZB3xOr>z#|Qp<$WW{G9fNh2FpiN
zu9ccEh=WVzZ-HF3eGXtBjEx;IEwg8-J1toh@BdhM2Ftc}CtE~v%(H2E`i@TR@F7pY
zcp>o=6*1PYF7RQj8`B`5RWFTAByB?D9v4G=RH4IDEF6{pMyA(`TC$x(S!fef2zoZ`
zU!l@vp(nVtNo@n|=9+BmB**z7*3?O$ZQw3xVXT4O^G#Ezc_iGj1u-g^|40jg(k!0@
zFH5wP>j}(VQMF~1ZhW~q_N)ucpR;^);msrj{w+BT7_obGw~N|50;8OX`A+mQ78)qg
z?wjdYSbSckF2=9rx>K}WS;qe5A%9REvG2s5B2S?E;}W2PgeBk3>2wJZ!*u&n1SEpM
zTAXynBGO6(!cn`TkUmp9iT4tfh%B2DbrB^ay2M_4{Ail~!o=SZ>YH~~Zff)NC>CM3
zp+d{4iAO))USdq;3HBzc@8~59W%{rv_i@f`iZw=nC-YtOUZOizkhp5T`%I%O80IRE
zVGG`sAv2WZlBS~GFc3NP>io?fa$k6VyoUkQ2PE2BG5x_<du>_IZ*zmOQ}b!xX26E&
zv2TB?xJJE=E}gL<Llw(aXQcrsChB0J?P1z7)Kg;doGhaG+J%4wkKMUKc(Z;P$+#`P
z!QTCl6{7s6kCD{-GhAnJ!i2Kswa|K<x(CU9?Q!b!s#!z>`r%^vfYd<VtAjY&(#Dl;
zHVtHmOp27*Pt2Kx890iJfX;ERbwcmceGPaq3#iKD$>28*feBTPp}u))Xl@1(DtBnp
zWLBt?%*RZ0g-e>jnCBP=BvGhkeqTLN*t#@safsRYv0gs|>2CsoSGgBNvL48OmKhd!
z4$tf`!XJ5|st7i1VWaQxpVnRPm>`H(2D5*I5R>y*7bgqKs!B!~QsNO%Y>F|dCq|+S
zC%yfC`rKv*B^AmrV#TGe&5RoRm;X6SvzWg$GBlqIq1hy=RYH^<45GNVoK8LWUoEgb
zrORbyUPn9bGqUIUfpPcl!!TQjr@iJOTC0%e&ZwuoAl3Qh?gI5it89jrIhJMsLn=c9
z{6)evL0+fZi>`@$CN*y>ZT>!RAF6M{@f*DL7U6gw__g7D`%at9+{nwzTxqTm<|(s9
z#|`FHpb@y*-bz6b(;F_*w16K5e{&0&(|D<$%ZIx4Gr-Q@DyIYk_)te?h9G*vvr#1i
z`IJ+Q$fcg%OH1;ElKcTBVXDwLEfMb|;tD5QLdFZB+WO5YdeVl@ei>84Iz(Gv#7mdw
zs-gvXohY(YWw*Z<O1h~$9?PG2^MOFCOVX%ax)x&aU8GM1Qtx%i_UAwAjbD7TpHz27
zeosc2%VQly=rdAb=&n_k$BiWH1vFlIHLAbT<b#p`nDcI6*EobJ91(3e;M4VlrFr4}
zW0_xCZpm?PA-6zP2$$(;YiR-heT9$H+rYDaKvcJlG{VGB%eTjHGygM1>P-YK6Yz!Y
znY>1q&#81j^ybix&SsM>1Ye`xqh)7L%K=5BlL<<yHSO&Yk+DU;tir-jZjau+ljXn1
z+V0jt41<ZG5ufzvgEA^|A4QmJVe{Tn&R6NfmiXs?E;tWk6u5_MKtt^Im5uDZ0J8fU
zGP;L1&=#u~p7Aa$4JUx{n(hvV*1SA0h=c<%$ga?IKsEP)D4hz}WE;fEj40%+^S&I=
z2CCkXU1p4s64fag8zL`}0z#cS7IiY|Wb{INhGD?PtKKq>P2$z5h?c_At+m;#fl;Mb
zV!X1(GbYPUG1?v20{eT3qa0eMKpIUUx`O^M6NzzTL0w19Ytt6RS-ny;?1aZM(8`@B
z7`9c?{eF$mQ%U>ZL(}y=Csz3}uJvXXwW6V#1y7`YyVy*S2i;(+W&W80=<qb#x=O<8
z)&A@qN&slwXCRL-eQHc{EpL&@D*xVZ|FZg#L_%{D{^U54p0W@;E36pO%<z|3jYg|k
zkI76G$AH;20+_}l*S28!T0j2yRo2j)&qJ<pJwUjaiQCWa5*Iy0961US%szz3!&%X_
zmMxJ#d2aW7#R06FF>NWrY28ti;a6DGn&sjIUJ)%lucI}SDeN`S?uMvSC9W6{D>y6W
zHwx2Db#HY4A~;I$IM-=;W+h)`rb}0SB$U<7<Sjt4rQNoy!@*1Sl9t&dY0S@4=F%iT
z^^2l%MA7#v5<VUA$W4)6S*g}sT~x+Og>En2Ot7?*h~xHQbB|@W@MO97-aCUz7W~jk
zM0Y&Q$Sr;=TJza$II+99R{uhCRh0a!eEaw&rKtC5HY?HWqM}x5Cy{gAQ}7U=0GqNh
zZ?N8zp*u^Q;MobX*XEZ@#KZ{lC=zUIm)l~<_m$xz@9r1ee1+{PJokJOHYKUJc8TWs
z%V~u!XM(_N5ZIk_QuPXKoeHesfm-gT#3Y+Te#ZU`Ofnpkf|U*8CwQ#<8j7eg_TO0(
z?pCOZ)aKX%{}^Uh=iD{ZjzDXY@s!5a-p77bdW=<)bxfObQY*)ki{uiZIRK-lg0Nj}
z_PJBiEkC(N0=8fZ5AkQKS+gnA`wbS!xwK(HNo%qgaS<PA4~qD)d^!UepGj@hj17LF
zw)t0IhBs2EcGC)!o4jlNF7{{bpEUAC;zj#yUH#$^T5V^wCbiCD^y))1W4tI76;c;P
zmI}&hWO=UJprl)cE5=$8!NIYBH?7j8KPY7t1c}kN^N}?^%-!97J9kJN0YUu9Yw}WI
zlj@_q`Ma;9JC=93c@;8<`p^@rie0kEW=00{C9HjoaLBjbKwK4K4$p6P08zeaaC)T{
z4j6R3@}5!CyQ44*Gt*@H4c>D|N?6GDBe+|4AC>_;UhVw_Kudtx<VHiut?i*af8T|B
z(zN5^4-lE&lbPymG`yc`b!xa@is-tBdiaEmEvh0UhlMOwD2*6$hq~uQOM?Ty1H|DY
zV{;9*Z-IB{?L$ha)Lf!N`8G>=j>LSoRDlY?ecTb+QRz^1f`!6f@IZ}o@u&Z&c>q{Y
z$R1i$xt6+s-L$T@l$qn!Nsr&d;>{YNcX9YK%v})MpWeRr@o!E#+N$?y*qBFm)EDFJ
zVfc>*K3YiyNb2~48iujK8_4>NOxgXIN?hEO-YKF8B9I7kJAKZfX4)m!PyoPu*%|^h
zAL{7zy7=m*)k2fbr@`ub-s~Eadv9;o8Eoi<EET8k)k#5C=hH?YYUPWEBt93a$HZ0P
zjyXZUc|W!9{`U@YO-F|=fTHDiuE8#^X$*~cnY;%db98I*Ee+;~nMszBjEtLiA}qV-
zU|IJTBo=`84|6GDxDdF}VdxAb_kge7Wx;)ePUcyJAO~JT2AXV9JSi~oECkmCXu##C
zx^gvYex}*|I(02N<9W|=nCW{D=$S=2<F*P0O<cwvC~~)t7v)}2)KHx>aKW!8jbxMJ
zFlJ`%xr#+UrJYjR+4(MgbHs_AY?Bk1d3`eE`v&Ait|O_yIR|Ky*YKPhbcp0pAyNk0
zs~iL`BMpzu!KMnXUW;**LKG5T>pleQ*`N7}^6y<(M1VQS){4@P0rMR$6{NejC?*^`
z5f+Lf>ns2pE*v}&xYt<>+)?Z&g+b<n$c2RAq$Y{ueBUs*kFJRwkOxI|Q`gzh0n2s0
zC5~`602E`pHfgettx%#iJ^KwGXf}H6TZ0EH4Oz$Gk#$hF)`iTgZ(A=5-b622c0^cn
zw8j3e-=%T7w?30MV^w?;;#q2jog7AY7V3*!%QW3fkbHgUa*6i6VQWRZFWnTzgZ1Oc
zuO==|Da(&_(Ifq<vqUEm`UHP~_)llPv|2cn@IXk#Da~~@;I4}{6%7GZ#vEC|*b7T_
z-lcgJOXC}iX_FW8-X_XI;JoaoLU#Qg0Lt6JIH^QSSAngD39w^?v?GM~oaqzqbGR5L
zQJqLpFVgZT86Ob<ykylfh+v;6QP)p2(C0z&hOI7WfO|cu6gwHJly2L>1MIpjPJ<2Y
zaKnB!Xx&!ye-WC<zDCCOS0xwSES{9JKL02<y*;WrRrjt1PGc4>cfFe`ru76}De)=D
zAwFadwK5L*cl(MWpqW5yLaQhX;l^7u<c9-<Ohnx!Gv7`yY&IYAUlEq-zhqOXvgSh^
zXp+Y<coqoUgr;QU8E^r0rbQwU5?QD#)8g!20H!`bvm0G}S1x(%%LqY+GTplh)PK01
zb*>wOUo#TZW3!2@6IU_ZyYn`At@dc7_p_;VCV90PI~LKs0E7aaZY}`4jkrNG{`p{&
zlh{u_YFe%_UPE*<&yBq-6>1>7`?p2TYP77h{#%KUsgVQvpa6@*7VT($Qu7LMW0B*=
zyFJhes78*<o+>1Ruxh4Aw`%AGEB*6-cNe-~!CZe28{MpwAIQwW_=k(}T09jTx))+^
z7s0UdfG_=Z_?;faCfs7zvh~9TsmRM9togd?Yel`U-=;?o8a+Yx8ixOkfpC?+>9a<T
z1Jb&QTsV`8UkeKGw@d-QU}nj-hW#9tqs@JVhSs{OJrcSd+t9EWg$Mjsm&O~C*|!i$
zr>`x7H6~p5|9jxS_R66xC{bNwI_O44>Vmlci_n@G2sVYM38U11`&k$M$or*^!dISL
z4baw)kyatQit7^culE)vKnF7%txP#SH2DQ_`guW@k-+ZyneTs^&wy=&Pl`+kPu()*
zvW{qZG2Ebf;+817aP$jP$yo*MqyB)?!uE0tE{g{3E%leOyiaqGt5Gl~ZOc(O`B@Pr
zS?^!@m7G7zAMxK7#|2k{3L4ZTT!J49IHYgFf((faEdYHagWJAHmfa~dd`v8A5&<(R
z1prTOr#t>lGeQ!{%x+-t3;Du1AI5t-KP*SQ(6%S@5{vAQ8gw{0Sp|+-i?;uZrn8>O
zksmP}0b>F%7VM#vpb+JLpOzk{u2=3j5!J+bd$fKV9$La`l=_x)x5`k4G~2;3x6MC}
zdE%;5QE0}Bf&|rtBpmJlOdd7OnLS$*+nA*I+4%<tC5uW@Iojd(L3&XH%4ehVyRW`&
zN!5u3(DSY%`4Ym{%@t1)qxIUf&QXj++JW`t#mODDSuD+B_K<As(hFXO-W!urrGIFi
z`z^T*beT4u!0zPYA{}Jf@uzoz_g)luHMBGEWi`yO^*>{S<eiAt8~_%;-GwM4j~EPG
zMRku;BlTmRXGAHIG%a-^_Cg5H+Uos@a!0u=8fXvamn5c*13A`^UvmuZ6nH}ex>;fm
z+b0H^aF`BU3-|>DVHHqCnbG997>;c!d6_o3+$DSSKr2LjFKzE=nm{NPk=E^mD73~L
z&e)0HSJe8ob13S#n=*T`t-|Lsa2g2;%BDLDPT3VmV+JRmX%fSBj|)Y{y{?Iewu8~#
zcBT=x<t3_xEL;u{FF})X?@Y9YgxJYH9CB16Wm0=!IL_J-#lDKj3{`+ETPWO~E@-Ki
zRruk2Vri9M!<34YT{GB58o>5J1t{~Jy7ne{b%t|Ps7$HRh1qErZd}{>5ZDWQjvpW3
zt;BvQPV1Y5hCS4_ln%f+kLud)TtN=DBTb+Hs>wElqTQxHTX7Ad)jQKM6+I>9zQ8ZU
zvq1k1(#cH0N2U1aGUv*kwJ!T`1@XE=+A2jJJBYgoSR#Ddru-I9j>AnP6of-ToJ51O
z@;J2*5OiFN_cEoo^Fk=7tXt`(;AZ(ahkRlqV3kSrq#OwNX6YgdSS4Xw!-6wTUS)l+
z7P|@B(uFbo60Ft0W;CYW<bV>8gt@}{BbqDhWF)^PFeb3&_ZY*f+M8UkTl{z{!Dw6E
z2{}I*#sk=D{49lb2RzQ%>YRl}Wb_i9|2^kd!{Y;{%=(=L;5I*I{m07{EXRy#ln^D)
zITF(zG$^pky)La^KIYIbg~Jg()mLu+wu8bzfLu>dDcUw?b}E5q_R5Q4s6e~J`7bA>
zzV`r?X4M$U&r7(ZbCcl6-VB3-f0t%H$GQw#8Ruta;0yxq8vIclY;n^EZ#(~c>-82e
z#}<VZxVC80hTa2njXwKvq&ThTJYs*{XWvg&Y449@ODb71?}v=SSnO1$J6t&Akqlu}
zAb@zkI>Y+)WML_9gm!6JgjN$A^?j(Y;*kGM-mDL-8|_d;yhWenAbvk8f!{wI@B_$Z
zkszwuwRRFt#M^PSiY%A)*e(VK62Ol%0F;f|q5tW>Vaiqpo%eCJVo1w72+EvabU;$C
z<S(46r*^$c)z#U_74!jAQc<5d8Q<yFF4?>)a^_(tA)+X;#8`X|-S|(%V6mk{>ArPZ
z^Q6?rN)#RnfMClfh)9~J%q}tso`yID&qv`th<1DyqHl*wN6f<W0aKZ?Q=CBi-X34*
zp7UGYLHav59HOZ$Tb7LP7`Je<a0N3IbU=tcvG5kddw`8e_e|r_fG!KgKS?<&*kEVA
zM(Em~07}~#t4ncyjY5W7E!%VqXfsuxkGg(L;~HIT@QZ$Mot4>1O*vwR$p4df!o73n
zit87BDS^9KzpQxe!`x<dAZyRxN_c!O@dWIfhGT0{ipm_$eEqiP^o7tl)tJ32J511z
z7ggm!Z^4;_$In?6`sy7rDkmig>3#&1mdEh)L10tpC!_v+fuK32$^WYClc)E#&u$jZ
zGMQ>D|Do~aFq+21)HeA|a`x5Sloqci(7S6|uJGj@QKJIHiyn4s8QXaUC#)2sdSB}X
zoF6$vw%P_@%1I!f{4CifCq%KFVaszqDv^6wjcE5@y$KC=2Sd<4nCjArR8jpwYzfJ4
zv_1fhH`WZoz4IvO(7N2af#sDF+c(f5X{6@A1kId6FjYaFGv>jEa<6(B=7m4wlBWXh
zxhqO9&9O6Un45qB&AW8;@(Vs3;h20`P=Pfpb0GX_#dP>&*)trfN;T;_Hr`z#fPsEV
z48eL`8e^sOYZL8{LN%}S=0V>yIdSP#iLlOnNprs5VPFETOY3ThiWCo*(DZq9h<NRM
z8Rn;z?&R}wnI=wV=w0n3kAC?~Z>V7)uN`6YclXWlQmsInh&}6I?+{jXz;qj6#|N}|
zIX1D`X3Qt>fjjO*u_adcI#QqVjTt7^zI=QvNlD|4M3A6aIjo503*U^7^1mCJ-CpuY
z+-#O5T-cPuN(+VA3mNpatr12dG<`1kn)n5f`^87u!coVJP()WuKJk8%3=A)t?VM64
z<CXG>&nM054IJClWd&a^QFe7uS|Z)(#(wbrUm&y_1x-SQEPFW0eGAg6{tQ^&_W<D<
zc12^vY9YrZTQwz!c*oC6n1cq#a(QNEkc7n&h>uAHx82QgjI6ZMkhz@|G-x)ax?h+L
zeI+eYQ-Xd4f8-nAed80EQLm!u<I=F>$m{?y_y#(#*7xer$x;&DEsdOMf(e{9OK>n?
z>l&E(O_w08zHcqYb5<j0`r(>8j?yew7J(W=#ylTaF2gepW#Dr>2mbalE2QE#+5^cm
zHoXg{7K(7w{-+>ztrmg->HO+ZZ{Toa1?OmC5|AQ;2<GKU{v`xCJ>SnG0kN^aTq@nH
ze0!MH{wY9_J=s{NT-j`49=`4KObp&=I#C)waFqzPKTsxypUpQ#3#jnRf0^f_$rNU<
z6}lLzCU!YSpm+hzoP=F-zyR-1esP+Xeb}q?4+ZL6+1$Vz-rvCKDX|#Xmjm0Q-TX)N
zx-ESs%S=ltfKpsS>iQYLvr=|n{5BE+Zk3jUySWQi(wT3qcymJN`IRgj=QKE?EZNcE
z<#@m&i|`aE4?aeaNzn9VeJu8V^FTN>BlDc4Ana1S*@j+EkvH!dze09&ZsPAop~xDJ
z%&puLP$h~tgq-dmO{iA=R1`{ZlMN)%igV~!ndcd8vz}L*N9ro<DmR9KZ?4d?i6$TS
zkR1EAGM{_ru^5(YfF8|;GHiZzvgv@OaI4uq<cAkOPn_N`&MUl_JrKW#v}p-~JCF{$
z4#4o60jV;3-2MIx?lKIx)cnFzUrkZ>fUqr?`q%Yy`3aa$kQ#JU*v$Pn#Ly-6Fb)}<
zxKLrBQ#{rxAzxcGGhJT-r50ZtSjmb%_x=@p4W7@9e~q#qjmQ8qK+M0otoO=N&z-4i
z$0l{>sfoK(9pbk(BF+*Z`Yi#DaKIh(>CXD<Lt1$EhVVcL{+Vi=^07Xm(F<0?DEd`E
zYJ9d<`J%`T5VJ3x5qf-#$;xNZU^hYsp84+^83)2x)z8gSJg>h&e?PQ)1X?u7zWsw3
z{sBWNfx#1WX8BEda7y~8bZ2@Hz661nGt#%?H!j9>ot=Y1VP7O?L&X0EK>{~RL<c>}
zC&MdWxnwAn03J@21CBtq`4!8wl}+IR;gMCRyfNSbXDt_K+^E8b+G;)tuPGwZlfM(O
zM6<I81P31BJSPvvmqB(n6<w($AY0Y2yv$C^PhzXJC+emSFI53}m^MYWuX>lH;(>n^
z3#$E+jZbd#TyLvbF_yP;>G6nE?K5?+F(xlnp^QB#TqgZp7#m|Q1HxZdomb3t0*?jL
z{lHe)_73{$B~&2BB+eSk1@7)#4j)dX)pKU`xPxbHPb5i}9sa~KB_-Rc?R_?<6vney
zO$7LxlcEcf>oKXLJvLi#4yjl2H>!A6ZxS>I&3bsH#HAHv0^!+XP*XBL8%cCeMRs>o
z3!t0mD}7zq`Y3?&RCKNnh4Y{udQUdLSXhP0uuN_GFypa`21xIkT4Au-sG+AO+9PoF
zwFJe2t#eDDQcnZZM9ZA?rEkv^5q3;Y$VNr7ktq*;AYOO(SPZB|BC;@fa$^TeD<S9S
z<k!T(<v1UaD6#XAV2!3wJB<bL#nA%@54qcp8PbN2`cuHq@eNxc<*iLu!a<Z`Kzq(0
z2QWlEeg;vW&S@+AZuuvxmw{h77=Y^en3ms<SJ?&XX3I@yNtT8k46(XaQAbimyYF*p
zw{vy4J2d4P$bE!R<LMVbLVu&oBX;ZGg3_HFYorr~c=a&8{9A%6u7dXmDaoJI8V(w>
zjZlF})wAQ-NO_(@9UBtfnh2{zc7P^;3g;%3n}?`tfuager+56=8s+YH(?E>rBp)m-
zbvSij-psN1X!R%F9<rW4$}A>r@kCC$lNx^T-&MFYfGYSp;|<2Kre+smGX7FpnL_gG
zUu8QRy?ww{PF=@g&WutHuex-NZ(b8m>&rXdVl(c_s8T6tiN3CzAoN3tzZY!>W>OoB
z8iQSfhsWML$@22(B-g;$zshlj++EVN@ATY{UwLZIfiUn7e(&JKil)DfU%LWuNM>YP
z8<f<`%DTbfLk#UzGy~~k^;*eFSE}Oul<^vutCu=lO)!E-^b3!k8-livb@tX;nB0(3
z#<>i}%WyAY#L#RNS4@w)T)$qh!Bzspsz@@`wOnGVKD)y7M^NRz^zhF}H}NmmKOx$>
z(tR$pcLy;7{7ia^N?r!=EThFOnut|GSY0~0#hh<i*9GbmDQzwzK#dXRr$)GtppPew
zHgnGZUib0K%(|Evd7v#K|5?exFum>p(#VRyK;5WC+bqlVgEjdcyzBRW%>;iYAM~_L
zTkkWMDU-V{#R}njRSgdwTDUc3&-4eVptbxmSzq^}#!!DaI4*{^lTgpBvrG4vWlX%q
zWRUYf9*oGK3Z!lnOwJg-j&ePt9OxE+y7F0|+*AbBa!=!LZ#3nSw6|k*)l#*WC50H_
z=|Mjb2a-<Bl2Re07X>=0aq?7zilM-G1=vws#7$Dnw^<-IX;ik>0>SL@$S)O@u8XMT
zHrG_kn@o@4j^GC4BN_7a7a{qiM6OZDj)F;QtSw(-t$tlf_$I%85n7Ty_1?XY@W8j|
zir2nT$p{7B?+7qy%(wAV`qy%IeX=UfY`7gCjLSXe*K@xgV`hX)U#K~rgs+2SJn&w<
z2WG5a+J$<OC@9Ju_T~|PeMlruLaLN%G(fR!eR19E$1^5faZ3bTHbl)P#tU7W>(e!d
zCNv9om?ET^pF7)zqwVl*-^&j!fD|eLkhoAh@i_!rL>K=k*MiWc>b2+#(Ph+CNlRn8
zu++X39(J5cr<Db-;gCuAcPl9VPBCIRNFoPw464eFvz-dRx+CB_$L^1+m;96~_A3t=
zb&DAyU*4BI*Who0Y8c>dvH|mZ%2UUY6wiW;27?-b+dmfAirl8~|DU$=B^&IG0-;Ty
zAG-*~Jd3Mm_IjQP`<(cCOF@CmAO@&Km>%)Jvolr65imY<Y(lCrtsIbgyuon#OE0wW
zmLi}gpRpL!ZL~}iQtqNFUV{rG$b0@9aYV<*<l7%Bp+m!rD`UK{;qrpDA`H#T7O@m-
zX{&9wiLZ-hx_T%tX<6Q;^;aIu8!~~Fsy`b$&s&Hsb=swdKnQ3|Qw)iIh8+>%+^_kE
zdLn49lk-?2^V@v^XMeDT?v@flw{;;U!Y30!F1VBbO<gADX0`!28yj|V&d~V-V-G`a
zb!Zi6g>9J4M#nEM5ie5~lZ7AMT7IEr@GJ27U6Bs#iXuq8L@2>Kn0DOLoTneFq~(wK
z%2v{61*TG9+m*XtJDwz&x&XU)mzlTQIdcF?SNqGb*{z@-aF|W?p%k<K0tURu-}Hn<
zHf>6jqu?H&Taml_V1ose@40;eLyt`|E-$|dOJR{qLg%I-R^u~_xbp%GU#$#w{H_<|
zypuh++wDIl@1~rrVNL;DEeR2~guGqel>sVMkCyj^rkuB--UO*06J;UiNv2S~`?Alz
z<{+fS`!<t?mmfYKQMsiB4dmsv;|TV4a)VWbM?`I>p?^v*jlYQ`FVG1A$iTtV#%4yC
zuWl~3^o|FYAMxjKC-BxDJBJg+$&!72^nT%~y)8VVge?NwQKf~ZP|($}M<RM{0mWR(
zKoB(cseMK0RZJ5#UPC&Sa1F`tujxqIeIT(%6?tqQLA43>F4(&W)FGA!ZZr^FgY)nE
z1MgQJ9oo(g(2{v@(jdHygVjY}gH=FCVLqGP-H`2I6rbdSE)34j{{fL7lAa4yS3{X}
zeL8eA7z6a?^cn>qbVifqroT-eJ1G%!_#2%n@3fE(2i;GKIm;Q$3Y80@GSzb!fY$;D
zdxFGPAc6<BX1G0biaaK1;%S8LU&rgBadXI3#tfMMDG8{eJ;vH!nfex&z|RMC!P3X#
z))a~)H9;r^N?aYH&@!oS<j2N%E?JuNPqma+#`9RuFKbm?VIO*N;d$hSgyr!CTEW-d
zRp8DKXQ-^Gu#LdgDcOR9l2Vh@&=z@A(oe2M)%5Pj05nSS7<8zn=>S_vmA~<P=j86u
zV{AJRi#*i<gfK367G)17;zzwt<I0c1N12>kY90=jOyqBjS!gICg+a4XT^neaq2&?L
zpgb0q?SKF*%o`4~00l(6eoZv5hsJ=_up~j7k%|6<fRb(PUoHQNIZPz{O`u7Hecx=|
zLEkjRR0;>BotB`Y?o7|6TG*;5y(W9D)y<~oNxy>wR?Ekm7%q%&Ka_9~YHhnk3kkPO
z1Hd`u>Q?DHPTQzwBAUJ>NNPY1bN?0=Tf^u>mWWIi(+o(66N_(ken(%=a_bGX;c!M<
z6>lOzsPwB=r0Pj{>FU=S>v+$$y5_w_S1&k<5zUw)p2US!MB+U0#m3)v!@3ODxcdQC
z+ea~c2(f35uHJ(U@nvyg3ri~e<ToUSuAWmJUDnIfWx1o-&QVj&`J(>vn>&B3d*zwF
zFq77;uB5p#A+~dR^YPc`wW*NF>RIf@Mrth!!C_&?5CY<XH{pMEJ+8B=v@V>aX|mtp
z54AN0d?NPK4;c4L#OTQrF1mpm(Vg$kfke~}Ba#}bb<LtcenS{Ah)%f<fbS%2nBb&J
zUYkPF$=*7<^bc75w*Fg!|AG0VL#M(NBtHPDj=Ygg92ZK00<h((ex7-l)Kui-`Z=l&
zD?9OcbRN26T@&ob^&<zdnAIzuBcXA+cc<m>1{PPeLs|V=S8Tkz5URdkQo3d)=$Nct
zX3aw|&iMCV*=`MSU4`i+45$sp)kp-xw&1r`Nxv=)cFyD8=l6|E=U8pW9Zc7~T*FWO
zNc(RjNrzT~Y-9|ukmLB&tvAE0kTfBUu%5FrA(Cb7Htfy<X_IM{8s82u27#IQqNgLu
z8=g!b9wH{Y<-ZTiS>3o*0qnAG1b%+6JWu~mkNAo#T_{;ex*yuMP4?}4dnNfVC@#Gs
zJ1E6a(tn3MmsqXS9jucld5j|SpzncEv4oGfj{1So&0Bl0P=f2^!4Zmy-W6S$TjO6u
zL^Z^}Z0uDKH#q>hgTNqAB~ugF#BwY+*a!Rm4m;4w+gKiKfTj)r(!@j-Laz<f7UwFO
zJtY?8d4Z0#Owh&~hvr>H3B<kZrNZu$32FU92c|o-pc1=kw%lSH_68dBuq`pA)s2RH
zBD`otV;Xs|4~Wsk0l!a2^b9xERDvH8;Lut05*-@Y#shy_?=~(s!gHHYw?RZ&p=|oJ
z&qK5?|HeTF05&?7#6sh(cM(?o8R*wM+5b-2SKx^|H2Yd*@kNH2jwk>+$rqv@x4;PG
zj<+G=!Iiw}k98=?mLFhWZlZu@_0LI2nO?e1J)IBu7%M=?6Ptu->Kyw6!Xx3eXg|;h
zQ|LNrz;yRjuWJ}u`Ambz?;7nd{Lf*v0XD+~;MtFy^~NqngT$^?+cMa9>2*pmAvXZH
z2&A@Dh%7h8KAiYvx%_&Qs#yQV=97hB%|h8eiSJ;B&k=}iWENdBQXOmZ?QuH(0s)Fd
z>qx7lpBEUfhiQI+?VJI*DHCY)=VPQ6f;qM`8X}_Bl{_NR(2Duc%E?S`0~-6$8g&oA
zk@HUk@^KCPe%Bu6H2M+76zM5cUN)F}_PoaLZ;O(*%17vcBTO=5)(6zQTzafHh~NRV
zyv8PAsANUei^iQ9qP&9(%02@p_9MYw_XNBs^l-L{N-zCjH`Gkt$p~oQ+MF!zOw?g`
z*{x%*tS-p+C;Lb?%H=E_84S%Mc?@?mM*ElP2WFRsSAa+!?~b=JH`#s^3gK)tS;Q5w
zFAN`CVf2*ERSQz#)7Yh#FIlJ{OKrDR5kmNJB?9uy0N9!bdCIo$WVXWA`=<OdFh;?N
z>ugK(>LyX}LmElQ{?HGila*haiG^rAE1FA!r$F!{=$L)1&@`%)&_VDv4O|&W3|?)G
z$Rm4bdi%tfl%%fa77H0Iw$a#Cg2zKz&B|)>7EjbA4M`^IoDO1wF~UeC6M!NdsB}q(
z5XmvQNNKasYK$76X?CvD>|uCL$4enqI<rSX4h^PqKIKdlE#wZGNAP+bqEwPfV~tn_
zAOPzzFf6bOaeo#l^q(Qtm^plCOCw}uL>JwO3Nx-xH6-kjX-7@{<}Z#15j7#QWl8F)
z@$`s6V~_oh8ATrDXXQyfTE#x!c4|pnoK%YSNX2xM4cHRp^B(5$XMxizw7f}()zH3J
z&>gyt2rqnqY6`YoMm8{DCn)H2I;Z90js%Gv<k2D2MsI+OT8Dv^`A~8Jej<x{!;A*Q
zQ-C7dPeKcDI0MBR3C&!!N%sdzE&=m2lupgbs8!B_22)m+{xvz<O!pmi1_3#MgwK+8
zIP9D4n5Hrrr3!cFR<OpjrwE(*)!@#OW#{qbGNypfU$VMCl<x&AgUh&0T}zSr3JFaq
zWJxW)rjfFtcup9Wtqv$JN16Y8PuE`QwObRFjsRGhe8yooe)QUI^eP-=OY<Gui;Dk6
zMwOWro%oQx2opxYKaOZaaP2t6QP=Jl>!g_@-{Q$sbRTZG<$g}FzcN<!wLmLEG6A57
ze!n0+E_URWnTz^+2v|d@{_GlZ(!D^NV3B~Xj}LUiX7_fP9#qP2t?%+j`bDUa?A{OI
z%4}OZIw0h9Tl27<2{YyeR;=ZKh9L;E$Tn0x@JIdfL&^*5VN!FhOo&Qf-u<QaQ7<Zg
zTLC}odD2ZsdMUs<o6O0`>G;7DmviiPK~5kU6Ysq#TC28~fA9kILy(9M0>wU(EiM&D
z$N`yeQy2z}%mo-fPt$%ay&6|lg~2?DhnuK*G4I10)@-kvp|uSArBH&`k-t`X6T&11
zEHI^~%eyx9*pf3-^+Andy5051J!U8aw#eX-pye)_qHaT}1*`aN7<WH#DT*bKKH|Ks
zo>Q6H1LeEeha&3h$}>!iWdsa4@U>c%;e%4MOLk+*qEfhTFQ`2hFnGVmp+hkW)VAHP
z<D^?zU$;dNy@VKAC8()dPG7Ut8mKlB!nLk6cJkHudin*UF{!<kyUQH8olPLJfI@P9
z#*1VczaAGR(`@#H{2NH%%9J^pXNY*poAIqDyABPVAkAT4o8UOQ>!R+KTY($R^Zw7x
z!`=VG075&P7Z3|Lt!(MsziBmVA~z>r;t;~V{&xJ|JmEWaGI`9Rhpc}owsNoUom$z$
z3VWbY(4u%c-&O+w4DKLU8<kVUOurB9%m^bL;yxEtNxQi1csw6rFd7cpeN>`Qy8ci;
z@n7&lsL5cs<UF?P8K0mvQ{*&RZQiMM2uNn`Ea;ME^+x!Tt8iH!4ZS$$x-}_4blUkj
z$J_zGaC7Km>ntm|i002@&+A!@ctPj`F5$k=T$;-EpE1763!7C)eqz5#A(%lTZxcTG
z!$)Nr!-{C&T8Z@x)T7u|d6N_2hZPID(9V0$p=Y@0b|vVVlKy}JLBV1Tdr$CmY}K^!
z5U8HoAtMp*P3=!`?sTMLl}+~D_!JaIrb%R`JgsFCiapl<uXSTJ&5((ixn%l?xjp5%
z?l=Mb_4*hHXgg@XM^qe`t*r4`;>)N*<9jRZ>+v#hfSAo39C_2KHRj@|IQa-=`lVWX
znKSZ4+ttiaJtyq06KAPT3xl(2CH)&*o9W7If+5;P-s9-CqE`>8ge*YPyxziC6aH4S
zc~$O?9%FIVx?DGAW>LJp7a@$}YUTi)y;&PdQq_k*5K0u=P_QsJC0LoDzMo&Ro97o`
zZE-w_)vL)}g`KM6Z{)$JW5Rl1EJNrK86}(m4>$FDh!i5I-Zv0Mza9^S9SWsB)&@~)
z$MFJNplF9L!#Jd<(B5)l5gsqLjN3WM<*0h8#4MQ#E)O`VAc8fLshXiPqpLZgVkvnQ
z%R73&0k}Ebo@-_L6L!u9c)e~4dL&!Lf@8bXdEirQ+GULHoiGF2gTXQ}n&%X}iQ)nh
z1;RcwQMI2qzt5>?|Cbv;4eGv0tQ&wozLOR1m0>Nf@m6W8*}2J#d;buXb6H3~X;^4r
zC!x?(YKV&u5kZxg1X^qHie?%=h_J+7wa(XZf2%*PmnD0lQ{HFKe;&yy69g@9Q|>d6
zFPptH7y2wCA6B^ri^s!H3DD+IbO1<wTy;U;#uC&l3;>wzP#r)c3J?;y34qij7-S()
z-J81z1DYBerxyJ*MiyG)=s;6g9C~%;%r>Lbvwh908DY@HM*+m&lucyuO}e9K!fD@=
zN>}QiMt=4l0@SX+s8Ae~z`h!h{RJzxU6-0Gktr~rhU`hbQlp+Jim(gZq-3e(u`Ko4
z#LG5v*|-D&Q3EziRff1iKh9h~*i6A>fT2hzNNpG^t(-tooVN(3pf8C8x2(C_PC*WE
zhCImxWg6jLeBidqpx$-R?q)2v`U4~})MG+BBnO?d4=cl6tsZDWy1gG8qgPB6wjQwZ
z?r@~Ro@)DW|3&lCA%?Czw!VmZH)Ke(s9#y!k68&BYMoZDqgH}#NzZOycY-Cej)O-J
zVwcL5f&Q++p<ZcNQzevrK0bZ*AV6Xk--D99gjVfn^#2zy7W5`+q`z)l971#On5<Kt
zdB9xt1d{NSfgLgC!c}ovx3h7u0hEmr1W^@Eb|7d;?vxErgP_V5K_4=xz{I!VSCx%V
z)&o(@;eq@a$e4EOGx<)9At1TnTWdJVily3huI$Z8UEJ%5ebwT2fr+t5^UR%92;VxZ
znAUV6-Y8pH-`rwo!tiRU!U4;8vjd+70!bJ5nYSpK25K-iP9s&WNJzO5sofaDzoT0s
zuyZL}x#SO}a-!s4tb=TGIMWJl3GZLes|}<6tX)OR$K@Up*Hhg6!<I<oy!~0K?TcB6
z)LLN;>gXK*r2-L7+$VEydPtJTXItm)nr$f3znyhurtmTpBM_YK6nb+moa;4~+nECZ
z>mjs9KpcxXOhckoLfa}u<qL}mw0e_zMhM?U6Cuu8StGH%MZVM^HN>>Rr&2<*a!O`u
zEoSBo;~fpKV!+t3zx&9vZ`YLpo~f)W{KJu$?Tc>6&HEJPcHW6fWT-B&Me*icPc)Nk
z)+08QxP8v2Wh35S>Wc#OU2f%DXTl+%zRIN`JwaT^cdaqa2x3*wzYG`L{QUPrnwpXr
zl0|rG5;V(!rLMcIixvOmr|s^7nH2RTO3$#Uf25B`)Y{LQ^We|V$SRY6qK-_S0_EGK
z$Q2n;A2$I#OcOp1bnDCZw)e6b=tihB>Wh$Yq~(3tuwN`f*?5)j9R<W>2^Dr?+K@O*
z#V5=gFC*}i$9Ux{1#ey5mmJg3H9~#<bfQ)$(wD{lAt@S?Y)ZWps_S3u+C;buUV`EQ
z)W67okw>8fJ~b&_-M!}eQCz6fiO-!ru^hSlv(m;tn4f{=(N{KQ^DL^*hxy<{vKU$#
z|1(5t@i5%@%!&Wu0eL=`uV#+3Pc_x)9CmQs@#{BT{#UW?36enLvL<dms?8C6(a(yY
z6LP=>ksYn4zdQz{vxI{WH$8Fqx16?rh+hk6o9F@j-KT~c1SqFsH<$*Q#}!6eL?Lh?
zh9_ff3@S=un_XVvSigsq#r$dJ5^M@V@kX=0+lFQ?P(YDCp-g0^2q^M`^u-ZWJk}Da
zVwW+&za0GrzyJf#Vyc(-J+|ruuT_17&2gW~VL0tYRHOt5co=D4oBV1*9dD*UgtxGA
z95I+g*NxlwQv0j-?%U@s)3tPl$1uh$WFd+$hVJcIbm<Op2<9lDtb)ptZu1_QfVE~I
zK14>8*RZ;<WvLY9<{BV^E2-0#gln+a2(b+RKO2LN3*;L?GnK7phlL+-ctnwv0OAw1
z2PGFxHQ7r~h15yxC@9&a!e5>NVPhKVf}ao2AZX6Gz(Y9h9h3P$oBXD9!imi2rtEJe
z-I<_dD|G!gN??8s+bz~>v^l{=4dtP8HPm3vt-!ITAoA8?!|NR)jp*}Xf8{tGnWe@^
zib2yk?-s@2Q2bKx`SOwTJ5mv&j~7>b_MIq+!?(;!)g6hvGesxFOxzt7HKhbZc(K2v
z2XyLX`4S9T4;Jnhi`)X?O=NNGwJ-Fy*a=mYPzO+ko%X_2f8(WEV|Zb!Gi+f;GXVkQ
zaG6>KBN8*6b7KW74*YmncT=5Zy%IRz#qukTO6DC|pcFLpTR=wly5>Tt*#4t80avpM
zl@USTzyLKAhu5%%0_}afJ=cuIt0pz;RKA|=yR#ybRVSDM!jCy6pz0cBw+o?Ib07Vv
zg<j5$kAXVdx%7LPevP3BkxpYYlN8WiFf8Bo@g>3NxOi!m)vOxe$Z7UAfY8dxH|tnA
zD`uZR{uH=n^>lCPMEW&gZxP<1RNQn_4EO1FseA=l=faZ9)_^<;OtS%oNpM{(7`BgT
zEzaSiXhJEuv@k)OnFolt1%#g)?BIj84m*LJ!3WK!li0MvAH*xiopJMys8$3BKgBje
zp$TG_uoe^}a2ehVhhn=2T2>!kb&zF*Kaa!dtG15`J}-9L@^8$CCSy-1c^Sg4NPCp$
zf*VCnWiBj0vihrn#w|~<R4<K5AbV*XXassMoS2DpAVNd0vO{&*RX)=0$Z;Q24s<?T
z9=Byu(T3^GES!(2JXyhhkhlb7SF*d$=pDS<1DlT29azE3>^1}6Mrqi*f<$}YiJ0Je
z5TVuIaOkj)+n>sXkM7&lw9$^rwd}y=+>{=ZWenmJdX%pg5Mc<f{hvfj)?3Y*2^j<9
z2FqP9;bQ}k!fc9Y!@TxrGuW)t)He`Cjfv2k<aB2!>r#tn2TgPNsJ0r`)vw`4Xjotu
z#@)CUe-OPZekoy%k*f-2=QlFPH{OESvQ`EwwS-;}_HpwNeMGadRCA%A>^tb+qg^l4
z!jc?7Czq+$$Hp^yG+bb%)4!AmtKz|IWPcklm&e}OCU5fK{~OvP#MU`uv~1g5HRS9R
z=PmTQe|LF*tKr?~*Qs%p59yx-G=*9#tO?N0TRV)NWYr+WZ4rLvnBE*KLGw_>4cG_?
z5igU&QT{^GX^PLavmLnf^3m`I3DiF-6Ahw|VpafhGO)s)FsfEi5(z6zFC?mIjWs;e
zsu7Cft#K17FFa=5qf;%i*n(JFQ;)SMtL@k~ild$-y@kimw$xULT~`a_+y0<ZnQ_~u
zP`_7z0Uvm~x98<S=@!u*qeQ%<eWLWD{iv-CF-ttvEa2uUW&+8e<C7n{e(PrV@m^51
z)-@?a;_I?gIHIE!e59E(Qh723^0d(=2tY3^g&<<p&WO<VjiE%N0&4ChR_jRzFe-1b
zIEy(B8=a3TlCb0E5BbD=!aJV|iE#)NZ!PGt@mn~FoGi`<+?#ge`|WAwdRMz1CJpY*
z;NYAlIw(mHcC3>gZtWrRjyH_W*pbMxO}NEYc_JZ~Ag69f9o6u}qQn@~Ewwj#zuegY
z743B20`eg_6RE;ReHns%5ZBLLH5`mN(=}jb8O1NNY@~PSv!3l1v|S#t9tf4WqNpVE
zjoF@$mnI@ZD?GDIWP3N+-qIQd2}S0Va|`_6^sr;ZPDAcw{E1rrLjSjS@g_^KXqTjQ
zp?%adz_6p4C@j17v-0T9BzPxSS|NyX&jyUqS%SWsbxO86?6-B&`?qiK+xRt;bbZjc
z@mfsenXDWN$T@l%#jXO98qm|$u!lr_Kx<I)1G=KBj&26ByaG=S$&T;j3h<&q@0_?f
zUnHZTM2<zZlD^KvFMW!lj#6!8SLd07wuVKY3`XHUEH@ccQLWxlg>P?`YC}#=6Gf!Y
z3a6uZ0_qECR2MRr*YMd8YB<<Y@SYeKRbx8ueTVP$?wjb80t<9h?Lg-w)8`{n$2NAl
z%|U#gnt?2>*XnF}e-8wMjny%;*)W^?+cQYQ25afvo~&UR3Wp$07jMpbF^rbS?OJw|
z6Hg{)kqG_@X^Sl7-hN2&rOq;mbJh9Dd5|_I-4{fCEtq;n3Y})s<yRQ!>@+kAH)iip
z7o61@U~y4I>!tDwVB2i>%#`N>E15LHRU~c*S&m^?KwT?dZdSQ{sSfd~kMs#u-Ilqv
zDY#GqsJaTzd`+Vcf+aS6;e~`AGU)Rxruk~6%0KMRnma0tTkhFA_$h+NdyIPt<X<UE
z@te&AGlZ;0dnFb$K`DeU%7dS`S)LK{+J4{+JPLXZB+#U74a&6qc$$<Z*ajipRj<Iy
zGO5H*7PK|uf09&@4k<AA+Wpu2%Fg`uq>h;D7mfr66{l0Wh<`VV*mNdV^yMg4HXvyi
zkUAZhnAHw{kQvCC%6Tb{f?>e|?Pjwjnd-H8=P04j<e-3!aU5#)cV$rZMNnfqK&>3b
z4W^Pn>2UxKod!{Hw%xvWBN)|RBH)tQUkZ<^J-d_cb@N>raolm(^sew6;WFKYUgchF
z`h&55Q7+;5PIH()^7};pQ}mm}aDq;qp$D=y7D*qcV!DO{9)Nm@ta2x|i~8JGA%+L*
z$>*s$XdG}ZV^<iqGwZBaU|47OJL)kx&YE3Y0wq3pI~<vr-?#WMIFA?2vz|{z{9!3$
z*2l%C+g;x_*GzmY4Bp@0^xaY+=HeeDMwNuE=z-9zlItw%;9MfaN@6%klKe5>$oC^m
z>Sa1_2tUlcTk(GDkiQD1yrU3>kBq#*xtrg+i=8ai<I9vrws2Z?H~g(GE3nEOVia0u
z5vN_UtWjbg8WFKqx(rNY(G`I$sD(}gR!NCadFCm6Ae}Wmj0Zr}{2ykeV2z*$D#)3#
zsUxzeD%&$2&96gG5*f4y0D&gJCd6r{n;g}KWbUD){j@730oherIU&uDE?vl-<PB|)
zjmG3V@RSS<9?OeXRGs<kigoyAS?Q(_G_G-~SmyolWtO`iMet5x!uLo#(fjd-MZ5h1
zw@W>S<j%oZAv+)ni}uJ(FJ`a}cV&^0w5h8{d=M0u`$!oa*1uLg@6$mpU9+&$%4<>n
zfM^#d=E`==JZ)u2$LSa~QG&<|mL9j_ABG1@ptyiyR}=sVpz}RVHT$kN!J|;j?#tT;
zuJ&g#s+jrF;0oR}lI&p3C;E=0Ox`$GL=NddkGxkxD995H(t^B3%Wg}xsoDcB$#WGo
zoyg>cE)W4vvt5<Ij-cy6dSWg1aX}Z*NBL1jg6?>S25>)wRuK=<H*ad6@s0AxUwL8N
zBp>zt1RF3kMqF@;!YS?k7Dt3txNV$lveW3LdI>#Pz*}@4TJhZwX8;_NLCrQ~LzUYU
zVmNEz#+(6p93c4C?4D1x%(L(_2ZyM^%acxAD=MOJLx8}R8K}%Qqm`9l4PF~pvn)G`
zJF3{p*W(D9o=5)={z-cnC$rv7#AP7Cw#yhJh{WOt@`)=6ePP8@PnQ7-cgRxjh=wx6
zS@mo_G$!rL=xk<d>aXWEtgvK{yhxNu-=`dHFF}RkjBl4k6EM+@XXrznEjRS)&quao
zQ7pKV<`-`sjFP=@v;+>kYDtyA22;TZ$B%EE=snn*shGO48}92>mQ2kFXG@Z+rd%o@
zocpaFToPIE-ManO5PKL$ak_!+PV5_u-r!X_7Viqu=cOHdN8JvV%z0b)UGRvuad9fn
zSz-f<l4q!IAySOv)OfXq8KqejoHLFrhaw3zSKY_-teFN{k0$tScUf0E={AZWhY#n3
zxcft1I@7+@T>xUbD<VYu#ul~F`0w$Qqo_p5_zhRW0rcMsoNVCr!E~4+-^MndN+bmI
z>1$x!Ht;6IV}$xk@}10$9c(yC3{mQn>;gq{xR))Ayef9Uq*WW^@H<mjuHb2c6(kNK
zJ&aX#kL1yry1#+5B84ql0T~AEV<sa-AqxBc^skZrgl{k<4_o%YZ{Iq2#TrF2&?P=-
zPWboEtu@ZSTX7?lsL%_}3@zb>#JdP46atJWAEPYuY)aR>60R662!T}$NdWp&Wmn3P
z>Hd5&DA;R1UOl7(bM^b;jD%+Tk6!@`d(#aX-jj7vd553}P|VPcr$`!%Sl)J2&~dl1
zkBw-{`0?<a_kpPA7aTDz|Ao^yEK=AeIx!)g^8Atrz)nZKL4_Ss&BWloM(=K9k8HP=
zL>~~4@h9R3BfcX0kl;BW3x(H6&}9e~GNA`3OEQyeH*V+LP&wK~$(2^+UAo36RkTm2
zWP!j6ad`7q1g3&{zl2~Uv{Lbv{T<B7T8+;ctkV*?WVVTK78~dS#kBO)?4|H)lVII6
z9PmdqR*jZ3x$8fC&a&nE?vPpNa0nm2n>4xj6@(SDy@pY28UTKoIs(ex;Ux8><-<EP
z&xe<OV{rD^O^_!igr2e=iAmaa#N#psRlhPlls3}u$PwA9wCi1$btZOsb84@je7Po~
zGJ~qxSWzHReC^aoc5(l17$~7eq7@F(i&U=)&&%!I>;GP&!F20kGf<No6+jvb!Rzkd
z_+r$ssT27$cHNRrC)5gTa(UE3CvEY94%JCzcf^7n|Epho5FmhyugKC*&;~5!E(@+w
zXWb~&)F;Bj%dWFylZ&^4n>+cr^jAVUc#BI|$T!%8UX36I91x;yH^!=)jXy2}s0Q1~
zq&&NQ0lzzGv$gU?)9Yga8~kj1Yy4T=@pR&+{_||K*YR7r|E+ygq=dF2zDqqM_^s-K
z@rJZ<4vuTc$#2HBmfE|@%p#zzziWS4xeT*&aV6ZS121{_x1NWPki<!V`zgkO&asZp
zqYjtq=+FFS0Q*r*5pWkdNk8iBe|MBAv}3)Te>dUU_gGbLQy;YSFS=IUhu(hxYm;$O
zBsZzpCOSiSLuQV0wQ}n_G~bH2csj<>BdlgM!RZP?GL1}<w8PpamJO~4!r@h*uH1r0
zbQB}txHbovB+@KrawSzRT$Tp2)x<~(Zl6MKYDJ_~+6U*%u%|T1W-XnZ@_z|TCr;1!
zqIEcB+=Y5kVX9LgauEhN%w_(vF_62yTx0+$Zi%k8*XEN6A_X&jc;blv#7L%%37R18
z)L<V2hG7=__EHM0kMcKypKKC!<*=junObp#EPldeX*YMjj@FS%4aaWV?l%NMX$4n0
z+dap4Ho*$^nUTWmSUhh8)V;_X-+Ze)wGB2q2fDdGxd&1RYyGwHE68u)9Rn)(PIsPB
zc8N(h>{N@c8xi~6&$#0pR%b&+bJa^w-;qQLr0xJ@R9k1>O59Q(F#dBdC}4|WOmz@l
zpB1y0RurBI!|{nv8s#4<G8G!B*tF$On-Za>xut4v3cY}gQq@g1YgG|(HgG5k674tf
zF9m{-pB%j&o0dMBK7_Bps8vY4iGg)(VEWyVbixCi#fU&rsHcML+zL%JG_4>O&)juB
zo_9M=CSM~L3LmL$3w2a1=9mKZU+cUlry8SCBs;nBx}o*eSpe*X!B~~<B~?@2+>KeB
z4BW3!=mWY)WG$RH-_g7?A}@xqDlbMTPE*>`okyn1Ik(vNAmS>>y_tf@>yjihP4IdN
zq#|hK<1dca6W296{>6=ObI=$6X`$$|W4`E+mr21rRH&U?NzAxWwshxka8Of3)t|zF
zN)ENLqDCe-5hP$i5#>yUSy5eX2R?VX$u2*>Y3S2~gRuzS5B(q*E0TBMPMHf4{DBV6
zJyZl9G9YQQFIh3UbPw}whDo|vDM`wR@NxSRNhSsE_Y9*7Ny3n>wrSC^D}MGG-FJPI
zg5n5Jk)li%Y=wG;qi+L?n?K3B@~Pv^^x{w=3ACej%zznn6Og;o_YtA_uccc(*GM~T
z;I;Ax7BxU8mr;(<KVG;~`DkW8NK^1227@-pCA{K~pmhFRHeQLtz=MK0W<pK+#Ll`M
zp;H)?wmEDN3ADpqEs7<Yt7Us$e|>b-+e6<4{s@6F&O0&{(YmQfra*(wi;0=m&GIMS
zThK>3yJ!;Y`COJtrQjr;4|6{wn(?&!{02|t59)7<FA!ZUMYtV3Ez`6K8IqF;66XGe
z+c4!q+FBI$m;mbnmc8VwmaBEswoBaY_=3l3f(Cwgot>^6?-n!pl(Um>pw7P4I(G;d
zobkChjy(_sa0H08eT{(#hQLcaS+rgB=iP3u8nh%D{f?Y6bB1nP`&jaeouRdja~6-c
zQ3Z7_gfE*=dS)bi|NK{JnugYl&NYWTX;r7le#zYQeDw^^j!%|J^<8D<z6ZY2gxpaJ
zaG*CpU5`shDO4nP(CsUw+zw;l%u1TMs*7{EQ>}aPcp@40@S=I1Q=G!{8WJ8z4yx?U
z`rxfr#_}J9B;Y)TWV`>eIn&oH^*Hx3BB6oIRGuV`NQcN(j|!a=2xiTw9duPU?B`~W
zI)ZsYj-IRVlBZf3wAU8+n<l|Krza*bw17i@c)mudgqSrG`>gobp))9{E!dWp5mLW_
z#jO7K_h^0`KC9a9^mvsvXkPBl6scyHJfJ&-k#vD%b?e1=+;NNMxy8);RAi%OQPqUg
zZ(9C6Kw)z87yi>IQ~R<z8@QNR4eRqJz(}1tD9N)Dz-|4uG|xVN;-C;ze+Y0tY*^4~
zUVlH-%+{&0RJc+8iyTDymgIJMoHW@%+~u|4<M?lv^%}M$H_UMA_@wB*UWDr#P3!3B
zRk+&e*LVA9b8D!*oVZyF#Vm%&{}MF7U!dQ|mt?4G=5-l1+bgl;Wcs)_0)R@BV1}Nz
zKAucbNkCnIgVr1~e6>fdp8h3#a^VhL9Qo_KV`&V&Lfl3C?QLzf@`wWaTVQImqtA{$
z4L2bKxS_YsKp05~k~LxGFn5$Zf>~sM5y4%AaU@ty7;kABM{NTc5e60Dyhr?)#s|wH
z=&C$M+%A>r+NfHv#)<hNlg5Xa+PRql%-A`B77BCiRe5c4;ZYuH0BdbquZEtWzM>NX
z9SlsG$}N+HtfUOAQk_{zsb}0`zz9qX!f;HtGw!V+&#YmwY|5~L^jq$u8Y)KAsf=j(
z-M-TUs}YKN$4;-ktS>P$5vF%z2K_n>ge(z|OHqcnRq9ZBE8NTgWk&&S01=hNP&9zj
z1BX>tucshY2F)b<-_212Tm{!pZcO=zg!%$iTzN{J0@LPPO*k!UM0nhKt!MPe(5D2f
zQP~#_Z7SZ$V%fDKjPQ%fFRMImQC9$%LIQ&5+M%0Me^F#=siH1%p^Be_f|LK^@_Ac8
zOV@H+h0G<NQ*CJ7)gJU)9zsZio?B#W*17lD1A~>5+$9|3vosZja~f7y`N$4h#aJ}U
zG(Eo0OsIZC*w-n2VwTPiY|7{^pQH;6?V@n7YaW4U$conbbqwehmS@n@#B{82G7IMI
zAwOq;bmHlz-%ma$2y$P8_A|?Zn_in@P{Xo|fl-tB*es<J?hlYXJ{G%?eT>S((13d7
z%PFCPaX5mLF~&W4Xx3;TMEC8?KTJ}M$(mc>To>xiCovn23uTo0PAVb9QU+MZ4Pl*i
zJ^yjVeUa#c?-VA0Bqrf%R|>al1EIJ{${hF@fbl1vAL{m&z9*6gce#liu_s@ZN*L1p
zY6I1yPTk_<sh&{Arv9`<kd}=EQA-`jJl~H8pjomsr3tuyimk9OYbvO=7m)JI7zeqm
zGD?1oF5?h|9PskmS99*L>T!_NWNE+E(<p4*D3gFW?OR5G_v?9+-RAJwD@%gVr&{TA
z(az-zmX^;)=6eY{o=3wGUzUXG)PG94;i9+g#sGhH;HzsvJm8iH9Yay4sx?HAfvtY+
zPEs?J-UST!?z0ICOqEu2DIHf$uiLA9Bp7ByWB`X^6#%lNkamGJ{O8HV6nSmU-D{`g
zgzP39Y?!jKG-!et`b#MzJkno62`@$fFXkBolO@p?UA!OpS08}3yp&X3F#uV+l+%hx
zqnPOFq5jYSTO;g+Dpvo&AR!b{Ta0!tnQR;}<-}lw2d*)OJb}X#%J>FitS>e_J67I@
z5$~;!`OCQbN>xN9{(l@&5WjYv(q7IkZ&~k$Xxs61h7MJ0GT`GMFJE0*Ct)=&#bI*+
zNp^MMq66DLrD@R2;K>iH)@abG+2_fLS6tTyS>!h7?&{giqPd5{>$_<G8h$9Y7=*)_
zRhu0FIH)Kdx~ygqy@3kj@;Og8+pIL5>fr$)gmIo97g3^%zf?1ha{~g?MIdUuJIm&=
zl>*`+?apSkMpK=L2Xw{ikn4~9$M<_8vI!%Tbh&WpB0Za&z}^^CKZ#VNNAt-wzQO{r
zBj%HyV;W8NAdfY3hxlCtPIC*nL!TZPz)9Qt_7@8(wHTWC=mN{OhNLk;HeNmWV0q%$
zbxwFAA99WzaVJpyB@>A4cN1ry(h65<Hc_j&QdKg`ZL#xTN%RZ~2apQf(1-aMgB2P)
zy&=SVf*exxXeMYPg`oRv-W4`il97XB23utS<aqT|YeH7!X<a}bVK0_r^eY!5w#@@b
z>6~ygdi>Z?5+LP+)%m3FRwN(ne^tq)O0vH$V3gG*uz!~AIIJyx<^|xq`HuGcqjoe%
z?K+k!4l!elP(|}zW(t*o!wQE)X)!16%h74Tw}4{nO{DNTuYvy+MSeU*h#@PMX=~Jw
zQ&HD^qm>1*>`w&3QjW(~LVA!ga}4WbcQm_YJ!L52d(7w}oNh>~Zq;uwc3(&>%{S>E
zlMf1<4b(1<R8V$$*0^+Fl`3+@?x+k!$!qNQ$cIKMZR*b=N2N(bi9?xRj^JJn1&yl-
zw4_PJ>@WMLnA+s5EJ06G4G>^@9*%9iuM6q4P~^h6FpLvbTd%Aw2?`z|QHB`<97?DF
zf*A~yjFkR#60ZX&zY&l7DWH~F>kP05pGJt|bo)zH=~A1X4e3|2$y0kW?5qBpPV0R1
zdUIN0G@SQ<_}#IwivjWse4Kwv0rD`Nzz@<FQzMAC`&?CFmKC>sSq^IvqNr?l(MgOk
zHIHc5W7%cl4eeVSUZj%EguPzAa*3?=;dYt24Sg^NG^LdRnWmSC0TzM{y<cmkm&p+C
z6VZPdM9i;o)q2!wZeSr82TQiv^2J@<q@Zv(nZ5qeST%I}Do=qy+SL2nijyCK$FOFe
z)q^aIe2$p2Vyg@JLG#7OVI)BthZ3FY-j%|R)Y74R!}$&%({8#O83ZYceQUIvlG>%`
zeGiK^=tp}r#^jll$xZDRQ*wQMa$Vsd*%~~9pRqbg)9oT>I{K`{M?{g4SNXkD|EIGr
z5^|#!`x*I}{Wb2Z`NzxL=Yplm_9EkOOG;H&US@rZIl2o5a6QW*-gqfD5K)l&c)fr6
zcZh(dUM@vpSGvd&^N)x_CZyKRk_9xMf@&}#`AwfMc*8!$P2u-q4DD_)f6@V{OHUtf
zt4%f|e7ibQhI_x>u1EsAN;We#$bDV84C+;7=x<XnE4#2fi)tg4TD|)%datK*Q$X<j
z^z|ix@Z(a*b>FDfR<tgQb>MV7(LMDoKMHMZ=X)IWHHr7TE`NAEO<*wZ4)e?~DvSi}
z&7|FF1!Shm!%gQC!p&?%*?Aw^X<Jgn_$WI=9G=qE{<u@O5IoICZD^yB`beERCnFqm
z;@do=pl{IoEH9j{R4pM)rs%H|vnBO(r1LFOJjNub1=oY3);2z?2=4SBp^&$YC$ioO
zEC1n-dS=^<F<?;aHTc$a)pfqT>RDYrf1rj!>ex{RC)~85o6c}_)raQ8cr?WI_?OK1
z{eL;Ju&`v{;b0!^0^aWh9ytN4iSDwY9Mc5@|15KAaY4oFl|lCZFG}~VS*QxSA}lcU
zhx&1lp<;M>z>CgRFqGUaI1+8!5NFAv>k(3J<ZUMLm$aHChERiLUE(gqJBYHz0$Q>g
zKymoO$W_9ljiA&D%YIRCwgZ}<ycbUeRnNaVWYTYPcWqp49>Jws)G_2eW#qE!z=LN<
zO@3t-%q7{q|KO{x8Pg1QLeeW*^Kge>n*^vFa@q)la3B})0FCom0NOXd?NazHS`jsR
zsS<JYA9+e9BL-Sp+{8ffM436krP>;bS~>kZt#K6eRq$~$rEyuI(b0mVXd;IhQ@XO*
zLw@VxlliYIhjZXGZoPW@I}f)#BXbZbcSF?12BDUw#zB<(Q19(7xMwoqjv>XJoAwoc
zwciT@Qzh)`EBHyTL$GcwAwEpK#a?eIZU&DTmeaRk<rMJmfHVlnFdkrniBUIHJ^JT4
z6K>fWnc@X=1TYG_3Xan_jvp4lLgCZ(Vkp~@O(xr5DAOYlrp!1VQPPw*7Vn@EG$!be
z)rhJFGbUsqYHM-T<2fzF*`!Q*vWTg51;7d!45@*A7hW_Jp)fppa}cl&UL#z3q^91E
z-2+3h-nf>Ybh}ElO9x)EKs*8T+x=P#>uw0@E_EBIz;=3!<Gt?AV4q~$3^6~Jp)yY0
zKKz(r#(yc?o&IXB0ie68Q$ZNQ@47P=c@V<X7Z(7cB_&eS8J3ybEL&olY=Zd)L_JSI
z^}28tt%8{EMKd=K45|2Q-qm3g@=F-DR3}kaix=3R#0s)69@eFAAovJ47;8*D*Lo(I
zk5oq_;j1Emb(fs{gxDFiou_qD=s|Xo9HzzH4<P*P8;jt;dOAhoQR4cBw+x}zM&2#V
z&hYPUZ~^v~OQsc}bwh;I0tOJAT(&l|NEa&IhJg^QAcvOb_|B}_x<!vZNW;SkL6_+#
zVqsv`NU~Www=E7-t>kSToQm&}R{(N56Y$JY63UoE%YeJmOFf6@JkK8#p$CbBdZXdP
zK4Z7pZ)&L;%Co*Z&bnTmUhg0q{kYFA|GShWOnj0UNmQH`oN~StZSZyFRc=!S0r<Uf
z&+d^cr5aLkTG>XCjk_mk#Ayo>sPpqd@EhS0Yc|RhYL==0z1^r+D(fu<9~1WZ&W?uv
z=;s)#Rmi#+OBiAEnmoj&<3HTU7$9Ni{jzU`>GWC8FzD5c#@fRk52IAemx(~4%_~$%
zaYo=%gDgicZ$0EeL~ogua?~Gb5&of9Q4sUHzWQACIDA7Jw(J<@7t(oK&ne}2i05hx
zzPtvd@#Uy__VDTN8;Jg!uRY2?HR4H)K;!=`+~y>D^xA9H-|^q@Vv=PLBmY9pIOUcG
z@rtdS*YrhEZLWzXB<+YixJpL5;5+UEz}`q)ei*@d>xNCuSE<+2O_v!wj+DYmT(t>#
zuOn5kxbL)BaX|-xji@buW|-oX@f#qjVmaoD*vqh)cT&@U-&{$O6W?{XFe4PF(c-HD
z-67$L?{Eq_92tM@35lw~fw1HEB}Q4AB#iQzK=PiN85r0c;V$S7#x0(?kMsVK{md0p
z3PcnUa4#RwOD!bh{-k6Wqn#=IK$aNy7aY2JKb0bs%#-86=`#-O0OXDCoA8Dq_JPGA
zcJbXJS?|AT^JIF2R<_Q!#t_3<O0etE>pqpXW^V7;RLG*jW|ZOq_$em#Ze2KYPDknt
zq;V(t*}=GwB#V}+eTOyC)G&XxJ9pcp@OIn+fQEs+v|${TRdzAGEb4jV>+%6T03w(J
zsbkh;#<Of&aa#}9yusdh*`+mz1*x<xNja@UqpbM>Ogb7lTu-@#HRZO8d`RkLFPJGh
ztr%-Zs&?+R8s~!Eoxyku(DerCp~2+ps&MfUyug%YF#D<=XV`o5vj7@=zqo5J*$gG-
zM``6nyR#3RHbZjQE*`d)f3mamnqw|`U~q~b(x|^~#Rm-_KoW>U74Pt?2XijwJlx<U
zhDuSWugP$&lvocV&<`x0*)@+EKSp$&HkZ8L;Ya*Lw0uX?(hdK&;u*`dU7+UhgBBQO
zrad9oh~<2n%zhdiG!}|OjOUij4Y}i&@#W%N*hNh`1b*&KSW_y5ggEO07<Ad?%%?^O
z=V(@Bv;&mE(IX`0(iUJqCvX2p>Ac{nU?}5@P71ZYod~z$UE(B(<Z}DvTPgpBd1Rjl
zF~@5_uND+3gw@7mE1>2AUJXU?9*+I-zYNb=NgH$5&&Sdr;JIZ@!53wlp!ZAJj#Ij~
z#1r?tF5T$L*8v+0FVoF_j>rc^$~;FjB&sK-md9p1Bt_Os7+1xR-hFGSPmn41V)1v?
zB~+O3DSDY6QBi^&CnCHWmaB1y1ifZFa=V`wd($6{Kb}vH9<S397*WH@($QQWxV-_%
z2tc>hWpDD$NPCJ2PhN=M`8MMkV!d#|D@liR!7e1vXI~226yF#yte+UM%si2i*G~mS
z8!7tn@m7)XJ<f7*gZrn&|K(SbfHEe&u40D#cmPN?sqgj8u$LSYgzbjjk_)-12t38t
z$o;710=Oq%*%@<RW{a-kFjjzO9q_R&3M1xj2#49){UP+Jrg*)4G%Wx!SmvZlE__Hu
z&N0qrqQIqFC|odJ^PB3v(Q5pi2a~4uA<h4-9;)fcxATcyA7%a*;jg|k;R?pqCurWZ
zg9W&hW2omMf+yggR8^xc^NZNLLxFY1W}QbbkErDi*E4`>vY;HtT=T&TJvLe4-0Xwq
z3+-E<|53Y_mq8OFqqzKXJ+o16|6`hvEX_d>5RKlUep1)1tNJ&NCRYHWtGcnvjqoE_
zfGd6q^<>`c4lbCh#0I52T36%ZU;gfUH?+b`4YqG2{96A(JfpH9gF3Q7?oCYH$C45J
zj9l-@&2^=Q4Cv*F;Nqgs?vMp8StcibyrUq{=m$!mpZbMpH;pwkH6_1!zQ<>sF0TSI
zr?ii|kzAw5)*om3xli&s)_mKD4K?I}*=k*;b2|5>0FZTaw;j+Rie8=AFJk}<tjQ?V
zIy`v?L+x}f<X(2Xz*SG(An-GzK?JKJ<F*Uc71D%4*8%a<bJR(bzIY$(xg7e9yK6BT
zWD8?nz^NS)j93&A@Rv()H)B;k>hz3)N;)ddIJlpNZE$IV-<5U-k?;pwqeuWlK)k=K
z`&1ZI`1DBg+#W5%M#xpDnb88Wl_=?Yn%Up8>NPx$2g-O__EK3{e{Da089EY}a<%~O
zEe{e-tMBGydV5hRrr?~cd@TjG1_Ak$a5*?FWL`Q)l!n}x8j`(QN?J__$vR(D+C`0+
zkZDbGKiy5A>(Jk9zjmZoLnE2N5yuCdwn-Av)n&7bS(-wJ@V0`q7M=K(PM=&4QM{e*
zbp8f$1yLUS7Mzin++=Fs+`3ZA^wR^LFCcmH4d2}K*}O*EDIgloH0!7m^Ng4z_%h%I
zRsCI|`Xunm83gB@zh2o)1wHCC`-RHGu$VMI*7lE)OFBppUVFsfgK3Dbb~H>*`=8_%
zMN712lvyx<XZxlJ4tcz<<&)(*u4VwY3%;I-Yj|NdnqM+UT|bWT95!<_EcvI0+-=2B
zs&K`o@LtrJyRC*qy9@MU;NOQZpbk#cD09WeP#><%iz;Hwk343OWL?n#u%dIQ-srxB
z_=_}J;DXM^l}FN$%Pe0-73rQTa7ZqmqjI~e+&w}<_znnzf(VYtB1*`dj^jVCj~t3Q
zc<+~pe^GU|=|X$saZ*T}dzMQBC-^W1m!H*<<$U0)#e6;LsZ)tdg+he1CeI2hYF9mP
zl%sn`tAo2G18E}qL~pp$ev5ho|3!2wkl>*5(MdsWV638=Sy2)kfF19A6>X&A6vb09
zX4y|kdx^AmE#_+cr&4biVsEUa<rjS+br0PR{^l3#Jayf=8a$D#$abcHpol#1gFkQD
z_;aFD7on2p0q<RxUzz0}zN&e88B9j$Om!Q3zf0$8?8kHa1Y65wY%ApnNMb-JmV4-F
zxZ}XW1EHeR(vEkO-gy{zB+t4@;MQCu?G65)ui?C;nLi0p&kr&3;2;(^P{bdAsrkqa
zy&Rc2J}?s5*GYKgne`~Ld}wlCkAw0T^H+s2=9lK*#gQXl!mO);z!%9s$0{CR0Gtwh
zeNm8ZUd{nCUb#IpD|n8ZtEge|hu71hRworqS<nyu^3D>D9YWw%_l~{?egW$kj$9RF
z(}K(r2$>H3CsMLRz&YT?7(=|C7f(+?8rZbrt&%3@IJxYvlHHYKPRkC=*0x+p^ZPOy
zhQHbHhAYg0jOD+O0pzye*EQ0$Q}Nx|Jl%{VJvFOmog>P4L{GK1?Vm|qI(hhiS#pHn
zbbnvRglb&NY@V(>agtuT#P=Qna9#(`BZ+ON`$hVqb%oFhmo~G8o*%1`*{!9%40HcT
zCVl_K50@f*aZ<^Jr$()yvtgSce(vQ>!AzK`>(yUP13qZz@~I1q59k2X89>Z;FMP>M
zEkb6~WEqBAU@4M?kYlBNRZ6SHWiFiCZY>D+iL_JS3#?{u)cc-y+>DclzPng%CV(dV
zLWiY+>&|bM@?72!ESM`OSG?f8(1wTb(6A^Eq4K83m~B8xInsJy6r4@C>!Pr)`mRW<
zaXg#SPUBxbDH9Zq_r3otxPFxT93B^LsyXF^^0g5T7_%@OMUh)d#bM0<S#y`W`kYLA
zPyjxeLR^3^p_3tLc)MXzBk`Ql<9INJ9t(ZzANAQjf|;83rr#rAC?nhFv^CD2Y2nag
zz`ZTG)24n`m7H_(6^FT+v)jxnD95g1wjd2*LjQlcWeNwQnFjD~VR1cR6QY$KSm|}b
zU)r|B<|<_*eP^WfNQ&DlRTk`+5rnQ+CAP0Ku+{=}iXYV1AzuYJ>@Hsalt*zux?zim
zO(Uh6^tf(bw;FiH-n4Yd$I{MP;Su#~(#$Gn_n#cof<IMXlY@AvnW04|_BV)m7MNB+
zZlS#C)sR_!jqV8&A+3NDbi*40UJ$)B8<g;FxU297$2uZ|q4MbO%ZvkJZAk>G;C<E`
z%V@J4A&Sy^BokMK<Xz(y(;cOV<JYbpu`+VC#iC~RIx=;A2)>Ynh>iXQ0ZM#662N*y
z7BjwFrdQydTiRTa25U&-+f!bA3W0`Cd4Ixf-x${JgWg2tq_JiZ1JAbx*v8~wD0r+Z
zr#&@2dX11yIL~H9J@!~a)S#@$)KV_aCYuEWCZr&R|K52~osP%4lm{hc)S>r-Z|(yo
zPWa8?uKzQSCOO2l@^`}!4|0wVhaHOvl(gI%+=?H7;9Ed*H0QMtpeQ-naS{wip?0e@
zYY^1y;{{+=M{~inD2PdWMoU9=Rdc$oX3|8;pUVCCV_oUpWmdIUE<fcW>5@xagJ5L8
z2Vct}O;t5Ec`Y7zt>rg8So51qc$eSt6urimDI}Z-ws4`6tIj(ZvF=7n0CB~+7E*{v
zOc85HxQy^F{BJmW@a9&}REN`}Q(pU|(w1es|K>ZZfaruKA-H2%uBPk!1`Zl<Eow|{
z0@cj=yCl3#8FK)rv!eX|$UKP>X1m6N6pZ>>&xVxsxyQ`%8A5E=i++%L2oU4l6}e$N
z26dqejhnGA&k$H_Br;$1%iDDp_&WW7r+3Ug98*yY;$PHo@w_cmeQ{8=l}<>pwZFwH
zt5}O#$F|l4bbxT?hav%w$*^@(>r8?;9joZGk`zs>jTd_MPNPmA9PH)#I0@wpXR6{m
zmt}Wf*Vhr9Tv08`x5>AAe%Xvmc#2?*mq*f{*xx{Om8IfGM7y#jgmHzk@-3~p7c%B+
zy>}-Z@)b59OQcbxEYPykN0-VQ#$eOHcCe1ym^too1Lp)K@W`@K!S(-?-fG3fy<qe<
z%?D*-hp)(1*ec#6pP<KAkPNg|cOalQgAOjEWx%H@v^zF7ny;ZzO2C)EJ)Sl_{6|yA
zVhfYPFZSm5yRNidA=JZx8p8sS0@Ovq)QGdFZC}tQVGiM(5Az(}<2I*CuKPp-%8gy6
z;tpZqY`J28p`fRSs2ksKmX40^^j5-qa6h#iw-GvE&q&7zMmBuIx~~&%NGh|{+fznG
z>znL61qEmw3cc@if(!=li1h=@=W8-29^HGCmB6WRcZ!?k%7DX9gmaX|y`EGQYiC{?
zX+?kzw-=A^z~%Hd@yMwFOJehNsr^X1Ai5S(;v&wcr&%4ygyZpj=KC~T7WX;ti4e>r
z$88?JURMbK!MA<=R=iHnitY6Hu8g<E0IH@M%C(v1Kq91<6!m!`%T+DS70tjUP_E**
zHMkLO-VSCUW`2xWU$W&Ub8kVsr^y1tSY}d%%icCQKpI$(SsE&il1*z6l5Momnn70G
zudO?D<yhUbYaLXj=lHPh&^X&q!4^e*I@Y4!XN?JD?gb9Jd;#@l!G*%C{!$<y_24s|
zl3v8#_vVTJx`CDBt*E5M=$OEDcyNU{RxNE<xsqJjiigK&{3v)sb}B@6?(8q%OB(%@
z&y}ANiO1b&=`;3n3G)7PCL0zsWh$j0Z=a446&&=29y`g+k)<EI(rg)ZBO_t(Mo#Jn
zFg&$RrHcb)+khX3@$G10pmKXuJvpx~epIfiV`_PY4Y72BV$vGTju^=333=;QL9|Hy
zK_xzUi?TEZfyF?$iBOcT6lLO3Z8IzV0<++B8TU-2h>17uDUI;v$nf%%F8D+6V2QZ?
zy6V|^b7`D8`BOasbY>=kC>4MqDmLGN!`{WKp3mcH8FS*gtSZP4>CsDz@bxl>TAt({
z)LYc0rt2LSr36ZrxSCb-Rn)cwgXp1n6m~-92Vam1IaR2!ZdppEUNF0QWq4A$Rm>!<
zD|F#eX=L)NZ`KjcGEf4s=4>Z8)5Meo>HMLr(FmA!e#)+@VtK3#wy8y%)7;eddqAy*
zC1!A-UOQWrc`p1UJLl31yM=fSUDB+_cRQjT!4b5F<6|!68W0dBQT1{yEM_Fch#WRl
zc%gQ;lak2)ItQ&u)F^O^L3Q;Zh{ycoW_+c&V$Z6{WxQZ?kfhQDtOWmToEeX8c%73A
z67=xgJGC;rL4Mr}CyCEeqV@=PSTI~DLv%OpTJuB`3Dh<qqxZ<sxGZ&R08*TmQs1`G
zJ~h1W$n@SzFD?MsuUnhT*%KkzPm88Xx7u%3W3nGR{*`y+Mkk8Mn3FM*!GPO>XTNh?
zQ5=XTn2DRt(V=13t>A#zzPSa1B%J_gH?pkXw1@08xno?lHhQm=(gs!dn@y=a8_)9t
zh;PS75&sZI$-2+yl8C|+$;68?Dy>1(5Zi18!2n%oV!sDkJC!)f#J26pnO(!{KyUaW
zR}Z-?MN^{Dh`9scdCRo(&&O+|G7*IGZ_nFhmD~U@Y@+uV2YJdQNy{Ot(-?sXI7Dce
zIBz1@0MpHHbgx&%^{SFd8s28PW-;z_d<rQ}Boi^VlqxfoTR8?sa_-jJl%`*m_6fqN
zyY**(7N!y8UXZMJ$Pr4|Px<<-L8v3|{N3I{JY%vb_r2{8A~TD51S~O@j^H;w>Oz$|
z+PL0GZ`x_IP^i`V9;)6DS#f0(s9|pc^3}l`e4Qd`-S=@L6jP=1h?oaUat}}ZCWFvs
zoK+d44K|PJH!7&ix4(sCvHgiDLArm<tqy9ci$ZPX66d1BxY7~q_x0v*5=gmA1c#kG
z+wyRA??>8DQzxaU8ycB$3|KMm0dT>V&C_D5Ac)W8YuPSb8a5@cSe)y(bMV)aFrbya
zZJxwZn<(N5=!9A89YHK~xo8PKzQZT_94S_OK*8+;zgD1>HHoJ}O<6;Xq3<SHY}^s7
zlZXL0eRCHGJ<N%UF@<bD{Kwz?KDm9x3_|1FlIy{{0hlyhOhFeKvk|XW^HZo8cJ!sF
zGGdj8wk$s?mrm8MKmV^GH!&s%uin<VF}@YF$txj}o(q_qOh!8V8Z!USys(DBa)aHQ
zp3!(xIx!~tC|y3N7~|EXs!ed;LiO??)blec>V(I{exJRx12)PrJ~&Rp*6OqlO5)`E
z{M#rmjC(!KF|zL<JqQ`%9U19QqL&3wzyyhjb81Z3D@5>53C^-d9|8<F34X-3ZBDd)
zWVj^4$DRnD)|4Vv^IA|CuxGF0BdJ%+t_{vz@RE_Ce^(wIuOBMb%mCs0wu$K0yJ@81
zIv?Az-8Xez?Y|5w=dFM6oU1h<b~p<H!Nj$*cqU|rv~W3hS@?ndTf*#VHTfzWINMWU
z-5{C~9*7L9HU;q<vh6>Q4qgd^e*e*gLYTbBNcteX^cBiIdbh($+GqgGi=Pd*t1FcE
znS5bg)lI#VH151#VFt7^>QB_!Ov5Y}4qe{JSW>yjR_MD%ffsoBSnM|kRk7@q%=2YT
z5=COU2B*)CS7V01W+j*sk`B4jRbr3q$EsYT?Ru}Vt(J0;+I&Urz=>`sG9~L(e7j*H
zYf8>O>4#rnlzO4KHc-`IX5ZhY+j6X9e~(5Oa-WCZ@tY|-U;A)%85fnNI_THZHraIC
znRgw15$;V|?*PO=uL4{;d2=Ki>OzEg6Ic9;_T!|8rgZw*cQ!a^R%<v64e%BpDmxG%
zuU0akj1gQf#t9CeO))Z!Uom*NHo(*aF7iOBMX>1`3*2(KzYeXL;k}|>>jF(l3`_A?
zsj9!qnwWZQd*RG0D<>$rt{Dv?u{HTCu^C?Aeoc#D_bP(d+|i*sfw7|aSMjs@UyI<F
zwLdXUQ<W>GltJVYE@?lvE@7a@i&@8;M4=f{u>i-b+$#20C1^jA!^lrdnA3NfI;XVd
z7>_GD7>afLVg<+M?xZ~Ke>&JwuzVHRZhOXS3xSG%s(eG;3;u%Iy8>in!<F~4f<^~0
zpyrT_g95fW22v|8+VDkI8jA;7Dj5SHX38*h&8)_HLRM&Ng32uNk8!h`NV`A*$}3Sv
zK(*hC@<-}1cI5?+7VkK0va&)Qt=B$`#t1ASr<KbxZBXo%PUC`xKY=_u?&)FoWFJ2`
zAHeu?V`Zsq4K)AU#vn?!+A+n{*>M}z&UCT<pVqi<h0I<8bYoF1%g!m;6th*r5MIY4
z^nzGG&H>q-SQa`0IR!dJi_@#)DU7WPzyxku+~%%5v?z|G3@-g&9$Ic66;5*LzAgNn
zA!E&ih9|KIXh5IBkcw0r5#vqZP!K`L&^aJpE0DNX@$92UnnfdPxVAzh)5MqJha);m
zq(N08?ET^WwVW;^wMz_=(Gn-;x5UN1`2R#&N{%w<eLSJW)@Aw9EEmfJYB&*8Hy-`x
zd731)Ldgj~8c2gmY!(k4E{q|qrD|5!!9XT~V_U=MBIOcK*V(wd<F93^QCG)u=hEo+
z_Q~4&sj&ckpn73-%Gx!R@V~odlKzUkq85Bg5cITP+oNW>9@m*grGF>B&#0iaVfNSD
z^E<uGSAk2FMu-njfkGY>OoD<$bRfI4g0D|U%^cH!ZfYL<P{KSZkL5WMd^~0;g_{|a
zI$<APnqJwPK#y{`lV?@-^Os*%-J@arVCdz*)n{UiKaec2UskAlf9iIy3N<~VD0#e9
zikMc*Z^O$qFw^^&-j!A!nqQDOoa}pL(FELY0;7jj8FGN?sxPc6$bEN+9hn)s7_>w&
zIM{eLGp$Ivj-}4@ig%nLlf&ne)}Ru?UwcamIf=&4w(;q~)V8`oVnrUkc@70M3h;Y!
z%$+`b7pi0<swSs}2><F@s&wn9P5;&snt2o-`#J{{C`^{2ayToOm#%1T9^W00EB{<L
zD7cUP5=cL5R7T6zC71dg%t85n$InZ*t2K?yH5JFDr^SGWq=m?zMhOqyUQ`$_3rWBz
z>iJ#H<*0lFI*`dzO05dGuKoB@=}(DIPf6=h8Ja8vIv#O6-VsHz-L1OU`LCj;zMOAC
zq#*DfIzs=BA%Ft@#A?xV-P|=;dCQn6ojRWHWH?!=0%n@EIYF`%{qOJjdV&nY+`Ltn
zj}D2J3%cdt!Ipgfeaac&<aqj#MtbvRUnToPvNJoB#E4dRLl?1Ef=3?eq4|EXj<g_*
zC;ano{w6y2`QbtLRhWh($@3!2bVl6NXFK>`#%mao+aBXZhrUgp_tpmD4|SjU_X(n*
z^}kFIAa+;3cLD$aUy~x$0}=XlEe8cX2)YTJJ_e`eVP{yZpv{Ec3PLZ+YjXKS+>8YP
z&&h{C;!5bSltrjutB;Ag{Xymukz$z1$CN?3nG~;R9?~uuzC+gNSU^?E6INoUd7{7T
ze7%(~K;oa1YlE9~hx>6LJcfIsg@PPMq2A&JT=}~JKcoQH=QFs&uo&8?3Xp=WBU=D9
zBQTj%x#>|6T^9*dw?NB4mk?hj#~Zjg>t_)*G|y<>ZRhiiL4B$y*7rYB^U|rqfv3tv
zcExa_JQ1*rffTIO>9gdiTXzm}UL$+V@gG~Nc3`~@*vzu7?z7h~kwN?!zO&Q!cjZB5
zZm@yQovIF2CM%_IGjU%H<RZYZ?oYmkKY}rco^a)|SDb|fsMK~9-y>tYGhae&L>sV5
zQuzx-*f*j3B2iYvLO=Uw6lDlF>8-nr*|Dr59=5%bWWr_ZL6Q&_f4?EdcsMR2`0x6{
z6&lvi_8LQud!f7V9mb&<>aj8_|0hTmz0v4zu3r4(gPo%fxCh^bxSD{ssyU~sT)f>L
z{!dqDwazy{W&-kV2`0&X5_wHS)kMQe%#wye14?E;_8##Huy5_TXQ7%4vPY)e&h|?y
zki7)yfR|2WgT9qbABwJ@S3?pDWZQL36}IsX{^fg%Rof7mZE2&)J}x4M?26F#dzcz=
zGYGFodDFxOWLPDd=+EZ)1I_fJ3ZK+vt>(^=d{e_m`*Lo+yKhk6N@%6FDla~(^5$8T
zClSUFBUmK-UcXAmWB`!yN>aJ{-};9Xg4gbzBkhP8(KPs2(5pc?;ydy^T(<Xu=mn%*
zXt%F_A>2DyF;o0!pA<^u7)trtegIT8p9Q)F&uR9_vlUj&00R0w=z;j8_PPPvZ(=ia
z6^+d2v{M3uq11QBRN6_^P;awo_I(MtZ+T-oZcB1qX2SVEsPT#3B~Xk{8_F}KrKG^w
zV169|aCx|D%+RGY_yH=FZ*@C~KC|Of(V`~^d`KNEx|jt&>;AJ3F}z`<ii^yQAA<h!
zIEYWGHE)<fNKL<JO4_PR1>vOs0sy};VSEn-cVhT^%-(9NCsSA~+PUoPiyR*UMSciP
zW|7JJ$0yHv<URzvg~YV^1m+?NY}5*Qfm15F5B4GkaHJ@!(~|Xu*h6(*NpozWQ)z}8
z0nwdjXOi|47iKDq)dGZ<ESh4K4rO%3KMQEh-4Ypw8W*T6DJ3BB8pP#_eF=E@CS%wT
z?dwXhtn$x%Py}$IehhF+l=C3Eqch~Ijz^u_0yk`Z09q}H83;(}MfXN5wdHEpu>$%s
zs@lkM>w5WixwSdI^rlv`>5$&um3c_4_u@m@)9?Lw1uGsUBG)k@o+f#54-XZ7U6{9@
zi<LVzOwezLh_XYO;gaaKhy#;`_+yUONZ#UDVs?+_YvXri>+#`26|lkKb2=RPNAE?p
zHp@_(a0cVni}jVYu|oP>WIoypZ6~12$Tgr(m}v~{0Mumz+Ath?5%aJsxu4x6O~VV|
z)@j15#*K)0hEy9UWDD`T{O@-|#jaoKA~V&zxZ;-8@VU!ZQH~>yJTeDnmvdf#3o(a+
z`-v|9hB5`)@cX_%`n);dnLI%iie5<Umo9ZjW|rT_i$U8Jn{DkI{eh&P{;hd2e0}Ri
z@P%iZorCb;0yejreZdX+O4fLDHI-I9`LCCx1E<GRMLQS3PMz0kVO%^@yqo(fc;Y|<
zEWkUz`0A#fDCxJ~tI(xmS2XzTTsNWl+~!l6j#}^7ma(hI{&&;AcJ^ncmf63rA@Pf8
z&21x!B*T@eO&q%FJ1ZS(-0e*0Am<z|7g}Bko#{x=Ri(8FmX>!Cfw4jG-<r)lgU4d_
zF33u`&i*fXwF*wP;Q|vkakU}7H<4W}q0T5jx$*D+qA@F@Uv@$%@4-pPNcjDB>z#@#
z?sZmf1g30df~s{oiz7)M-5|lmd+F3J9#=U=hNgX@-eE*Y@V;NLwK_j9-<)3LX<2a|
z^&iclG&9NfpO4dne8ea!X^mnHqranXky0LF9*E-5pc`8J)`omu6EMx@P{C95wSj`;
zPoTcR_(4Z?HBm61jv0K>NOed;VqWz@;qR?W6!uq-9Jfni&Nec_IgVwWW<x!=%kS)g
z(3EOzFUF!!q|5~V+@>tHvC?9wG_wwmZXWKYCna!^4F%osBAMt9m4duwPlER~B?9{y
z=5f!weuz%k%iN=Y;*^tv#)6m2KLEa3N2XBaDR3$vM%BdA(79^O?sAjd7idQM17Sex
zL7)=j7?e`lYV=|(-8y6(n^Uw0Ge~H!Rqm#Xz4N#`^<0YUaQ|x*Z6aZd-?iI&L;~P_
z!sEwt+wlU244{E0EBQluhUHdOCFbdIp0jdCveQe>nc2R*RX7tF<wv@ijeMa@0Mn%*
z5PDtGp`9w71mdFJx!v(wt6fnB!I(Trd0EP=o};-+>GK0TM!p0$UcAn+$GUNyS^^|R
z0iX4bTLH&<s%yM$x9)*&`V_MNc&3Z-OKo6U<2<34f=ik!@}*smMgAnwmnqzW$G0vG
zoLUY>(sU6aP2*g<x*!-Q#t;2u1E15xSw`8c`5bF87NDODV!>EgE!^1aPgls@a3anZ
zAtGdM|Aqi{-fWFlOYWS1Mns_&R)<};l98O!_8(3bL(?^pVyhsIW|XNp3J-#4=%d|A
zS^0{q{jKKmH6q5OJjfPgsx>+dxR{ZI>av5a_su*SkSeqMSqvFSyW+vS<3;BYUM;+M
z$2Wp|_4-!xF}hV2*BQU<JJ@KXK7PMPvxnuraE`qrAsC47|HtpdkT}1>E(eC!tvlp|
z<sW9@(ixOt`bA(YZ0%eK_{dtVSvZhveRPJ$f#y=gG{<0!j<NHIED{3FW6NY8g@mN8
zo!Dh3biON40rWI31FhKN9o3DFd<GR^U$@#R*-M14y+SP436qY7mM55ddCDwyR#F{x
zZKv_aVT4aL=(#+J!lOc0uZ}=6<NqH5qY6Nc-1up+E=QN_b*BM^b9{tOvvI81Dq1n(
z!-oT-zC)FWat6c+WZ&U&wpJ{CHU3i;uRkk?Y&*sLJJt?LBp<BCgJk9^WLeaY>@&($
zLht$chRcC;6<#U9Zu5xHzQ_5<@7FwmK2GnRKekzSiR2DYDP+aDPcJ@%S(V|!eWx%s
zJ!9}OCp~iCs#1OmeEEad^H0;2CSM~yEC2-ar4$TX#Em&b=9M(OYwI`Cs2eGF6hSU%
zYC&2Tl9^u`v!##*yaiytUx)^$BaiIlJE55MB{}YdNQ5u8<+Gt`{pZGu`Aj})9qd_Y
z;r-D+N@Sv#LQL#=nCnr%B_F2u`XQJ(=Le<gTHbe|Op44OQAx5QaAK5%6w-xFZw2p=
zhSPWvI!DoW$s(EYe2FC!fZ}+ke+RAnyhcPGGe6R=Xg_NEa}2#b<MEuzuLMjQtQyS0
z_pHD9NVl|CR@f*HIh;XO{t}MzzJ1i{)rANGx|W2%ve+<|W?B)V<<Z?S_OvYHJ&5Z!
zz$D;@J@~vL#1iwb{wZXo;d{gTR3sXA^;q{dhRdp+BLv<0hfhHtUFZLT#t-(AO7&_<
zgA7a_JT;Apl{E}8bpt<_ZTbB*mbjCT>Ws}OQ@3FlGNe=*5I5eatl6qBGoCw_`6KJI
zYqXh^8zPV)Q;Hm-Vr{%9erEKvM_>j@E0*((H_hNWSWD$dGr{Wvp|XfmYXAJ%y4vVb
z+V?v;Y~FmZQ5HLxFaNQNn{mB|I5Ft0OQFteJuU{Gr7J@c%mz0pLIie)rAJVGdqJ~}
zW@a8UezOO7(m5GauY|qHI$zr6x-rmGnu*vlE2^G_k<nSnCT3%+>Wb5@psYk1$ng4i
zG_CV>leWda`vbUtXJ<rD&b-o~a<3j-a*}&I6elxWe&ZDJZ@4uRo(|=;@WBUg_>^Nr
z-szc(f^;A$LjK05eMLqC03N1Y`F85E=%6-COUVM_+wlvqqqo99>cAn6WNh0?^#QG&
zZjj%bZ2bb1xLiHmFr%*^yakJnL!I%M?03HI!75Wevdl0qG-rsa?6Duc%-C(+X-+yP
zA&rm|3v!gAM|p?@#wu@{26_LW!^%{x`vF(G7hRV;pDW=h!Z7`3y#eh9b3661!`ky?
zq`JD@cKe)!p`Mfd;H5QYJ{x<(+otvoucG?`ZI0PxZ6b}ek6|O}%VDiPSL3f-o#2}H
z9vR97%I~)_4;gT_N#VyNq)7IBgK9pL-4)<LA|9{v*L{&fcFNf3<|goE<iZUr&eB5x
z>^;mGYyE%LeIrA?Ht*an)72@Ru$_zQ<g^(|%D~9oR*d?&5dYc)7*Gre+Mj<TCV8kZ
zYWN2$47l(1ysvBQCJ6t~?&#(TW^+Sg=`>Qrp(b;VL(r}fP-)%nz2eon80r?4?89WC
z&CxqQFP$bb>hqmtX3*TyuUUAHCI?KbK$-Q#Spc>R^{svEF|;xK+?(8&w+ZdyZi+jz
z&Y}941)rJ<o+_yz$+gSnyaL#W$<T9F+3jQzFAwfKapU%^4wc{2h_kPOaas&s+{{&6
zoM5tSs<(5Xr^=+D1eU$i#VSPr`)*p!AH&DrbG<f22ktFqsUTg8Q9340%3zLC)>={C
zCfrInv9^9(XbrC%(w(OAK`_8%7*47udg04@cN|bT%)eF|Fi?Q)y3C4WbilkgVv+Go
zBr1`to}Y~c;?drCAYN>cVoYg!^pyBgDxxF!&4#=Ps}Lk0(zq$FS;6E~j63@R=zjw4
z$x7WZ5u-H$$~O%(Ugy_UtVIMMaX~7-`g-;DR@kg4F;13w?PB)m?t2TUemv5XYK&=J
zm3;o=6;B(J+jPBzgCB&2lv4Mm@JjGFC|{h_kQ8%Ecmj|z#&`|rCudE)971xJOV9?+
zMI@xINj4(PanlnZ5SMD-{sPC-B1R&g$G<&4A~my(0zlRExr*rlo5d_Iu+BhcJUEKU
z6~+|fv9p3JT8Yk#hQ~?~*K_T-G-3SFMSm(|n^zG$PPoA4zR8jw5C$Z0cFECutgN{c
zBzSOr%t((Do<Pl?L+0qoM#k*KV0fVYcMTkH&`F0-JNygrn$`-ur<Ibs*O%6~8no?d
z?r3ew9$&hc2dc#<v;{wvKTOa2T_37jDs>wupPo~%>`)0YxAJWwJk#Eg%t-jFus{hi
zdtQ?R=@)HC<?9r7PAJ7``|jT;dwcjr{deSErXRvw??}hQ{jqtSyz$Sbc@eQR9JZu0
zjfhbEEx5)Dyx+g<OtdWB57zga@zn*o&kJpuUErCy0H4PSrYZ1PNTwbS$VBY#=Mfid
zKJat3Uq9{OhJIv>hFw~%$3?(K{@>PVndWw>^V++rip^CQ%vn*i9V;^EF1$^8CP2u!
z3go_Jn(WeA=@$2boU7y*uYgEy<rbWOF5alAuLjogS_f93C4Qo$b53CF2tc{{cnE07
zGEeRj|7w>;xfMyBvH@B<!vEhBnuv|E9wc&t2p%{NdalXiu|5F!Zno&)hyMLjqf0I~
zzoym~fF8yne1?`|<W0!!=g*}Aefn1D#heE@Ian3a?<`ZP`cb);f%$_sC#+kzKW|39
z6I7~D%#WMx<&sz+K3eroF1HH%^r>aADsGbm9a-0<V0|KE;Ztwho-B$Z4J3<CU-nI{
z49vm|64RrG-0r_|@MiI)-OCO>%T7MhEV9#&DCDo;gE4KV`0c!scsobJDq_(4`a0vu
zQZlq73ad32DM9C&SeVloH@ch<PXvob?js@sTv!O=u$<8VK#Ga7Tr<E@mX!K}fm-mj
zC^ilgK|FM6S7UQnNp(nj)6A%rz~bUUVFSn{<%bnBXT5MHAg3WfWZEzy*po7vJY`2|
z8{$8}a#7ch;iNLFMlk-MO}up1i4)E8+kpLxbw4-NLunpKAq60dJ~S@nRb4LG+gSs`
z`8dMb?UxK?oEKc$QaRNz0L=;==r2q5v}t6$!fJfJa4{-hC(lzbbA+w2*Sz<#GqWJ_
zKMV^?tFpPajBX?A?y<2xA|Zs9KyOxu4}mB)ff0C0s_~quUu8Vh6h!;q)QX3WXOHKI
za~tgEw32V08%dW)G!k4C^Q+3V8ihoG*>hU%l!6@3i??N{>!+*W3P%5wL`#RgR<2q4
zUcxs+$Mly%aq|Y*_AWdYy+7D!+06>C-as>!Z~L5K{2{B>4a{>#8sUGpyaq@+=Kdu|
z#&DC2bM2l3E$#eadtVQjcl-qYmj;4{5YOPDt|en?_ZftA>{M_p5a|^Y-G7=NIb$2#
zF~hRAp9b@lkz58%JQc<&Hs)pvV_5qPD1^X!J68{6E1k<+9y0a$*WXh~y3urkIdf|0
za}JI`SM-P<%w_5=xe#cVd895YpBjaoGnIsI94<bQgAMU9WEWa<wM=UNXein5Y_!-u
zuFy;1C8HIUbzHBf68dR<6z0;_DUdspc*H>_johcI0pX&MTHka^kA99e%`!^~0gXmr
ziiqefxGXEu=q7;qBI%>@o|o-0DhQqrY$>!dKzc`?1OH7t*ynvM2Yp2DHtIW3hQaL@
zm3?WotY{DeX%zBtal)kJPv&&Jql7~YMRQ@w_uget+$s*7`%;qse;<hs9E29%2k}xM
zG%(dWSpNyhEdLn-PCSU8RdvC=kGzldrRteDa=xJkn?yrBwrASTRs%4jT`M%<CO-<F
za03}xtTep}a!c!C<G8pDiAi25P~UT8l^ECw665@H6=f(+!5%{Xbnoc!Ij?0+lJcH>
zPjZY(k&0<_9F?@a;3i2O#tVy&Q?<1OEJ@cy`!AN-gg=~IusHeITa{ugXL}h=&mb3*
z2RV+RFOrK%S2CsiR%1WkhciwG<W_M+q_i_U;91darSaS7(wtv{^n|@PDD`y2+mzYS
z&zl>1c)X1TYlkJ$jW|*LVJ+|KI!jI=$Pk`V?K0<JrvD*kDD#z_Dz^Y9;QZQorR6kR
z7)G}50Z1qO7?-!rzscW`7NL#Mt0^=YPj?xji0O&Lc}ZQ#)K;5fWuv;6?>`+vfPtAt
zi%oLvpdcdOQWuIkyynzZ^*>ke1gvhenhNu&TENe;Z`v3HKpg+Pb9pOUBJh+Nbauv7
zaF7Q(Fyxl}Fb-X1S}qbZqeAUDWy=sjirtacMLuAN_;A25xUq2GaJVD+FYSydY%RM$
zyu}=d+u;zdVbOLq3JD@&wi_L@+3&`ze3N-xg`lp~smuPd+Zz1K6k0jZHB`v5869<_
z;#Pfb-$rF(7fl{Oo6OcOxd3jguU<4@OP{cjSzE^{q$TSXBnBC1rx|d9xledq2ruc1
z=kpj|FEAjU0W#i$EBI}peZ#D*F0iDrsZWF8X!DG<V?-al)*kVCkH>7YO)|L+b(=};
z67!D=m<U!p(s@o7`#Z~yVRPwmWKbe|{XZ6BD<}_G+}gw7Yx8+o=0JS&&Dc?5KZXII
zBQ*I#2bUp#CG``|0X5MB{gZAZ--N#~3@7_B)fw=xUTC9@$lwAKoiaYz6=9LbP`i~y
znk;=o{vfF{Dfg5EgE1$zJ^cf<g4dUKNz|~^K2%wP^akGe9^2^g`xR@7HKsLcZDLDd
zgQ%74qaegm@XGl6!v(bi`GT}F!G2oYOEK!mFfUCqArZkOd&Z)2&qTx`;*_$*g?I_&
zV3k9mZbk?6&*3XpnG(X$iBp(q5oPs(2~%Zj!7h*P&U`$LaA;w|J;84ULs`B`L8$ub
zYtmAkU3|7l)F<M)<FE6cK`UmN{|RNs#+fEFp1HN(Cz>ce0bPGcgYbyz^Gz!_4wKKu
z@%;A3mltrKS{DyYmMRFDFs@~KoP8@xrz7F9@B!SLC&%*|^9TII6_nCLEY&C~L?47t
z3_uG~sSbdTMAX^QQ12@xF4ud7Me>@g=KA6;8)tmMylZ&d%4Toc_sMEOBAKTi-^EMW
z*WE=kR15+m1)r0Gaa#Z9H+O({YMrj7>Q;*tdFz_7;V{E&?LTSL>PsLL4`IA*CmNqm
zkNcDk7)Rt%Xt*{KAIHmwtsB)G)H9$=E1)O}o7A~I%Am)lOz@uac%Y=_!v>7l*vO2O
zn%u~<$=}Tu9Z?5jaFz9oa#6OGSbnnEWmQYiy;H>fR<GLzWj(}e7C3^8up=g;0SfT6
z&qiM4C4B-Bs=ue^o)NCCQ<FsC0Pjfis|GGY<RcD0*w3J&2#C?z9{eK2%bXTE3re&Z
z1pVidoH7GPCH%((42tqWI^1nSS1TN7eZ+4c&rad|7u%Vu=nXU<>7O0p*~TV<iS9Y$
zyl{`bf6d%_O6zh@OuL?5g#IJUG|S1Kqps%gP>AV4lLf`|BkfCxz{E{n-+@;a<<kj|
zY=oSKDt0opy|hS#Y*OwwTo?((KDWE)To*>5fO2htv`rPK?gs$HBF%?V2389ZB#C%&
zT(Li+#0&rda|KoIcKn}ACTKUXphE(omFT5PH;+4|g!OX|L$&x@>%#dlF*6(~TI5*y
zr-W;bx`980uLT*%?P_CO{>p4-A@S$Tlh{FFsIBbs#B`_F+2^qI`bD$g*CMRgyUXG+
z<Lt<-MIdSS-hCloZ~d#>)-x(GdSsk!;!BT#Y2%Jm@a%CbnG7jVW%oeM_n643+lz)!
zQgBW+q6EuJ*vd$aqjqK)ty`2$^jGbWEv?jMV_LkhjgqK`CrZMe*YIRv=3mPDEa98C
zZ;;@zUCv4pPzwdS6WSJ01VH4dN_a?#VRYCNoD(Zs{F%lIER|5I{V@qD<aexY%wIJ0
zhCKpHvIR7`4djwLhT1Vy?`{JliWe~pwAxydx1(_YKX(aMv$byy!*hKfp|Pd%l%l4v
zzuAC#|2y@SFe}Vw>9~rU2Qnmez!kx0d5I#<rwHNp)I9J@mi^zEfl*djh}OV6nwx|3
z$pdb@1Y{}5$Pk~=Ee#yfO~6qGv}bY?1>mj0w5RPwo9q}FWY}Y}ld?pgk~EaaV9Ra*
z;8uuE6Ph~ds;VMBw7))<K%2{`bf%d}KO|7OEXc=@9_2mmO;6?PSqm(|&0nLV!v<Ir
zKJ{$Q@((*p=jpT~skdH(9%FMC6WKbm?KW3oYL2;0o-y$hH^F#2Hy6%T^h{~E^QrpB
zesIjJH<O#iq1AchF!PzlhQK~*p*#s}E-W^)*k$#6WTs@?LfU0XA;Q6!9hR#x6p(Vs
zh*E*HK7TQ;o&9lS>y)4nCaTC<+mJasYjc_2d^R8aMm#hxWWPrDYYRt0i5x=i3O^FL
z%TxdoEFKiPD7#;sii9sFmhBv0=d5__u2>%F7-eI0Y5{xZ-n1C`ZJJ-{@dTIE1#1u%
zfg%%Y78lgSW!P74eCg*UC93283!13oZ*j!8F37tA7IJ~6V(rU2d4^F%X=FLkZ{wA8
z{lT&)Lkm7MyC#GK)YG4RI0lW^9zZpbNPW8OexB(mD;i6zPBApIiJaNNNQJHBwVpez
zBLY9}(6=>K0}FbEU$C)L`*OCyATUhNf2afotviE+<E%K}1ImShFTSy<Bjqwb?mz0{
zw#w{I1>)tl7}%k3o`lQg^#^fv<T!1S<W)1evNEbjjLrSaX!JyZ841tnvjo=&!7PI5
z3UnyMXEBbIkQK^dc=o|+un2bFx2<RW0%jbiU(667-9X+;GHIOvcyTR=%P!h#Ema&e
zvG4-2*`F0vh^75)+{V7xpLms@$_Ens+?*z?k^J>mi#04V?1y*vS*5$SZDzq@SudK1
z1uJ`Fh?B)A6$Q~01p^(GP6xr%?)|mVX7kNb`!0ogXX(e%E&PPst6bZORLb4^6b0n>
z$-w1?=&z*hhDNzvCtuKi-Rj30<R9toH%g*^6WtixQ&b`Za8Oi9v@47xd%9CHl5ZYl
zQ>9F2>Sl2?6msEg(t(Gvau;bk@A|9}1i+_H$6w~~zZ#<~5!}+AvjWsLEEENbbFr{3
zU|qhUK2A_$Y+5#q@pWcl?d#GpK;w^eQ{%!-VbO^zE}c9)AG*hw_ruIp{xbf#NyGxv
z6FLA?-qPe0NZeD^BR9GZhXFc<)2XW?Q_npVtyr{G&3Lf5eh3u#myTV2o9@M$t{~K3
zibMf{rI^y@b1~jh_^JH@RqNd_b|TD0<tgNro2_c`TojqKri(H6w#jRPbP)pK6ZUoM
zV;!rhVrg_?cM*0V;$`kw%$<z&d#X!7zZFiqSAdYn$;R;+wxeO12*Y;wWA26;>ykWu
z=Htc({YMxS8&#x*(ipQZ$}npN?PGAeKEStB8k#8A!(ZB4s};QEZl;Q$Pp={99w`rO
zs2G(3ssLtM03B7opCSum5fU`h$99-E1D>0uUEqAqz<SXStBjgi@-ZLlqrS5-2LxVW
zq6ABlVmh|A>i<h<Y2lPAXX^mw;3!S2N;`5r?m@&Be15G@Dm}B(b{l&=Lil6lIqsAf
z(lZR#T9gY(I$*lk<o0x+*FHx28bf?nHy?C%M6#VH;+9lDT_?XD91|svpS91zD?F6g
zZ0xmA9)YY~WFN&bNEG_lRCvi^3BFR<<#|cbS;<Rdw{Vwy(#}K~qrruk=MAf{yrm1^
zTMTH8(gg)voM`K9xO3?=?kXV-gjjC$$iZcXE5s+fR!>OlvkQGF#@ZSWdu_{Q*!?d0
z#yhPHOn52qTR;#&BqUHrCsZ29G%S=s@nIu`<w3f=0&ik^7Koz5nJk{Vj{CP_vleyJ
z9#-=NT<!D)-ZFk!N>Ez(YSO1XvNVZm0FBvs-Uw^~F?1#E`x(7gqYL%#6vGEs2obfw
zg5lMY5>b4s0eIul+wTn5!D=TAHy=Rog)FtYSXqC8JI4*U4*VCLiIEtUf<3tgWx}T>
z^0}tg7DY_-NWwHkf4Y#7sTnV6tFWAG7WE>X96F2t5Kv1@lDfhw?F&<Y^%+XX7N%@_
z9M8s>3xd2@`3tQ9(+6-G`bXm4XzH0h76J@zg-ITUUuh_*Vy+~$Z-5o^jC)-}l+<CZ
zvIw4|ktd5Qwkqz*O{_(FYt9c6Dp^d-K9fxE(8!<Rj4>W*CyzZcntPjK*1CXURyM7)
zauJG5rY@P;2?8-Nq#|()oGwgf#K|g1A}~b9N+&gjKz>n)%N^a!gI{3HWp;%Pmj2~M
zxsknJNu&^pn*N@VlxLJ$IJ9l*h=W1kA?!{4Np8Mu#-&mYAvO3S9eJ6+2dUEB&aa02
zga-yo0I`F0S&4%DsZT^S+3lk-I;mqHL>s@gE4Ux^>6+s(hKNK1@-$AFvvaJbRe?-=
z9X~zx!2Lf|mV*S9${x@blR{3)afrKXCJWl@$@nubXP^n3(<W1^dSZ!;aomH{k1gXY
zuqh!JQA<?MYD_klPkm)uCZq1pT~~fhqak?q(P2NB?f?*?(@(yp11z@WytXp6HCI8@
zKNt+sXrveh=%i!YqZDyCns6!fM6nUxWuzN*bn*!neui}d6sDtlQgo%>+lPKMQ6_5q
zMQSA1VAg<g1xIlqtt~VKyY5<wZC6$a1V0^E6Zp(u$lyi!1aKBs5y`@K<sjdwkTFnE
z2K`8!KZDfB%R!5(f!5gN>sKvuSf(Zh9EpJ+);>m&B5c;!UQ|Wj;G@eD|76G`c<&M1
zLfu}{Xm=S$ecC5x9)B^SE(=AtB}XzL>91z9VJ4+dMOa}w>jU&D-9OP;hQ7AL>jV4S
zeJwvB0skp8Z!oGdKr6$WrS{ZbhA9TH`buV7N}Y&_8>j1A3x3>wzT&1^Z5ty=_g`;e
z!ol%&i`z!ppX4c8Fc!)$T_O4M%62`wK=!8>oGLryS=CVN20UKiv|$Y765g)YJ%E&7
zZ-kfyq2Tp8flltDvwk$pTd{9sr`j<>Oe>_$oCvw6lzZM%@p2+-meCyMiaMj2V^_!E
z=TjCVK6C-a>b>mBr8W~V9PfI()c5?INamO82nTd`3|IwXq*I4kK)6i-jcQ$%P+N;B
zHh{<+)$4yD9u@lhryXlU=P*eXMz%!U*~f0GrRj0`Y!QhW&a2Ifz`r&D^AD1K5&7rK
zkrLig>423ho|MEpHruwaI1JEdN!P#bTG<nFD$8CcOm}eh@XxvlcaBLMJcozw!gHS>
zvlR7zQ0$}moH2tes0N;q8<L@wXSxS4)s)_&xUsOQ?ESKh8CA~SMI<h1fVurbSV)t{
zfS7F{BW9w+oV?mMFjM0QfW}nJ>?sw&-S-L(4S=rht;6?`E=xTb%sVhA$5)zywx}oJ
zO#+9i&xcC-#1PSGG-9Qp<r45uPO-7~RtC>#()H<|gt0=)WT7dk8bc?#dmMNDtEL19
z3~N-MGi5$VxH9-QJHdSBY>mXx`OP<pt?kNr`s;}$Gd!u<pFqt;RyasL=Tbo(WHn2A
z{At;ly#-vOgRVw)(b3LavZ_wcLSIMiB=`(0?oTK!j_{#%zQJ$H8m7I%cXaaG#d5kG
z{c+$6D~SCTftWN6MTC2v>oH{6lYgj#_>I(6{~@SpuU0|+$^Tys_wkb#<8`Ofl_3{b
zG8>7EEvs1>yB1z98+y8YRFUIU5BFj<%NMrJ4WPy<nRwumyv_C1bYsM`A{i02NG_+y
z8*x->qQ_qd^$*)~2pgaZsg6jSLW)f|pl47Vd{ZB|4*>n>oNgHwM)^3I5<(MJz=e7A
zFlpL6&R31BeSfdQ!C1dFN_=gJ6s6zK@f4mh96!G-AOd8#&86^oOWfo+v%<26bEdZY
z@=cX0QUjz9Zt$C-*~ADSJuq{@9NJfpmTTw%doj%6I1C&$t$IzOH*k5%r`t7BYtX1Z
zz`Os~KG@v?YDm{qVs#Gt4qV#b{xPH;bqwpTIE~OCiN*{f!%Vd!L<8}|e`$B?UC9)w
zSP=Pl4^DCKA&gUUbo$ugEpNE>%YhW+4gbBt;|&v^ez_W~I_rA_z>e5zVq})_Gq~PR
zdFG9=kK7g{K#Za>TYa-Hb_c=-bf;e%mNusiNc$XlF&b>T1_$YDSt#Ra_Xui><_f-|
zR?F$EPkYgI;@_tb6(HkJT-EvfvO;W0R{OR$d?C{BH6LKK0lJ$()B^r)o9w^>R}fjS
zZw$@cX-+E%7s(}8`u$o<(1ONiZ$slbirS8zkGRd+@-Lc%tunF;cp?SYW{6+9h@Gea
z9i8ntOc&f!<)PnX{~seMrpk7=0vylC%Q&qmQXx-23%U_oc@v<LC7J^TaRgk|Cit;f
zlT{ZG3x>Ehk_c{SdP+Mpy91-L(h}kDsPx7O!1jmMU|=B!zs^Hq;G*m#75gY4+=JNv
zt_Xz(;J_es^}tjF_g*`$_Ifz5ECsX~I|wHCFWtd^kU3$WuzSgpWIAbZg+DHgbuSr>
zGNs=xX9c>R@^D2jyqP>93)dIa4yh9#j%cp;K`}7`(-?J&YPBMcdN6`AV;pBZN!8*?
ze>QJLq--331OPGQL=CI`i<=&=TU3<iphonLMOb&R&tva^=K!1E)KkJ^oLjqEPC>#Z
z$@OKUpdVhg89b*m)82^D+Wvlyz`bv&?^u<YB5hznGMnD>e>tGYi}Uy4U`U-iorwQC
z!0?$Vf$j`a|NLx2JZEu15Je5zlwuLDC158~c13@Xwre$ai>G9BhRS5B88KMVeya|*
ztj_?c1aTA6219|#qV)B{hH#r(pUJ$rmB4n2*pN9tZO;nM?A-$ol&TlK(p|A%{bu#)
z?@p9tIKLAl!&CE7_}7wnL#Ib9N;jtsh4uHLVo2vJlB=RiX3B-ke%Z+jZ-LHU@wDc$
znuaE6Cgt(?t#cM08zpUvD_hCBjOHw3E~MgeHh&yKP_axP7OvNI85tI?Ysv0>QkeaU
zZHoHHI3y+e$vqg8=83y^FJ)MuIJi(gkUpu|JJjYp-h_-qfMAIU1{F>pYwRp|^h_5`
zMY_eHo2HlFrm2N?pWe%+f!$@1xId`AXEC6+KZ)6~rZD9VIN6gbXwO+7dZ%{3@=ZxP
zXV<Cuf%N~4Qul9aDF?-7;&^aaZk24$uqhZZ1{E<926!uiV&Q{nD0ryi-mE<O3tJ>w
zRUr3~X6pKK!tB9Mn#qEh7RiK;KF&rs9e&R*R-`iv_}jr3NRQ>=e#;cKrOp~qJ97(z
z{TrRQW&Jf4cH`3=1!b;{*VY4xUta7ttc*zm@vHfqVE?8PO^3Mt&>?4qV4ArY<;*be
z80F%XzMY8Lwf+@$Ujy|gs4Xl48QDUC^Hc(+5tIF0A(MM~-zpf^>?jq`id-JcU^Sin
zK;R>dd26bg28(Z~*H_Od;VxEc05}IM5t$)iJWvV*_Qpo&AmTTK#u`xqybBQk|AqJ1
zFX5|H8=A<IJmA<$;a_>F<$8SVXepVEWK%2<Q1}O)$a^Sd)h->V_IkCdz3b5qcE0wu
zhBr&3l=s)QgLG1W2u_HJ5R5kGMWMo}gHLGB*3%XnOmh&+P~d1u$K;3n8RekMHz7E*
z&tu}vLiJ8OP~d1_BHBM3C0Uu2{ve@Z1rK@OZ-Mn$JUfrX1${mb?<Y!j&jXf@Y_1+T
zxbso61%~__O@^TGOSNA@1ct^JuTFo?(IZ^q^~?w>OJfCu31L!J?t_m{Chb-qpwC#3
zWkufyF9S-JRIdN}`Bs;1WZaO+&8^#i1rnr!9J{Y&7AY6EHk_EXTTz!|Ijy&%j-}h>
z*6uJ%QMfG_G=bH)B9(_%YxoS#D#$C$<}Q>VfsskozD_i9tbtX5(<K{>E$GbQYnO3m
zJ1jIupA_2~xI(Q)8HnTZT;A`LMU(ktn3~5PC{M2;q-wp`7^;LQ)k%DO8vm%^R9-k%
z%uDV)AU25}E9im1(hxP7OQIR|DrW=Muz3(Y;;uq*UJcng0p1z-Yuuv<p5`+67|wlr
z*HPkNQJ(g{2#rxlXOD{#y%qKRz-B!{Zt-(*qi+S%lu!xZn;>1Nfcr%8u=M<6q>rMN
z;~!*SK)KXzFZxLL$Rm{1y;}e~K*YcO*1Pqp>p-zyp&~Ib^8s)rxs+EYM23(Qk>1gD
zxgp<BerbVJphDUnsTjOc7QPNW^V&d^EsPrqW6xm#*(L_hpgBCM=edLJ$D2Rb9G&$Z
zIF%=&F-b9ru;6A7L~9NNi$Q57`lQ@{|Fp4(Uat?P;!j(+yX*IPe)wY~Y|0Wy7x*#f
z#ikim%krZE-+zp2+7&TenIhc?nr?$MC*4A!JBUVX5HXJ21|;XXy!d0I%oYpT!wb2z
zE1i25IW_D)+xMYnl5ON4d(;qq|Aa_P%6283`hi`e8M&@A*+HA=w5=OB`TJI7Y>{9O
zx#%SOtgzbG{;+nyb$>E7VvBdaKJQz;)GH*!AnbtR%1WX-sM0MsfIoA}s#V#h+@#}a
zHdYv*e(W5n(h`SHg^95aMZL3EHCd^zRf6cOpx8Mr5VR3scB7&eIBO~gdH}klI|qBY
zn^m**RXMr%q8G2=pB4%n3C_d14zIW#B7p8Di-fK!t4OTSq6;$lad18f2Jq)@^UkVi
ztMtWnnt)ZmEsik}BI!_cKijmV;S&>&21h*U)|i5A=1r_7A$6_I9Y|Z_!V+%s6PZRy
z5z6u!&7OHSOgZ)VD|ATM@^>EM6X+QMVupvG38cXqu@#F29I_g$I<07GP1te+z9-Rq
zg)+E-dQ91^#MinxgOY`<o)ZlA#%0sXYCUeD5(n!w`vmUfB^ie4+>oO&Yt`t6x$<QM
zHV;Olhxc4zc)`RS18>~FNSQL9A^;tu2<JjmNQC2L5lKd;GzrI0_WzA+2ZMl?^6+&@
zxit$WvlfacFD9+4E@RJN(}-jE^VhDZT-23pBWu|fP-wYbN9||RC>p7V>SIw4RpsNZ
z2r1}NtT2QPdYT41lyZ*>eNqzf$%-^G)7^j_>LCo~tpTN3|DG@6b<cP_*<7EmZUp3-
zFY~#Eyeln|mItWWyTw}}9@_HTN|>wll=7NovcsrHvIFSqd$->H<5V9kOU#N4zF795
z&-87gP&)=YT64w{>G&+PYB^yTAe%gDn+lOtax?>@R8`N-dwy8UM|j}tE4XPKMTaq)
zF^uor(Y{NdbVoJWx5N^LN|NsBPk%Dh3O*)j;d&x7tIn>FyP?AMSZ&{B?8gNinaHSu
z2_Krd-5XK!P6u)`hWkB~KGo)WUIxN9)5FglD49}GN)Vw^XV}b0(S@A}Cn%mSV#SfW
zj}Bs#Dp+MLuM@NPA`UNA0#bD;D<<nuM0i%-aRo~cRZn-|J2=H6oEdVFtf6*p4gzN+
zoCDwLrW^lYk;u=^2vPI8b#mAee~)NT&=c98+i+3?!9Nt2n}o_1OQmLK_M5C94TNmY
z2WbP(`vy1(f*>Jv*{B%cGPcT$u>e7xYUO#jAQK~?Ui;Bf^+{{FNp){NMfgT}G9{;>
z#I#12Hw)$|>#f^bT_C**tud4;c+-TNhZP#<cL4;tcEc@wN*i?z{?9FIB8XTtvUj(O
za?{p*etO1pOKR`;dGWfW(E?$;<an*WkP$fCyPI`RH9&MpYllMoCn<gfX7_R&b_p8O
z$G4iL-`;R(!$DVL<1cIJT^O)ZY10$ym8jw6ZWuZ18RQ$OOjyrlp|>TqADh9MIiZGo
z=ZH{JIjqp<%UAiAecqXVN*O7D6<ZZ;VROtMH~XSmV$uJ9^0;b0+pMQoOiz1{YJV^}
zxWNl$9D4V$at!)Td7+ylqN&KGZ1v(~SQuw{wn87mUrK>uZoJexKp-%nKQe;Ll6GhM
zaIZ)Hcems-k&Pw!pix=$a3!97b%0Zb(L!6ccWE%*QQGRFf)1DzJ<_HweO{s?D0~Si
zfP_z<Ab}u-H(NXgt%?2|=4ta>Tm$f7<m$Cvy*6L?xk+Fp#=h0wUr3b%329Qy6We7^
z=kqI3f~1KT&6H6a@#*P>$3IIP;RLz!=E|r7+o?`pe8H%)cTJqHk<BQg5=^}ZN@I%O
z0U*#oAl~}2?BnxJF_#@PNG~vX&xVT^PD!xDv!0$sIBZznE3zNenQ&}wH|0JMN89I(
z$p{j-ukYlN?<nP^ur)6#;DJHzLsn^;-9TJbo6(wFv+mo*y>`8K*b;UO<J6Siq(^t&
z*uFj{v;W2PTkiKjUtuPxR!HX9cq$#y=>6v&4XB1O=A2AZ(fyhF6CobjT!_m#tc3sh
zs%HESK;wv}55o49lpC*i{bLH_1K0QAEE&{`PodcHI>Az`xDxQ>yd+cg74_^8(}6NX
zny(=_zGumf$Xt)$KNdI9zutZ(?#ys?zq6ickbqFa+7#xv$-mX*0VV3Aw+ij#+xi5&
zbw5bpbnbV%3mmz1{s?5dOBB*K?&x6iYM)-Kif-#(L0*+^sq-%PhL8}SGEfSA{N$}w
zM;15zy1qm3SDLu^M8d(DM!VVT4|NMTQXub57YhVH5bO60o5GQPll3Q|^k|%z+hsUz
z2;2SRM0-#l`iY_3t}RxPzJ~QgIW&xDk$QVjf^N0SAuIO&*Je4)>QBSa+^-{IPd2Sg
z(v^Ue+^U*wM`eXc<3@|NwR!arkDL3iH?9-y;H@=C4Zqza(1P05oJgp<TBr&oqYkza
zNlIrZ5Xc0EYRvKm!mrDo@p|9p*!#8g9WSZ;c+gdQE2w{B{uaBTuMC7G|95I876`ZL
zxWQk4J2>mqGeCyy@R#n?;pxt#No9chpDEB1-(AZK4Hd;8H$cTdHy$7;Ohj7sBoD`S
z$>N}m0~j%&U4p>#nYlqnh>1!m&kd0>{pR$UMA{Ncui74wVjRf|7%?WEh^}4M(QVPN
z@2%5yk!0w0FM$_?O-3Y!&Whg_$Fmso&7G+_VJ`#K&;p>%ADPti!^l(>0@lKXt%*J+
zVsqLO*oHt#bDwzG@>D^SpZ^7`nT8O|f>EWK;LgP4POf~k90ItIaxA*TJRUyqwPU!~
zR%YwlbykKAq{ojX<b)1CvTdYM%>N`(rX{NF)HG&46re2MV&{1>RkPkRgVqu*?)<TN
z#yPath^vhnThz8&zNK4?{#&tsBr%RJZ+PXzXdZp}<B~CKJ-i~^B%4izGvmioXFir)
zAiw@7a8{|y4QB#%tl0GhCFgHx9)Q8YN60{jUgwbIz6=2jKZU>sXCE8WDt=7}&&ljI
zq*p;sxd2O)eye@KL;^iu<xNGn+uF`BIm43JMHUc`L=lgql@4jB+9G}JP5kKV)Z7Np
z>2SYn%!3fF{?n2yxwtpCtu@u!+`B)^#2=PFg3pWLfN#1IO;WMYNW4tLBhhI9PM;ZH
zrw=is$2mikh9dxwi8L8slQ8&Rfz&*9%MVHVH*yG29DM$5Bzp9hXLDzhEgY{!^yS2&
zW~KiSiVB7Z=dn=jx5R<f5=zs;GwR~2531x&(TMGk(Mfho#S73~GbRo?k5{aB2oa!|
z(bv5yS-1KN$B<HB={%E~dFg|U$Wa~>E6EN_nE%@P4?Re0r}~fa7Rh5q3-`y6$T!rp
z|052FXRK%Vl(1X&t4+*uMIH4@u>^ZhG&z3~o{G=EDZB$$-o@TfT2`-(0x&MA3;HPy
z?|0lYcSr7Yr5CH32KZS;;hiRLDp9->JuE7I4_t7!H9OsaFze2l=$<*ku2FZE?;8A-
z*MQ#%_k};iD5P2q_@uJC`(Nbq!G%xwZb~j4OS4(?rTmH8YBsG&#DQXl>p2dpuVnpD
z1@acbMzZ!q_?6saXu_LCNAjP0rwJWRS1#S!LsDh_Qr&R~f98j8HHDW;h`!Jt-p+V<
z!5r8H;?^q^S7p<<#w5^=);kUWeyvA^0-1vg-%*f;hi5E6|Ik($iHkb?2RPt8*<GRw
zJvwLex+5EB_kI)pm3BeE)x)Z$Hbps2(!p<tZ~l5&sQKA0k$*P=o!h>@1jdzL95%0F
z_-J+o+x+f85ouJrZpzyEy$od-e`(4s*~hKoa8mY_);_lQ`em*6W#ZhSy+YtjW4+AX
z$cL~m6<2wRr|LQ&F<x&aU(w^^uf4)a#_>0z<*XYQhi_)+GC7h3^v!Wm6e0(2C*O1g
z*r60ciIq`l=yF@BIcDg}?xniBfxAQh<q}ta3W`SV<+UXzjdH(}Xpc5*cl0|avr&Z`
zUruQZ9AC5c<VUh}r7n_r-xkM_(=_zzQ61IQoV(IM!Jw-aG53z6Tv+NJS7tq8U7t)p
zN+m(I&?J96wK;HqZrn_@x|9HfY8dw`pca&A!PRizM4h?DJ7Jq=0T>i3zHN~<aB<T6
z;#(06_12!WR31Skmd`1GO)X$rB3%%C?Amnf#vE($vU~mwxqHbKaz=|Vl+dMR*B*P<
zwV!6+EkO?CF5Bs*x~lzPbENpleTd2scs#H4Jxqs=)k=T4#0sR1)2)KTYj+^Qqe%bm
zJum3qr#lyA_!}xjt{5{&hnsq#?duZ9g_VXt>Lt6XV{h+%n#DS&cSD?nv=o$PxXJ9*
z^}q2=zbt81zEi=}>R2S-DPe{#vaBJ}iZz6j_`!byM9iNgv88D+hlnvqQ0LqlH&}cj
zySY6QpU+%5CcO8l9O@bod0(4!GgaI~n=dLSou#-o$pZD91d*}GT6*S1Td34=P{wng
zX%^F$MO~s0%wx3RxWauZ8<A<Y0$7gkGZe3T(_7yDV2idH2?5qxwQ-0;|H9}HHwPAY
z1hf$Q%%x<bQU+Tr>zjG(U<AuNA%ZW}53Xe3{~QJu0mCy}L*g&6%TbD?QNj4H${Yz(
z`me*NBd{1p(|P!kJ@k~shlf}>pv+UiNW*mt@DOE+tw@fV`B?V1$OWj6TPOwFm1Q!M
zX8mnde!sAUlzdK<Wb{;{sB;+wm|Jzdtf~3%ax@b1?l8FQZ8wE3pcOgt_8VSO8ziW1
zynwGDyeC(o`RqG704?g6z(>f;%NJ&%#1F<>&%X0q6OA%9pWpK=OrJ$gu?WA9XUkD4
z?RGde;r~KDHguO9e(MKeUGT^&f(&z;8Uq%>3jm-rS4BcC=L4+nX_H{=VESV0M)RU;
zxivX?bbYqs8vn?lP&(@VjzLYxlgQF~&W)N9x|ll&fNS5WZ|<O#%8pm)ICxUd!s$Z4
z*p4BDw&eI=*L%u*^PQn~Fe*2W{^wT4XHdK^o{5krCoS&ffSmC4%4S__6ibBS<$d}E
z)uO#OTU67`PdjDamg{@F>q~lg{ss}CXK<dq)?ehI+JB+nCQ|eTD=3>8Zjc$4GQ#|L
z8|OKR9jGsCejymGUcIKI1&d|7y-E)v-!nAZ9>mT{#rKsMmePgj-`_cxid9A5Z3az3
zF;psbtZLb55Vw`n>c9$c_YITvy)yE8dfLglz@Fd8%6twJgvV>bhWR>fT(`UNn(QTD
zKO}asgdOfApV6m&)GURDw_!ZF3b!+WtYQi0<5ve$3Kzjp^1F>1=b#YSw9+jVisSPx
zA#wRhtHgfr2@*DgF9F1^RlFU;g{X~FdB%L-a@USB?rgNjQ>W}smJTvaAO{O)jI;M#
zGuNEh$(&hL9a$9I7SN7DA}680GZGP@X9DG^?@m{yG>ANDmSM2?%$FA<V0ifdle$P{
z4I=nZeIRn5!V7Gy)~>zywDvqhKp-;NX~{QP{JY1d+q=@sjVx5TzC156N+MN0n8H0+
zHv;eU!f7YwnZ=&~8~X<@q@e;Djw_eFD_LyO`49F?kvefVxw4uX>T~|G{Wkz|b`sM=
zJkFO^KcKc#+NiN6bGhzMn6LF1R!gQL?ez)1$iV-F%FLzt9%k(e?=T{jm=m*+AaWm?
zGcdVDiHaggEqL2EY>lvdu}lL1sz7!2speq=t^9z#T}<_Ss1Z^2^2)v}itmwB_h2>a
z#h&T~*Dd&y`6{doSLtKjAz7mWmvxNs!EJOO_A=v;DOe>&KZa(RSK2@`%fjEK1{?mw
z54IuwuC5E<KZ*gD*mZ#`Q*a@D^j3d31EpT-8oinCQA|pXC4RGNIDA@9<bgW>5<!jE
zcK@7%-!Q_fQ3I;ZCZ<JEvbS5w8f6u$7IdxJDIghVO0H+FmtFt8%^C=xGD_%(T??Lg
z4a-d)JyYb;m=iyiqJ;}JR{G)&hIZ%-Pr>-RTh0S8s;Z!T6mSp8EE_JdnQg_6`nBQa
z!iwN((t$M&U@T)jw_>9RN)|NgY{whCaM_8r9x`PA!M%2ix9L3D+`J7rZ;r}Vf3={m
zYU=l$Y@ydRD~EQ>9)oEH8*#&Uqj=)teEaZa0b%$9YWfqMlM?RUXq$4l{irK~x{pV>
zfh}V1nON7%-IGrY$U9GU^8wO`iUsd~Qj3-!`nkE2R#9>M@YPT{Q#<@enQsxykIFkK
z`musJ1>6w*SFlo^X7<>nIYSDD0y;=%c*W6_u+nD<D(g$r35yoB+02L-gzPY5>vy?W
z1C=;Py*wWgZBo6EJS4O<7S(1>FDQRMkY0!55L}5MITwqFG6kv?%D@XjGL3+*P6tFc
z=#JLv6k-_IZLpIG6>_7wUSUuV0MluihWh)IFMovj1M9I@=;r`kIJ%!;s@7mOj4N|Q
znvrqdYE$!Jm=Yq9tgZ!DBEUSPj*3&Kd%MRcQ&Hl-5pI$)<_`OF-olC7G2gU%gF6Al
z6>lvshl{5Et8WgT9dDlqXTB~NUj;MrIy~vQn`M7vwi!2=FA{sTO=SA9XMNpnGSn8Z
z>%=pL*<*OO@%^x7Ch^<a;b;#9H0GQmDOqpwu@vN4;!kw1EsGMX2~;#+v634QAgVkc
z%qmR8b-Ys1OQD&!O(f%IO}8>M*2|P`*OHIMXWZwH>v+`S<sVbIr^-f0Ks`@f$+MQ_
zxBhgStZo1AHF?~9k<5$Ycb0f)Qx{hX+wqFzTR8I*1!RvLu5b0GNVcU4hmxt(3Lh}o
z8z^<V<gKT@oiEs?#FNBmZmG%+<y}5?EAOZrpeWy68KhMx?T3?hPJEc-p+dP2`tS4-
znbtxS7Ns$lMWmGu3|rIWk;h3$kNz$kdmEEe3%*oL>NbAWn9C~04&3$oGLTos<z%*T
zAjaWfs()p@Q$WuDJ)x-h78E-k4-hO`!aNpJRe=vw;9{}2LNk}0$6WEZ1=VU=kfE$R
zSWnLk+>=IIbkF$-B9*2U?o~62^YEYDo<jiz@#$>pg^`VXDr`IH?ALmgZJf%-r+1!8
zCs9R(6smU8iL#a5fW|>H)pe!jzKKIEhTQwCEt8a{H3VonnthCvfwD`?_7@=^f?6oY
zx>!HrJMKgthGu`d*N9o7e`u#^c?RfSM`$46IVV{a>Z6CYm9!+`|1m3Eef6#<c6Y(@
zzpstATgz`_5M~&le@IRMsb1JnsRw|J=_pyYXfBGMj6}$dNN#^_v!Lln_9B%_!$_%T
z{NP2Ps%H*W)ADwg2cE(x{;N1eo@k9A>h$=b2GK)?vEpEq5hn{M-SO?g*b@ZF?K?=z
z%^y`Cpsp{QEJ`A4gvEr$4?|I6HsCv#jsp6%W2h!JwQkv1s=aaOcDW?i?mo`j%>cq@
zV|4tnY-I1;6qZNTvw-*zX*Z|G-y6=k#G7w&%JB509&EdEh?nND&`g%}jcaVIPX^Tg
zGzN_LrVhp5<%Gqyi@l)=9CxwE48&Ja^)eU4=oICmG;+`!=I=^dkKYKDC}vP)jn7z8
z|3O7t+C8!acc<w5dYW3yc2d;P>i@i`Hen@(QopsraDN9<mGFeTExIuCd#422)~ISP
z7#IjLpTReUjY4ig9&eG`Hj>AHx2(3h5KETb9?dsgD^fWIVS0(#_Hg6VB;GbrIN1b~
z&f?snuCoZ{RB^g8)aFJoniSsTvh$9y#xM6s2XH)4_YQr84J$Ttqh4cQRtPGU@I%vz
zr^Xx4a~uXBYX+{@sR=UDS7QJO|7+U+cnDaP+l}2}9Db4h#4Dfbb@R({B}E!^TEoq#
zO@8<L&5>&8Kl%EW8u<;^`6apuG0k{SfM8sNnH{?&ec((bvcg+$EK<;=Sm+214~IA~
zQ{)dvL9R)&w`**MohPK)VQQlYf(-3>&~f3eYP(WZi>>IWmf2eoyC9|<Zmd?sTT$z1
z*FOLxQFWvRP&h>ga3dc+30fcyU08^Fdx7Dgz!~bsY&)khyY*;3lIX}Yc0JK3$={}=
zb4UG_6U3mZolRm)XEtqG>i`C>y?yN;`O5Py`p8+Y3gArIsFwbhyFNs@csKMc26;CE
zW#~xYX5!*CE2|J0ivF|<d9eRud7#`Q<1gI+Kx`i3emqGZO+>#J^^3O0<^W^Z-yZ18
zcn;V5eRgpk%dyryA~6r9)w;z#y3`Pt-NCF>z4Dvbcnt}!VbcH_5J>kF0hrZe$L>=H
zECgzwRZp(1K8v#Q(goJcCpP6c@z`u)`!FHK0*AcEuisYgHFE*_YafapXV87_cuE;R
z4krm5aU^pu2j}TkeQ#>?$@`LZ9_`%&7W^~@LfBt8QNHF=Q8@^swhpCzg+ivVg)^p-
z5hH?UYBJRLLp_T41FZc%y{J4)fJ8O20pUEdL8GVN7Fv@ua1Z0S*q3;^KPCOf(^T59
zRlw(mXNB5E0`!iE+l8&7Qla+<h22b>>FhZ;65Az>XZb6A2g^V`#Wt;TXk}vA3$fct
z@%+B|REFQWy$xs?giTKKM(hn9=p*THCXF=-CD}e02ExkVf}?QYbLqodCH<pV(|Az=
zep~YOfn1!K+6S3=IDN1)XY6O@D-G=+?Cdf;6~f5lf)d@-)sIs$)8~FY(Lc`)Ll2}4
z@H1UV%Z=wTftNNh*Xe0<-1&@E6Wn|e*q@w4a@hA7l>n-CK5|oPM-zfOC4ig*eyg#_
z@9~3+D%!fi$xy9(`0V=u9tr=fNy6dEbU+gZ@IQ3cYFm84Y8}j6ieh|Hlk{21bUGkT
zMivBjd`oYka9k_WgkpMBz-4FY#+L>9A(Uts^(9RWAIu}#;#oLU%dbUQ77&#P8^K;M
zs3+`8suD-|T3j_cJ1v1m%48ZB8JzdJ(kGO#x1~<!@hr^|rXw%t-xF6hP;#ZZK;n=b
zI(2yga(DZOo#mFP<dpWUo<p}c=Kz-uf;<Z@1g&1n*Rtm#u6T|C80?FFazfTvyKiGL
zxxM7m{F`}*?vsvAf!-omKXDTngi%`wL#u`iupV7Eor5MKgp8VL63BEoz)D3ABDP>(
zRJ-nT7GtGSDed$j7XRfb*A7m0)<67*ygH5gD9!%BGuX43U5$4pLH?|YDmU<A3x&=o
zyW5bTh0ok5b8>7A_73hgKg5{eivi!#&PUZ&&x86}fgPg)dQNJ28l#vU2-9V*nwWS-
z#`bqTrHMKtH_x7E(X8fypBz`V@~~&BV^6a5&(gM?U}z56XE<M1xJgzXz8p}w&ljlW
zM^=YiIBi1u9>>6cxk<NgenPgC?=`h5W=zSNfh-yPH(GbKGF>_v84odVuGZ(vIuGk+
z`coT!QMOg-sFMLY{A1F-2DdAX>r^E7Qj>Fk#YE9av9XlpYwZEcBx`$}YJ(tnYUcyE
zF*mvHfjm_V?}LES1<Md9W`i4O$$<xWUc^D)32w1OV!txsHP^j*IC?t!R>7hbzR5pb
zl{MEsGYB0$L7*OWEQ)_ZO=DniU6-lSaHx(7io=7{ZL0BTrSeE&pwLP?zS>_|CoZxU
z?6=WL6)zwfh^?A7_ZZ6gf@6qV6b+C>2>h&-H6(llR?i3ZOL-KDF)8`bw8;jArk{5J
zY51gOdD7V&5);w5Bpw^}2IHuCAB(LR!t(izr-FqqukX;ZisDAqO-<*R7VrrI+c&*P
z#j&_}EM_3*DItJ)L$>0(3Y$OF2tIGNVf=e-b68W+!RDqe4Rx<6%JQ4jl;y32s^@p7
zLv_dCwFqdbWS2Qj*!8}hp6EpKw%Hcjkc!5BpRu=`J;XlmJ(*HNv=7-Rx9wWqX_i$z
zPpGQt{!G$+sbIY<B2K)SX>e<lcX;av50fVW#{z9vxSb)N_Jc*MY8KHXt6&@w?8Y`7
zhJE$J24>FAy=Ssb^beK4@QP!G;dQK=lcOYTJ};B+wy=k7;v;wKJg040k>@5mxhWYr
zSxZJN=L59Otb0fawzi_p;DK)Q$^+REgNu_NZQabT_@mwRx|u0EWx8r{1gSaC@%=&w
zS$qu?>ONgldA^dN)s1M9LCq0OveD4DZ(g&uB3#uaW3k|L7>Hqw#!k(a-yO_jU1?_;
zwasr}T}w|mms`}UwrA&+oIk7&LrqUCo_bVLO{vc`4@pO;G3wQ0IO1*m?}zGlvB`8u
zCvP;$L5TDb_l^C51$VnDZs?LbYd}fGS(7DTcJSn1;~Ob`lP*TZ%<9*%MplUY%s^Sb
zWr`JeG-3X#5K_P6Unt8}D<Sf=!=D^WW;_^d1&QMKh4aE(Vi?cIFburoTo_Y|oy?wz
zTU?r>RX8#MWO`oK8{J}<A)tpmIR-d7-ENuRmZ$F=FmR+aD`Mqr&`qtv1nF2)3i`_R
zp=Tpbuh68afY^~C7PqKLzzz1BCJKRtlupfQ8u?v2m)~Qmm$1jQ$RQ}Y(RkWZ#{Mh>
zo@W8aSdI$hZLC0E5;GWGc5_Q6S;RAX#HgZ`{Xy5ve$U;h4WxL3+bL9hlmD6*4Yrqe
zep*-^XpU#+U~Md}Tc9ibDe`ZOg7W?odm7`SXJYH}=MPbYm{<yAygEwqv@@jD4HO?8
zLX!;VTd|@0)c|@jGjrToqg!``S%E8L_Zb$A2%fbZfa^<QGo7PFLQ!OP1I0cu)72&d
zNHp&Z46;=Vcta7gzDVnFwWFUvrks$F@^ZWot;GmG8OB^pf5)vOCx5^X!lIm^3Ys-n
z_%K>AbMZqi)*K$?>b+_7RJ@mYQsaUAj6hC!!~XZrK;6y~k<hifwmW&CQf9{Q8Xw_9
z1B)<EGA?tqo9Xby5TNXV(qOAQZ0MxB(2eQkAyHMmN3l9b+62A7-6!_f;{%S)Td7V1
zZB91pe4QsCc0)%uIJwu|`KU5wb9Q#Kd2>Nk02OjP^hQ1wxQ}e8*kGD>sIJ;Xd2#3$
zNn^TQZ8$0fu3@??xrnFDt@8+sd(v&AE#pT7r}LB(1hkpeBTX0J-2JOH7!{~9>L=r;
z$*rDcYnf?^H+gnETY26{f@xL#CI;`)!JX7O_(L;yv)ds9Eni*SYqRXJv{^+;m-Ha*
zlpY0048J65M*k@Cg?rwQN74}?c$uf{QdL~6#(i|BD_Mwgv**tf5RkY?pzyYHQR7|7
z%mO=TTl%;?T!DOw9%$Dq4{>%W(>|RLf7!}NX*&TDc}{2i3t^owD|IVhyI*dr?V6Mv
z|3@IqZ)Q~YGK$7#-p-Iv%z8vdpZB_49c{2qkU7Ocoi<5L;dbw{K4;5?_v%GP4jGNS
z7RHJ$TXNRB*IkEPxI^??evy0#?dmwCh0fQ^=c5qDdxLrlVwq$O4JTW$pEE{+4_0m~
z3m}~Z+r_kjKE;IlS8(oB%P;_|uZ-D<FT^9&jSi&jj~U;@e@(Ax)qg~<^(w2AYQ?-q
z3OVXt-4RRP!a22xm98j9TH5CPDngS(h<C-PF<J!<3OpF0GHAQpK7?ovwXdeOr=N9o
zK>!dGJ)ixi-2adi?Sl{|Dx3na!tH`gd)fvgs$zKDfKT0P5CL=mV!eC=+n8QwMm+t^
z?UVA?Dk#(P*)(tpdo-sojglfLS#C7~xu5d@yMc$kD)i!|ohzmAV5V8+)lOmEzaw)n
z*{vBD6=Z05RG&ZPpb^uW08~#2MhTR1ej5R6B+-ka4kg<1v><`)Cj*)sQOR|ZwCgCO
zIgmd1!^M)vb0pB5)#g({>STn)dJ>?%2XRKwsq*QgnHWZ(S(o4;lc2-;?gF5_Smm<6
zK0<yYL<XBWfZIrEZy!q$60cNt6Fpkz<Y2C|)vF=?NCr+e_>0+@s%7;41_&dz#})!x
zL?3?|dZv`lCC{?UkT20>%vUz9KL<jdtL=6!9~!>%e+Y1Y`mqzal;PM7!7p6fm!XnY
z+8HIeie`H{SwNdk4u--~iR$P-nm@UnXy=n@$H;C8-}`vSN@OBUv5sbbl1SeN>bd@I
zw@u3QdNMC>kz;j9{VhUU(Up&x8+DxW+_eZQXp4oR?(jCzWs694^vuc%xh;*Cwauz)
zaq7rB(PF8ZTo_72hXz|78U|OL?E#|^qPHZirlZl~>5-!|NeF*&@6?!SL^4_c`*VZM
zFNraK_F*+FTotPmHgSItmxXtZm`0E{*GCc^a%^D&FmaIQYySas1Mys<<U7Kxb4Wzo
z=6CN%5fYG*+qoJF)J@uu$$&Ri3|f1ge2)O^F8_KL_Xd9Zzb$TYy#fF*v^0^*jykDD
zq%mky35%~9Koosqa4=6-abx=)NfK``52{avFvaC}PHiawy@IZl=)0cytz8~!nz0>_
zbpYdv1%(KMc3C>6XG2iJ;I<75D`l@`tiS&<WX$vW7dA^!PBQU*(S;`fCkc=GNKc+_
zsx4S(=1`$bG!6dV7KTUGlE1T4T3N$?@|ZA6@4c%X*^3BNBSy#-#zfD_URN^1qzK6B
zOu6=NTf$233I>)^!2nv7tIc0>n7fT-%u5T}GCqnbwdv!C-Rpj>fV+VPAPS87$PZDM
z>m6q$hd%^_I&R!D+@$Dzn9|N;={r!W3G`!$&jT`yj&-JM`i`EI#g+d@ClvnXZOf_?
zu6=zRo3te2i4X2hLTm)Pq5~R4V`4~R+WsdrA+RiiAKYI1k{}Lw$SI?0GBew&3ckLo
z@8@HEC*>>3gCLSvv%I3kLKu6~zY$CVc+Oli>gW;Z)jR`y%){|kR)s0Iw@gk<{&Y)n
zZRfj-+^C&fytv`Qo-gs;>23!r9x{7>qeauo_hbUVbSKNn)ySk`Uv{q6-lcw)u6fuI
zJdR>SVHIr3yX<IMrRa96!MfsU#)L%tIMjG%6h4;SQ3}<lkmv*Vp24cL!G=BOAuUO9
zTC$;F`8#{99%ciT`LaGxpJnp~83*@PZl!mMklK^WDoHv(z_B3CSSY}GZlw!fl0C8x
z@p1=4@=}5xg1|t_+0Dbu@loJ&*^s=vG+PnH`J;cemln;Ka{fR<M74-ppN}QO$TS0s
zjYJI;+d~=6B}13^#oAc)fYXx(xbi9!%eM*&VDmqEDy>N|;b53{9)R&E*?F=YA(@fy
z_=x2D-GV6*j8@G;Gn!M?(wjN~=sQcEYr!+<2MwihSDLJ><U82Jjf-T>R>Cu{d~Gn9
zRkLdsqxY9od%0OCjVAjPYRRO8mrL19wvn`qD~~i@f*EJ5;)Rbb#5-ha@$G}fk^5h_
zLp^^Iw<v7wJEd0Rt3iCME#A!o4%bd&Z}6O?%C+tpEue!5=7-9rA2A&|_)UC1X^pGf
zO*L=OoVBbX$(G_QwUfI{(ggc2H}Z*LhYKCGX{Z{TX`u!1Rb(XpJr?J4JO??-BXJ$-
zw8f7qw><Kays4|b+A8E%3|z`XaHSzdu)uFfqdXfr^SnN&%p=Fx3Ia3gA^*a7P>^G&
zrk+!xEfq<7TTEq2_jajoWr25e0v7?7k<~!rN;ZQ2udmv$|LF023t#N-p(+;z18Q&K
z|9$I><VO7XRT>fCehPydKO3lhEV4<yV7EQF9jE)W&plxD33ckT^P>$V#=ZvTT|cyf
zP6Z6)-X*wGzGtBAM{zqMja@0C30T8|a8B*UcGVZCv>i9-;yES8CPV$NWi^gm|GkU7
zE&`glU%Lfkh}C=kit*oF=aiu)aC>du!YU#vC+PfgrpS?jIp;@z<KU-?4F#PC-U>a+
zLn*(;?oHUO+!0pm4~iX7d!R4>?gWN|Moz`|Ok^1oF&`@Qxu;`uB{~YeR-rCR!dbZe
z?d-=S{A?f{0**tAdc@7ThY1v#^FO1QNEw0ks=ikKa!I7WSDa_3NxGXoB?Eg~D02<c
zZtUN9ubN!F@}9LMqfrI&BTyB+CP$I`+q+C<8iJ*8Gu~$N{NDjYFtRiI*7gwc>Zwj+
zfhKKa!M3)HiauP2`h`C|FwRVmslUMk2HGITe5pu1=oN$4*Q=jV5@%aSB-B8h-Pe`K
zDx_L2s^v#3QfzU^GJl~^;#puzc;MB53p;#qHXhCjSmfBzekr%}xbh<ken9_Ok;+Sp
zX!ZP(jopzkcLfi@jFBc1S4p#WU}-kr%9Lc3V2eJ+VqT4M=!yLfbR5{5&~rXiFwxd%
zr6JGf(P_DNK=WvS9r4NF0MrUU(QWD6k?Av6xyZTEZ#E-X?7PlM?e9|EU3r&$t=Yb_
zZ9fS*^fxu<k2#f%x_IBFD}ADhN&=)Fy>WaYA|H+`oNBY&8FM?F6xxE33Gf!3a}u0F
z=8dKT#JVv&08p^Va_q<)eDWdFAP~h3Km>}34J2_%iCFwrwARxHthiw!Z*SRnM0mhf
zU!zH&W(J?Ame2aToP8@owz9<MAW+%>N<id2oEnz<?Wha5M?Hvj7m_6=+HrMlmg#f>
zwwju5E84Fd_|o>}mO$no;H{mKRA5J8qU>$A##?h~1@{Xs0PN(EdKhkRn#exV`qIOH
zD(WYA;<Pq_Ajuaf9&*rJBzJxLxjW1`A3j{UOkt&)^OX&}aBkGG(rxR)T`Ob2X^cTc
za+|vnc%clLISFs%-KGDd&YYeD*Z$kT-x!C<zHh7BWQhV}n9em3<@xkNNcHNq$ix77
zvIQw*3TNgO^EnS>YF&C?9}`$WD-g+WB;O$_c_bPcBd6a0?lWm-F-r#Sx4AJZm5<1l
z;=Hm#prVQ7h1G$d5j-`zM}LvyV!yPPqS`oWDmdz-FRR0E90nz%y=6_)rhpnKu94t3
z!^_j1N&4Q}{Jq`o(l;xetDt=VEG{`SNENV^-`t8-FOn_IzEgIHz|}KWJB`y^V}{tg
zv2h+v7*rsl_56}KTb9HUjAAfoOHRAL4eMvbvH=huhr^w>a`*fs-yfJCe0e3Z<ctc1
z4N&2ZVC+iUp;Z5`fnbvxnf1;yYA<_3*V|=#=FAq{a>Z>2kRh`i!af6Pe}e)PPO%~p
z9BXpJKH4^K1+oKpnM{?!KHG|w9PoVs`@VerWnRFm{e)ecHKho*k&zfCzAVv5c<_LD
zsH{Bjjt-5wnE_tm;6gRVP+as*y1tueOU(JjYp8M`CRzO2S^GM#y@Q|ybJ4(!=asVY
z7>|}^v!XCNG7Q**Y=X9PpGgR#!~KQmeiXVNqr*B309pc4oOLix$#`*ib&&|;5dC`=
zeWZCVbiJr~0|W_nU_ta}A<9bnRid?m@mOd*$ouCzqam);5=mj;My%AE4q+UZu>?Oa
z&?Fgf^K!m1+k&cpa^3IsrF%z$Yrc3m{<o;z_q}a^I5047V50Q!S3FqpjcJH=Fit~g
z2cYr(aGN;^)8+Fj%+M7kqKzwz=RAAQiX^^rcq$>G|CEguMg_P>44EPL6Kc2wi!uYT
z0=e~>1^tB2M#4~Cmc*$Il2}m=z?GeFZaV}P?3B$_hU_8}V*&O@KNI2}?tbJ7{XpHi
zHBKrj^MP8EU>q``^^#Dck_ro$Q3{F#ChMEe`inAl93EQgKu)*=<|4G|@g6yEfvU?1
z*<>O?)8f;|z*4n&11V9~WH>4>yoO9D+|In8{D8{V`o#LN4&gM)C-d1%hLVejsGuR_
zTi05kzSkCQCW&i*1K4T`fXyk$nu1H0slS{61*V&Ye@%Q!AWWK=u9*C@;f;wqNOLQ0
z?V70LDCzwfaOf7}m>-t;S<xutBK!zIN6R(HU@~Vb%i|_cR;M-xk-nxgE{XvzF!7<I
z-8nAxz#_WrQ0{?2X$KJseM2U1RV4_|pf`taP`;c)nCH5qQ!ca63}WaR3lv2_p)cj-
zfHuU`MiKg@8|Jf?5&_m9x<De;^-R*h+@s|@MM}`p#0BZ$Xy(;GmrNmL578i5$dhFK
zPG_rok}w@V#QkOJ4LS489Ybd4MTaDoT-(tlYZBK&${>6+N5<|YX-gPw&49G+1QyNw
z_`?2%YyDi6cB6|X!MiBYQK$*i5)vp+-xbf&9G{PD8#{8wE7y~pda3-$AX6M74rY3f
z%rL@h4bk#q+T^Jl%{nmj-53IJfVQlXNOUd{GQ8=D0(KP3u8Q8MSdh5G^t0L&)sppP
z6yX(Eb_SB@b=K>T_9aYhJ*f8pBX`m8Ea{l*Y}WGPe2F%ftFFQdZZG!?@4T5bY~y>b
zR>1MTvB)`JHHj*P8@NVVl6QFzCd-H4clv<vJP9<HPpwQGfSpgnr%bS$mS8=(Pi~<I
zX<LZ*tm|KnA}}PSJE+aHya8Ae<2<#CY&|huW1lX``Uz@wOjoAfk(%o&4LHPDKH2#3
z^#wH!`VNW3glRw<<1Sw0bn`_XkM_pSUO5Vy43-bNoJ%<o=`PmJSHn(vN#k8{SsP%}
z4Ur4FEEX-ixw7>gHA^<nQf7`r!|3j3QjCNI=sX|^8H;l~N_B1l*0(VLViVbG*}2ab
znW7Nn$>MX}5i1+8=OFXvR!NyO4Mn+;=>|DMpB!G&H(s4wvX?UmVrW)s)o}T?EF>Z)
zWfJRP!hJEns6WghZxxv<5r4yhRGQ-c`o)I;fdL!Y{X*Zv1vP4%sZB6z=Y?DM##jBw
zAi5bz>uzut`ftj?2upaU4ml8*TXI#8F=aT@3YJHjvD3aPR!+{n2f;C_OxfwiZ<#4R
z7FiqrL}AQgj7nXfWSGlp=k#+ywD5ZX3)D$Sn=49B=E26SKYMJhw`gSHK|U45&`Nn)
z9AVGHI_s060P9nNT1zgq08)Nnph#S8*Ol9lVfa=-@QtSE6lDj|CGWh*mxAL75-M;G
zjBD#NTOQdSwDEFG3+b(p9F#LO=R<D-TB;ad$aImXfAd;D-;bZYsGZ1Q?JLTkad2y7
ziz-5<7_|tN8FMVYue7sH7y;NaVC+<G6+2DZJ{u9IHvoE*JB@h<Teg)$<V+&&o!u3Z
zp^T5CcPi{8BnK)7dp4rr^6Fg{lQUCKZAU-}LbhGWUo;SS_kz2Wh#jB^f9b|<!Jicx
z&X_4gVY%1e1|zfiBQwAj<7>h1$3)<^G?HhM1QGAP%E=lWy6uqV&nCmAvM4dgT^yeQ
zUs2)2V9U3%jLE;3BFHbFmAa~&$yxv5<x$iY?tfs$>iKz`Hzr^Q4;9RI0rfxdfT*{|
z$p*_0KT{orLu&cVcES1iv!y*VtDlHGe9U36M;4KPBhm&<Gt;L{#@aR%v#e%C-IwpL
zNxn_wzPcTBc)R~7RaJwVE3=RoZ-}fHGE{^4yw}Gy0W5{=aGd@2y<7EZp4-0aX@z7*
z)1QT=4g6HO#de?;^hV*TZg!okZotuDa}p|2@A=zCfPaqL*i&uMQHOHRaFbUwMV<SP
z*G^w2Lk}X4gG0qz6T&F*agK&Vh`Lq{<`VQ**hcpNM2h-FI8Xy#4*s9=rsgBfSv%#h
z27}Vqbtzcqs`3ecI0)>JB{NToQdeRR4lV9{t2M3<<=6y;%_BQ}#z796tWDh@&Nu0l
zKl!#8erp`dImh*;S4XgqLuCSfPGe5Dw$w~m&?0FNydFAgEXd1{<W{L-DFtnrWS0IR
z&Qv6|BN5*v4Q<CoWa?eMEDZ8_elU)38>geW^Zz%qw6*wq|Bb68CanRvR_{yu*>?>A
zj;;2HSkm1)>e;N`{26C~M<AyufffX*O_f>F(G{}DLPSg0dW-6!^z*EIf~U)Np^XK(
zx6evnl*?`@?H{s+jma@|qpt8s2ZvC`fR!jZ?263_V*ag(9m>)KXN)SA?o32`daPW7
zMvw5kRKA7`b4X9aIUJ#vF3ABqUUL7w5K>q)D^MdZM6BkZFz<@>R2s*>8ps1|FA;{#
zg6l~^QvcYs(`lue=53O0+81;3IH4f(6joG_g$#u)P!ER8s2U&aq~K-nQf0^5sW!K_
z0L9%;VAU~tWVtpT^b!T{SyTMP<T=(Fl@QJkTuVkt+qC1J^QTZ*cvIDtz*fI}Q4(RR
z83krMoF@tB$DkC)jw<qj16vn9Ze~;AZs@W=x9mFM`1Y|s8_#2|EgNM=g~$%{U0v64
zx9~#>2;-Xg9z4(D6WWN>ozV`3g7rIWC9(7vR%E%H2gWxFZTD;C58b3OQ|S+DBzPoO
zRq2f8gFiH>={it=k|%4%?|1qndFB>mXi<2OVl+PHCyJqoMEWl4qOD}rx<H`Jd~8i?
zL$Z#u+~mjb8WdE`1~%LS$B3bv$`an1K?6eVHL&Lvym9I~l?$~S_nn>>m<H%rkJe?W
z4G{?nX?``^-Y{$j069Co)JAm^tqikCRttm?7N%_xN^cxaflA>m^Jjdry2~b6dWx<5
zm8f9bItq7+#o$W{N3?R51N!xHPsd0{cy}29bOovJx9C8g>mYq;9W%H2Rf+39-tqzu
zmHs7Oj}X8T^~GMif9q~F+Rdk3&6q1LY=Mo_l8$c_9x#Hd^=3Lo_Qi?wN;0J6^sU^^
zZuSh`p>ZFO9+q(-K0rEbEXke;=!0!kKZG<xr32SjBEU{RYg<-aC94c;eC_~A^tT{f
zD$HSj@_fqR&&P2xf-8m{l~tD!OZx2Nkb4qJg>7x6wgC6m-xg=iZ=>Ssi#Fx<pK1v~
zk1biHK_meeysU3RoeB~N+c}OLB5J2UB#=%A6>U2#2jczC>FbtCXDm9JhYL>So5qRI
zk3#jE(**)+#=Z{FqH&$BnrtQcL@;M0w2X=stSu&l{_LQv!)M$(t(e}@{`9CUB@em8
zR>!^_oS>@qYxzsTwZLHafY3TgQF7D{R<)cbg;_o?k!!-asp~63FN5~K&aiF^x7z?q
zc9T`0-#@H-X>Bf(DE(Cp5SM+^xsN1G{qHXqGb8=p|A#B!_xOP%RE2f97JQ@OA7=f1
zL4s4LT`+XX0^4~BTUIa1t3FtAiJ~||@{aFp>F#@J#k&ngGmpt1Eo>ZzGkZyoY4*`J
zW6F8cD4X*|F@BUBdvt8$PI&1V_GI+|_Tg^fq3T;L2?2OfH<py_*FJqfu+#T;e*qIA
zhT)ru0tq=+YJ-F#j86_X*wY3nQ3H#eBJ(mSH#AXqt|=@qQZ4a6R&E9ydFNg3;;XPR
zDvvpdQq3n2@GH%{AusxyFxk8~KI>*+#fcPR;VOxj1zD+-QegQHBAVIA_<tp~S^k`t
zU=+s;Y{bIdv$XRu%$6Sf9~NdUPW-$m4ecnS&vJ(D_)bNyQL^E2m7ngU7<7m*x8O0T
zM#m;~Fbz<C1KmVvKa61bMm)cSZhjE)brZ*=Os$5|<O!jVw?K>Fu!@^LhwB!%GfI;B
zde&etCSbE&<b}hVuTtkC@Jrvg7p}A5MnEprT&={06M97v7uYLU>&R3L*a}$r0iI?|
znzRKSwE-gbl7n+mKYE0<A<Vxb+sLXy;-XLIF{^!y8Uz&0Z6HJHa!XjnCxX#`i$Zkw
z^8fqeLK;&p7Cz#dYQz6N4p-y&NLlKBvl1aDk5perj*E06Me@~1O+MCco*?pyQxNs3
zgV;!Q0Uk*`pTTkQM!VLw<@b-kEhL@OxSs(zHa2`Tx2whD;hJ^~1B-{_7)B^-l*Y@!
zToWq4aBOS$`@~@Wuyc*%R^4&QaKy4pTEjll;&ln&%9?kJ62GJ`mtTS+REpgHUZ!@g
zz%bv%i7cwZC0t^1_$j86%(R6VLQH_3GW==iNDt)k<d-GA*x<H@Xlb_WkTWJ5T#Op|
zCXTCDlS7f}lw(7DB!CvjFhWPvsB24#F1!O0KSS5-T`I>&TieFcN|YUdU}E0}G?;HU
z2^rxmH5dDt+7KC6Q4M0r^6to&x$%K@m^?xi&SZ0|Li=-DE9wAl?FMd2hwF1?!I$H7
zUU==+Vb{JKqOf{stMqNx)JhVEvgtrEY5xf}Q^v|gTitzHc(Ij0*!M@uAs#gG_@`5o
zU6&9#klC`ZWp_F;j5-Teg?g+grt)`Rd1k9tGu+Ha+}Yu$VyUpaDOMB~5!d;H^4G-Y
z)qbVr$yZ2cm(}9tb9RE^=UFH+NoO>hv$KfPh^*gw#CCqc8@A%U{<>@SJ+s&F0DJq`
zqvo{+u3`fiZ^EMx%(pA$3m5J!eIqCd<3bB2^PoA8(Ybm@$U7(C`o$Z@eMy5`<Mw-R
z)-#KAzSJQChBmAdE{cwOba!NU3N}}u%|0IC(uvs7a$29vlBuR5H-uA3=2@y~sLNEH
z4CHOvC;1ccLhe`T0|~fl6cU3V?BZQE53s9tpl%pPUl(G!2--_%o)-q>2Zy;eR4SsV
zycWCH`P$QmN(UbS<$~-7HL;T)z1-T_XC8;^r9g;VBG3>kHvqt71R8JS<4ia%0_w4p
ziE^kE@n6d$6{%d@+N&*tWQ;=7hy@&z#k{TP5-hs=hyv#`uPlT%bC_Ue2q9YrjMNz^
zTtOJ|viJpBbk?BVvvGL_EH2+wE0{-BO|}<sjsmFfn=G194Eht|5)>Dg%K{!_#04A&
zu1D(t9;P^#p_U-~v%)7+#jr2R6g4XD9$}kM;d3AQR1|jQEoqybE%h2<%hmAE-bmyc
zYA~N|<HM>JPbm)cy>*ja_v|>wkY*HYDgP3lx`&tl<w&}p5$4DqEb}yF&sC%-#5qye
zRUuC<pa^riPIJgDKP-^UNLDt|k6_uDde>%0sAi(4nIX?2ibgaXjEgMZL!aBk;hYJr
z7raZp+HISj)F(`;bzs_w<7MtOVv2<Gkm~w-?>9f}HoMw-m#|U+(zi|*?)g=a&UaJW
zHG%MXhMfFqMV64OvrG&!V}D?QI1z>BHwv(Hucp;1IgVlY<yRvCCIe!SC1ClrUtOwC
zBeI`qYqyZ{SwoegZ&DQ6f^auV@7&ayyob`sst$?D!tpDkv_{At=K90+d0r{n%S|Xq
z(uw(*T0gHk1xz)Wb|8=9Y`8cRM&6DBkjOQTFP7x~@&?P~$<^^10l<#@s~fF2%0*OA
z)^yeG&l?~X7h|$Q*!qjIy@v`?{N-Fi;cl;pIC4c^UWcgiVW9#8BCa$(+@<9j^FkLw
zsOd09vL#g{BKPg$gRaLxv^11~XK?%>o8@$k5JwtF)Wi{&%y|dyI|-a;^647T)JfQ?
zy=WqS1&5x1-P@rqLc)fogxXPpt&@nRC|*Nr<d$vx_PMyz(DN||Q+9sdr(}tImta^9
zQanQAEY(jj<j1a`NWB1qWC)mc@k6DZ7b06*Uv=tJv*{mi69C2cJ-3kxhNu$G3{)T}
zplu0SbP8nVhVckjpNJsXl%M-4raRp_<N8mhhWVGm<u+IaKy)fzaK{|hzQzTt-8=e$
zOmw&8iaXfst|&B^phE60d7BT@?e{V)#f5aI($ssnh;!4uv0&NChS{*M<HF*%|4&nO
zTDH^Ki^$E@F;*Vst-g58t@gG(1XF~?zA-#zL-W!=g<G(AFc~k!)oK=wfq?c_`lq}C
zuMe3m08h+y<*94#9@P$`oL&O`!Mr^{!T*$%Zw(wja;6PCQ7~jwmcHny+*^-os`S*N
z9U4N5c@kQ<)2A+vM)uWW6;{v_0c;5`0bJKWpp4}x3xyIu9FB-W_NU)cb2cp}jk%jL
zz4)8*aa6#2@UZVzI<qmtdxgt4C5Ii!p6<{*!%Fbj+(q#X*QQc#8<buWJE@f?0p_!q
z?+jp0gtU!h33X4`b6WC<e!{}e`lYa&%EWhNh;!&tnnVOeffB$0>UqzcX&q4#)=PZ{
z?r+H5JvUm1YSIaEyoOJQuy_%ku%PJfk8*l|U@O_sNIRR|d(>*zTpx5pZ}u$wO+KA9
z`-E<D3Byl_w7uMvI*s$N^YuGwd><z72N+34&#E@AP~8D>1&;b{PUGKxS3^~GzfQ4(
zMvkTVda*P`wBV>070l^)li#WaL#(h!3Kh3?@R))?1U6W~eK6N!&}cdqn_2ZW5V%fD
z=lLIf82N{(MDCM(_#Z0LHCJ5>xB)kKb-9tQp<uh@?HXXf#>UFR;=w_F5dN3ff5E=k
zMFJ5O=yvh9Zwrmv0U=E#+P_WE2iOH$Y^1_4Dv@3a5x77DRf)W6#u|71c))q;0*3t6
zOa5=<0vRk+l2*h@?*y2lYrQZFmfx$=`79>R_wf}z4$CM#y6*o|yErCD493rAe@gcV
zB3}Fy=_-3mBb6zLL=s#`=G#!J4a;R9-y&=wn9M}*W+M&S278&v`Zm;tn@~zU>jH`B
z_9Q_W8i{@JzY@hlQmJ1mX*P1uAh4TNxR&(X;;>>}FGn&85<_p(01(AvD@*sdxL;=<
zSZ}@;V(AqDT!ZnTN>+@8Ukr_cFt-g^dWT}VQ_hHaO|O!s52YD{T>vC@Qz|J<SW|jy
z_rya?h_SQ-HNM&@fX~l?qD%BChy`kOLc|dO3pfkN`(7X(+pR_&GK=$fq=6|XvILdH
zmP>#tZr_v3Rh|^Yro>0Tkm3a0w*KJ<*?H@0LeAggOWx;sLH3;&u5g?7=Jt7V7c`dL
zHa}F*?V2cTO0?_Ka=aCo?Kpd|{bef6>atEiN5K$qQDX#WhCbq2u9Jw!Q|v~l4=>b;
zNm)c6u<w4Yd=jQ`Y6k551IkntfE(86FD<pDtz#IXk)WCz5_AmM_&7z|1W%Xo4XX2z
z!thbhqq`D8E1ceB#nG4mjcR~nuXhP`xw*;~$NG&H2xFDzC?1MVQ=fIwCU^)IrkL)h
z5DeeAoU7cK%o)q1k9K3qTjNqf^OJaT0rLQNG{T*WM0Kil^_04R9LJu~O_t&Q@c5zt
ze*W0&u4oAecSz9}><N0!i9VX2_BYAQr#$=-j#{K*Dox;OPJas|MB^6H#?DL&q6=+Q
z!J1&!@b;a-#g;;Kdt_6{e4wB17DM=caYd{BPRNGyoO;XirCSSOa6G*b&W?FyD3eJo
zj;o`&cWi^v$60tLKh_2E0Z8s!hR5U{4Ds=uq{aHqEcZ;>EzwfBfvfGC0m=tim3B=R
zi%e36=oNf{s1pjkzgYurtLm|l0xJf@{kFo<SI}5X=XzXCUZr-WW?>3M@J7QVRKM*_
zPjqN5J1Ofzt5mf&eOxjA`6*YpYmyQNxDbV8i-G!s#?qe6b7*+@e*z)<`$&T{DF&=h
zPb(e}+7HoWEEH|ak)8rhwpI(||3YzhsR#rPGXkhi1FBx*)4t(*_nDOch$cYsK3=GO
zk^oM+-T-TQOH8B=urz+BjXbSwP1*_WDtoBJq@`pnzp&&NLmE&>oxyD+esv0BrjXOH
zBSKo0OT@cuxp*gft@V=|4pu(6ZY(sClj1NeQ|%beK%XQvfNz@eNgD`05+VXa#_tCL
zrKtG!K47i>Jd`FU2{Be#h#)I5fy($xnmS!JLiN#m#_>*q8%c7@RfkZRhJ3x8yYYvi
zGrJ|B7(J`o0FN=1c=nGl1qZ8<v}e4*-e+D}K1DzeEsVyb9sJcRv9`zmjlO}soSwD=
zB&TUbu(IWlsi86?j$UOq@3;to73QUXweOjaO)te7-STnSB@`MtK}%nLfCm*bR4QgL
zJwzbFL~QUf#Xbx~Y#b$jDQuV#>qTCE0#I(Z&WFaZ)!4yYqrP-D1^SsS1a#BTlef=m
z5t_&|PZ1L1FZZ*>s+RANNoc_tRq-{4%S|_|=g44wa6FiVEiZ^P%1iXI<809B-8VC2
fZ*2N%Y<WF+4!}JnPoxD<H87*GgENhvGsu27U~)i}

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.ocp b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.ocp
new file mode 100644
index 0000000000000000000000000000000000000000..ffe8ef77a6daf00b535893ecf61ec8610b3f7452
GIT binary patch
literal 1744
zcmV;>1~2)G6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?NyYi^eqrA1vw$!f3}E-M;|>
z+hok8v_xrP$FQrJy<VCIS@@&ZPtkZO=2&+h%btJqIem>HqdH!0-?K7t{+1A$a==AI
zjGSc_;>EY!sthYMDY7I}?}ZptFv#4mAW`OJ#vdN-{%&0u6u1&pJx5FGc5Z0y6Cw><
zcapv!yHhHQa7_WH>gi+ne?F`lxdTa9b+}^+1>1FyL_AAzCARcP@EA)*g&P1s9>+d-
zA4=LjNrNyH2jMB9JoQ@Q;2n_m(+DA5S3hVPRpsctlTB5~EIj*Ff?Azn&DLZkI80|V
z7)%t!SR}3>&qdpQ`aK!S|DKuBVZF&`jfo&o*~1qg!I2PkFIyiBZdwh6tK|euSo+>a
zg;!-o;Yg_UOuW5)D<9wU2&o#2bByNuBpw9J2<5vT`@K&x+^#;->wycBYhWs~_K2L!
zec*BsOaCB~&Zfh|YTsaWbb0meI}uGVbj+44r{?Mj{@k||)~{nGyDiIQM(#?SHaik7
zx^LmKKLIA^VDfW-!Nm6;a69DHChDR8wiwVtAJo(|^@JnD<ARCCrbvVJzbnFp3Aa*u
z1Q^q(@mRtthO<Ra%RQ#kxD<YUSacNj^Kd9kVKq5$qkzM;5WWXsnZjOdH)VO&Iqhux
z+LW1Z0T*)hQ=ID}%(g;36)AWMOYt@}U2-B-KH2DB`{`w;vc~2IZ>M=4BW;3QbxL6G
z(3V1S_-JLy8@a;DC!S#-e>+ls9;S5vugNa2l=wf>VcGMGP*p61lmQa5EoA*_sApHc
zpb-Gc-@3CRc73!6yUnLmkP_AkHQj&zT3u&7J>Ik&^5fyPDFK-=lQcNwo*?EUj1X<f
zQ9#7Sh<^y*U}{7@BPFQy`u!4FfN@RCjna$Y?rRCsH;(uQDQ-*Q81(#7Nv0KYC(WRD
zafH+~QpN;>W7>%4>JBfh^j_zy0>sx-v+x3c)}y+4QbV#{2`oC`Ah?Piqh8n9k!{&W
zZAZVx7)s6hUY3T7p>jfH@lNM(ZEsb*nX}G(Utn}!_H!YS6yHz;TVuIaxP+g3!1~3g
za_R3He)&>A{RVpWhQ0T~nknM2237>?Ov~)Dig2M3A#NYM=0Y#E$VspA#?|>59nsSV
z!w^Mq>p#<*6w=NxWmf!pIeR?hbl6q(0ecvS%~i@!Ul+anmm21@k#b|GZ63dwQ!czG
zajjG~8?v?eU297aGWf)o4umZcvf_P$7?>=y!Ay}xI|V<a*a!JcB%I|JftTt)=|h>&
ziC#B#%<;qfhj^SZb<_ruDj2{~)|js#EfpbH!TUUHmo1vlw#Jzxb_B|ELiU2)RjKjA
zKYD}Pa=mTP%=gZx!T(}FPEJT~bVqlAwcn*4&PO8l2fzLXjd`jn>f5_Wyi;j0pmQEQ
zqdIVjKe1|%n`~Fe6RCg?PS)vC!lbBkPgw()d_*;VtwgSZ>EjTdKiS>c{A-k-r4zm2
z_J72SC{KpK^*t?sZ_5kmhQ&1+)J$kF)k2YDK28?p^dVU6{piR+_V#cQ`bHA}6bySc
z${O7Izkj&taB@ZNVlbi%oy&F+63DJ;rr#YlChYRjH2|aG<!r!#<*ItftGE}4*O{Oy
zF^E3B935#l+PfGb#Rjx$$(l+KQs`xd?Chj*?B5uNsa3B5Rf`Y>@{aztQG();g1nc7
zf^QVW{}jY#Nr-|?N`vGi^sn~d15@?5ew9q{>H~R)cRBE5Bat#N&Tw2L?^l`Z1TXRQ
zW(&;zR-VM$Z~(X}J9YVo^@G5qN|d8ffDfOGcnn_)4IJB@0X^7jEZG5pQ^slYQ@)>S
zUcBKZj~Q2%9cc0BVQ&cTFp-&;&8e(cP%5){gDq#NZcmsBtnk{w0-OjqE#LWgglx<c
zfbX5v*-nJXTTIQtwrb+xMjL6A&VW21XOPJ)-{##62WJt4mwL*Ss@Il<G+uAP^EZ3`
z!J8yD&xQ@uhq7yiU@hQQ9W686^lAGHRp}z0Nz$rHpD}#<^SSSC&-vPrUCq&ILL*_+
m*9o!ygLe?biQpblLZfzN*3Qqw7<BZ-_$Puo3GWw8#oT#F{%(i>

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mac_woff.v
new file mode 100644
index 0000000000000000000000000000000000000000..a076218ec4203ec6661937928792f1b17e569a40
GIT binary patch
literal 54920
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TYgz;l+{Xzp`
z=-y6w*KU8Od5N8Uo43~v@wesPGu>qbsRVG>uT1#RW7NqV0m8YW+Aj^#YsXGOHk>$!
z41L%TP`HWDcafYOUWM*pHc61n1&y_Rin3?WU*2#io#>zG8zIF@$a2sLW$a-9b)5Gt
zaQ4cn?mjPj@xtTl06hMG^=&j~_S~|#{_CBa1Y#jOh(k$h#}m*Lq}(mGY^<qmS{Rd2
zudEHys*3ldZ2lEU8*>=GJT}p$n%Sm^=HHit23b^jqJNsuDzAShzN2=fG2^0PIc2BD
z?r;dC9RL;TJto7pDV&G+%;QQ(jt-jc1*9y`4Cc`*)o5#K7$8NRWr(I3P*)IrWc|L$
z<eEq;`2{7x@|<OTQgYdfxAUZ3yKJ*3`l@?@fUK7!$d2>9#T8&4f86#+I);9eqrE$}
zGOsYbtL*{9$#avNcYiJaCU}m01yGf2|9AI;-}UFNyR%JYrA(RZKp`)keh8xGcio&R
zQpSF-(k1}>I(*D0**G`<JdE91XE4c@v!cCJ17Se+mgT4=*VHE!TXaQQbwFh%myy|<
zX!H&4H{sUizD;Kc1(acCtQvG+?$>_R9w0H%T$y&Yq@GES%X<^|pdriXgAm`3X`*LO
z&r5V6GFm;0l-mu-p8(0QGKU&j1m{Q?l)FQiEu#H>1b0~Z;ewMg>qQWTRy!6Ge2vj)
z%~6C0Zo7z7ik&@tE5PTt3Gc+d<M4e}Pzrlb_q`QVb7no7)GGQ9f0w9i(+-<tlB3>s
z;-5RzLJN_(e*Q1AXZSa&Jv%1R_S2PNUle>W@3OFMIN)ya_3?3QkX<FQ3y`Q<<k*fV
z8x~GSnx*dr#P}|nJ4@vJs2EGT0bp4c$iBZAWLzskc_p6;?+F^jX*TzN0pA$7K*c%X
zNTU<!o7Fl+)_C?7*e-8<Wo&#M5~Eax+D%gGJY^pby5xQI-dOBmRrMn&Dt{o-xyRQV
zUUA(8V5Yc$GmX~ilfs&b7BzH`8wF;v-<x+6KzQLqGZe<ADwP!TFht9{{10^djnwTy
z96%*j#~kH!hX73^HN!Av`B|{}VH@nZ2XhicDm{CneD~YryTShRCxUXsC>M3gs;|RI
z)_~VHx0r(5sS&=?x(+>IMAmyDK&|~O-|mV-MN+t26<64gsyBmMJW{B+_xa*?k;Der
zwd3)*V|WPg-t!<8l2hI`w&;%dDmG~KbPQ<-!W{bZN}6;wj#RT=rk)r5Zkh$T4XYav
z(_DU#X*xB80uOQ@z`?Gfx3zv1{MLm!&`p&qA*}pkDFyzZYI+XLbKgtAXiL{8?NnYi
z`++PgR}61=BRN$b#VurN3qAe^--l;C$c#n+q<DMRsr%q_K%3WIJPyPDWeQO}2Ng#h
z^m4F4lzmKlNc^R3!7l{1Myv@_C+<s0Pda>cJUdj9e460=33QgDowii$Qj4^(*x^IB
zQl#czitMKXc7coZzwmYQhMiRoZ)ryr{U~_)C;)mfk+JM&?ICgb51zG$QqB_}B6r|g
zHS$S~78JpQ;mKHY$Utn>45ZnQOlK+VG5BGX(DnC1_+x0YzXLLsygfdnR?x<yAk$9!
z%U)}mqd-XTfl~pQs@xhgRWY#J%h)NE4ENNHLe{h<WgF`P;s7X%iZmP<^9R;eG{c;l
z@<~kU+ZZ$;rW{_St6N2dcOe$os`(bwWK>K6Z3@*eC}uUBCEyvL=EBeY%>0!(r~a(X
z-gh`=k_6=Rt7>e1>AQfNAr?x|cuA^E&1mUS15qWZUr>``v(LVcYKCZmU-1CXiB_|G
zg2F?ca13z^?eJw8XiL?n#GCkBqbLSysk23Vpm|Q;La?(&6&IUhe#x6D8WaUBL@~~q
z!;L?;z^l#MqSnPADi0O7)Y|DEfi`tcZ`Kum$eWv~+Emo4&nsHl0g-4!2!bpQqblk6
z5GgC{+@@57BFnZOoSy-!vR%LULB@I-vIlNj8^E@HJ7Y!%+sSCcEH0&H$2ny&P!5Pf
z727uyEQYLKABitmd;~m^(Cg%Y!U3w{xN;JX7gDFd5g93A>tKDTE?#t+3m~<x<$?3x
zCq6K%<(4Y#c1e=;yWc;nO4FyM+bch>X@hS58I`DCM^-2CG77RJ7w>j4Js1_l^8tYO
zoO?(BX)iY(eLv9ZA2b%;l$}=PA?nui{fTF-^1N?VN_F)Ad9vc1Ah6i3f+^i}?;1(?
zkt884D~ot>JRPK59_W%O7(qFnQWAef#BdufPTR=i#II&$7h2H1+KhQoc&zM$K^o6-
zJq2b0*~}A`KKW3K3kSY4mVZ!hhQ|Q!UXZ$IU7Z+RF&1rM8S4dcSlt3Y60`S?=xGB7
ze7492OFFs1d~r-mIAkg?ZXjS0|NkOmG*7(04WAo%0t?NlDs7H@fSqck<Z{g%_}_v)
z9URgh>5gkJT@{7I<NHor3BSxbW+|bhfv_#?_^40xul|Qr=eQuGT<q+P{_8+Fli;-H
z>uBp9FeF$DK;={x*ymZHqYmU}gdc=1=9KLTC{~oBmO7WP9B(Hpxco|HZ8-162!RgR
zZfiA&a-XO90PDM**w=2@mfcN9(U4@7t^q*qX%b<*zG_3i3#Of-e0zW+r!{U9VcXeU
zhgp?AIn^0Wm8A>JQ$qbnh`?(ZWEfsqZ!>@cjX#7E<fNIyDx*_RwaswI(|$HkMXi@N
z(wC>*oERdP=(E@kyn_w^m={|qTSVF2gg{0Xl_4C^?9I!R#c#RvjeHzH=|~@Y<3i^~
zBQ_BRmtvD~+I?2rq>G+l<=D?_7cx@wq-SY^NPg{{x$|SC<%!GqIhX)rs3C)<C_)#d
z*#4;>{?iohQ;5vob>^R-|B{(=UQ=?EoN<rK;|DNGFN)hbs+WyfRT7b=2k9f7Od#5O
zJ{f6<f&>B^9IEfLPnipSNBK$_R~6Yh3zk)OAd|9a`Z7@fz7&u|PclBJXOVtcbvc{s
zCmrCmyx3?$TLMRK$yjL*;@<q{V`v-d{m*_5SZI$ZJ9&-JBFVVU=BbBN0VwaI>uEDo
z>}J+09RV~;UNLxyCFe2@&fDMcD#d@b?35ELU}l*14&V4SFXRk2ib2k|D<oAFm~x6<
z3(bJzYbI+{_D5^t8?ukWIgd67s%#i?q{n9%xTdKc4q~M-jzw%a=J<=b+~%*y_xOS{
z_;Fi#2t9LGU+C68Z8W;bkOO*Pp^JOCv`+)v&6(htA-GWc@5ipU)w@R+6k1@0t|4SO
zRaT%KkF;vBvC$x3S<SV&wsrgYu2_3!XzhB=)$=`tUTFhK<8D6VZ6yo2y*e39pTRci
z=p8A*3CRmJa%mXCGA&AfZ>-2f_VkQXOXjuQN=H@kcxB7v?_dJ<ExpQcR`Nh&<<&d@
z>sO2wT(C6W7L3D-78E29p&QimQGubuCJ_@Tzyg%P52Pxx_Tl};3~N!GDxH1W$eoNa
zk1}b@0_F&}#P5Rd&t!n#i&T3b>tcE`+(qAXv&N>BT;L8Xppz<KJx~t8h;7E2By`S>
zGe)Of3mm1%BS=4<KN@<X9@W&sOF+Ky^i9Z2N2c6t4cE}C9G434?HP!r=oSTR_mD0?
zq$YJu181&CNXBi&6cTB{3}_Rvu`Dnqfm5PjsA<2X+Ro$ys;fDn56X}-IDnR0+x^>|
zIe3DwA~AReR!UAv%$)p^RKC%;Nyj`~Ioh2$!G7UxA!6+7;rEJQ*1?OByo9#BF8q%|
zREc$V<>^Loa`+y5cfK)cH;3HyqbrzPht#(+=bAK$f3Cm~NODmOoeV(ZF1Eid5JDZl
zw~L^`=JwMK%-9uiKH=7?6Ygn6{=&RKDlkAxzKsj$-SHH`UoB=>l#6&Nj%f9HMiUCo
z&gOK{QT~_-BOziN-a6{Ls!Rau&Tt6?j|2UBo*8|`WF)6;-+>(3)$u)D!HIrwV}f~W
zISWa=!@f#V5KElfdLlR=?59Nx|7v7!Iic<5a!_AyI5BkfbD!R?B=qeI%iL#iBIVv#
z$EV&AQFOSw2`7MkqzAUia!2N4`gsI)pD&IzxjS`d=+!HJcAO0nJ5n?Zob01g)Rv~C
zDEPzqjdeFSH#(UAr;yT`_sD-#C73&@5yfr@;^kZ&XR)P9cjsDXfW$ar=Vyeago6HD
z7oNeEN1WLbIk(+Qm<fJr-)jQ03f~!ryJ^zT{)LU!s>r8F;bdYuV4+457W|JQ;vtUu
zM%6{7HODAMl5sjP*=T|IM`Yj0DvI&Bvuybn4@>Xy2Az8Ik`lS-4Bp|yg7Plo#RKLr
zR)sQA)SxLo440ZkCi<-|QMw#o!I+0U*Z5vD1C+|C=f+~jp3jSR)A|yDEn)sdP9wgf
zEUr?za0^3z+Y5}SIupxT+XzXZ;K+m>z$0t}^G9na`_n{|WHRiZE4c8meL_#y?wtCN
z8n|qUd1oQ_99s&N2Fnm%oSeFj)#Yh$eyNcm(%!t984Ay|!uMd7{}ANewaWNb1iz7|
zPQ1{LEzFg&4vezG?`~Qs+#U0*GRi{WmJFaIyiGZYZil5pHXs9m%$(=R`d~k>>h5}l
zVGFY_r}qs9uoK#nI?II$+8ecb0xj^8+q80wH46J82RjLk%ZxL5z9#`wfnzE`nmR`}
zwOr+gH<m|LMqYowYN%!@#F~YCJaLT=zSMKm>?Wi9TPo0^9{MHPW=z~i+pX(uqo?<~
z!JqUNC%$fmnK^+4huDAt=%P)@H9H6>LW7zNynB&#dF}s*@+$&K6hyf8sCJeR?AOKP
z;r*Vd$x1L06J*=gZ0PWsz$LL*#sG1p^?}9_aBP;4j4WYvKV@B``PX3;q+rgGalb&D
zw|5A0@&OWIOHh!|E^OzQwb+;ld0Q<`d7&Eoeu91AqGXUYIQbs=Jvx{4KuDj!U&pc!
zBQW7L#ASM+$Z2~g0u0tR+7A&?_1LlJ&sH#;Dq8~K^5h8;b|B>x64cdqPE284%iVHs
z>7Vz!Ji*_P5fuiBg#K}{h-a7ZkG$U&fM2uO;|WWDJ0V;5qOu%nFdLPV$z|Yt)Aa;)
zdI#iUGZs1iUC_1Q1#FY!m@aX#4SQKr_2Msr{eETDk44$LKBBGQidf_XUJFEoD>kQN
zt0`XU(gxC?4xI&TKCROo9I0BLW5^ZXpQK+^Lbl95*TEUX!VfnvgS67R^G!C|6eyQG
zpMep9T)%H+E&yY|VgP@6X&_K@L?(V#2pVB{nLjFrHGjp+AU3>(z>&oQDTLk(ve4Iu
zmwNfCtY6e8-YN+;gsdVO_&B(7cLZIRCE^!Tms+3uk^)=T?65-rAwtIxiJp#*pz@Ly
zdVLSBu;bKq9<#X9eW#MfNk(Y=iACW8aga!nDu5a~26A;%ktS6#3Evy=2P9HIXXhpe
zcv8laGyd?;6Pkc>S|CV)T@Pb}+w<n@@;O);`W?A+xt^6b?#J#j#6Kq<BdshUf-sQY
z-v5hsL?0^n7I&K#O{7Y=eR=iFb79T5E9p=g#cQrS?A1up|2JLtyUXH)K-uX_WBp=%
z9QyUR3P8bSXhxC+NAvHM3vW31zSC#1)eD{*X}yuk>F#9l#5e4dPc;JrlqW%qF<YTH
zJUwtkK=iS+l8kP+a`X|OQ8ZFCr}ZMEqnB7SjNkm8&ILD_idpi#GnQ6hK~N39GpLd4
zNjL!40$|GL`?|ffp>O!_W1HWKSJ?P~UB~Ix_qhQDLzvPb5*z-xanGzHpFjo4WJgzI
z8wt3Ck#jV&4O)5WJ<sr0@a!wBUw|}3ZmaVV6(f5jVDX6`fSNF(j3>vt0@WzAfIFbe
zQKk>#Y)BbYNSA0{p0O&`-YM^gV}1uZi7^s$_<sMU^vEge6F?3<$e-Xc-?hz6k{s`P
zVX&3d40_SIDD>Ua`FSYa&=7l;HZy)#yu9%)>(IpTZ4d=?Z_8Y6-OCQW8D`HdSlk*@
zK??hyfDZ<GQq6GBpr~n}$T{f3Bs9O_g-c;7XvX0DI^t5g_~@^zP{Vr*A|;V2=oT0h
zGT2TzNW;NhoWGnZxHMrS<(X)gh|~+L_BnNm>YsVt)qbM#JA&t9eR;?lnlk|Gf&$Xs
zET<)=;SCq&G0n0NMVRdph{}$=sy`T`Mi26_`jnkBhJb726Tv?DK2S?B(fnn8WxvqL
zi+hZdBpHBs>yyEGsAt4vl7lYN$2~JWPe?_xN^Dv%HfUuVKp?~Tx}O%&c0R=#{;Xr8
z2IgJ(@4@$uvk=X&i@!%=h4rgGHkjoVYk(dUm7)Ceu6BB}`05uScew`%p<kKY7C#}v
z>2B3n92Gci76#zAv887GGAq19M6l;GT-OnRmkfkox*TkZe>Fa06M$bDS7DS|RybOU
zYQTj$`Ob88DhN((HV{Se(C?_yIH13VD30Wp+}K?mGZ6TCfc>|XNq$tC0#`_x7rH9r
zq1G}P46oI>bI)TOt%@ygMyk~WBR~_Iz3W~kMX0}?P~5{zu2vp<?b#Fr|B|BSpdD1^
z-u8D7_y&#3#JhmAGj%)mn4a96GBI}EAUryf+4RAokhG5m7B=u)ugCt*{}9i3`=pLr
zlUQ0Owdefu%dE#sT9c=Oiq`S|ztLXi6J_#$iS`pfY4pc6zHTh|@0w?Pnw7`+NaNr(
zh`<guP3j1RRDvR|HKBez$GM45SUFkizTM{REueU+gPv{^aq<*FOcVQ&y*S>g2YP2X
zIlw@T!E#107NEH4F!axKgQm+O_#pq!pN(KOnT)Vpy5%*1kZZ5$6Q2&wGkV1Zy}2(E
zg0U{Tch{aT|7td|W&$&2=2ygH0BN$lB{OHEvrOkrahD3-9n(Msy!f_GPa&PZH?9qV
z$PQqY0i~zE@D#q<T^PmaZ{5uX-R%|!vPO?*6Bw0G#T4%ClAsliwsGZzhkusUaiwbv
zm9*u<AF9B6Wf_nB=zTMnZJ30qk+I9^MdBbyA71Ay=N+jk92yM%3vY?>(FvzQ#vj}K
z%^Mj%77WeaovUFfIrJpPQpDY4EGl9E@~91yaESpePoMaEcR3h<Lof_S>1_+KPKrE7
z1R#60K=DcZ)wcpXQx6L|Bqm7yE^?WD=u6)J`FU;fd}R#ly2tyM+f+^B++MJ~R@LIS
z9Q7mqjyQO*uF||4k0$s>$vMKOfwxZWI<)QP@=#8q#YJe70H!Aab=Pa1!dJm|EL#6%
z6)%UgrYmBklL2l3eIPlb6X3QsQ7Xwr{NTF+?!YaVZOyK>9QlhZ$)ZUcR|ipaAJl|?
zs+`NFjNBBl#^?QR%%J^Aesr~CBMEC$eIqgi;c;mm>13kx{*<buvhQAmt<^JUmheav
zTslj^gWAvPvU<o8RQXtT4+|JwP*=Z6r!1jFJ(1@pYiu1B67}ti=S!#~L1m6r_)Y#=
zUYkz4P`+1y$x*)+|7a#N27aijK-z59-Wof_NbR>}-xh-Nb;^D=e!3)+mhO+x3Tp5F
zeK{K1ElC$^>1y8Z1?L&A?C_zBtE4MuioCRnCN8};|G8e1OAGU$HhL>KlNLl~Ux>{A
za|mHhj&^4(wI5FBfdl;<sd5&)J&uFI!|OqlTo%R9(0sqS4jDa1g)Rl~qU9#m=ZPwU
z{G-vfemQU@D2Xl4np*K-GeHVuuV6UUa^1wwY*h_EEl>6HJ(#F>9kJHLk$M0rrUE6}
z9t_9>`gO}eoXyus0!+lR+7Bd7!^bww6@!~RrgT7#shWY-7rxOaXcbFi=>_kS%9N%7
zdY6sWY+L<sMB}-lGzml$KKnM8EUCtl4E}s7;`V5iF@|sij~-7#AJtWy?Pe>G63WuG
z-8ZfYlaeE<szC|*=BYG?B!(!{Use$J4LR?x5A(Bu4Z2Xiw&JgcM^W@k+U8KwsroWQ
zGVpB2^%B8YKTH5g*RpW+M%Fmby$EQF2!QVq)&L$&04*lvI|15(sQ`beU<5`WI$@17
z#Poi?QbGOT8HW!KhY}f}Ub(fe@P*^PYX7${R|=asW~NA}{g3)8ZS<R@sU1_{r~FDt
z<U#!t@ss?WsiRF6WCLJ9Kbc-NJ!EHm24;)ynCK2eox{c?H@y*NNb?<?rmWtLN?aWI
z22ZPkWXqTv=%xDKc^5O95=b0x(+32|xV>12+nU94g<U#ZB7^!5anTn5c9jJW(iY-L
zK!>Qms1jpYYYv0&F8x;cM7f<Y99K`l<xN_0!H<=S(Xv3o9x}$MNEwv~Nwyau9h;|f
zCE8>17QU4(B`t3HQ?1Ph<uV0<DH-439F0Fw09Xw+ip;gjku|FWC$P#5R(6DJ?XByV
zfj3CCbt!VBh}l&GR^O^Q!5zN#bR#eFqZ{Fgqo9HeP-bdon7}Tc%96$&r)?}rA5i0M
zj^f@N`4ye#xdQ|~n-9-0J%O+zq&ZX_A1O`_%<UofwYo+1wg|fAWStGy`L`i53A*$;
zz_472>bfX+X@y5Ontv><gDX622CL?St04p_WG*H6v~o0S$O$;&5eb&+&ZTV(`>74N
zbJC;K&<{t6^a(2(iVh@-z*hHa(=L!3#}UKjSt?nc7c&w!fwgB6dH(bK>t{vDX=DNb
zm}6hMM-Pn@W^r7-rRJLT|EM$K+`81oN#1RBJ<%6PlM7&ur7b!By9t_hnz+6lkYqNA
zowzT<E{RnLLdqWA254_{_P(+8f-p;9@8Y&;?ZC*W(+f>X%^cl2cDoI3=xw|=0AnMH
zP_$8)IdN*|WK*u$7X_7Nz34@P)$!Q8D|HCoQTrz2_4N{$S!ha=t?z@7=;Swb$H9ev
zTEuO3SYsRHa8c(foELv^7(Ct_;5Jk>3tH^k5uLy?OVcV|-Gbd2>5xr499okssRXni
zwKcgx1DbE8-mfS+oww}jRN3O!Y7xoX<d}4tifIl+_CoP!sT|nhhVeZXDUV_%&xWW~
zmoK8bHwn*m7SwnFS-Uat1C@%n;%nYeF6L!rl``<)=<r<U-y}+H>#~&S-1;!EL%1qN
z$2{C*viv0Dzy!BLm*cH@p@k>DcY{Za#tG8lWrL__3T8ODLPkx1B_|1{`SUjeqDLxp
z^V!xw&>I%OQwgWa6pi-W=kByBy&)oMF!lX&drJ`u7kwjbnR_kvEyo@ov{B`qPC;(<
zyausXXz}u|#*K(A82$XIuPj(|&XRTukr?jkZV%{~8hnGGHe|&0!{Fu{Sx;&Ms&B%o
zm)5@uM7tu;DEYu}8+A4tnCqC=6MHxX{mXMG%`7{S6zf)^-hxk&CPUW{t^+b$M|}82
z?m5J{4#nqJ4@$9y*vAP1jZ;9mkx6fy8MEJ(HU0~HE!w;QyT=N291Q8N_XFWAs{G4_
z?cFv#b9~4LFFx~EzNJBd@RWc|soZAzk&32V9q8ik<%+FUo5LmG+S?G)0oh2<#)AFa
z0@{<G9(gQv;`j4~7HV5z(v14EB`r@B`<9o8OOwFQxs1wks{0D4x;G`-aw-eQLj)v&
zJOjX!9J_;7U*;R2sUmn_e4#nF!Kff6dbsw#Fz^?#=rXmXQRBc(jp{#8%;S<)r~p^#
zN$Dj1js<ci?wNs?r!ctH`#Sqp0X=7TR@L>~6r#&klyZU+R20SleHw=FTx2!EoomrU
zq{hmN;tK(E(FrCI`GRYnJz!1*XyvY)bk~rTSULieavTPoaJQ_rhSgTVQn97}aB1xR
zXS47u$w1AdpGMbdU;KJq)oLZ&N4viVQ3bM9(K&$ZhlJ3B^Uy{|Qe*F|yCi`WIYqNW
z<p_^o+*^3Jo5ji}EDd^_W{Dz8)p>bBh$!xSMBb6hzbsl+b02{x4xNsf(~JV>t;#Ko
z2uf%i`A+pjU8j92ouaSe5P>W8J8G0V13`JUa9^<DFL}yX=ncU%U%tX&$boY6{PCQD
z%+i|o_W?S$c=ofDL`#>EyEJhWi&a0tCPY^&?_4wfZjIMC&}_}gqh@;?{7IT*)Mxd<
zCY?M6!A0as+Ckm<$tGZQ@0t{FKZwk8!71Jo-inxSlGY-9S?k<x!d$_s(6T!&1WE!A
z`^$eS8eviQ4P_+wL6axUG8!e++L+k|8iKjcyA=LBniKIxoQU^BkRj5S2JhqlwNl$Y
zLR_J*`;k}o!eC@38U%W8`MNgsAKI=idykt<WZTUDxC_lE^UqPDXFz=X3h-o^%0O?f
z_{FDys-?dH*y+j`li_*58FQOQxPljsFB*R2(&`gar(d4a{;S;#e;c*uw11dR^QzcE
zLirPL(zx{I9e1*ZJP=6V9}&;PW+qKSVc(}I3#OCNK2xy$ziFOd$dYYBq3#kc(bt>^
zmQde_VvJ|e*m;WIB8~eI-mgcBcrC>N-{fj(6PT=~l8(rY>VX{(BjoL4weRWW!O|uf
zT<_YXs{=VU`x~5wU@S%nmQ+F9a#`U)R-m!+v%ytR7YaoRkG@IS6RJl0o>8EgSR7UL
z0LxTU;4q6_=c}~zjv}R4Z+>~Ncw0vMk1~osEA3E@<TY%~I2#kGk_$WO`BKJ<!-5a|
zhe%{iNA=#HUkTrTa;DTf_5$Yg-U;Fhqd`C|0GD$Uu)Myq)&Zc=s;NfgM&<50un82C
z#l%4or9%iMys%U72R|qRiXun+ZL$XR;oupF@HN1%s^{D_1Eq=}|HytdHXk*JOt)$6
zmBQ08EKGy;4N4%C$N5Z<=j!nX=9j;11SQ7C4#HDF4!ahQD1WUsBidKx9h#sQBC!5b
z`k#aDG&u90W0-WcT3$wJBBagUCH~UA0_H!_=)~L$zD^3hUJXEll|sdt+I;NFXtZ#>
zOCV?e%?E{|iN}wy)fYXQ9qkG|%$0brZ|W0bzTPM`Z`Ej(7|oM45lo6C8tI@|ABmGp
z%voIAJareUf7I>CsKLaV4yfRse_no5GfT}zK8_5<-do^!)D?31VaZ~$S+rGKQx%M-
z%XY^>$~ohrdE~rjl45tVLUN$&K?P*2a)kn&ll&&LanoLdMbUZ(#cU&3URpJ2a7iRa
z=3axj_L)sK@2N7yN93bnCIm0v26hYvxVH|9Qb@)<#nld2itOC>Xf!ArJMID@XP9vP
zuiYCN5e}V7r!lsH%=*pGkI%<SWZ<51Nj+v%m^`rWx!IZ+GwaWt1vrW>%EzDoMf881
zl|Yc!!?%i=R$3=;3D`qBIxZx<6-(58j3Q42<La_C5g1wtcn#zHLJ*w=gOYGpy)EgI
z0rh^tg5%R;4#-S$#yery#T|zWOJOFGR_EZC3<;SD_ZmMQG#xj@f&v|e3Y!W(h>eN&
z?g(b@o+IQ_IfAL`6XyTa%LE>@iORo1_U4_(z!X7&q0^`hYH9Z(OdWDKDQ<j-voZjU
z$>%sU(-#qZgP|Qrmf@jt3-uX9N?I;n7YEb_Kvu5YJ|!^gQk%6KLAs*k<mS?l8WFvL
z>=O**No5piavCu8vPg_SYs=0nGM@P%izR^1ydx{M9b|FtDK^^0+zk+!C+?kY6bd@4
z{W!Rl<X1$94hvw`hDbcMyL=<D3ozoBcqWuy@P+Rv>8kLzmKYk0LTg?#8v*0!CAiN|
zlmKS$+ShkFExzjDM9l(QI*KYWM^+El2EVKV%yYVDfZDW%QVuQV=PB$?onVUNJ(hL6
zTWM-1C_y2>TA0Z*gXOdP_Dlv*bdWd{GWKuyLZ}&bmQd+zRhZ0vw48$vV}o$9iHLQ*
ze9-|ykv8qSr?&*v8*q}xX*`kQI1QUYK>7nGMne?%UxfJ6;+pT3nCyv{bMtsRG_l^5
zV<u3#2xhvQqdreb-S8cHkjg6-2-ix`RDIc^6U)K1{yYL;@W01qIY<ivJ@Fo}p^BDQ
zle1D?DJsNSscI%wyOV@|??G-K7^>-fQ)eg4zWlspS4f$xeoETh{!YOP)}S)_zuUp&
z`#&P$!Qxy9`-Xj^QaRg}h}t{*1!G(X4LHdD^~4!TOI%QfhH>|3-CK-32<_bpZ#>a%
zMt7*B4Og?lZSDMh4{I4n|H0dZ-HfDm=yC#b6^r*q-?U(|aab^ux;?&t_`+e3n<0_1
z32UiKcpa*ZI9b!#Km5TQIITPR9$2I-pK`aGa2ao29d$8L4EDX7EDtVcID)rHKS!|%
z2%c0fDT9kE8-526;~Eyvp^pyUcJBA-3Lk}r?*&6hEu9b1<O0fm8?wLhYFSPZyOitH
z=WY4fi{~Pq9%jaey-!r54%oU^b3EBW)C9tvak6M>PI|0jQkP{J%P_vq<44|oxc)g>
z)<2!0tnfY`sH<Q;1?AuTQ(U-7{l>NtDu{s37g17;l%;Kl$<{2+GacVvP=Klp4hO8w
zn0c=^-C%R@VR6Wd$bSJV+{hIh%I|oCS%nNOs3DH*7#I81PSVLVXB*7?)8-n20b}X=
zVayCW^JGN%ofVw!Dq#e~`Q&~YSQUl$LFXZ`&tg0=B+#d^er`n5;Q-0rB_!H*PN%NH
zyY5Q65GvIUMqvm?n%v#Mv>AHsr`a)CYU`HmN+)p4qYU;Tz<DY5Jw6^&qe}hL7vedw
z!=Xc}1TBc=tA$}8QRbEkE=qB{t+Nn&12}_vd8|2T(qD~)ChxZ10VO;)=^hGe%mqqF
z>L`)h8mp1+zEYVkYZYDHNOfhUyH+KMaue0}5Tg!zhrA_kc}3f6U&4wuWfcT9>*#|O
z?&IJa%$KLZs6dt0hnKw5w4H(jS~YtfH<vZaOlzAs&oR30okotsoJT0QJMqe0@fhh~
zM24-nYh_o}#vY)6$D$D4z!=cQq_}6v<BL*6wQCLDNJ@#?OubqwAY?uHTS}DU@>UGq
z?4)8kkvjkE|JuAJzU{1wd{4^(jQRo0R3L0lBSFDOdYJl(ovO(crxfYIVmiFm%XlQN
z7R!wAtr@$?H(?3djF^UOj7zo}C>UD1K-_F6V%{hswgPBaQr0Hxl=e2i%-jih;G}iI
zD;I}404HEuv$CcPaSddTUn3TV`q0saa0hmWWWvf{Xy5ul;f-Npfb)tyZ<#5iG7|I9
z_Q^QYD2**}inlXUmc}|LdUaZlM0>q<5^T+(VgI&QO1!Iv^wAe6%uaKK*{9h`I#LB@
z27tq|D|I3(h-cwCeW1tvnRz9!;<6xKg7G$X_ui0)gX$ne<<#mK5mnHyd}!Heo($gV
zjjCM>`YFJ5IH;?c=X7m43qX;pogMp%%9q~WZkIdJ0=FN^{{(~I7NEPH$*>*xd^DQC
z%@R%qJwn~#)q@|{$!oWUuho`P1rf!`{x_Uz*dxcd$NHCzW!f=JY9|HvWdcVm|7Kt(
zth7IIS^chWf(RL_^#KLN8)*&A(5o|RW)1<9F0fOM0ZN+`E@=n1{)L0Ui9IYTS*Oni
zMjhKbL4GLT3erPapunJ_;$;w;^h_w8mtJuAn!yEF^IhUsH9^92*ZNMOn_fnrypT{h
zVnUd3Sa+t@%(am$cL;tqPjk^`&dlb>ihGr%UR6Ee6W7zifD87pFKHtY%)$RAX`jvD
z@$c*s^@IUCS=Qr;Uu%UK*sVjyZN%ETg1)>@&L;eWkorxKtoFBKq9Qy@2>C!$iC{~A
ztpMD*>=bHQQ#ZzMsue|0lv&$ukOit8jmv>5YKNj1O~<nJ%V!BG3`%Uwce8ifc3l&f
zEcSOxR}Ut!ZitU>nN&Jjn*XxZHjiE9ZyA{ZueH62r<lq&u4rmaAms0d6fkOc;d1GE
zVvy8%Xvj!-35=#`ptb5<;pz?D4mx_Cek1@oqYiF$qSbgBf1t^SN5J8>>XH5qHluXE
zmlm~gPVe&G#bEC|vSZ0dJJvtygHZdRnP19|8(`qh7Uz^T8e)L=K<2#1&zjK7SopFd
zJ(-#?g1YY%0zIy(Um^1knXb_-T`0vJ+zN9RU-mEAEELVnf5hNrX|hd9`&V;$MoB!t
z>L^Jj+Wh%`qbf&TB9KFkm%)mCOYNpoCuO)<-9_JtdhJa!h*}02qeduOpY$h&jnowb
zG2R{EW<=FwjDcww6%e=6PQB+)o+&YzkQn4qdfaa8WGmG9H)<hJrt0U2q=8C><<tr)
zI!VD7!x9cGIYdVNN@R;ez^E@=zqn%S_==$n;yVpfJ9NG)xq?VfK)XM)@#{cV3>UtS
zbT?=c;C5VcB&%6_KfDMkAvyJq1Fi4#w4Qs@*TC~h2EqDuS;odY4GmvFU{q+WM%C;D
zNifj)qo;PVbG-i_^c~eNM0|w?Qed_rk~C^n#sDFHvzkXR0dmde1Mv(Xp$+eG9a_!b
zYE)y8i2RO14p@<D#!R_2*rTz(>qv|MI9U`DGa(8ujp84x2w9G2#<c1jLT|4ZO?@qP
z8(&}A`seHClm|oUGv6PCje?e~)I%3K>6CqA-4YRfW=0i!>LQZ6xFA5os-4HD)OzEs
zoZ|jRNd<B0+=s)I@E~YS3Qi5_850D~5UR1j;AL(@YI@qJeRcn#%5OFdChS!X(i0Y9
z)rLzT<#5{x<ul&HGn{EiY3%YmDN;pyj9=}w!g__j9t`Fbw&eha0o7@Y6SXGJ<L#@r
zOao{Ej&rR6EsYG!IJrjd>t>r<s#*)ie>9>mug1U2Y5;d&>qVdc&Reb7d84a5pbu#x
zs1zs|{P4_-x<3&CEiwZkg4S~F$q6MuX{Pf0iw33P3^z-a+&@I2Hr+EL=8jTnC9kRc
z<*)a{-Awr9d!k}`?QnHT(?M*3x=pF$rK;8kRH4<vx^7wa>)OiATTU^?TNZZR&VA}_
zahWqXz(^MOB<U^slL_W4+=VjWw(+_FrdUoW#rXz`qE0uMjNP=z2WUCkpxW83-ysP7
zJ64AsdiXcusaX<vQ4qWS4d_g3Iw}>8-}D@TiAmN3rTwBUs>Y4TtNkDv-nL=ddZF4}
zuo>ChP3IV?7@o^<CM0AZ(O5byZZbe4=Iyw;*h9O8Ov09ucW-y*Whc(w|6_U&+p`~Q
zztn9swUHz6D=zU&s(D#1-RA?#z~3d2Ez`fjt$>3MKzDto?`J(3fe1aj&u5=eia9SO
zjOqy1$K1fY$8~{Aog#jYOXBU`uQJG)@qJYK`2jq;9M}EpDuH#*V>H5Jz3~hX?a=EY
z{i9~p!D|(J;0{p@XYKZWZ~r~(TTC?2QL^Ox%mTc>wt2Tsqcbw!F2G&}=nrDBPw(?T
zT=T4E2n0$nN~74-1L?}QZj(3Y2b$Vf%j)`=ZTL=x1WV~kXye9rEBvG?P~0_M)1>K4
zj^2tb^n!X?-;!63=b;iyUPeB2)a;gHc8N};MMoAmC05KG<;jZ*4g^_9WD^-8j7W}4
ziotw1R~RFV(ezN?$=t5aeJHWEqu9N{yM*k3Mer>B@tWcsb<TihlHRpE<Dn7|l6I_k
z=c#55qOa=f(wBT^QCtTJCcM*Nl;qg3D+T6vS&-LGOnj{S4a-PhKr{F<kG^&37`e~1
z8~wW8w{Z@d!C~k%F;%G&8UMFn-75ns+vFd!9w7Mrupo2WA<)t#{7mm*gzXsNSgyYv
zeb{!=cEKEI!jZnaWSk>Fker|(7Cf!U3BkzRmZ$oxE~b7v%O1m|BIIZ`0TRX)!0$^5
zR3U$?>UCNcMKA9r`G=R&-`km6Qk`Xs#tz#K{p;I5F--*OB!}N`(0^@i3XGSoKVu>)
zs2g00W##bM_Kwi~Ps=~WO}dkTq*=hF6inZ@`qc13&89Un4PAM~&?u2PGx-QGZ&{e1
zK8Ut^7ZMhA3jKNX4M9Yd97*b@>IZP{^g~mDVmDvh>6~(ai!7;$a-(q;X1VcKhCA_Y
z&Y3lFgm-*x?A^6(YMN0>K1GMT(Ll%zv~{OT<U*a%$qKwkuYGM`RD|ic3W8uv5x_H&
zER`lgZvm(o`9ls&`*wae|DprD`4r(Ua7ZKz$9^86HM7l9XKnnk58z{;u%_M_#Ps{t
zHolw>8!|lo6Ncm?ci8_=E+)jZFd$}|*GfkMULgdQ82Rf4RMQq5VsQ!dDfG86Ce=|h
zYS<@7z`Wg5KF6)57c}nJz>Mkeua-KljTfK~Lx<N;;Z*V-!EkHWVyfC&o)60wGWmH@
zb%1j$TJM3K)<%>xWXf4R{}1(0M{y$4P$IiaVk&P&8$y&RQs3Lb{5^UW+Pa6h=2mz+
zi~m$5h5hOlqQUhw9(d-%IOIn?Q%Xr~CMsLIgMoO0e|`%2NwTE{Aghp+|2QT^D{spu
zZhe3(**ozwy6;fdZ#D}~Au<sDEU>y;<~)iKtO1WgCL^3G#;Mg5rR58f4RSQf*?_2u
zdxE-6!aH>PQ=B6E-|<gn1aB9U6zOPsR5%;<qq4FiQ>&p(TquPJ1Q-5&T1_}V)uX}<
zr1j+B^&Cm_@3tQO_rd4FkNq3kHPvq9btgbc8fAEjB81t0Mk*n>fDb92;_}X--$j!#
zYk<aGYaaTxpyfs>t2NIAChOIazAi-Duj`iIhj%nLEKA+i^?z$9J+hapM?j8at1<R_
zm={<!`%+QUx6VHJ=rqQaQjL&7J<}Ws<M#;vuN;5)Sb7@}QHULs&n(IbwP#z$A6jnA
zp`4|!b)Tup<TI5mZKSo80+i(Lh61h?|EKnt=t6%;+v{q;nTA`uH0*#rycMC|KKw+|
z7C0xcI(D!oJHX>n=}-SiA^BWhn{L&q*GZO2o#GKD_TxYsBAi{vCB;6;E8}A!w2w*j
zbVOM|>)C(Utj)stA#?t;m{fWLJa`{gE)Kr1HJahznsr?J2=^Z5jwA$)9lv$g)jx~B
zP>TWJf=yFjvI(L4-yna&&h8ZYxrZg41=#D%v;GXVAFmusnUkWa7Z8|G6S(}G*gAdX
zU}GpIB4@5RY`N*Qtpnx4M(@7snZ=ppq6H(PLnqexXZpn}2r<dnznSLrYB@0(qmyD}
z*h~Ab`*hy<;eX9+WC!?>4=}AV$BwV;TBhM5yEGon&8BhFC0^N=-r@UW`0sS#!fONY
zgk-J1ce<&8N;6oB)6{9q)&2c6kA_sgffTOX{NZXY4uMUA)Iyjpm{9DVHmiZPXduh0
z64?H;+h4ws;k4#E<|UVB5Y$T?#|Q+8tfrg!-p$|gZ|h4pE!3quIV!{;M)zk+TY{xG
z>S>wWFJ{syHu!=|<|1q4N;u{wWyuxE9>vjaLe0&^H=YuVfqL2quWE~+br`?fN@FIx
zoSPs&pa7Ulqx?&0^Pk9@UV65;)c15<KVHZJIjdJ*f5u3T0lO@@g9ZYQlXwSH22LBw
zg}|2g?@7bjdjJih&#5H@NaR?<k|BPg$+AoRKE~+w%47C$bRa`HpSw-+L{5_w;NZNg
zK!7LKXyT_zbq0(YsL~=Ao8HOH>#34Vwtq&SYB@1=hH)!PUlZx$CO)hE0{0SxCScY{
z+xY~<yE>51B2S&zj%p}Cz=4!zN+}*H8D)ZS>dE`8T=4(Y${dIHFce+V^B2qnnId)u
zOH9SEI~%9{$Il?UGDUwP|0aUM9AKR=tdo0u?6stn1T61NqNp}8{Q242;w-CTk;*;d
z5g=Z~fj(l@`;O7?us_PAxO{8PG8=?%-VKRp<t6`^gmQKtzQf?#A&E(HzrR1ep5P_~
zJcwz0B2$Y(l)nV2)>p;nFU@NwBZGwKCd>G+44e@q8eLhrueLnDC?-oY;lQA*UU*ae
zn;k0_RBBDD?oNvcx<(9Om*U9%Xq1W{095#m=6*n?FH)*2sfr~u{b@@KQg!JaAftW<
zW@I`RgRU46I*MNA^{VwzueKH=-=Y|fpAik#GRg*BwPi5ODpzJYDpVwz)(H2Ohrw-D
zWpG|`X?4+1V0sRCgP51VBIPmh?=Uw>UCMB~xGIR0GCPH?;fF^6!iIKD*&xGHbK8bN
zP4cVYhnnES;V6ESXdO>Tv#WvE0Vrxys8ki3!UM0N+^x1^+=!NgrX;_j+1>BYlxEi>
zX{?8-2$|;}2UXt`(mM8SXBc<0xX<zDHF8A|q%HDWsf~Q3m)ssXE-`vx8CSjiQhS<t
zoMGk!-af-Ktq*>@I_n-sl*Fp0AY1YCYm!8)liFpvU=nX4r;J&SJ{{y&Kf*bF=Drm_
zuR|bQFClQbdlU*3U-WQ*Lpg!_52LlOf%_j;zx>RzyIFgrH|pbRx>miIVbai#qZ<9P
zCNI-FCE`^-T^?Ve!xrJGGus6+NR<Y`u)@yRBvtdt$-cf%^$>Tl$Qq;1Meu_8lzyaP
zmf=XqwtA{rdXVsrY%PoTQ0_T5DbP|uzEv8b+lOG(%Xu>T319>^t5!YlfU{QG1&AOo
z@b=AwvYJ|1oYAWoz_=+ra$sn~ef7y*)l+Ss9ESd@);s*?(QCh-fA2TIugSC>mu=o3
z_P1ORUo>){*0Kw-{DC56n&Lj73N~DdHU%$wA|h*Z>A~<3s9<O<H4q5pQ7v>^@rIQP
z3KS57LpHcbYBJH4q_<Ei{Ik;Qe=Fa~OOlR1Vhstz`VQ+6R8BIlNAJui?>gohHKi~s
zNcVeEtg^kbWs|R_Ykhx=%uDcGI6^tyOIQVS<fTJ~xkR~qKP4h}kSL6pq`BL;l5Lac
zN*hqzz<o8G3aw0lv||10W{X4t#%lBTmgT55vAc{ue3bf0K~tnevpsT8YX_SL>8Ghb
zbmo{C))63LZk~OsfN@@4_@8xOcool9#LiAW@+~-~lhE|q1~rGr?M=!}m&yN2)+uiz
zY@oqDkC3uhaZP-m^eRtiW<RMKuPGuf`fqvjXa6!N>-R`SgHHnI-9{uQ=|h9VrQc_~
zyWS-Ptt}g9Oq4~<&jqAA>3d_!KD?r)$j4hw3D9w^P_g;KcJ1b%%m7ctkh=TFg{SZ>
z5U9s^4X5Nsp$*#AnvI=1S5)yI%g>1Ofk)|Q9_t|&;p#5CXA*%2gDP;!VG@f+ebH2S
zbrMECN<apd{YeOy9xbXBP;R1}0$|=-PqmoG-m<I7k^ti%O`f~qTCr=!?6`qu(6phx
z;GF$lbF#@R9D$)f502E-sbd?ATV+m=a$RoW3-s1Z%+qhcRx#evjXwaOVTy3DKDOa@
z96~is>R68#?T!mkCkxia5NL&F8G9YIAg}HK`7CF!qq!d#605@-ro0iDp9o%+jv<>Y
zK6jGtoCxZ^_ttt=+U*mS5@n3d&32M_DmYrhQu70{!VZts$ezo)GGEalSd*qOM*=mG
z;Bhl4a6@7(Kw8rdN02?2L+M_M)eu#mF0azN#5wA{UUnSREr<8i4d+YxGbm05-7UVp
z$-Yh5ejWSdD&O+4S3L}ASmrIG8M;fcQ*ANfiqme_Y{(-I13tHCd#9bjkQJs1D~vFS
zO*(W^S2vyN4X`=C5acO|{hSEa>Y!O5-P^X3q9v3<#@|fRS)Fl4*?`lyrKka+iX#%9
zn(#&40BjKlk|#qfy#W3eljhmDM@Z!M#EF}>kC*r4|Gtd-T3{bHSfq`^E`%UFT2n0r
z$DtN1n&-GL{hvtoU^TCQ+G%au2;jz*EW!tsoKKKjJGG@_bY}_Rjav{9q?Z6*t8Gb#
z()saJr8>Di$vc{V3>c<4hU3_3>et{7J&LimEKJ>0d~tyjOO>l9mXZXIKTL7zZf_y7
zR|gBrbv5Ik%C0iOG%WzM1a<f8NEM_4B&rin(w|Zx8;=fcXEi|%9w+~%)G<BDI|Y@W
zHOzgoH8`*C$m+dCymvbu4zsg(pVd8BGBc<#f@``D2!Ed_(f?L)YbIt#2O!nt6(-SL
zud+`t6rU0OLnjU{IXMXZ1>xlIQRaI6tH9XOf7DoEh#w7@`oRT-BrDLG!^^?$Fb)~h
zbH14aTv+7qh~s?Xt|qzk-86al-op(3_6cfb$;)f%8=0NWppL;t<P*e%6D?ePG)Gom
zoxR@I8IR|95DfE-qaFzZ<+?_))r2=1(0S8XLC(_K;%gjz*R&rvqBB^>;f0#bbomWq
zfOL6@>(wy@5}tRqhP3-2!ri~=b4t83viTdS*7<rCxT=VCws&bXoOdS7k<=QLYsF!%
zZnCzGNwT*Sh=6fuP6}}^1y@SLLNl3U{_u};b_4z#^cfD!{*6Af{Tx@VR4oNac`r9|
zn)s%)k7uVUL7Lo8%yk>Ne~r5{-{R#G%B?OUcl*c?FZ~>jROusBes<pLR+v`UWII;I
zWm=lT+)_HMk$D=jVg8<An+TUV?hBFyj;zI1_1aD_oB1+tkLm?zRRKhM>?2UsFu>xA
zs9x(+zvEK#wJIwGJg<*BA#Om~bH({RgaBI*IEhJ2)9~NnKPIl!fzL(yrNrvu&}C?K
z--J@C;!V9G5s)X2_+dlTrRBZM-CL4~Nz}*o{P4Kg$V;nefS)QY@!5SVs<-3NkSHJ@
zMV`Iu>d||-HLk3ml>#W}AcI)G-F{+D_24PBWmx%McHb|N0Qdt%QIi9Bbge85D77QF
zBN^FF;R2?fcj@V~Xci?AV2j>uH@lGJH99GdscFE;qQ#j0b0JZI;F5<RmoDU&1-nvc
z3<}R@hc9`xN_XxvG$>p9f4DL1QUfYrnXY(q$ppCH%Asb{sr59nkG_SB(LAFNk*rTj
z>G6GW5s4p^tvvQSUVB!Z&J&2VB9Uc7n(^{77ZynNpT&_G_ztNVys|txc|kM2>o$2F
zy`JP5@hQq21^Imv!4L|Y+<1uTH0}c$wTt^P>C-0f(D2MO1~lmX+sY!m<kbU~TI+Ao
z*Cfoog!_!)V3ddhY;`^ST`HgS*r2>-&)C;Z2@V$AEt}QNW&a)@7LQ;sUsY$%m`wU4
zPAudmq~Df_=O2xO(r$;{NPs77H{)r$PI8+BBHlY$W{DsoOg;vA;QEdwx>n*u<)fIk
z#KhezE~id~`R^#U=%TORp&gUhrNbHIp39pK9|vwS(LkeP^WYNt?${ulw+lkQ`LUjd
z7?OD#n^-r*mzaW+PsVgE*z844o61vik5?PoK#5o2f@oAd4pAfah}9ex>`+It>3_S}
zS(5U|j4-uFsuLTzBZx0MqaQz%Y>b4%MET=Z@kiyf;1uWJ9ExR3tbD<2ty_z710VHt
zD_(Rrb+vms_turojd{>Q@Jxx)+}&P0VAg-A+n6;aS+Ehmy*L{dIKKecQ?6JWt?7F3
z$hO|&sOUSnSewGp)Sf38*)i~6gz!kl3CKQ?s2Ie^P?bU(Ka?9&8B+o!A*iVyZy~DO
z+e}RcR3;!5RTil6#ll2?;WzfP)8uLxYb)dn@?iVda8Ar6<4k8OofKP9q2^aQLz#x4
z=~6qls<6PZ@rd95cM!y2?Ah>$sV=+?05L$$ztz-Owit(w&TfH`D0@HoIQcLH3;8P5
zNBAd#56NI)Myem4&?^#vmDAmDhznB_&)U(BI@F$57M;hsYfPF@r)3+SE@pgR#a|g=
z&P(X#b_+ck>*28O4x3edkZV?JzyJhf`An4RdN^dRvV-2@EL)~=&c0R?1tDibG#!2N
zSn=AxbiDbx3}&@4)iim7W9FpA=I*PFD=;kn5s2-ihd`zobZ|GW2UpG=ozvPRj77BF
zLoobpN=eEA)d=h_-$?l0S-qP#2ql8`FuPf|pLH&csi6auQcBnxV6G+{%94&dLqL-U
zrw3`eh}aheDGI(ed`Q(tX&RjrMcG)&1Q_}9m5zuL4EmAYW(kzdFTzWQt;wE@xk6xv
z84j8(<tlvk?2%Dvfe=@<&lqYFU8qQEd!$wp4Zwfddy7qAWp|}rc3|muL7bGr0Y93H
zaV|Bnwvi)^g%*?3UvBKieVmYZn80_dVV(tfW?Z|%o4n~_%cp<IRfKTbm@uc`4apvz
zQif|wo~xN{nC(_Xrcp}WawMYNP<0+tF*Dl1N+7r48L0^48Yxi+kq0*_5||VeBc8<k
zPw^g=rp!(uO*<_PC1QfSpfa`f%O;D;$mk>l;7V$tMuE9iJky<3+dRX3TVsA1mw=;L
z*|x5mzk76a!*R&;ZT-jI>z|3gnJ5^4^jyaMUHtg-FfahJ1*K7)iQ6hWHc+r6LJ((^
z0tO9YlYfFvkG;N<ef)ce4bQDSwHM9FgZ)LZl{lZAO1!Ks?P!7oAliPv+ZcQhAAYg<
zr6*v0aW07~v>n`Jjl_(WjY|qSRxJG!n6$8V_Ez)(k%2X$XaH+<0Cw<c?--f8Z;ZiR
z=r_NQzcn>LpVT~&cc-VFDALpp`W%ZP9A07Cw7J7D)oj02MP=^)tMa#w*P!Uy-Y=#=
z6q>Y&TUu7Q>d-}Du8{C?11VoH?q#oBq=alyS85gBH7O#<x@cgqB>jT(4fkFG0}a0O
z|4?pEVgC9JXDvr6V-h8TdiA;Y7>5oD%DE@uGv||;tfUnT%kaX0{w{k$ud<1tL;#0i
zEU-Jb^7nTa`ZcF3i+`U1l2XkQm@sa|sW~-&7sf|&I2`h3+HKmZeen9eqf*kUfc%=&
zff-u0=K4>C+=d8MANcrSoDt}!jq4|`4F(7^?@bXY&`UosB(4&bT8W6t0k#^(`tgr_
znayY$0PUvTvJ=FbZ6(ft9HPUSoQ53c7G)rS>_6xsf21iM>eT-nq163b&T;ODWFgrW
zDKp|?OU$Gam~D93NOzkEx<u71Q)+`sNi&QQd%Cs|Fm{rZQs9A@p%)#e!G2~A45#lJ
zjmm^BxXaG7n0iTW<K5Ipd2u~|U3$=>H(yuzL5B23O<)yh-((h=D@i38hLf2G$xV;3
z-+(%;EXaJ;LT8huSeTp)>b{EJO(?l17b5mk(!7aCMvXz#pG=`#AqsbT<y{SLVq<`T
zIlI?^`R6iGk?0eoJuo+W4+VV+>nr2YkPQr{11tZ5qlDQYQZQ61Q>JMll*L>5&wiDS
z!}v>Q7uSzwV?fAv<?9d7SV0@;eGT^f$^C$1?Syw`W)AFo(wHUJ2A3Sb%6;e$Ahgl(
z_HveSA9fTd1co{mR8i4tf=dh&36N?YT-+}6Y)=ykYO~{^Z%1V4Rp=e#NcGHXsKkUU
z7NURbx@g<i+=ovf<c;nm=pQE&@H?gFjamFmr3sux;-XF_Q5=U<x|K2U3iQ05MYkz9
z)H7XsLyNZ9lC?`sAefQ*8nu|4iSegySp}?f3(Y&aMh_?ZTRSE@vV5R$>7MlvRI}n`
zuPSTdL`|BB`2&+`5W3n>;p-b?3M6WXY&H^PlW9+8%ZTfhx%kcoU1J`8L_uud0f>tC
zpe2=_K~&Q4NP9wLwz<pP=f+Gs(mhx)|40H!3%XD~`8U1#|AgpW$v?F%A{-`2_Hv`i
zyg4whSss{<39!=WzW!!Oe%p?%lD^y%+2wB7j_;m75gr0z1O{VONhQ`XrQ7bgRLDs^
zD!FXIKM5hq<&eV_MsZV+%7c;$#RJLR-W|z5@TdJk=U$TJWO)~K>LkbU?hN#oggNn;
z_dImg8nt2q=Cx06nh?A2j#IbaqQ$~|<ht~JDxs-qKc{Tfm4#@Db;txVb`EhX7hfke
z1eU+zRmm>?U^Vx+0ZA*e<kc>K!7ZpOLBYYMkCsQndviX#Di}qCQ;HyoV05knHS@Y8
zG*-r67WXg8qRF`<Rama*#r4QFp=(~c=2LB*SIbR2t?tGag)+L%91Ep7(2p5LaNYM3
zId2C8ev&fmMRlrpu(7cA#@rk(eyWpES3!a5V~B`s)LI(%0qAx={}I@EQJN#W^}TP#
z;E)1!oq_<yX##O$8JCzAA7U@#v~jolcv!#wzE+}$VP4NDV3hc+(ILm>pNtWqG+c#?
zgi(-5p*j~))|fGAM6MmKsOoFYLLIr!1+sMy69D~AzFn;)*s!YbQL|y*W$wU?K656M
zD3H)o$Rw0W+uqEsyo*i!@^|M}8=wQ`Z$RZk(zul_URxPGVE#XDO?gKb%Y)ER&ybH^
zevtHBR{M$XBf~})+*&T1hT4l~&w;1UEwUPq^}J*;S<^1p$=t5Szr@#spSWHF#e<XP
z)P-0`U|Y(C|Bb9vC_SqTGm%gq(KmB@@?AfuV}e#5b}EcvsE({PnKp4&Faiw}fr6@;
zg>=CHSax@|8AC5|%^GT8HV?SVYF}WTV^XHi_#%>iJvj0(&vx(Ch4}#DZU#kFmX|vQ
zblJ3{ZTg%om1;mm-|Qul5ik=h(9hGuqla2^aN9CpxhDU4N}=;pOP%}+*x?*&f12<`
zNTj#hhuR@7Qlt+|le#j^bf#}V5b;9O!$doT>s%094bZ{pC2WvlsgaT(e>e_hf5Jt4
z)Y=_AL%k%HKj7%YmiMmVj3ppvBT!CkSL3!)--#N#{BOb(7iS?2FzA`Du3bCS(?1m3
zm;yclh4wqY)qN44V<9A@E09JJv0HU1m#}ng_YICc_6B=BQCp(5y<h6!?-U7$@so1f
zg!wec+N%4ndASKD2_qv?vhfMvH(^RT?(+pjiof@0X$9!qUitJlWZ+{Llm{^><5Oyz
zm=EaD{#@|DJWPDjhfA%ywNl0<a2o|PmP}x`n#WG}zR5*Hd*XnjH_b>V-agac3PZ}L
zoGp`$GS9v295%=f)36{^WA-)1oa7J$|D3y_#*Rt)kxQ1kq5Z^*_Rf<dk;%XQ<=g<n
zPk!+bKQZy(eKEFDw~o49#an$#AfLX4QDdj{k3*D}FYE9i`jn{ZjW2Ja-aHEWbEQ}i
zCtBg8T?p(ZhC1tq@o>=hK-V|l2QHGJ7tkF?6jGxtr>ZXLLM!@~W{`fga@rgpnW^Rb
zg)(^s1){M4v%{U)d1p75J6SjQCzg1cBn7YKA&xh#r0>7RB<<!e&tj)o$wRAQ>s7*0
zN<4d6tqOi{n2E874Pwumg9dzUPhp;1$e{hhz^k;J&@M#>SN6vB&k01pNmK~BF=U)}
z%#HDs+hQqP(jl=*ms*FW{*oBL2lj+j@-Ca(jmrVNduTozvQ`$YrZ0-eN?1rzz9=d5
z^7k!A3S63@(82x>3Q#Gn{G3yGyGvz>c<qMxI8$<i^R7oXba`)x=O!@$jqjB=fLqdW
z&P(-h`-+Vftn#jMJm5F^A;_dDUew-JUFjC&8pu^6U4j<BMQ(~NJpN;nK;jn5;s_NE
z)OvmG=&v>kt#|%!>@K^X^Vv{nHk#YY;T#JQU#erjklG{u1+hWxSLwQccB@fW@jQ&#
zihA1q2m-MM-ijEycj^9|Hj4dMjfXjKbP&J9P}VylmccCYoN<&c3I5eqYm2SK_$6-i
z*6*13eEI0Oi3(9Eg6z^|8+{V0Wvn3G6FKiGQCaEg2`#MH>^geL;(sIf1KTTcj$c#<
zz@9!iVo=%@U6mc*+WzP_lO|%P^O-f-pgn=x2uzp=nUIIcl-ed|8i6ahaFdsM^g~oh
z&L%(QB<XG!MP&H5?e52@(acI$>5K-?9TP@ggaJkaU+|_GYKn9CaHZ2d#tybiAQg0L
zi*$L5j{g{}C6Pkjzi2B)nr6*%kVD?{Cgv>%heeV_F>h0o*3kxqMu>H+Kz4l6`m2en
zL%&(rXnl!iU0+9eow~#9*Dz;M30`nHvMkJbK6I<C++J?F-N)n*l!!~${B1=^lA93z
z^wphQE<BaE-IVhgJ1&dH%})ggrMpOc1H+8B)CPu=GP5mxI8?o0X~q7m^l&Th_%?lN
zCL9!FI1p+lXY;s${FCKQEydU64#gIi;8*J9-Rt4XcV^;#F1}@rK<~iq=d0YQ!a{jX
z@yOm12pjr_YZ9i~2=P<3-ENjak_CVHdhvEtBpq@9ld+;e{HcR<dJgXYL?Jv97<d3d
zoVkur<Vnq(=$(K^4L_~ojNvL;FMAn_gNHnnH9}3W27?LY$bBIGdB|bV!gy9|4(Z4S
zMDF;*ctp_V2W<)8i$02-IVHyk7h8*m;N@7>IxD#x)l^BH{4txBIW!jI507<=pMm=}
zW;!|!gK$9X{tvePmj-)u9t*>8WHn~|9_>F4w_H$h(7l+O_Iahz;U^T0QIuN$0d1`!
zSr)|1G=c%2cj|_Nb2)&NPiicXDplFFr(!<4Ro`*ZdT`?JAD*TsCwj9EPJ@o%OF|$f
zIn#x-nzB}EUxT!qwyL<av_IM`QzV8{;7?o-gV?GR%iAHLI(?&DKgANZWH1B%QE9+T
zWtYy){jPDW%+$<O;Yhoe$~O(jGN)|(CtrIUEpf%cf7NWZt#iY@IGobzVu9pU9+jtg
zASbxRcyTF{d&WI~fQslKs%{Y7e`u8If^#35om|SVvfzg9A<}KY=Xs5U)gGl1;|Z5J
z-vhp;a?QT7daIU6k@@fM+L*1>2N9k2d+#HXCW2Ynk_GwSh?UhQAV5ojaC~`brgpY{
zqL84$<R}1g!(EgQm)v5KdwBTwN*4*vpr#komA3Iv<l!?<)Ackacz(TC3t35e^;KiQ
zD2}GuYLZZ{N-BMr++A?=w{Pd8ai?h1_IuLaMteLui(?hI3ORr@bccJ)CdP1%o1e7(
zK8>?Q%^y5qth!2~bch?rqsllgKVy08{oP9U9h7p+=o;go848?Lq*fB72hBX0g8`Og
zSD^t2zZ&Rj5kM8qt1^|42Vrk3wf@XV1!-H$pv)gJy+l*(lgfCYcdGXEN0Tbi0EGrA
zd!@VzuJ3UsZbtO4#Xp+wwQx92HO`#4LJ{LfhbB=^o@}Xe=lI?_wO(k7zL{9?2V?+C
z>3;FaOuop`>t3TzO*+oP8P3$iZkhA_tHgx%*rFqx;=5@;G@)&&C(5QWDTz@_ib3tv
zKUVU#6_Ix5Vid_Dv2Z4zu!#b>T`}+0p0bZLYwCr?avZg-Xbg$3GH}UAN~F>dV8leu
zmaLlAvK1<Q>6Y3$va~8bGq5^+2}vCl1=ERVA`BeGa6rS)9MNW{ojtUin5OEWE{6qK
zcQ!?Z6Yqsp{nR8j=vS!MBtMvJFl!Uiu$|JqbBi0EFz|RZ_#^ApuW4EBt3@}W{xX4%
zOjEhoA-cyT20mpYL5~l%DNO*3Ii1V{>wd!tS2QoRcTKU#z6~DUH;{DTgI_#cb#mYA
zr^)Cw1IS4-z6Dhne*b>6_>lXFv|A8$h495KeqZni{{}o%<Do%8k8UmLf50081IKsk
zJlsQ#RHUpD=YWl6SDvxWt+OZaQ2L7l#LHlzN9FL}P8@E@(*=jJd#KHG%GyCl6}Cbd
z5uNP@tr5%U?;NmWmPVM{wukp&E-&!Dw7axU_kpF(@^B#cQPGsEeK^jTkQ<;0k{xsD
z{&ATJ^j0bS-t}cZzUFItiX~>KS18fY)>n{pgP5CNWE!p8D*+2y8LAXi`4poHh;CS<
zO+p6+(S{J=m+|q(VrQ&kgkLB77+T47k~YhKqFwj+PleQlxVDJ8kwGKx25v6{fPSLA
zWApw1BCgtNiEU*$CZeKuqO@G<1`nWFjR*2nosRS@rpe3Rbs2ue-4_P=?DZiEUh$s5
z#Y}^xwNA3*x#WNAZVW{*Rhj@GW_8Phlf-i+n{y5p&bG;qu8Z?!(jp>m44OQ{pS1et
z*tkRdG;Rh3fkI$JmM~vl0*v$6YHwqD_&nr21=E8IvyZ%cLn7-@zjtCAg663j2f$=w
z^a+?h&+IWf^5qmy{KS;q1d@Bi{O|R1tVxy)yjsghZF#(tv^RgA>dVg&)cD>&#gBT5
z(5uWl?=-Pd+T2B(bZ%U_E=W+i0YF=VRXTR|<rw`4AC{iEJ5IHcos>M>o1a^rw?&S!
zpN{T3+=+N(G~ef&NA3VTw@|}{AFYmow=ZZ;IT1a<{k~H7_HElnYF?GMIMWY^vxYoN
zYN(J^U1{l${=lC=5_RXB`gGINIKv+<Q@o+Lq|k;NdYq)Kjq~^)A(XMrnm<p3Rf#oP
zVpV<O1;bq54N`Ihq6Tu6P2^oTNNO47b2gpgE$>k7?UY=e<rQ~TSBZNg*emJjXIpP*
z35ef{GBv^Fu(~)_SAqX8iXjWYJ~q94qG1FUYA~x+o44!)?}Aau=dYATzb7qt>hUW8
z{9hCXel5{G2?1-nSY?a#kYYS_ZYG@(1ou%Xt(<4)j}z<8$|Cb@qV{$(EbLIyz`^!3
zYN?Yk0DHcy)Xp;`*4DMcxHC%$d)yYdCF53xfk{P>J-JS6q;U9}QYy|$Z=T_2IW)5g
zw3%?K7cRpsWhHyu1&M6*+%H^d!hJ_z8DosWRE&8arfS2mX@0G)qg2g`F=Y7{i4j9%
z+<|ip+wnQ5$%MR<I3`DTcXt>?#ZW4gs)#+1{+uOk%-~5lw7?FB%{?4~SSwHWAyLq6
zP4isdEmBkvf5nUtcx(c~!D0q076(SOyvNPR(H|01t7qDAOPJFWVP0nwE}CzZONEh=
zwYAp2P)Yx5iN_MIIn*@5&(FS~hiL4ezUlP{-Z2DcZF|g-)lb0wV6(dsg;9S{?;S1@
z^8YREikCY)i-%wuc~c9>Cm!IPdXU_hljlkrbXPF$2HX(Qfy3QkQ#f1Jl{X-WG(H@1
zj+g>8XwOi-tD<1=Q7AIMk_w0(`ez&Q3x(`<8EW}aEV+|f09sQzElwSp=330oP-caG
zbpOI!MQbm#-s-|yrg_bV(<m|6c}b@;`|pPw5dY<RAkw-dd?y$&>CoF5?nN^H58;qi
z57YfZ?c^l`hbwxh;oZ-quuq`mR4JEK<uRDHjg@CacC|48g!D5Ew`TCi7NcRY2$>4x
zrPS`uA-O5KZrOy~;!ci~PQ=<4n&5z-E<ln+E~I<+i{;7((n~$4wZ|*FaE;$1QGhM*
z$X&QgnhTP}5vlxOV?T+KBCd#XOKnmRS(FT5d}<Rul;=Ocp@dDWpo=$l5swtoiYb-l
zy#3@R%3MS>*b0wm(35j#E>Rgr52oJnj+uw`i}?&701|TsTpg4Tk-EOR2Gh0RN4^qB
z78gJJHy!UmoBo7Da+{B#^!^;1iT20zVe*S_UZqr`5Nb|WB=O>j@4SqaTmGoZ!AzW?
zVp`h@sF(m+j!<a;anTJ5Vt*YQ@>r+pZD^q6^7*hRi|>8piv3I+E>%qgw71KE0XCB4
z9sk<rTZ)(}a`yYD&lI6NS8jf&wvt3*$ia&`kJYcR0I$i;nsVlXFF`NUWMq5dLb(R5
zcplht`~W?KLmZcn9EVpTD7N~X*o|<L6x1eYSmJvD=98{K#K3uz;$RjKZ$xq3!iI%d
zbO}P2!%W4HbzZSG75`FELzjgd!J$5{lZsVeJsSMUW`dY@_r9iJT2-ynBVf#Rgm|kS
z`8v2*rq{i<f%$4*W&l}N9ITEti9Db`{l1bD?moAZln2Z(Dy&!@VW}IRpC_(HlB^iV
zCyAvs=pL7cf;YqskYLtYeywG{u+!FZ;{f8Ub7`b@Ct9~^RiZwK_68hpD=b)gqgqP^
zAav@fYn|LkJ0uJ43ozwjOyW$T8Jd0ch>Jz`*9P%JTB`(;6GY_+jmmBrf|;Q`A;d>d
z@YX2wRWv>1LA(WEXM~*%VguB^y84e+3LtSnvjZrO2XqaeY|c`n9WMu7wG(3+9Or-=
z;v0ss4eX$kNCSRizi9Zjf(Z#orvW}sP~<uy8jl}b?xdiO;W`)n<?{5bKwEZ8*0Owb
z&3-TSdUNvJ#%oY7VwsTvR2;9o{*;#i(YRX(4z0Bf9}X9Crg4Z?2xMCTANVT=0PU{K
zhyxTHa;=1joI;&htmn&ffrNH8yp+-bc`z1&_sDNZA5FO!D-*t@>O`tq`EDyty)(Lh
zK*7u#;r3mWG+Cb{B}0}H%)P=vaj>#C;<bKgZEX5PI9|nw`le=>r582m?AUt+>41-b
zQ4ivIPxNg0xPy{*C|}jkIun`4X+fG9x?)P%$D$)Z%}_R%WEyyb${*2}HY*L$x75{!
zg=PL%TDUY?Xsk%j<rY!f4#X5SaNObzf^h@jvWP!wZ!ke8eFOMN;#@b{nIHda21gy3
zA3@VxplE}V?r_|8LfuQ7UF&3=v2XM1!|zGHG9n!H{keJ?{b%|hNsF*Dju6n3U1Jfr
zi8B?<^e78N!V9w-MCa!JvmK895wH+1S_*rxyZbj;Q_|mi72A&bGVg35lb?`Ww-`Sc
z?nl74!86*_XYzigmfHd)qpCx8{*@`F<64M<clD~~YbqW29uB<7=@L)$;iaVGGlfMd
zRDv}=EpJ(1a~$3q0%qGD_^YxNYIM}v^r2@L^N{$TDU=v?0G;Q$eL^QUCJo+!aMXA#
z6Y$7jPwD|Opa-b0NS#Hrxh!ozJWNsXm$d1LAC5}ZyxU0e($uupp!wRm|3}!RNhZum
zEg|#&Iw%i~;+4*Ev`u<QjK-Bpl{iqdGDqzZa4%yXaD)5tgN)2Bq*7%BPHlZ!ynH{u
zxIt<^AA=d%Z*+)TesdUo<{$JK+J{#77)&AJ+i9<C-#?bstI-g2AQg!(4}D>Ichyjg
zY4_%!5Wpwq_ut`)P(!8~zmC)aJqNbmBJ(x560Z<1m}(cc5k-pbCp3;xX5&l47`)>*
zEjxRY6NTIeNGfi0ajh_+gM+*c@<?WBu*`7E2UG@mZEF75aVV!LE$3c{5j-OJdV0ts
zxX9%M{}U}>CT-$VrNH`h>?Gt@&H&5iQbhJ61dI3bCeng*JgVY)GixQoJhU++PCU}&
z{-9J1w6ztc_M3{&=-DQQv$qL(p>^8`Rn!@^g*LGL)ODl4dA=foTO{nQ;Fx%EW1Ir`
zIH8Id)or0XkoUfrkZH<5!OUK<f1TMT#hS-=WOJs3W1u(|ffTA)oq?|#^EcTDko-XU
z$q(W<$bq@+4Go}U(U#89^ioP0k-1;mCn0bgO8=bzUN~xwgJA#Z#y2nP10Wg|U3-rl
z>pBQUUqOpzpf$O*LBi;@-^vb($X<e2ZyxGHVFEYZOBD^ce@Lc@gO(x56v$@xccZFB
z97v4O2-;(D1<!vnt({eNl{=u#`IF9|-GOb$c7LW6gB{Gfwk}pLl5P8f3V5^A))v>`
zKc8z2YS@JBCDN%+hqzqmzMohQA4gk%PO)rmB`~ZX`<V9KkhhIPq(f<~_{qM(F<SDi
z7%41HJv3geS?<TQ%j8Ml6~rqHJrGukuVN^G#9tgJ1r-8_5}@q;4^!zmE>j$phQSnw
z03vAYRVZ9$WJ?vgPDJXMAzIlg7=mx#w1$En0?K;uz^zaP>5ro!o$BMU12&wIZbmn=
z$2iy+`(Du@rNxx%--uO3Kgu%^uC<burI;1qjlJAbVG&8%mNG)G3hQ`mzd@N$^DK1L
zlB+%H-Ao1hr3Edjd!a3AX8Ak>;%1#;eg-1@s|OOAPFbPfurp(DUyY%pbAygwca_ye
zCG>TNBnztbAT`by+~Rj8sr*(6*Jn1_!)G88%m}ctd*i?}&eL8Oo^^(epmm!s89C$c
zYry6r292l0`~7&Ay-WGiKkyDXQ|W?)hq-%EWIN?r6|J2dt*^GJ5=o1iiW6FI%B&bN
zG^RH$_YD7*EWn0)S+<Rf_GdHZ8ggs0c7r5F34xSQx%r>}B=2u~RJ-4(WpT<`MtQ)J
z*DTA!Pm$esX1=Nh*-nONICIyD(qKu%{`h$-H0!uvL8v?d#rK*7>PjiVls=kXX29xX
zw-=+xFK_WZc%`xAabXk@weM=;8W!4$P+B5CU}*GlEQK@-_eCnH=(@d;Iw+0(BSroM
zRnNXGFJL@lN62ett+<TZ$7}AGqaas;AGcEkv+p<V?cy|cdTqG$%}`+A{2k%Vpo65g
z&}@x!XpalTCs&9z8hp==@~06~nZHkA`MP(xUVzbV5J_^g@WVA{27m*Je@EIwMbpHC
z2X`|D5i?<4w#a%f#OJXwtd6<VSwrb7PK{AWoHV&M(a$+x9sk;~S{EU|I7GQ)V@K&~
z*W?K{PaCN)8HFxG6gB7KGn|1|-r5hEl-|p;fecQYbD?-%*d?u^%)@`eSuZfO*YXC)
z*uc!{uVYjlEC6qlV!OzH=Bf+DdzrFR9_y8H3{e;8BP6Ysk3PAS<5x-WpNOrsYgGYe
zopGYGU3)GpjcCmr2hsjFO9;sT+NX$_M^l&X_O@V|GNb74dinq_;B?EinD;`4NG4Km
zUu=ydhz5g=hU2YALmCa{IoGpNoM^cuk@w-lo1nO69UAshrq=T8CRJsIu&huWXXimV
zMX?NMBM}BDL=7KpTA0P>hr5xLDol!*tvLFVOu}x_7ChqV<1ljCp%ztU`#P^}v}r<;
zVuV7O(8GkpRO!^iWyh_^NE|Q3-kC<^x2~u61YJ6~*&wTlu3I~oK!mr)&xjs1WGmFX
z`ofeWXiY9M3|`^bmjOQ_le~6H2p#uNgY8kpJHTo^)xO?z@_4Mr?rktQ{Ab=P8iYQ<
zH1D+Sa&K-!OUPmFx43=yb@vUQvXt*XZvXZJ;{1pKo~V~Qm11z}T(kB(?#{SqipnM7
zc%~g>Xw#tqk~Yp!atdvWr){|sL`<L$A*0x&1D5KG3$(rCcrWry35&<C_F8omRFmZ7
zt>2TNVvEn8e7C`fu>#qJH@FTTJw2t~vd5Zx*=HTIN%`U_25HEhR2`^U{P>+NBXlR4
z+KjOnja?dN)Mcx06j7N%$)Ck2>Jkmt%gkq;A*M+9(+UoELFEsg{LYDlViDZH#Tkz=
zQ>-%S-!xC&Ld&~cIDmrc5o)SWCJ4n#)>=nI$Lu3zI>lwvNQtE8Ro(Tq2;f>JQiR$O
z5iMoCbcjPKyRpX77a*Ovm9~2f8K%jL42A$f!G)xbm5a^-6salw9$pb0S;wHJ^xWt8
z%7Rqi$fQR-n!9<YTnONUHFj>^^##BRAB!67hWWCL*SYgg-g^7{&egTPmOJ%G0-(2#
z2I>h>1aPSx!osBJ(Sd2iVSV4=6gcFs^NZhd7K_8=h(r_T0G6o+uE3msPt+HB1#0Y2
zRMK_^Qsi`K?M$RQ50`w3U5|ui1tZ8}aUOgHo%pgM#;kG|z1MD#*)slGM>D_J*v|4)
zwnWC|DwMM`MjJA$c&{6s6m=mVQucF*ke#KY-lrZQh}y)ijq*OSkhs_RxHIki;yy(A
zE5C0hD|2!GIy1H5aDj$1G6Th4ySm__<0QMVd>?g+Z-JtX^e*(oWjS0VbKZ9NTo~io
z$Ynq5!pk{F55Ixtbvj|z;Ssq83N)W%;iWk`P{+_y8p}Ls9gSc+0sC2EmHnzej9O&J
zQB$s9ES!a4?g33T&5r?>LyOdKrAj0UJ?-`nSr^8^@|!Q57pv|b7qCj8LjQ}f*qi!X
zIBsgIa)I64(XyKsf0(#~8VG5O-S25Z=R+g5W9&oL=(La3-<jT%3up54mcSuRkH@XB
zRIiopf0Gew+LQDHPCwfGs2|rfgG!eJL_a=!DqbvK%Wg5%C}J#w_z7N9pHVhnV2f<p
zmo7Z;U&}WX!?8n&ssF;Uj@{p{<)AU|T*)q_Z<!5o=^dLo%}P=yek%)VF)EPcgX$wZ
zRAZyJ->Q-<9ue06KaE0&kc2J&c8=MGNsb6<FGh1BmGb3Bpidk;BNvSMt3(aMV|D{?
z%=#j8#izw~!`naX7bqR_IGTF|lp;gZaCzEyCtO3Z%)MHZ=n~xk?23O6Sgb>Onz=H<
z6EYK}Q#ia9k^Xw8uq@)W`!VAl^sD{HZRheT*>5{HCtJnStW3=^NHvac2b!RSy-teQ
zj2+p8g@nzccr_z3(k$lCEFmRLGOF9Ej#=VBm|O78te)eIjM;hWVq|7#WBcAz145JE
zG+FQGZkPup8UxbPK<ne<PZzkjHuoeU_KfYH;i8;i3^1?P4FZ8D#Timpsr{i8%k$Wh
zRGOGho~@^&W3g9s;b9O<<LZ%+R9A^mFO_|{KkNNPds|yc1|H&@eD|<M{Of#LUj;6k
zAku{|Orn9d$sGsLQ7uq!Y1ot3J7-56xd4*Q(k?V%7(1pVFcUb0eMH^gYoBK=b}|JC
zok+Vl<_tk|P%AFRFe#%uMBw1Hi=8S%A&-;3hDg*Y2qQXw>DuE*gtn<k{j^gHyRak}
zh8#`_TOaUZjfUX29<E6!l(Op4=5|qp!5O-^Dw&d(XI8Q5oyPY=N9`51>LvMnaq^^k
zsuI9LygumYA;e4*x@rLr3;A9nCq5<SkFx`_P3h-<2%WP#eKs#1Q_E|`C@<{aZg#!R
z??a&rXv(W9sY^qCS;@m$Uk6R?$1gf)PD3>Rh+S0ApHFNE(i_Q-%i2H1CSluQvjv&b
z>9wka?;HEF*MW<Tq=(gpv`bw`Ngzl42(ZM_&|>#(g&RdYFwnk&{-qgZQMIQmzVrS}
zSe(ghV`4#3;VvIc_gbobw(8FJ!k%A*FB?q>w^;~b^eGQBlR%^X>Up$sXQynrtt$L{
z?D5oS7y9wVzALyNM9_lcE#(0R26pgjPC?kI;1vCt%%h|Y_y4sg?CUW#uD!FIg;!?Z
zh~@%1FD=->csq-MFn69^gZd{=2?C~fAJ*WJpw^Z_Kc|9q>pnI3p#0WTJET=P*VDbb
zsGrzX>C}}{Jcz@rS@NH8SvwP&t!tUL8M|u<8Dr2d5AMwHR{APY5{!sQXs)skfIJzv
zN}8i=n}>G5oYb7hBh_Yw7;`}fhhox}KR$l_O*nKXL!u!LH632QIaio-%*OIj4N0@U
zS~V;r>piMZcaagiFRN!R4esI#t9)q`=LL#Cbr)dJI&3dcT=sGrgu<fbXkHMr9A@!X
zBfAoC=oooH9IWZ)-KU{*G#i8wrcf9)njQi1LI_eD@Zga>ze3iURFcDPBFVK9$n{%c
z*vZvvl+VEY!iLBnh=Qe#>$gGPa@K}-cxG{+qyFU_>UN_KAGA!H4{<Je6S_<x+_qGZ
z_lEr{wkH}7Qs{N)Q<!Vh%#N$5YwA_Om?8Z**?qr0I(%Js)iv}XT4`28n#5{K*su`n
z+h(rZR9)WvNUZ=PTa-i2)FZk-eU{Hr1u0Df3UFs0v3tw33G-EoKkXZ~t7;boW}VDL
zQc=oR18agVaXC1>T^*(Ek*S(6r52UU406=bq<x+24vOuVKZ$~c05xsIdO_|sGpsUs
z@F+zTked^?Da?y88l9^udqwcC_b*J?tJTcjXCZCAT6paOS`6;Q&b)BQ`P_Y}m2aD%
zX>+0oEZoiSxTbi?V+-@?Lg!(0(U#di^+LmS<)0P3y1h`R$VQ;mlfQ+;s(Vn(Zd#-j
zw~%uAM~!~@Z>NFPuK0l)xdtE8KnN;!aVRoDyu$@edO)M9P@nJW<tUmHd(@&_n?BYS
z<^;77xf+#Qg*y<%D{z{}UID#5lR&G;{T5NU{Z=Y${=iwOLl9_isz!&f&<oQFV)t9k
zN?NHxEa~^kp!I;Jl>vX!6ANyE8qeKa;^AokJsD^P!+!zEPtv%$vy*1r9d0_x1fgKF
z{6`TZ?D}tnAhBH9t>2p1h4QyW1^!iNBT-x%%?h-jhLm7*BJ&;8A#8IRwMb9M1v<>u
zeB|}EIKw=*KWIV&yJYIFUU#-O`Iyd*c*;Z72KG;pW+P%%<{q8ER$UK|W?G`j4vHjI
zTa?><M-RcQrIv=K;*=(nV-+@cFVu}isVW8A;C~1zw&*u#==a{ALV*UI9+oa-(_d&o
zc*w5tzR=U2P7Jt|h28T+Qkn>$|H@iEz^?<9RWyeOg7d^E!1$^aWE2$D#5p4)!&6+n
zPx3xcw8+1@gna7;uY9Br_Z2nWZ+&<K!!p#~LhK?tGgP29X?-vHY7#kCUx`C8+#!T-
z3DHU)Xs}z`U3ur{SSsR+ww|g1>QeK|;T&OC6_Ep;`_LFd{OtcSd>00oe+Q5&{#gnc
zLa5@O6vMSjm)YYeB7%VYzjO~AZAph9qifpxD8V@*y(gp0q&ws(Tm-Sa%>_93e~y^C
z)Xf}W>L!Dn=3~H4brnKi3tS0fw$4pnekM+ILNV}kN~a5ZMpC6es(H*ovGX~_AD;B>
zj2#5ywC<#!#SoTnpB!HoTro?KBqlQbMPfO=I;yuNTx@;C29c8t6ADxq&F4ka#kQOn
z`aeAw3q_|cYGn!nDiII!xHtB*!L^>rb|F`mjtpZ_KD#wt0JYPg{}gWh;Y*;*ho!-3
zxQL*DWlcFA$WWH~XY0CUS#t*>4gDpUyRVPeemoe%yr*%$qYL^PEblJExM3@|qX@16
z@7CXM0K8cu>Nfw`8V(r>n)%=NLE6}%8Vj<97@Y<0jx(wxoeB??CfX<j)0F<PxKNxD
zF%wBdNXw&fOE6CsB5A!Xc_z7RyI+8E#YPa|`Iu!{DsHKP2-986ref(IhNKyU6R8A<
z`VgK+B%5uON<uGh86|c5r6h0BEXa<-)U1(jV@uom$o#JyW!SJolh>B`@X`1?WZs8W
z@7STwMQJ4UO;V-rw(7CPGVSZlz%wF^a7#))wsNLI>N?-`J>6G0-smEUxEtQ83(ppM
ze|Uz*7+=8mHeyaN@Fe#t0h(n<e2+UYpNquUpxpT+bT3_7&JLg0UYX`C3u430G8L`F
z%<IX!XG{(#Hi87*<_f%YzIG4Ak&S{1&@PgJuF@jMx;BW}ySE-|Zd~14mj=ARt{w6T
zkQ{W>%(P`!0Bi^;pJg5W@_;3G*V}9wfVELbT2Xc-0cND#ZD&~5q5idR7IM6*fNYek
z0)Hw>8}6bOj8pew{IU@jUg>{}`F`7%M-gO#$XCH2D(t>QJJOXmYmp*1#O!i_FDU0+
zqxX#AXY$fhVQW8PX=SI_!ENuLn8}qDubY^>c{<6c^V)HENVCm|8|tmdZYK@zFK==@
z>2854L3%!G=-SXO@K!^H<NOyGfYwB!KmB#HOLHP$RP9|Ms2{@Ew>C+c5-VkpS+q0V
zlj5OW241H%;hg_^<}$1SdvIKq&+lz9y`$JoxI|;IReQXT8<|zY3#qfps&Y1>IC;g4
z)Me(u*n7k6Xp22q7FO7wWH1Q~qF1EHYaNB(-`e3_z<n)W-8WJ1Nr<}@=7PpmFnu6}
z*oz=cJ9=0WBh3b#a!LZEMG{aBaXeAe5f(_|>Bhf5J_xCUJQn*GX$6-OLwx}*DJ5=B
z517e!79&?QR(!D#Fa4$EcGV&kdob`aUH~ppm6t`$ue^$0a4P?-PIuJ#VQ?=ITp&61
zM0!tS2E|eyv(aU{Jf=6^h@MQIMYETBsx%|0RFi3esaD`Jn@X$T*I3*OncfkaM-h>t
zWq9q$U2c&w>P~?{@FDw=$>S&pvJvu6A#ZHNcE|-KwJJHPDel$py&YB0L7(;U>97Jo
zB+V{bB_0IyOVuw+8}`@&YWFs)5W7(+SXyyN$C_P(1u9rBz{eRgI~k|3PXD7OIObcn
zLY*LKLqx<E&#o4g9{YK5Q!MW807*n#TsP*!3dz#gKFrsRMfYE_KMLv;%=kd{n2Q-r
z^VydVCuB`hI4@h#wD!S5TQ*Ib-6Iz^D^~RaEeVv}CS8;``MYEoI6UcbnnXpEfs)PI
zG7E0(o_-3P-Yp2;vJ_9i0#YU7HOH*j2B-G#Ipw64{)X9+m`Cf$ja_5)MU62CM}|)0
zFF8QOj}L_3h!_E?6mfdEs_)j4#6M@~O4)z|cE=raJGvcDARS+mv>hw;30!L91C9;m
zFQ&j)avj1b&iw+T!4g1z>IM<Sf#rKH<BCV>Xx6J$6alU~a-yhw+S(h_4fQLY0Sn|-
z__omZ03Ne-L!`8(NBeQzE#*GZKiVx>mxs9I2?ym^%CI3!OP#mo5Q4wvAjdUPJLOnt
zkO<lzUwRHc3AFN+&N;yYM5lOWML2$zqMjx08~3c|1bwt2V_Q(C6s<#<V2C^V<9-}B
zP{dR)>E9RwmAX;5cLned-5243jo3JQN^wf(jr=7J?^ZL*1l<`V$VuKiteH;C!RnA#
z^tz<RrJdneS!S}LJU!}wtdfH`+#khNzj88E2SA(x@Cv+X2GFCTsK%+a#>a!{=_6Gq
zA7)K0lKuu!=VU<`ELmVCr*5{F98Jr~eohN`@nKD4YLm|IW=e)G#^R4bp*eSR1ln=a
zL9I*Q0{(S2BJj`@T*su+M^dl~Zlq{b2_W#ZUHpV9WVAThwLbv9;W)5b`MY*VIwM!f
zv*V;X&io0`k8sWFiYOy5fEjqOTww5^!ji;>>+lldubMNXU}ne!v^~w7AtpbTs)f>O
z1byg|utanM_2Oh;>^8<AS2D7aINT_W^S9C8g!b1d<AG}kqLYf%^}YDrDQ4DMkgz{;
z`MzNU#!wbSGYLl&w(hX$h2gJSXPH^WW8O^z8jA5`;J6Fk;LB~*13%0~NVvj<E|(yS
zJ&r*}mOvca21uNCA&s;&!)MuIGCowQl;jb>&i&fX$js*?mFI-N%&u6x{sFGZkDxAT
z`7<O1J2wgJ0A!%kN*WA^K1l*y!mG&vEs?a{0TvSyFymvDg08Q#B)5!-qE$swK5Kb2
ziaN5TDk00mrln)39+nxwV5E(sjMy8PGfsWRH2lkEC2K^s)HFYq2P0cF0aHZJrTOzx
z4H6^2guuOqd18J2M=9RDpM#ZO;G^mN|LH@%oaA6~Qd^6Pbs9MD_F3E^VGQl~4{l84
z(FhNst|+0dlq8z}rccwNYP#+X_NZPYZjU~gT{TIY{wa`PmkWd}6wRp}M8@iiuw+`v
zHEaZo3;?f5wio4MZ1@c#5=;&6Si`u*ZQiXyh#3P;UkDWd_aIoLg)z|+?eUAQ{31cP
zHdTxD^ix9q5e#z)M|Sc;Klw@Nh}Ku&*vcZDfFa~6m(8!jN>7k(7PAqJ85OIS)2~R_
z<Em3$Uc?gG4K5#>)?CcV`*(d*aHB*E)I|7xYCZb`T<Z+JY4@!QY3YQ~TYT!zqty0-
zH2C75eM!!qKG%8kftmn+_%9C$w&}i4&+M|k<j>SQN*@vp1ou_NQlbw!adJ@3Ccax+
z%VU9;M;BJeF9OLU0|bQ~m-JX?1<F}JV-u&Sn2pQjq{Ak_U$=}aLt#T)B1YSB7S1Cu
z6%Y)CyQSmCOEF%-X=j7HLrvLVA`xec;_ep_ne|`ZEg~{9bI27J(ZzdDYT7Ce%W%HR
znU;!4lB$Z<hyojABs4W#b-zevA8WFEX<J|rIfF9>&)N-g3aD=_i}n(4$3;tc+&xY*
zh}yWN;dO6C->tO7Sz~k~<Qw{NZ)hK-+xqz)CS|V48m0UMFek7*mY_zQ!{tfp+jQ81
zhGq*eg1<k52t=}AjWV-a|0X=m*BOc9qGyzl`$;Vz^iYNbtWUI`zD(LHJx1%W;!@lY
zOXL;P?3jpv2YdnH=*P$)02Tzj7=Hg(6t96gZMhVGQ9vj&OGH?Gu+He3c$GAwZVwtI
zyX77a9&D|1_H7#S#Ira{<j&*9>1IdrB%sUu5+n+pXb51Vzh4krT`Q*w2sA&a$WKgA
zs0+wP^j{_OiT;9uYGp2e4-E_G7l*2mNT#89R#>M(Efjfv=J9lsbEY0*SHDXQZYT!@
z{z5Ci)(Ga~@Y;mitg8cSLIYb?Xi@VwCPhuohn)HAmuo_4NU3yM<=67S1eU4!(_WBc
zRYzd}if_k4t-YwB`cRe<>>Kd!nR#;3Ym3Fy&XZbIo-m&(J4_AwmpVNsk=xIsQHgTA
zHrCK=>hFSQMcUgg+@Bn&?Aafdy1dt37bqWvISZoCi0>ZR8^<|u2QY6@YDq!xQtE^K
zeWvlWjyDu7egqSR%n6bT=dC}I^$A44et!1@afM#Ah}jS889nfHMPh*<xwaE*M~O*%
zJ!%~sqgn2=4T38Hlh)Z+bJG4;DP&==zyVCZeA-&s&+1-(bQUKr_g%-81a4mqayd4X
zU})VhYb@$1Aon~iV)C}!M<|%;Hv8gvtUuP0&awY(maR>0k@kNWydtSRA27F=3u(H!
zAoSh4<|uqT@gvf|{cr-B+149~^9!35ylvdv)uGjh@z$}Y=7e$54$9!e(D7ZI5Kjrg
z1VWER$oXyl&SRT=V+4jip1=h601@an=d5vdhDZL%&DlS7F@b^qD?EGKM_8E4V9m#h
zM_bJfW&v6?<7ppG1XjB&31f%<+dQNHs@HA4x6T@%(sL>B=6S?e(g|R{N)Yj~E^QTP
zz`Z!m^``P|$`LqvwZLQ&cS|YrT>z;FI1rm~`M5sY_eX@k+{~6Vxq5NGepPSY@iUHP
zRfA3_UeKSV&n`ZS+VVig=}xr#<WQjn73BdyEzCcfFQ_E!Qg3azY~nj05t|(9=8Yd$
zpmQRJ9Aj`?HoxVy%GCh$H=vULdHtA(1p8L?8(#gSK;>vlea8vRs$;*OQ|P3qKF6(*
zRm-<}&mRg@O>an1tN>sl#J9=7`=K~SF#5;H!s>?;K{}l2_E7PU0JT(%Y5QBJK?tNb
zwILho*{vm*uh3=Fx61kA^_%V6?JVyp|6iTxVuvX5=zeYIV6(R+n@o{FshDZ%=Bn_-
z;k|0}bWE(@KuQrA9yI^n!K}??Wi;hpk*-t-Tm4t81CC!TKH9q(BjajM@c>liSd@1^
z7=%x&KRaIdLKN~JNXLCoDhN|`+2ubDP-T=`b!nFweB?YyqNGbvJz&1kVPcmjpe}M9
z*?+b{uc!FDKg&)_pah${`0rZh%58pT@{X|^fOvP_C^(tQ6rEVwE4&ghy@2-|=g#fX
zb3d>?%jO3i$k$B2bY1Elx%mK<)U3WT4FTCvh`y1X!UXiaN$CH>P^v7VsZdLg>!4Ss
zy~tv%0qkwN5H=N34%XCG7}{c7xEX(Et83-s!Ho&EJa-Uakevh^2CzxRDYF9QBtrds
z-<}(`Uq2jYgc2QaB?6Xn5EvyIuVH>kSt9ghZYoJZ2KiiJDfn9U@Xm@R5Dgk3R*nj3
zKL}eDs23*4rh9+r?Q6@XYW-HCgcOdMjS3VY$l%We_X1*6IB5X82^Z&QdssvkEuuU%
ze`p&>FXl9FgqQ8IZqo+q35PXWo}FfU%1NnFBoe}r*UyUAq{26}lYg#bROWLUbLI#Y
zp+5mAG12PAL*H5IEa$5p1tw}a%$JzeZ4kO4G;!6zf0|-ID$+3MWr@ao&Nw~2ay`KY
zwqX4S1q*S8*`XqI)ofp5(#86=Tf>kqU#?mx%~ato%|6!ToC!d%a7;6aqTxOEFS#3p
zv}>G{iEs7z4bj^DBnonZv(z+jfRc5sUPbX6nxtT{1oC-}LEeX_9yFB(xE2t*k4zLw
zamGEj^7s@@C!KKaU!Tw$K?mwkhzQ>yKvJp7<PffH!O?Z#eim^zXt*sjgHV$J!9rFx
z&Yn)KLsq}W;Co7>Y|U&MS~kv5S3%JoRx)q=7pk_txAuJ`lOwY%ajGp8wRV-KbT?^u
zQEhT2XfU6_7pD=1bh>$Ar24DGYAzIx%y{3V$#805XjRQ1bSbXqCLjMbzd|q%?rymN
z;{9X8F6chrfXacx8IW+^^@?Nj8vfEC7zaI<DQa&n<d2RDRbvSsJYHddU4`cA`i)8F
z6*y0p%9&oU(hx9a3N~(tx?c$g95!Bam=Y$hk)C=1K`;BZhP7d@RTIb^hq~N@5euLq
zp{<KiqF2<3DPpToFq(%-??(q@50p4u4tFvbgAxGTeByQSeEB}RS&I0|xhj3Q&lzN{
z6Q&ncN%-A_NAi5F`N9)!$27O_b@qlTqp4COyZw!gh@$iW`wWJ3qZNh&*+H8s6}#V%
zu;t7EMzo&}E~OR{k;J5_m1C*wqTn9CpJoC!rGdBwI8RrH+3A5KTn<Z=fBVNz4Mk?h
z#T70#9QQ*l`7AvF<*@FxA7Z5mkznjg+Lyg3`e4Omw9j3p?)Rl%anz&zKchyM8b#?a
zUitu<#cTF&7~>C~9JU1k#PTHlQup%bM(EP_U-gL)nvT#NHsPk6C<cfm9!fQ^kruyl
zA=r&|A@W}BExsj5A+LZBvAOp{-z4FVd;)oS9YigzaP>x-laWmOi^AN#ftkK{hI*E;
zSs%z|`yA_VBgtziP)n+LUSsN2PZIQV!~27MDgLvCPIbQTgtKm(?yF5xv~ne=IZn7b
zZ(!S0yFU<FslFe*YoMNQ@B|=`cJ{jhj3H+~byfw}V~qMb7rxKn^8SX-00sgfg@=-9
z8X*ho&AY&kwjj(ZT$S2;e&S;fQvkLJllKtuY8GHqu6hMVP8F(}H~)@X^NBkvH}i_H
z_V#8h&O_A^aw<G~NB8}uvy`%#CZBoEE-*CCZt>F<dTyG6RmgXGQ7hFLWAfS#Am064
zvu}inEU<JD9UZkJXszLhB@$vOHM@r0PyAIksz;k~5gxtgZKsGj^BkLZh!#<Z3E8<l
zz*~YRq~JtV>j?A!mvp!bjMG>8EPo_+$jV{a$$lVzuoCsMfO&1hW0RX*N5hLFSE=3G
zPg#Kvsh8~#gP-byCT7-oQ$j1UwAQ0zM>v#2_o-yJlI;a~5Py)t@c6(u3<YaDEL4W{
zI9Y8AFfZ`!t#K3A09}^d(kWWsh~{OiuaBLpV4}uPCgQC%V^fM}7(J7&68lN0(r6$!
z9_5<<3aq5+RKfC^;=RX<{wk)0O5d$zT9jF8Zx0Ou>!B>=)Wp0N`Zt=l`Yi19B5duJ
z`r_1+HTUd&QHpZW*!dI>WzcUDA;}7Wo^sZ4DUMPPs+4{~_&dqrgmm$&NbVSJH4W7E
z1^T+ZwDQZnS$An+FsIJLyF=uJykbZn%&k5>dZX!CjR&vhWI_H{G-pIN@VG?xjr?LW
z>p(s>GSQkba92{m$q@XR8#3hsH`AlR#8*x)zr!=k0~i6Z*lWvOAh|7Emo`W|+nwxU
zWoemgrF$K2&=gCECuuP1i20*3XCaKm!iaRx_YG^r5o9UW$KE|HM7I)nW=Aqq!sp~s
zm^|@$fSf(PiFfjgoD!}I+NtddH`h`fny<7hT9`Tnv+%|z=lByns_xo4MhJM?wSI6y
zphmR})6vJ)vCUX${?my(5J~(3qUMS)TFJ()R=MI}`Y)G@+|cl)Ynj+99;9S5IF#Dd
zx2~H+(f5|1NB#zrmX~*w=nX!TBiD~um|;XF%^wQ=R|RELdoyV|s?NAGIxwyTNqjWM
z&#ueB4-+qy&Ua;|=}-FKh5Cb!V37zKaayAl^P*^T%vfVr6}7izb~wgl0O!}|;V>5@
z4xcz#auWqxfP%b+IkPeyDvj7FwJfaA;=xj3T8{uZK*qo7T)E5#A@z?}+%a7FcO;r6
z)yEtR%4JXm?y4;5d^ybNstw>%?XD22_IepRfv=C8E#2l!?%lVS!nFU6kLhK?lY@Q(
z2EdfnNk`ZD=Pz!+-bN0h<J`c$W^r5y)m4+Sh0*F;G@uUozEN{1L7Tg%cEl2(y>dOf
zoX1%v0HMaJDdqGGzn;ZkS~Gz`ElW}&Gjf;sVg?1DpVyAX&GM)|Yd~qC&4x8=h_*T&
zWY_epHr4U|E&>RToLg6m+j(*SqNOQ~YE0%Tu)6+o^9@wM!<hz@GU^%l8)Yi=aNv}l
zQe2yT{!Miu_>I&N2$$T>d|(@*u2I~XBLH?KtTR?~g+!iWMh{YT=i-<-&&E3iJBGls
zm&5c|*l%er|3`Gi)q%Z9{Dfe$m$~sIZXO%(ItPOl*J{h`EVA0s21}lX3)&Fkvl}rM
z?rtQN0EKMD#x}5+Gb`OwjG`$go;pVfe5U!2l$XMst&4?2L^oR9OO$!A29N78R`XDs
zRpluluaf5#>^*jCmo|9Zp8#H;hG0IkVl|5n1vAbHC&-SDEF4V3ZUCI@%JEB>O{N7J
zH&9YepO9;P_Ch8JA#3X;khoMzJ}foJ1&fqi6yu%zuoq*nmVfDfa_&u+_C$)3LP>Qu
zt)nImqP#+1NHlV8o(5mN=j$So%Z^7I(dr>Ls_N$~s7)BKuwTvOQ3#j}f4uLNH(;%t
zPK&Sq5G*w!>0Kx#GX6PZAA+1ftxruhI*4=tV>ZZHIzT;EPK(C-*gYPsS>#xTgN^e4
zYpF4j2E_)9-q_!d99HXB*#j&sI>n)xmH$?7+tp(5<^taHpGUMq*DDx}w4nd%Q%OyE
zs|c)aN{Db9+RADzQ!T@ge1eJqDXOTWnuBZlp+WL1KI^@fVLjADt1u#71MK&4IVQok
zwh9*^d6*gLE)oeIjK=mO$mi$6D8|h;*Lljn6C0MiYWlj1Z4f~`pmg|**1$MW0Qjt(
zcD}w9>T6y6Q}d8qk7M!oLV^C@ew|dIXR5`HZqVRREARt#QT{&Mh^t!wecRVQe%Yeu
zQ=7Pm)_uvUz>L_wegepmob+KM@--4fG|_3gUZq3sCJ(s*Xq~(J_M=1jr5VO;e&RRt
zB|L^?W~#CBw3(nP2S4{j|3Jy$<Z-`1-~M6cVVV)iQKL<sIU)5wBdpx}@7b-i6dyQw
zK9SW<uL$QT$H5ka{mbks#bhgoupj(7*pG8Iw26r{tGBu#ITgJVlh}%c!;QDvE6Y6&
zoTS2P^KT<B)<MFxW3xWx-G5=EaahfRz><h30#yIg&mpyasut6>3|JFd(A@2ty-#aU
zZ!Kf@UK673UJ%tM_1VguB^U0!7XQnIBq#&m^r6Eo;~jTqEiSKSFJJvy70IF=E#|3-
zn^f_<4}Ov`pb)Z0rNt@VM$&+6cBOy-lmA5nToUaQWJ0oVyuu`*iBgpYtL-+%@I3f1
z$E!C0)S6GpJvwci9=bL!aanO|J}@SvS)c{PR@J}bYNybgg-M(O(GhgsywtxCL1-3c
zznpgK9+N^+`2#$2kpf`Krr(>Q4p`Qia~HW_PI1~oXkQ}HFv>5+3IFJHAi%)}N5r?#
z)7do|$`J3M8IEq>1WaR&d)bn^reYH#sgkNi^KcV7EuLf&K<S2LtOnQZHNU=iZ|X0W
zp>$QJG@9e92!;2MOAONAEJJkm?eb>{+gT354?l85BO1VdeTi`yH-GIquooK&w^+26
zU|r;e;i`!k>L|DuemNaoeY9pQ7wMT0_tI?320dn}_yp?43P>t~lwggBIH3ndLBVS9
zC@{^t|6Zh6xwM6BV9S9TO(&&@Ut(7wc0MF$BDeM9%p!&l>U9j`TS7tSi@<DJicxPx
zcIqg~##{C3QB3$KtsDyEWpNuxM))?&ZMDhLASKQ@MA#-N@&0xfR=L)_vyq8D30!BF
zoBsVnzq?NXY+oEHMsq=h70}+xY#9mplV)v_gLhhi{wKnWFYo~p`sAG*m}sZ&GWcs5
zX0_R)jsPwsmxEIf9kjhJoHc59yx0RY`Q_5|O#1#MB7U=f)o*zW%amM=@O?tl*;F;r
z6*p(}mPIzGI=U*<MyE9+Dtha}kK3#7%%}qRXWvSHEP@D)p^oMCdx{f!5KKxOwy(+M
zRlQmkj6!~z5@11zu+eIp?EgaKx%h+NKibyP*01}XmnHvAY~md5#lfX=4Q2vhi90p}
zJ~S!6+VVkcU<Px~c!ie_|K(s@rYafT3YDQ1Mg0=$)sL3E7PA>fuzQIV97>4l1=Ywc
z23!k>NGZI~|K7=>=_$+!Wy--)HWZi$@RuVmI^09-Qdr{r+|bN@O(h<W?&yuM0DQ~h
z=%AV94?1icWuNUv^OS8o{NA{`lSt|MeYGrm!3A3@mb?9A&9Ry4V_WH{)m!3P9fitp
ztZ>>%8Y5&TeN19a*A|TFF=}!mS>VCNSlZu_sTP7}If3W!2Z9Emx%`3-*s7=o!DebO
zrR#*Dt7zp37IhaPCj^OlXRsR}YZ@~&NRbtd4DmSx&U0vXlK8PksYb>SzLn`R_hS;a
zTHeLz2|6#A@mlK*=(aZkcK6Jdwy5o$fki!|wqA8hl8!IVa~7Y=^k>>bU%h*ddZTac
zW>MUv;bHByUBtD%P{+_?7+g_qn!F-uLu=e4r8cU^rtXW=n55xMvyJdsoQ!B)+EBI#
zF?bK*m&N!jfdI~7!0Yfks|SQz2pgI9n>0;^osKj$%GzG~aDXEUDFu3{r`0?&na&15
z2F5<tdrg!4;{u!sKJV{;5svf~ZENa4cjAI<{+^CJ^2DZKd#>3_m7=?)ygAZZ_dtC#
zsF>gF*!MViZjBBWe@Fvrdgij?l2HnQs69S@B%{C83pMyRNoV;T=mWGs-Rp0PMC=6|
zW)A@zK&LH-tuWS%506sAX<q5k$UY8NPfdD>;T1kEB~Abx4hOVkhkqG`vUC}YtOGev
zD*E%)nq{dnUz6390xRQSDPMOtd}j^&=X(AM0@7mdiuuf#(o8^wVzRQyrp9FYV-!O$
zGkV&J;M!Tq>IFVK1+#Ub-=m6Oe@&J$v(>J;VjI?O6f)I$I^d00mOVHnH8(hc%H;Z%
zxA&JC%yr5__<5QCaOYwo5Bg?MZt{<+-MDaOEn2@s*;SKJ>^|*J5mXidDu`PzoN+Td
z_Y8MR*T<yN1>#>&UC$?<s9U7_OFC*Tf9OFs`5eE<+9bp0>?H+CRZ`zi&=>hxxTyh3
zmY{*<U9t!(M*$yFtC8mGY~MD&!65ri<ar7cxu7t$wRe1>?f9}m@hf64ceQ(#5LsP8
zQ!ZDM)^<m*<X-Y3<UJdO)3;l0b+vchn-6%(?@;#XNAVR7P7`~*7K7FL|JwA~<J_0{
zBY6FR-?Ygc1AA!r$QBaDj}Pb%r(?5$<3t|}x%c4yg%LD<uHLVhvr{$zAIsv^mW`xr
zu^sb}%vVHKZyl~1+m(~WXJl1F7mFymP$BT{fwUcLb$K#-V+($5LrBKWV$&<N{wf^&
z+$seHt44u;RK1?M{SIu^e~@mJZeVL|d-p(;E+aL{b#aQ@s38I?IqRY?5<=^F@j;5@
z|0!hWRFI$<47Cs&#f)=6H&!!!CWt6!4{{P%0KPiSSoe#Xtaer+A5~y;*xi_6l^DWS
zzUQHCBSKYZFa6YdNrO>SK@>Xftnz?a(ufX?jTf{%BU!i)6_#J=z;+IXuh~ycR7w`j
zPKyi7nN^=fkvh+h+QX~T9pZm)aQ2Xb5`GZN--=ct5H>GH7b{*`Px5K=TqZ+K34~r<
z?QA~_VR;jW9!yf#8}Xlv&McH{!n9{rgd1WHYz>!9TFpX=Oj3Z(GJQBZ;AoLHtRs1N
z*;+<Al1c>dlSEaA54^gw8<82)bDHj?yl!-j0pqbK0Ejxb@wV|VM7HE=G<C4TPxs|?
z3zOohwd_lvfy*Yyff=t}XQR+e{DiB%+vHO%z6medpKD<qIvkY!$Bq)DbEi(6!duys
zXit^eu{8KrjKM%pyzxM$Fq%GO>kg{0FrbNCKERdmjms<-xw|Xz(Z#f_Hj^~&UQsOj
zEwrE+v(iU3=O~v%WS)^O{992J<_J3s`|ChwcQDJ_cfETWAFOg?Ax7Kp;M)TM)<87@
z0Wftm&xXAUe}bZ&hKw6XA^9)T&*6cLr~oWZ{0+`HANonPk>4#?l(#&&JtLvgZSCGr
z`}b})Z;;ou-Sxzh`4iQSwx|NnAm4lX&mkmBcyvkQ{{i*PH0$`J@_Tf#k&=Rl#1gqk
zku{N0p=WN&|1lm=aflB=Qnq!-4&;HEkcZ6n_Ng?0@W{hgO-53ZPEeSot6ogJ`>aw#
z<^*kk0SmZ(cDN>YNINi=`M@GhHSOy^CIc%Uo?{e&@Ak++Y=A&pudw5EOlTFo@zC$x
zeA`MAGgJv-!eQ6@oJH6rcrfJp8RIIUg72FNs5&u(J>obSV>@e+C{V9a>&Zbcv;0cF
z;;q~YIC{Pl)-c6FkKRC&w*P7}^FE&wTP8AtP`K1b%5uUl4z`v^>?>c*s*)DaZKjAY
zqngqs{9c!A_kfDh#7>8?Oqk4%k_#K*8Y6HBGVAcbC3EnL{9Zr6;oXuk3M4Z@&tuv;
zanSZcSPyen&c^%odIToQ;((|ARU9!6_Hhx($v+QK57idz1lxvzHwAC}oiqgENnmPS
z*l0Bqzz*b*kyY?jM&G_k9E!$=;<7b22uDqZPAm%iw!=3(V@0{O&s63t7)IgN@XrAS
zWCwfFfAWVcuCaB}+js(#OGl5Ftb(x-d7?a2uDzA7VFv-<@vcb;%}!0*beL_<cD#~h
z)urfG5z+Cp-L>!x$#;`s>+?C`y_+j>KiPy1S0H8(C4Pdb^=faIGKLKlL_c{ASCGe=
zR-7CKOJD{%%KvTs;Z-Vc60h4i2Bvg`4oo!hq^n+E`yizM0sO(lw{e+&J99ycZd83&
z2qU@zs9~G@OGoS(F@x0KdxFgx;#2?0Y)+~S7J(MrP7kkUbI;rRTs2CIKnS&f5I=Cu
zJmSwef#nw|=jg0XYm9M!AJTZe;LLdG{toyNz8&oqPy_Jrrw8JOh;Je6xCmulCafW4
zN|ePxW}?PNq~2@llxN$f?3i*Q6bM6|lRZ3G<U8_EaeL&Upu{&tbALpl3WjNdD5Dgm
z^bq|Y4R;kSxQ$m}19Vm)$4A6*^#o>}m?pX)i!lRw4_aCJ+B>1KpU5ea&OR5xhCGzR
z%i%MT#8t;(#ECPqbSh4yQ<&n6atAT7qKwf^lCg$QIm>?#^pCEDd4G7hNHrluR0vgR
zo!3k(3dM5+H8ICnpnlnr4rC$R$waw9;C&KDV;rWC%-7xpX=<DH$V4ZxcMz7f<>K~4
z)10t9n8IDk?-|Nh0c1(={LCe*&DvE$8MW*Vk4JA7XB_{>s{YQ>j*9Pw=KFG(&^P0U
zaK6zYln7}Q^G9FtwFz28e|G|_pWxCcAcM3_b44Mkh$^RjzPmi-j|3Q=$5C^vX8EE&
z969(H5$rIMGOnOH&oaOxJq;u)pC)@gLj_v2OriPMnkFyV>iSW=dh^98W!}P<Huq%C
zJ2?AEnIsK~ljS!4e%VxQi@ZSP`|XcrwC|m9oo^sZGMems#&HcPJ371f-!aL38sYv@
z_Gb+oLZyqPE+e6lBREWDF3XNT;nhU897?+o&bt{56Kugy^)*{@?7d3(j~n!MnhQmi
z`;`fzG>1*IIf%{@O|1qxTafwzm|88WxnE(n2%IN9r2%O={Tm$h0pw12e8}D0pP1P#
z&WOANfe_*M6N6NH5o}xnSksbC|1!8x8uIOY^BL2x)9#mI;zLVYJL!zzeEGZ38<ZQE
zofVc(-%Dtc)6C!r`jBn?qL6Gc;zBDXV^CEq5P1KO@u3JWW{SparH?+8a)2eomQk?%
zkTRpM$9`&T$H$R%Ahm75{nYYb+U2FAFpfPQvLBkdlZI5$(E1uvVhq>x3*$Q00s!w>
z0pi69QQ=yV%6UT#DVTe92($q(oH#iO75Q)nG!u!xGnsOcq@R<vtgpe=0|XnnM#Hym
z&K_*j{OAFa#m9&ZZ<fSDhp&R2;><*2qNFh=#y+00D}De%T8J*OGGqZffdVP1$yg~t
z&=lv?HoK&3*+dOC0``NKyp_B;Lv%$guKRiqV`Dt3ls$AuPk6+EOP;P+LC}pSX`8wl
ziG-7LK@&rd)S+cZDAKt6U?*;9BOT@MP!{{Y58Ke@owgtYTd?yLl&*e*_Md1MtZ(q)
zo^wsJ(N%mrFun%_tzmE{72jNLNKZu&R_^>B-{ksLyq+-&{{G3`SU?5~e7;|>T3??h
z4OVZjtaWF0K@g!{7)RwMC@#b}aEG{L>*WBw1fO7K8=&&r8Z*JaDYnpcrLN>QOAiHW
zn)eW6l{JGo*m`;OpFBy=${(J}>WfB&{}=T~FZk2*Nqt&pDS;vYIdq@{bTO@L1Bxcx
z?oP5FCc=20aXl-(*IWd2qR4^#>xV>1RLB-kvZZBm+NdB-lUts_0qRZBl=O>67JV^(
zatstDXI`Dp9G1O$W(G*eaEcHNWL`8`A)oOXwm7OCOJ{_bRD^PMm)$Sa_D!ZIB}}{t
zpOK#>3?SY4>DOv@eh<ma>k?YHP^fJ~#CVgyl;Vv%7H5I>$uZ3TaGzRL-L!cjAUix1
z=FveoDhiSxACR<QkD;uZnyc|2948x+=wkm!Q0n~C4vY_atu?5D7FjZR55TS%t!S|+
zLA+-5FBb#ZD3oRA;JSCeiuGbw3gnO#Pzj|M{H2WbDe?_xa;`B2>Jg|UO@VZb>*j?Z
zH%m5+e@a0eO_e35{~sy(Hfd^+8x?R14tNQZ3`V-5BqADU^LD4|(O_5j7jQGFFTe0o
zrreQ=!<3Fbd%J57=q2vyXA_KeXsTUDuKXl+iz(tDws$<jyCj+55@a!h(uoOrOsKp$
zsGb1(&zZu|MtcYC*<jqCL8|7%vg5eN5b_E-&Pw8g^zLEuo3|RaW-5!~?A#n8lI)Ag
z_0?TNy@m-32j-C(tn$!Nw|Cz7(|VguZg(rc>D5b90PW{LYW>iy=E;nMAW7<z0Xr-!
z0fQ>J^S)b)_&*Vy3u&0(`soTlzNmPQOzbcqSgN{xD}N#+-$@kme23u(AHKW&<+a(=
zfUdBRKS7ut8T(EO0IY!|N?QxWF-s$|kcGIlnH_fL<VG|%q0I$7yt>ud`2B~FZ+4J0
zM@BGzyHMfB`n39AgPsB1*9`V^hFvdRX-~Ry#)u#w%eA>>s=e1Zdt@K<mZYkb<+()@
zXzy(zd0p0Ckd;I?5TW!wd>P4-6rQ?kj!*J>Ei84!@rdBBH#EUSTK%WaCNgB<N3h3^
z4Z;RKRmELiG}<zy)~?`;nr~s2{Q9R5dRuNB1Mh0DaPwY!-Qi?3>9>(DyL4m-j!q}d
z7|$wpuEPJ!GdxI)wSKAUH33Jm@SdW^WY=8&eTsHC(ul)-FxrtZH)QRQL@6~9YJ^Jr
zRy(|~)jy0lE1kyvVEwEaH>2a$All@l=<)v}dFVHJbJS7fZ_Q86n}^!nW}b{sCdnxh
z8RQxsUgSo#TiB~{d;KZ-OzTovnGnqPB3^I~1>FXRe_wWjiEuwPj?=cQD95GmoPT5z
zJ&31!LL^<_In4jw=hRox7qGU(vJUYJVhLz}2X(a^6w_$*hT8p|XRcHH*_@Bx>lA3Q
zGgXo@)-vE}q9U%C=N8>mHeeb)By`L&oYhf^LX$Bs<d$ON3)Vm!EZFP+!^nh9$K~Ap
zMn;two8TS<n(S#($|#skF}KpV!7lir!L}GSvUyUR0}^I66{pY8o9&b+UZ@t9654DB
zDxEe`vEztU5E9FdaeQ2?OeCQV5@?SHEpETQhT7$pV+9Coq_k?UYaHzv0|}RHdKJ(0
zzkj|QvfT)h<EbWkhW!wq+H`l6988D-0lpH9JV(AFrhEG1y22{(!0Cwx62dQScOTlR
z`WoMes&IXL3w#{(xp!n9K`4V}Wgrs)b)(v)7pN$WAw?r)4BA}Jnk@PP%Jn3ttkr_q
zgl#L0>Oux_vPLk%7zOmZ^yix}y~)*=YWn_5egqVc$N-1Bw6?xzT0KRwrMLGcTi4N?
z{-!T2x9{L$VY8xouI_ds;XMP-2!*oyZwRez9TCziT$gw{D>=QY;Pb)V258}rSg<Ki
zbzV_x$qb9}L0`Cm43esl$7*mI(Q2nPhCBsr(tOWF01h^e63g_#o)OC?aTE0VN~26X
zSVyL%YC;7_q)-O)`|R&ja1qM0Oog!ZEy3k>BXyn65j)3ne$gC^1M!rTuGIcTCP`^<
ze<}Ef@dy#XM_%<)cmLQTk|+>B-U0!zU4bU7RD;3BhX^HgB_w?|Xf9fishX7P5%`Hz
zb5~9pq+Th`iH4Im%{dTp?UUjbhFr6F@2-cyY`%9953VWEci%7mQX-}EMED1Pn7+KI
zFMraHek5B8znwiyC%-xniQ-BpZB1BGqET<ZJL`S0f@C4;QLq&Z_qZgL2B_oOBfY41
z1<aIM3D=(z@_$JjIOSjxxS*v_I0XP!|MO~YfF>Y}DpPc<t9~jM1f8@aLn9I5IB4sw
zj>?x@X*Xri@J9(=b8`1Z3RhOqrZm!VyBzH;s9k<ZUruG`B>G&w^}}K_Ua3=2gE;4F
zdZ^i)`6i6S7rJbtA2kdp^PY7D=oq~xjd7-Vw(LJ}$$Li6f`s37coc^9G_C6t6R+&>
zN8c@=Di3wtP#Kg`ZikQf*M3J@)u9wNrjpn4bG#(Y!9cKgX*~)W$^g?cp=tq#qLeI*
znRo65v{NKNA^PX-I9b0hD)4jZO*RDO#lSkPs$_w>Ox!qN@um=geH2@XceU5$nyeo?
zZ<9_gTNH0`l6a{0P%IGw_<$IB?6ze?<dP$OaGIDL?)zrZlnE%Zrt;l%A~84eMkolW
zxdsrCzVANz<qB$`v+(*}H(q5e6)u(C^jYR64^i4QK4!4BO+g#xS4z{xvGq?ipXUu(
zy<9b;T+Ft~?*g)bOJSk~s4_S4VAqf|3CSB^L3d(KI6BL<cWHPw>z8txFeB-Ly^CN*
zfbUI{J^x8&O2$4+wUERA{gTwDK{<jGe=gu*hEwRSQYaG5L!ziDAvw+q*cVw<(>M~3
zij7|;mUGMBsO_i4OOI(Ht(PGqA5f?<cnNfG+(XZuPx=)~90UHR;7t{cNF+P1V7l7t
zVArOV#AEh%PW!bu;^Kv%o!xq@ms(;t2ASyfxq?}EY=R6N3v*CYI@LB5a2?WbWV4nZ
zdBuuwuSjiNRn)POaCBJ{m)UFtZ%={45qN`ZSXDu6PjMzhtdNe@C_eoP3f(Q?cz=s`
zD%n^Yn;h(fK=pIJ^t=<j()#O}X#%;92i)(`S=VB*hX%TLRVRjfZ_^IIy;>vIfr1iO
zK{42l*9)`mX5)gYUEZFqn-b?bvxK|Ge*)Rbkl#ZPh+0U?o{#sc8Od}W<nS9X&eLnR
zNXD>hA#R{-zX<EMN=m^8+ca2b?nNG3t>WrWSO@UicYP^lQJ8UC2U7&+uiKd^Hfg+!
zr~y(o3YE3!eS+*KEFzP$38yX-Z`Or48~A8yf4t^>PNx{;I9%MXk=w;THrctx@oO(r
z9$VpX1O=2pn(0U<MZA08(ddjfFlq&s^6gXANsReSL7NLU0`z)S>xaPK`O+9Fc=lKO
zF3xRN%R_vxYzyd;A!87!=ir(1<LK*xAO;slJn(?N%!_A&GSUHnCXEf<eda+<Kn}js
zpLZnvCpLhMEJk6&tPJpD$B9RbqBwoUNiA+hWFqov;oxIGz{=@wS=HQU6z81h64Yw`
z{Vx-K_=yIG=bUmzDs~PeRyem1d;~MW0V?KcbifuCe+*x{<u+d?{JmPj=1Z-;@aYht
zdZlH=;keF@g>&$`VmaKKr*l2SF@hA4J3lv`xw>gEHsav9K6JVhtk?iG+*$A2N)cT(
zMI1B+$X58hj$lGwXA!*7c@3?1vTfkV)z7ACW`hKpP?;QsF6_-Qo;013HE2*86s$KW
zt|UAaPB8Fw?DGu)WOQn}r_n>7iMZ7)Q46@vZV{e)%U*H9SSx=JHAb-?VEhj2%i{ty
zQkr@}LA7HJ?z+T#^Nne;7IUQ@SJ&Qtcv#0DhMWZqiqk7G!Kh5OpUQP<kWK>NOjmY!
zI{+bk^Ekt`e3?kilj1xUee>83p5eHLCpqZTsN9NJpNH0p8qJ$xGMe>XfIpwBxtK6P
z-Ywd1Z>IWTcUj;$!U?C{CPS}1(3TlFUA|x{5b7Dfx!}m9{|OSL=Cma9QZ{_h>MG9N
zveXn)V>INN-r1JC5kmc)w=yZ)8R)8H1i-a|7Q`x&ObnD_AUyh^cQ`(MIfdq_#kiQB
z3#CARXm^RAgudWht8NS<DuvPfSb(8T=g6*k#|x|UunL2R;xwZ@F-qlWCzmUNKrBk$
zBke~;@rDU<1;V(2v)&b|9edYK-Ol?c4tk|fUrIQm8e(B*3%>H}kv{rC7it{9X<N6{
z>j?+}sw~)e=eGZf;`WjB=G{{tPrY~_LQKYKOEfkXDc%WX5n|BpM!kVKeAIw~<o1WA
zqdzG}^m4KIho$OOr>DXIiS!<4kAa}{fo~*c^%9zG4WyX19#LGbDL9GC{NKSroh~_{
zelH(5o2}m5HG3E6Qq}EM*<@J|WDJ7{K=Z@)JViGNAo!yn<^P6UOp_?34O??n=-Oa<
zre_WlvtV8^d#EGa!RPIft*S$$Q7myRg>)dvvRwx(bZIEG-lE@ujl<F^&#>txs+Z7}
zhTat1F!>TIha=OZ{{halZ>D8N3WzdkU{5XFS488;l>O!Cl)N$k>9p*YgSn+39T3NU
z0UT&6=!L)>Z$zQn6op3u167_7z}iTO@ww=Z|B0d<vuPQSr>o;8u)Bt+T#Roi<*u^@
zE7Q=(J3Z!L7-0HlIp`}^xS>8;4ESy)vzCeQ2LIK{d1Ed#^L)#8dLOgl%*!`>y6-gd
z(BXp$pu;uw89v|6Ugj7Egv4~2ek(F7u@Xc!!_#X;8n0ij)PU&;vh%9@E5SCK;TJ`D
z<!Px#Y-^|eVP|EB8w9gLT^6aUn^}k~2o?oobVSLD@F260n5c}kqJ+%{*e6qof*S6+
zrsSG2DVzHtaL%7am1<V8R00T7kfhV)s5tS5O_A-)#p>R$=xJPk8*<&P<j6{Tw@_Lx
zAw`d5xLGC8Gb3NZ^D=rgW+VK4Kv$9QM-k?2PLm>A;nmlc6`9e$j}>0$fIQ^)E6JFo
z#K?bi+J@BYI#78oO<97QX4Rd|F7BQFov^A=Yi}yA8QKf4@ytc0pQid3Dm+$C0qIcV
z9P|ia1DiTjo+ej7Xc!wg`_q{iPfEJ1-PU5Cqjh~-f5OZoR|)v8htneIv#jQi*SO!C
z&poa>(C*DHc`_thLkb3gjX7_e+ao(Qj^n-Ue(7`f5%I_}7)1dJh(<z2dp=J2;xcRC
z_yByWMf*UX3WeP!KEkr+2*g<_PWVvqEu%s9E+-U+o)>)FQ^3>)unrZ#6{9B!%5a|d
z6YaamUgHg9ia`iT2TR@9-I4vr|AHzhM-n^qv*<k>!c`Z&9%=f9=s)idGk(13yEs=>
zzf_F`eo@3zy9?DwEY%jBQiLRWmN7k}5%?mdalni?y&0Q|9<DTQJpoKpcQWiNLlOPV
z+E{obqMAKn^yMZbEU&(2S)3YKm)<;K{Lbk7huG-K0dz}3j8u|k0`6#|=miSG2o8^m
zRNl;7-yy;T8)Pg)IB3)SR9NSRE7MSfYDF#S(PIPzqSKH9s3;Mw-{?_3hujBo(qj_o
zAIAc=pSC^6PB6#3qo3L7SF>9AjdwG_T;a1!2<0-;RkHcmiF5a}L2c7ZO6aLIKq`#l
zl&h!v!NP}jcfe6R&1V5tnS*;+@jhWW=zv~wPD3(?IBQ&Alu$i;Ar{?@u%Z2mW#S)f
zO+5e9s^-?UNBBD-N#VI7>Nn@b4E#%YcE_ch(+&0R5o^*YoZH}vm-URIw3+nF*bGV)
zFj_f=-nb$@b+10FZb|I}Qz+p-qy~|;tS5LjM+Duo6$-bi1Sosk07omSF3*?M=Qk44
z{}ZioDEpM-)X+>Exz)hwSxVQ!bz}UO4RCBh(Zc>MxXu|hLa6d<r+6GeT(ObK;zC1<
zAQaBtvmpTjcFN=zT|?M{P4JiL4#{1QJdbg`Uol6&mkM`Coj$w{9S!HdaDqp8<^-2+
z*hoTqtw}y{(|-zzN#pp@_a(+Uv{}jZatfVFj6G^~hb<I$;2Q%BNRq^_{23;Zz2T_W
zqZU+N4$y^{`*Y0h<7?wlhV#-OJ&M*-QAjyNij^FOB(}<3+oVx!%Cn&9SaX3C%ha4B
z@5@df$rk6=a&E0g9}MjiKk#8`%L@}Lm}Gitxg@Lk)(<Mv!a}YWJTZ&aHL-I_sxA$b
z^rTK+y(;vhzsil+Kd#9v1D1r<Ca0wG^U3PGB=mfwCJ8;uw?Nau8&tF%6>;U8W{<OX
zM@N(-^UrcOf9{6bw23IRtdlpL7OmpgJ4HWo4bNtiG~UeN?}?`6lzQ1NEEDRkFc&ck
zL*7Z`=59Glc&psS$9(u-<$!b(W4Hs`;%!HV18w&Thq<ABx8ap2%?39dM{S?_p)l;(
zk8d{fa6HWKHCi(5*ZI#Qc0<xhl<Yw+b(f%K3^Sqz>ghR5U%c`}OKFs!`TerLQd6(%
zW$26<r=B~;AI~b`3Sf(XRw+Nbpw(cXpF<svlwey^lu?J*n+gH9Bo(Fj{!@DXY{^q?
z{1tgwfL0|ot&H%qsdi*N!C%|GCUgo4(fPZndC2B!sqt7fX){KrK_I5xKtWo5pK^u{
zy{|wjb$RL&bia4U=-;QFi(x`Sm9kjM58bBll1Hc!@*h<<BkAM4CwMcNU^=T4Pr%J1
zR4KidS?OdOOXd=}^)sQL*%VpEZ!BathPo?s=kjv-+g{#j)7`SmJ4V@R7@f5JuQAS`
zl*9_j${=}mQZ%PksHi7f`NQ2X^3Yr~xhSOI5n`a@5>qoru{L%w-D-jy*N1ngq(a6z
z$gOa~6IJWIyXl;bD{R0X#Ba%p2Nn>54o*$w;J)WZlwv(U>Z+xE-+8yt9%0Gi3Gl2&
zGERV5#!KzTQzqE((D0Q?Sdh^b;4Ac9&(a)Po5Pu!5#ZeuQx(DqHC+@V>;M$lurr5+
zO{Ln3UAU8eOs|yUoFQH-5B0FhvM8s_nqh2s$g$FCTPf!|;0^wsBI?MT!sWdoTw*mD
zn<LX{ZSVoUxK)mKd;GZ@teLTS9SnMJ#%TZaZwjgPj>Oio8lW}b-q+wf@oZ>{egwDv
z5s?7pF@u^k0dReukM4N89pb({S$0TK(GS{-ija_|s3CEy`xZG@h9zlQ96&sQI)9X%
z-{NgYM%zK2IhVP+LDG|!!0h+q&e_ZbS*8ib1X<rp8u#vLG~kg&slO^~;`u|*Iy12+
zoa4w=Yp6r61xW#=;`@^$9wvoI&iG~H;6)HR?|FSAdlXm{2fRK|%I`-5<Dq=|Gx=YX
z5+Rmo?gJ99rllfy8F>P`nit)MMScT-PD-m*6}?Vtra9pK%@ZKJsN<pc&uhB6)3AW<
zpqK2^VtV@M^m9g4{|CX`*`~R_9Ab<qE?H(1*69dlY;Lbu`H1|_3RcK^;1&twZ@uG1
z>x(nF<TtFeq6myq_lnhtd4Es+j?E*qq4k(zr0&~xa=-7RDn9kjzf@3)K`>rM<+*$~
znRV*`au5H>iDO4POAlkM_B0n5aU;Zq2v?Y?E6~;T7(TRj8*?g@5LuLNWo0)zuz|B^
zgWLeNcmgft7P+RR*d9V}x?#w{+Nx3*_ELgS+n^;b+6Bir0Kp!G$o`HiVg422Ku-De
zN6@|Z-T6Ke^Lhz7T&UcPGiwoA0eWF8CQ>tAu9nn9jS`fptZbaZV!R?VX>4I5&t!4+
zVlp!lDp>UhJ{bH9dh}2PV$+pT@=+o^0oOPkg9k;pBmz#<%C&!-1nb+52Nsz`-3N10
z#bh7qgX-rLTs+n?In`U4EQMjz))gf*@0-59?YYU+U!IXNc0ZdQHMYx8=})D!W^vsf
z*#YiD$%aIZ9pcV3Zj*^wN2T>hL7}ACE&ri4tk4|Ih3m4!o0L`_N@cs&%lzUED$?P_
zgoT|$`Z3-f>Bwg}NVnM3f$>_ac^4&ST3k`TqFyc;BFzqa+O7FGz9o>G!gW6d;>-1x
zT=R`e5KN0yB7s1J{*Aj(KR6LO@x1m5U-X^aJHD9&4olHnEw+lPjSpcHjSIW<pg<Ws
zBc~oV3}{gA*%fpLqY8-WS|0D_Bc$gz-cW%#1Rce;Z`oK)@{AKwnxBVhYtlV61Id|5
zE6dtI_KMrXdILmZvfTP%<^;nMD$t>JIKB6;EZ$)9pMi<V2)1F3L*!buHk%Q4V0c)?
z8~~S?=HMG;jyGf<!*WqtLjnVN5Nh;FvlY^Of<0$8?yy(+^tvb2J95^Yl2fJE5ge~^
zv<;FdjJ9=GY3v0C_2~o%O}@)TTQQ5xZ^eq<?&K+Alf{$>7H_$Y$v{~qZk+o<7_EJT
zg{;s47@?n0o05=)<^;nXO8B_-<_P$Als59klzPI_IH1|_DJp_5!jlMu=N?G4*WJpc
z!hcK9&7ECLteEvqYBJc>vh@+YBH+PmF%@`i8#N=V0L5Bt=9g&IOyGJhJW#!;|FIZ)
zU5d+3gOfj#X2+pEI21S|!x-rS^}}BNkVJnyPJUjG{<U~V^j<zc`(f=Z{jPH$`Lb%0
zPAOS6L-ExX9V!I+;TYL|tD@ZF5x5|l;ZVyHw<h<^^hKAfr*+4f@aZ^4sFq&YFq4T(
z`V4&b&&7R2S?mG1WiX4n{*lP-TqiQZhkX%EZVh??4Y{5OA;YVuXK=$^#l3H|BofhD
z^Pe4a(Dh_agPW*C>65r6jKs>jFYP!AQiiSZQmegh=`D~SK2Sf6IYjeba!=^_pr0aG
zp@CClPW1+e=$Qa`=Hf!|L>_gUp-%c)4cBjl3hNGoKr@#8xW3~m#;$Kt6Z3-&4`1a+
zQ%xss1m`<N-mvGT>Ut3x?jFFGVi#);ULc<_S*F2mvs#V;KtPk1RRiykJ**~yv!qI2
z`UrCv5u1C#3;u)-uc2cwh4_m&DIYsBAK*9)hhX2Jt$6IicQdfLf3jevd%y-(poX#?
zgcWa7(}R_rEN<W1-MXUbX7iPT^6Ut_C(Rd1dmM6glLtu|td1*eMXd0Kl^=iLjdUD?
z{xEK+hOc{<yT%45s9|MtNr>|5PpK1ybMM;JPYvMh0}@J><=9n&6m}$tUknDSUE!Y!
z9^lsoeKp1~UJ(_OXM>E^fw%rbHRW+F*u@}vz>DGEvDJH=60X%xX1D+;(4dpH0YyGN
zUgr$;(zw^_CLFDeZ-lml`(tyV`Gkr%e7Oj4xf1ZzNNe(Oq38$l+@JtE(b58pWHyw$
zbm>wF<uX(9;#a#EJ~sM|+i69WC!rUnQF@XRtr6A2D&&qTVSwn(`kF)J&U!Q`6yc6S
zV5V;)!<%*q0`KL!v}`>0D<&YC0Si*H^xz_A5*;|h47_g%p6eK9desPaQgu)zzrFLT
zv?s6}0E(ZoUt8>I%GHLEe(UO*9R34ZD>Uy<MY}Nc>Wurff!7pAf{9!N`Rtypkk_Xc
z=yCJLnM&76FxEuhsB*dQGrUuGA6^DxqS4&{USHs6^#J~GkR-FhKd>cr1flPMQEaQq
zHfIN5&b2z^ClwbegDkv@hk0zv4fe({`y^i(RyJeJzcXNCwi(GAIR?-p(grNI5i$;Y
zWMz_H&AA$>(w!5wJVl0^xQmK?!5Bv+o7h=*%7$hgiVdLY&Q^FH&RI<B2{$<(8UZ1N
z$$GTZH49BCanhyxQs<iGZa}<OU^e9(yuaosbq|)VCT70lVmX&}u}iIeZ<`DgTw!R>
z{cYUD+VwGl?nGq-?yeOt^J(G@183t?U!ppnZp~O1H9yz0C)r3f1fc_*+y>Q7PU&)O
zP2A(2o6~(+XJcl8pv90=e>fQfh&YIg76EcyMKSKkVvN~83Ae8&brZtCU$ya<ciOtl
zJC3O8I9PTkQK#tZzpaB4@l{wLuQIrvq*ziLPF}5q(CLVSYy7hYQs!Ztf$G?s2Hxd(
z<AIyuk14yFMA+AlvAYP@5ax`=|0rc{QsYVCaRzz|5YTJP9z{B+_~cL#?IP3kW1OR+
z$TG#t>E2>7x8&XKYmQs~Yr-a{#6L|o>}Vth{Xj}woy{nc)5125LkbxI^M&7jdU%@x
z9MDhvMwV72gh0NjR>`=x%FP$EMxcawuY+Ztdt5RD6845F20tL1LW}`~xh=>8->Zl)
zdr$056;3GH7+%-<7)k%nQ8x^cM#^Lk{2K(3YD3B04NXv#P(cD$&f?MwQ3>dXo!a;1
z;NzbVh4zGA9f_Q$!_Sk<oegde$1C>9hu#l=55phxgM9k-YY4KEboaBT<B4{CA3(P5
zfdPHo=4x4%sUjEf**rfkuU+HHnL#RIvMi|U^WdsE4f{t|$wv}a%?oEK+_=Q(aGLQ@
zFs)m)0{RE{k~E)hUF+T0NK`qXHRmxtPqsU^_Zi=U!9z)3D1;j4k*QP$E{(X<7k5<|
zCxZ&Mro|^TOO~GIP}4zj`B<T#n0&dr0iL_!)X<Aww9DuA8^zypaL<_k2}d&PS2;jQ
zJs`y>(;ihBHPfmTAJwz3waYP8nHdk<#ioG6gB36G8UU6SGdaY5ee=`A%G{sS?bOjD
z-MheZw?K#q(H`7JWj{%>{Yc`!8})4byY(Yv=4FH-Oj((s>0cP^CaxSS_v$`FKw?G$
zsnlOr{sI9K$`FhpQ3dpLgz6Z=gYWl{fVd{d4ayTZ-Kk0+wtLrWg5d+Jf23J=ne2vD
zTF;!hu3#}jpi2(^Q=C7`7nJG<-31>}yrqrJTPUJcXScy3eBhno^QPdO32zj<`c`AH
zQ2*0p__ozl)N#CVo`TpLHuB2A8hJzoM!?c61J`Ja^|QCcuIk@~pCXGajDbhW*2Vx!
zI?(S3=QJW?SAc1;om7_8>1z9rd^p()Y2;%p7$o1Nmm5f8njh!O*Hicjv~-krf0?Ip
zzvToSVBDGw1@2zH^L}7Op13}N2MCN@ruIoSgJw4}#jyC#&fIaP{Rmk#<HB6?P6aD-
zz&|lbZ!4V~l^%O@lko^`{1%mdyT!aU!&zzjz#`-qG%mgpNcrp3-*_G@4oSR*b+^-7
zjxW`w-p6rD`5LL(#nuIRfW!@RdVk?%O#53|JveR#U~dLSPUkfx-7~>z;9QQfoGZNj
zD<3x6hvHv-N)zG8;MVo$upn=~8a7Xh<h{J{TjSCKR<jOAi5Q!jhcmkkMSY5v6cE(t
z1L_D?P*ahKqk67Y0LDiEcPl2k)>r6emF07=H|l4n3w0xqny$Bu2aXTCofLz``%grI
z%uZ)FKoZ(Bbtny!c0kaNr*#!Y*6N)QEO(ndy$7ASvymFl>J9>)MI;Ky)}6&*=*(h)
z!4CH5DpazO97>}pNbI%E%So1!A(U@C`{(6zI?jB2P+L5BTGPt#5BH|E{j{*AbLr^G
zM!H4Yc+Ke-Osi2<4EJA1-?p-4`&VOSrdT`%|3)2WUoi?rKm%=ZIIvDcYk{kz!8fn?
z;B4rIunXF|fdkFH+nVKFA`n{e7^&)#YB(5|FH%P*Kky<x6R0=~QLZ}aPAFy|-Ch-&
zdSQu4s@onpd!DqysV~(ztOJTVx4;;-JB#x0(V^L>69<-imMv10Wq6gD4M%t>^F9y}
ztxrOw&HXacX{P<czI$lbNlrM=h$d0q^l|5&qLHRJ9CxW~uoK!&R7!Jc85cDJ)$m51
zyjH6F(*c5_3FpV=_VPhM`E-2irRcWM=;m`7OkhW5FEhb9Ak3{$H<;I0IK4jwxCQdT
zI(7DqGaGLU+4w<|IW?;1k@7ua7?QnKn+C?{KLP?6x=?5H12WPsdJ&A`4)<_iQ5%9J
zI4Qn6V$#<&f`G61F<=uahEGjrPdnn1&o?BwtWu&>wWJy#DDGkec(TMAay`8wsVxvY
zFL^vGkzlHAE|c&u6!(*jp##TcF!C3|SJ$;f!JFU^DLxdxZJHjg1zs0^?S}-i1XF9b
z?9E5Z>JFF0@y3qLwsvtGR6k9bE%VYoA&uy)hhC6_uYh0n&QY<B{HwbX?36h;Pyt_T
zs)^Sdu{4(>UFzY0eelEx7{L5XRtyVurDJgUk|rd|$L2IM4!yQFXXZKSpD099mw?U{
zo|#GY*ugg0!3M+sSqCIvrHKy4jFClTC1S#c$;2ay2nS-ij}dpQR3jb_^GXABpCmQf
z@`iE|;X(vYQ=YBf*v`hAa6p+Us-*BBenfKZu<F$kqk;uXiR_&L$NEqVcl%e}swm4e
zLzQE3-Eqf`FRTnutt8V<{fLVq>Zo^6>tr)1aNX_fLc=n#{X-5na!AI#M8BZh>?`g<
zRT<9KYEd8`;45tS_EXUl=e9wNM^KXfUkN()!Z}Qfl=nUWZ-m2j+1%vzqpWQ4e9>IP
zi-qNASJsTHr>`O`8MHUIfW_3L(PuN1l$_@o;dNRh5)F#v-LLbam3738I66M+J&Kw^
zS}JHgEVi{Ubi==KBV%%SE1Os7qTLV-rG@jkhGgtDsSZ8dRTPXR6>pA7Ry6Kb@Hc<t
zAGv&EVm+%O<pOMRiCMKZ+0sZrH=Gi8q=?Ll-ZsD3naw5S2FilFgEa)Rp$O5nsvFb(
z(WtWpLw^QGVeCePi|}??!1;QtBd+3Jr5<`2<0_-@Pa^Ps%-q*w3fvrZuCm7f(Wm7{
zB^60mR*@yo@=2`D=?-$~mq%SVC1OnLuJ@XnXLL&;Depdy>BL*E8}xAl?LdZNqpa@z
zK(Qw#*xxRz5iR^ZtXWbt+lON<PwnhOJgB%r2WnQCUT6FBSl|Uym(`Mo5=FKJO%1mp
zq$tm`S(Ujx-)4dJ*2N`%2dH1>1bmA;w?w}^aFkR1<!i|d@NGFE0IC!1xOnTRG{|U9
z7I2};&<=SmYVVQex`u_2H-T@Omad(i99wqWN*P-r_^`v4q+<5)Nfs#cKCTYS+ze9n
zBahtcQfl^}9<&QGSAMnTe6vofXQJIq;fmvR#h-S+0*4ATOr6SiRuL{2P?&&|$DI{E
zs&w)ZgopM&Xce=cEfeW+3v-=ebcB^IVG7FKK2lr<UV>NQHHd{?(TwItO6lrN9o<T&
z^bgVniCV=K45EHJVG4E>RpEz{;p0&wh5Ipl4VSDA%_|72Ew>hVGWeF)o=&90aINVr
zi{8M0rEm>YNUjbZ&gLH6ZvzIjw5^Ns$0Qs}??1IYV!vWl7c5;f_3e_;a8e!Cqy6LI
z2P2`Wj<ARgZ9O-*OS#EI<JUU-j$rXzZK~LRI2(rLyZ`H;yP`~WEtNlZbVvs@;YByt
zGc=S5cEtj3PCq#kB6hQHE@X22f`>**{w1cbxSdAiJN_14J&VQZ^hHytNd7d{Cv3Px
zb&5F)x%_WlPNt5=RTy+0qupl>+u|6);dU8>JFN?i^p*EfJ;kx0hd9{XeDZ2cM%3Ju
z8m6L8kL^Dxi~I83e&pZtLk{(U<Q^L^_XlZfV-}%KVXs3`2kAlbDD_cK@}IjB_;~-O
zyiq=ej6oQ(k8;`~hH}SUe!h~SV7ASgV+9IGLF7vhitw*nbmdY+%-EhqZs<dimcYC3
z%l2sfoj4kH7^8GFkwS`Hmc2?gnQp6nNBwP=rllMGOSA2gi#@XgN$=h_5LtAhtnV~7
z1NmT7K?#p%m^iY5pcy&m!NeQat=;chbSQmSU&aJ(OTxvRKH~7C#0GG+N&wajSq%G4
zsScDmX~}9OJ^$5`GTRo~63w_t&+zY<!1Dg#E-h&hD5ZEwYWI3}y6c_whu@OU4xYI4
zXd?uQ^NaT0?S#^k8JfxL;jMiCl(fke4H)dAI7UAH3i{dz$~|-l9V#kI5w?QF)fq$s
zg>;q^hew@gB9Jb0hPE_b94@fnzv$i5*WUYaa0+m2)nH23(V1jxwWis?Ocle?&VLsw
z8KCf3XSu-E2+cgTiK*gnney%}yEA%?SVucRU0y)`HTG^cA>4J=-SqUX#codE_r+_^
zBbimD+or+&X8+jM!X>DLPC=p14Rk5QjiKnD1QuTCJtF=X52AcnX(xPgnkHG54=)!}
zzM6^QZunWseMC*<SZs-93ZZkP1q@8Ez}IY=(*2U7-6ulhZq5tT;F%&1vdHon$=5B{
z_rxEP<ycylAR279nG}xy2MDtIY&a#`8|L{tG=rGB3)2<&Tph|f_X<5-BleItbIIHO
zr>aGr`3za74LC1de1oJ~(5;gd<t5t|p1xrMt{9!{d4Y0S`B$cuE!&{6FJS07BHX9?
zmqF0-!RuD6e8|AFR?tHG9SHYavd2w>c|yZ9P9$1X5&RIyj0QW?TpWT+t&RkP^kmSC
zFoRHgsT+?FJc5!<J2^Z>Duat5pSE%s-kf4|=hTWw;c^#gS?oyu^_>X{3Yk4xK~~&q
zz8W%pr?qWv8Ez+`JHmYu-z(yy&_5fZ<H(?|nv@x?-lsXFOAM=9SW?x2>8<_ccK8~U
z&{Swft*>c4%f<;b039_YX7E>1uV%&{7Xh*Ac<|mVYc_e0AH5&~G~3rGdxNR8XbGss
zV*?7&u_o=IiKQ^<r^$}n`bro5*39O{V+I?m(#MhgTmM2=ZUpRY$h|5K{?&6R8BbH9
zP2)U&T}G&jDeHPhMRoT$!beCC=VYTmMy$QV4_ozQsO>r_G#!Qihy~(m_haGTPpStj
zNjdZq;2F;>I@ZgBu6Qk?Na09iHS0O2zRbW$O;gh$_B96Sv)q;1-Nqk-g{=wzK7v(u
zBp4cOfI>w=bW&%-^RuIau09v7-TN670er;Y{!+|oE&(h`W*Bl`tlZ=d9VZg01DmxR
z2y%Rs%DkA@0;UXBOsic5v~#bl0apD4sydiAq{P>l)OmRYW1D@vEjPEZREv+Yc}oe~
zJG&-VjXKu%FZW9gxb2R~*5<+sCo-RXMft_yn29G!GrA^$OFB+m2ek(^=VGEr$OQKN
zJ(NI1PuGP^gq)f--P^hm$MWo)I0=P9!qIeXtR~{dC;g%=;UkSz7vQn|Ac{vQX@g8G
z!k8_Mun%R+njMH=9BJw+;LOkFOOtcu1;WB>7n-<{VlI74l8_XRj8wy+0E(fB^@I1Y
zz|vHbvZp0#dnkE&?eSIUkl(PE!#veH%_P*3<q9IBLC<T;44qDw#ky~!9vb+kabm80
zWp$)q+g5X1nw-*^%(8VzGB5$ZNzKdZ^sdgX{G054@P$U&OxdpTtsFvvFcvFY7s74*
zQgUinJ~PC&IVuneVGjW{iB{BrmxCtg1PoCGI&bwSH67%o+}lwNK#LBxWFmkB<{;2@
zZKeLnA~6SiOJWk+nUJh1=P(-K;}?xUr2N;SoyY{?nD`Cb+T;<1;YLBoPvC%WZ(T+K
z4Wwpa9UF|Rm`d+q?De*QlvJb;72s5DKu#(1f_Z}J8VYSi6=&KTR9XJwc?{*y`k{rz
za{}Z?8l>s$zS%OUa|EO3F{sn$yO42iadZOJVVm1cqxT$zZOdObtBAJ*-#Y^|e}Ael
zb4axN7w}M)TCGbn1|?dCw#PkAPk_r+Wx``6jDq7ziop`q+OP)mgC^E#0TCAN>Fol=
zruUrS@=;tE+=dq|E}bgvwpXW@2h`f(7F#HfI@H*N=PRP?&CSWoU_G=pu<v1f1gB$9
zn|8Zk#TeB+f~yW<o}0VVC{z&BjR%T+gL_=c;`xHuXxWc&IbiFkC%{F@FnEL!huvGK
z4G+vX$fHjSF%IC`ME$cJnt4wz^c(*o>Pst~*uXgMb<V?RjK*Nj1%)vCAq`gP@tlxZ
zk)WS&94bUQC#sN44!!M8`srI@+nOBB>2DVDxP~x&X?LVnP(b4W2wj&~X9Q&LAXZ7_
zrSKqQB><oQ0#Ale7>6}Blp$w_?DcgeWOuyCB}us*2x+-l1)X%GbT{~8)rRrgr(*_!
z&viDY+RM8^^HK6gjaC$03O6VCtgoZ&wS%_D#rQXbcg<<=*r4m}@JieCc}pI}wpmxg
zSdJJSfz~1F){%TgK=rR6=HN0vIfE6xlE$NFu5jblltOc3*3XO0R5MAaBH}@+q{vh~
z2lGdhBA<ceodQqfmz|$Nxg%-m2kjhQg&?x$MzFH=^5U6&pYk&Sq=!dFE)sTlUix=r
z=_+b7VLm1j*dkcORm@#g^Ik#9C7PyK2ut_yt<beZ0Uq#$UL$NNUfqO`jMz11pI2k>
zMS%5W$J_m&4-C>m`<B4PjHQ7KtERvqduE!$RAe1hn`??zMuu+m(6i4G3_+L`t*7$P
zGD>WQMy$bIelLR$(l0!{qymX$*YH8Vi!AAtVE^vDRrEOgu-dUkQhKdtclE&0YCq`&
zY2D_T8lD_w!kd@8&lU{-Q%+gUKTT8N44-YwZS&GDzHphe>&JZw0X!@A4*q8P-!MQ<
z@4x_{Af=giPz?!{3VKV0AnhKmj)q*Y68b|sLaVC(MNePTYJr+kx(WgSn%gaCNkZ)Z
zQ%^F#=RI5Uy}&(LSwU!x$q+m*Dm3(2?>V7YX;RVyX5;xg8^4PXfD_(Y{z178*HUT1
zW`_635-D6VMwO-1ZH387QeHs~iW;DR4{}&JAE@!cgCU{r&myx42aoFj8Hv@2@}JZz
z_<`bS3a~%jW7wbVJpiw7wwT%C7>pxH$v4~v7w}HK@x?jUNzYvs(+ZhAs&9<ZV0rC<
zOQ(s0Vu)6DF{z#6><|GxGNPnXfyjP<wC2RtMM&4SeMnm^0>Do>N<TkCE^q=MBMDcw
z>>k?LOtiS!Vy_4%V+G_frA!tRzI%@uoWas$Y2FXta<ouqwdeeHV5Yo_9_sfA@O&@$
zAn%OhRxv32oW2KX1lQ^g{h8Qx{|-h=iytn}6B}uMG=3==nm~unIqdN<a~Zu=h&wGk
z_UN{(>xm9@V-yWm8MfMr0EmEH7;?ayE~nd^cB?nn)1IFe{x}V)w5*BgS4=E;NZ>!t
zO3ess8U-B2JDJGL4@zYAhf#}lmIVBPZGp4ix%i&jU-tPcZvT&|{t)Is_+FL?RVa@f
z^`FqB6f#^&Cf_@`{6S@3R-toSl)g&dDYz(v=h_L-TC~Cu2qAsxnRUN$d3*6RqFb1p
zHay!bglVPyZFL6NLe1bUD{`fw0W*|3BuMMs9vq6>cuMxkoXpUkCPLFm7op-nWJd7{
z;>6}JCr8_5DLq@F)Tn%xXZZWkFWFZ46q?&Bs!dC~D9XTX$`uS!)f}`=_A+b{Wa+Hq
zeW``}JQ?K_M^xcGUXXcxTRQF)hs5J@_Eg)Mp?=oM7LrMo9@2Z>c1iN4AcnH$zw=Ns
zL*wz>X6s$zvrkVPzv@F`G)VzT?8`(g;sCjuppX|Nv3ZAqzf7iiqK!h^M4{*{l_Xyz
zF;*!~4g@SHy#iQDSpa5vais|#;psZI=AMXZ!2$@VAs&g|7FwL2V1=C5WDqow8bKgY
zgq!!f?1U*0cP%^&L|#Qoub8OSs-^HyM=F(dHDGx1t$=VJ2-6al2m=?6;9?v{gbeY4
z3pb0-<tIk@O0-!b>NDLdHHQyEPR9oS<rjs?k&gq<t9d{a5`p;$O8QKunl&VgrnZdN
z)F#>T#5(fmj&gYmsR9|$20=VL^WAm~o5zy}V*J8`*g*NHPn)2evmOJ_(FhJI(-2Yj
zS6D1k{q&W;JOy8J!gF(2dWjUs*Hh$=x6EmD3l9G`b^^VZa_v0$<hh^g%C994ti^?l
zeF4Oj&@<CLecP=5qW=nyr(bM+(~NgBBKptJ^u<(W$z_DtR;^cbZQG|U`{Ei(ls9b)
zX?NIsRvAV7)rlC-z)W5;{W1)3tT1caWm>YeJ)(MPN0aclc;uYtR;3i-$K4TvBotiD
zt~P~ao+)BvvLz_RY5j!cTVN6ByXBELn9WL06yEUiB_DMZ$d9MuD3_iFFb`XQ22XMk
zLF2WjkKrYJ9rUMYZ<Hjg7>*Ne)zvMg)JQz;_!maxyCXL@cYp`6SUCyyY)#iJy(Unt
zBP;1$c|D}Uf#3{WM3@%qSNp}bzatQGNuGXpTnELM+hSoiv49c*aqQI`X;~t>Z)&+e
zsliE?2BS>2lh9~zOykSne2yOQ!*CfC^>!=Tfm>xfa+~A)loFHm+qqZ#(n!50gqjgq
zF5U_f9MMY^8tf~|C6$Bf8bcVh7>w&BC*MHrjaxFQgmQrG!@Gt8+XG@XuR%)r7l-W@
z8|J|hd*h2&)zF9`u>%@GnmHY7^`5lrj+k7|Ryi>I5o*#K&%0vZqu;BzcQc>hU{B|>
zr>Ej-6rNnj_{*0+O)dajk1!~`CocgMZX8?j4gCOX0VL`Io=zl(MlPzz*!?9wG42oZ
zmfy?hQVc{9+S=5>Th0Jp8GUmBIHq#Zn>5CUIiXth@mP=><JF9fg$15QEj8{}ETL8i
zvOMyL@Mp>{NZxE;`1W|P7;5>^R-C`I&zQh)n8>Qu{#~u6HS__(XAR)6^8TV!j=8O^
z>P)kqOgq1>G%>tHMS%7v4RD2&yE~jYV58h|!@@?J0^x*{uZESOt9i?cAB<GNY7{YJ
zG32DTle;0vztZE~FR>@gKjUX?iXP-VCq4%}R+*J_bN@RY&s0F5*|P?GRNyc99Z$=O
zi6p#`YJ&oDCW&XJAb>|_ZE0E&<H)pp0*<RQ5L+|xIFOFdgXqsE#$78KQSFIKvJ3+*
ze_;T4(ydZgjeE30ySN}FV*w9Z;Rb~~WLy7W;CMbn;R-}+C>ra6*L{2Bu?S;Eho35l
znU{6>?<kIi`M~UUiW5g<tfu0ev~(FXGW@n7rN^vG7h!dFk$6<KThbBmHcT#~9+7mR
zGj00xZbDj#+hNN5Rvz;avl~B14DxPrE^B|(10~8;d_&AKORbs~TZz~pPi(Dec|YJh
zsKIv#fjc#}-0^|({1ffGB=E_s;^@n@q!Zf2-d<l<g5o!+bq&(_x=DV1dgR&FU$?Bo
zH=tlf_GsGp6b4dMZp^`zSUU1Q+pTe+wmtriJa;reidFV*m($`Em|N#}E5h&sef**Z
zaTi!&;qV<8jyqP5H43UYj>@f1To1%;1%()wrWSm_WC29~@?`EJ>#KrFjoHHaXGb4J
zJ!J>f`3;|ppkKwI$fiC`U!x8)^^w?z1T%!@)`*EEKA6KOT{AA1YbEjG_*r%_iN(aF
ze-j3Uv=bNFFym`JerP{0iR(Rno6A25$dDbh!KD!O_I<eT5ZmvET|jtR-Tocov(1{x
z1G4xHI;QC+p|nVbhV1_@+Ev%1Whu>x#_Rqmch;mVejTAHs`%@?M2)XsK?!K@uyOcz
z9$}}-?Kj5`%tf1QaA6K}^^L?$e>Nsw$Vt_O;Xu6sZS#PTe^v2NP7fzy!(`!<dlGnY
z?ZS}YRK29a%~fK?-ML5N9`rHkr|O<RE3ICysA2x#h6@=~)2BSvA%rcgYat18XBi8;
zvQGY4ON^B?-FFK$98f%-fvB}L>Y7#nZT{4ecwJ1VoAi2?#;3q-X<PoZaitXh!Hdtk
zmbIH=>KO|fB?qq1WHpm5PJK|it)_xh{{d%nEzwG^Vq=WWISDS3Ii@>Ri-Xl7IuW!>
z4%&>+qEIr4xuv!V-D6+RuJP=4UOpzT38%}X6qx%N6*&j=5Y#-{kcNO2CT%t2L%7$e
z#V+PzM;_j4Zl1*kWXE~f$<)ZxRP~5Rj37PR$bh&!8q(~(SLUSGn=XW5!YwtS8wP}0
zur??~nP{WjhO~GMdDn<Yg~!fj<Rp1N<r1cblVrAAjRDh|9z~3HYx8!<=+b^mpCmru
zI18=HYH^Jdh#;btzI>KE6;9rZkj>#G>$K>}ZqmV$C$FTf%yU+nL`3^3C_}6gOu2%Z
z%gpyQFrHBd%&;>QkA68|KHd9^TZDKOAZACU0G-RHdn_y#K?-*|&Wuq2Nx)9-K2BS{
z@f2GF>9Gf@1|^~xNKjmvgRq4BP|AC2CyR&x+Wrw-VbncIn5lvk_=HN15mg~!Z@>)$
z9X00s_0dqXB?G8JVpHeWsyR1mF^*At_Sd*IVmzVK2uV=~v?_t(fuDjRokrUlp`9oA
z3aC}TuXjsop|M>{WsCYVTuG2B$VamgR%58_jsvEZ(vrPAG1M`)WQ1kgcq7|C^&Ed`
zr5f)WvVQ^>o4dad5=DCN3aM&HJH1{~5t-9Slq&b+1gk^rZna`WR3&?GUMzdHP0bd&
zp99kh_bM`Yp(xN<PWhT*5AUF2EXBWGHdkT<JC?h}eJiF&D=4Ic6AOD@0BaW)Act(d
z2C%eX5JNu!4`Y~SwAdU6zw8Gk(SSi0vn@3vV}{}T;}=O<qqa?(Ck2hvJMQ-`_5}GP
zSx%<oCkW=A5M5r-t`=`S3kzJkotM`7n4VA_M8L9cww5md)oz*+N5^_Vy;ypw52eP9
z%6mzQ_TVO;YbN0df*cI5+|qH>csDpMmoFz}r@n%NNbN8|R^x3ce}6(UAwL#_w|}Qa
zT?oMj8lX>J7@OF?B+8JC(mZNlxif3<OKErKP1&6H>Vp#%BLfqYt4<oN+k|T_OFt-l
z(PIW9e7?YrYP@KzYG+tH1s*CP`q;x5LFk8;f9KUeGf~OuSN95b<nz3i-ZSuI*RI1|
z6OI1Bzv4%bN}||;t12wtzC$Y9y<IE_02X%C`C<pxxEn5031aB#`vaGxfQ4fw1~R{9
zG8Xrdr!HsaIj{9+`5Z4<d>FKj^a`AT0oD;#ai?4ikO%44Rhgr@>0k+3)rJW6dB$p+
zUt`ii-!+T%*cNR{0&!&t$`3lTyk|mmmBcR|k@0=4(=hLcxHq8G{2!m$me!yAb`5o8
zM2m9qPcrmzaB>>7X)pLt4-(RxfxggDTyAmv)9yPOdd1mqJt35BB@I8!A?pUcAP0JO
z|1(ZI%4k@+x<=R!$8n{&w-VnTjFK(p$H^(y`nf;DcjqcDYKZ}J^?XJKg!9g0o5i|4
z=(Rh%^U7%EN1!iPm_XUG);>y^*7oqkDlO@r97SNBMZWW9f#T5@5Qu(r-`C&X5{Mw3
zPX1CfG1eJq{#PXF8gFT={oadFR9Gz~A94p&;0>m=6Yl>SuOqWm4Sslhck6G^BH2V?
zoX=P#0B?kQWEV@PKK^<yIj_0?l|6Bkwb2rGSbPH!4Hq<7T4P4ny<+kk`g|hcYA?Dh
zICGDn!Bic>+LNmu!EP>44Lq*GLODTNI6a|kLi5FIkDuA$?D;z{?VpnkX&VUW%X;e$
z!z?MOvBzf3=4e~lnsMDHDi}Lo3M-dtZ(Iyk5*gSp-rQC732<VAR)MlEQ3yW3$}%lH
zsl0P2E4C#GCPFr|$kMGz@v_t1o^6<A@7s!ykrdWQ-+bCH$(5cLxTEn)_IElO1jTxJ
zcf~DBtAg&+24wY*GojmblDr(BQDHQ^_s}=utPDlGK$Y{o3Xar=dLQq$2sh)GorL3g
z2GT&+1I3S!KL$#XN7cy+S=lVOB4Q4ZR!k&vera?$;bcr-eDL~9j)a7a`%l`AoZOre
z_T;THB7YDzq3|f>$LAgQWKn|srd$L%tuEj+&HgOXz3N;8?txX-1Q07YnqEFc{&;YZ
z6g0pIs)Y-Eh7m_CFhnN8HZdQ=!yJv|cG~snuk_4BTSD_q)=Zf@qY{|~EI+A8+oH2w
zH6)Z!XQrr-+;fy%iC^F$?tqt}gx(IKyCQjU_}xr*eD(jUpsr2Q8~CkT=az?dCXk+I
zW?ovnqgA2$^!Q5c)j#`Z*k?G+j*-7w((x7ja%%{40*0Ulc9}gws+J+rc|C1zWAuhX
zoJs5=v{@k1#4T!I<7RrJZi}7;-4Wjf`sjRs?ESPLMkEft$iFR^e}LDYdax=6p6bOq
zc&k12a-o6FN)<ok<$GkTy$fJ**3J{FXp-RgH8m;O1+(i(&=fg6Ba2Is;753U(}rUG
z;4EXt@@(G9GNbz{u{wDiyor?F|1hNb#6y;;%phiKJ`IDH-kqHF>CSf|{|P+f4t4qf
zgm^&^g`Ox|WY1nLgr0b2H1|?F@J1lfaKqvjwzOGI3L3+)TfM|unISA7t0HB^P)Mu`
z*{|n%I9$0=c1KA`R(810xifqcShRkFaJ`lALfiPFn-F7_e%{d@+cPY%`Fqp&dL$kG
zv&%YcFri17l$!mTuRhp@-ILt!5r*e{u{5%322i<Dgy?R`239<<aYF<f>TkIplV=AS
zUKBgG60DZ)>@MEDfbzo33s;2~Gv)q5IjPs~9Tz%u;T|gwiuAgOdt$1nJ&yENv#GfA
z$k==k?*2!tl{T)f315pN*rJ;!4(m5BFCS877y)ufL#o4K2AL;cdCNhci51$CcTF}p
z^e%;TnDixsfCc2;BWwlPwQwVA`mlWe9g2a5V0q2i$aBL>`(T*DC5;<p!t17q3KBv0
z&f@0KH@7OaWCV>67`&P0(eY6*bY`o)BsqxDwJP3$oR35OaBUtV|Jfef)i{cB)b-ms
zqvM!RZ*ifnk+>4oGk&Uj6X}tYaQt8S?buFPS-99vQ11C5On^Q3YjY29yGZuLrz~|I
zTqmo7T%8~0PhS!fK$Qe!G-@t-ZHu)+`xmF|bqt2MRxr5;#1aSU9^<)@zutf>BSCbK
z34l&#z$Pj_lcNvw?X@uVex3|`4^O<5@6N<!*ZOlD`b^*~XyHpe;tL`P>uN?9*ycdf
z7^4>(xeTzWjQLd6QKMT8X3ws(#$HjCGG?7fA}qk4jntLvC)*hQ!^dg{E&(_eoY5!`
z3B}BtK!6FhH@twp)0U&7MR}FPo{o{z!^4$)&I4)Z;?GdS;S@<dT$?+0N@UqNZP^y{
zVr2tU^mr<^Gx}s!Ys-3np<yzGEh_T=ab?7GvXjV74l+g#NLETvXGXy};B@k?r{9$R
zIiI+l39A4VwU$-2LPu9DW{OES9gilVWsLQYOph~^hj*AzrnsULT0JeGM~J~?2pg~b
z$7#A!wrJ3mLM5Pckikzo!-7Oa%PT`=2(3<xolgTk0f3@YRs@S1(Ea+xG!r3oNGzGU
hAkCdK4ZJj$B9y|Rwv2+cWg2@^4b?+YDUCtNS^f?ml0yIh

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_magic_detection.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_magic_detection.v
new file mode 100644
index 0000000000000000000000000000000000000000..07e763c521f50dc45d6ac3ea997f4bf026a62c07
GIT binary patch
literal 16912
zcmV(jK=!|j6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1KUp_nTFwS5JE?$xx!Q&;1m8Kr0WGhUQ
z{(YRv!pHC?mtaw|49<#^G+HjRe$O%hFyKt9-+FB}JkxpUO_|3i#E+A_mh-5W!5<@v
z?V};s5Y3yF&M|?pK??xYQh|$$DF1@1{c{|Q$tch?YiJS~?=JZ&!q0b=Gg@yyFNu7@
zmK5gWOR5+I!3;*&&0IvG*iaX0;HGIhzWr6sG-aA-ni3f|Iq3v32DGdKwJKc7o9%bv
zbt_F%ckb?v<kGLcpQo-h{KS}t1gtGVBFY0-m6P3z1*mp4_GKH811?Z4OdWQ^7P#1A
z0;{keQb^0+)zgDUSYoI+bjcuUi5JgL;Z$BT-4Q+38^hAY^bNuH7l4#@06ZaBqAJl1
zb=P2ltiG^(z)YDyyW&So^zib608{KnMD#mzU(FZc`g9q(mm?CdxBUsz)r4&tA-C_o
zS|&g;fLyILeEmZk_k%ld+LdqRybkvZQfEit{UU$Nnhpjn#9I3zzhaBi4Ovv(ft#gc
z%F{$2z_5l@64I`kYQ{_*C~%s0%_1tefUJhe75z@Y=Po9;68$y|2)8LOZX0S*!j}?b
z;Ik~DHggN3>#6eVy5cMz3&Tu(I1%1O`@9n?%Qkhm(n^V2S`DGF8ao3E4Tt0H_BwnX
z@d4Y7Yt5MD3n|;=_YfK-JQtOgWdA<`ph4y*-AZ1FR?z#-T8R*q7NSwaw(Kj1P1f4#
zET}`#V>M{Jk7cJ~#ROk6UsS*?c~j9lj5xCh!V*1*F+O%0`s?A6yJDyDYXI-vWl7BL
z{WGmwPXIw$u*s;y$|AGZ<s$9!w}Q^iO7E_?wZv(zgnse~ZuqjMwW}KL7=Tg?!W{4?
z1Q0WJXmyJ}|5)TAm6Y_*n-;0bHaCd<$e<vU@09qvYO=7wr_sP@xNnqH@O!TN&QxFE
zz#N-_mYy+&#1QR%;s+i_9rP5cMV=hs`(0uj00l=NQurOzslJ8?M%*uwLv?a7?{)+W
zoENjC?Y-7P?R&=zkl+m{Tp8euMTJ_2WA6LR1AI7-2)>OoI#nFqj-U#H)4`8jdzu;3
z-A3ctHw7odA^XY~%G)^)M`+QhM_)i3NfX0&zx;?<Seo|iAHJ)y8YFsOaH!VeSiUrb
zopePj;hg6zOeNL1BML%ps9}58e>k7tkY4`_2&4vYw0<Zdp4KJWE%WXr00KDB(2r9F
zTd8FK>2Qo)0ocmdIG*Qq1ebXeP@v5`q&cPRfP&M2IRu+6GrF<xMX|UnVt^+5o|v1|
zHpKEe29S`wuD`{-)e#;0S%J$@uF({G;<3-Yc4;ka1qK{F6~@&61{;&nrH*JIX46cv
z?7662Z*W1*b?(IWNr7F+-q;SJ5KPZkn`$NwT}NTept-&7IK=-D+fXuDa!@gZ|GR6y
zZd*j6rh??};}_te2D|GK08$ORiIWLeQ~@gu4#j^@rOR14Vg&(w)`>$VCkU>AddHI{
zfad%jRBvqahE+-Egcqq|zjy1@t`y_ov6gz$>91OT^cHxhd{?Mu5s9yE%A<M<jx`<{
zCrNGB?#n}g0-P!W?~ugbA3{$19{;N^tSBIpC6-GlXN@2<o}4rHD^AO?(e4O?;*UP1
zZ!pr}Nph~o6jgRX(Fi5qZBPU0%LuBhGIAw@6T!TF80;_Pl^4lit*8$A3{zGx#acvK
zv8WOrm}{M#5VYmO^uL^i7Elz4hbm~Ox%^Lm!M5wAJxKinDuXsk<)_B^J%5R6Fg7$P
z1r4E;w9^s4XLn5Vuu_A+=|YeOatWq^2d@zgbf`T{v*|}7ouz9KFl1=9o->k9+Qy}h
z#!&6AWu}{rOgP_JX>YGcmbrv9yZ`~`ceA;4<K>Kbv?raIT`C(GWuRI;61mT*8=Uq9
z09KKm<KT<w)3cUnDxEjn54f9tTbi~m84S)RLy3$a79MglNtBs=VGxTTtr7sp5-2Qd
z)H)i75zU5(0-ueHFq>ihb}Ry!aLc3|xjSeNNMOvNipH}CU=NHVK!oWBj|z=iKQtzv
z)^Fd$$As4evJpqBJt0(|%R$J!PY_`oppDbrVFQpulVvhRm~){oxdro12M&<HV>`^H
z#gpkVjfh)L+#HV-$+OtfIhT(Fy*CrYB8i}G>`7kyOV$(#WBLnB(yJRaDuHl!==Gr<
z{cpuHu3}(yBMeN&>2C8SV8cDVRjj%|)Wu?1u~>U=iUqvf;U&pShV^Kal#C$E#!6l?
z5qY%A{EGWf>bVLs9hjj(3yh@+W4-g{X7Kao4@y0{;c^5g{yN~#%&ZJal3OYqd`*;K
zm@ymb5rS9{<>{@B5m%S_uXg<-c}n0xI-bQLfjH0Xj}vT?*ai;~4wIs;lz?&RC-X^l
zkFi%jyK$v*ZroW5XT;J3M|SW2mGx@RdFy2YBlzFM4wmMnrqBGz@;p%YKM#goNO*?6
zKn|$KdgjvC_XtRn`xLC5fxBX=)*r;O7;~6NwL>@Y>D(AUEnk5ML(<+F?}JP&oYG{}
zNl{ygh^xx!b|FsH`BE;@IPD&g!bW)<!PPvv;GuoBY9TT6Uhv*RLn8)LY(VRtxB<(z
zj+ixySg^IYKi;hr#r+U6OCy*!ozreS2X&A2^?73z%PbN9*;FSSKy87UV=RwRln*=c
zpykj7uDSzlFBNgaUc}>8z+<yjghhzhbeIR30#0m#P3)qbcyOO7aW9;<J!-Sn0hYmE
zX9BAP#L&|5=3})*(QifpE)G>Eq*WN>1qC}(VO6)$sJ`TRNChaCVv?}4yuMWS7552t
zF<);X*}4?M!qY@h6&1Ea3SD~()jpqqQpGK&lIIa4LIb1}57cvUnbI;G5DLsXhRv=?
zF*rOIQo}T{q(ZAI=|9YMQ~^SfGolKO+Qp|A?wGD5GKNQ_lU#`y^+e;UvFZjKi~rzr
zz7vZ))7n=S5ibT$xeNFD;Hb2Ed{m<`pTFCD)D;(J?N17D!?YoXb`-b<z1;B5Q47Vm
z4hE{L#1QXwN^;lvhl|Nai2@Aw1o6bhlHqOB@S0;LkRY_-hZx%PIkX$Zd1mP;p4DJA
z;SGE8^`hsG&s!8>UY@{YZxKH;-|2Rn59@=LU~vofY=^6N@(u?XXZ#p9)mf|+yDYda
zkx>-D3js%(6JfGHLqrX$ZfX#{u<D+IN7n!!>E_o2I)Us~<bS>wGU@dAY?(Yrs#SoZ
zYT4`<w7Yj*R+D@XFiLrI-;#XaWF7s>h*Ll;XxK{TfKj&ps(kxK>GCLiq4y+D(=Oe3
zjayEU!*_<7irFfp{k7-`8qGtK<R2s36DmWj?CKUfYWg?K@doF|#E@SBSC)Z<_P#>&
z0xPtBZ@rY=Mc`G;eD?<h=AB_nr8rE*a)~SH*f$zh3q72lY-(+j&`3OsO}>4jo4<@C
zY93zqt&>KFQHc|IVF6*Hekj!kmMinT?|(yp1_RWI#<&owV7yjCY6fH_bBA@1d41E$
z4Hd*YUvNhii0MC6N?9Y92y&W;BmQw0)dlxLLAJz6N1Yhce}dPC!Df}f9$U08$5apJ
zT$M+sUFY|@VpK?$z1X$>CBol70zIDpoKOEexNgbRT5|@0m^bK9L8U=IXGk#gZ1wNP
zJ-yILOnr*X23~o?eTVqL<+RuHO_R~pc!KVJ5Q!SBr7;RKXkw}0@Ok%oEPL<S;H$!r
zpv;SQYdupMYdbo_00(ntKJ<A9@zFR;RcD8m<46lDMRgZ+PZkS=&)Eugvgt6wH&+ix
zPo`t?0FyGKOz=@88OefWj~vMIA7wxnIqjulko&1igp<W~hgbvii-VfwsiUah$No2*
zQ!?+LxC1fwNruivrxX^?iVHC1c3;nPg-Egt_<uWr@NCceHNZJZI2)^A_KqfTkjyQT
zAx8P+NLR9mpl#EwxnOTmN-x1GR8x$Tjmqy`e_L7ba6tVzh>mespT~#uGPRfgw1$Ng
zVf#rM#ExAZ056Xy-X5r0QZV?^DehlHCN+hp=UL|bP{S8-lFdOZAr+R00nt<k8xY|A
z0}#SVg-T0l6L=!FjXzc%1bM2B#41v-=(ee~^1K<>hZCLG8rUKr+FUywYG<9$zgi5<
z<`~53ef*2@VTg6H3@}e9!X<!t-Z;6Y#Cbkyuw)%#4p4>E-Eh`;x~JKMiS7?cfbHXS
z1^!K=<jmTPq1+@}M!@ih8J2!Sib-w9!!}<e3)B0fpCz-^YgF`%Ao%CyHqEsiC$>7G
zY(ILW5*AT&tWzTj9k<^MFkbeojH2nZJAQ#UOsI;p&j6K+<e$c`7m+*>Tyh9_+>!LK
z9C0!C-kf`?8x3~Om5CaLt!KLVF=sFis->sB|A9?uOLmhieycs~AlJV7@Md^RD!5Nu
zt~jg<kS_C**byL#{NH9Uqgu7$6VUyV;Xl&a(UEm5iGa)o;4J)aB@JWNv)#Ur%)J+P
zNanqaVq)r|7r5@~q|`TBqw?>phdyh4Yg6LyyNBgnKlPSi2&WEZ4#uYiRLeLf_m_BE
zJvsT-tGDa$Vl$3Bjth_x?S(^Z(BS*<K5;KNa*maM{UQu36ireVkp^V?A=7&Fe>%<q
zD;nKi8O=|4;zKV9RFJR;@YUG0W;UVI#(*q+5^vbZaGRpvz1Y_2FpRK|mDq4+Uz9l=
zPyfK!W+6UaDrkt^=A2o{AbR(XzYKK0;K?$?*>qf?!rQ$uCqIY+DiC8;KDpu=YnVkn
zf_TP-?gFxtJ{2{k%n{#h#O*7Pf!zk-b@T>uHW{;ctEhkSkZLu|NsuXz^_VfA6u0Wc
z=o)P_*HlC;<dGave6i|>uLwZskSubveMEmL@4Zwo0qz1~FtV~rz#LHbn%5L*9BEz)
zRk!KjSV41E*8q!+(vUe&2nsn|R1dCSkIw3FIrzE4LqLKjhRj+s+K24px1K|bas3`Z
z4xq$Z6$qA{6L=R=hHWtDHa~3d=bV#$`aY6i3-G+7hS(SrMWceVbus$7`l9w3cBYN#
z*3^#-L*5znSS2K~caTyAL6!1xve(PtMFx#{4FzxW01_Z!3UQQ&yC=T>i{QhdS^&N;
zJscpV|IftPhKNEb2-|Wm(Ov^pDwL4cp41HTtqaZ!uYW#uyF#ky^sL7?7gnR$`U%DD
zN1<o?OEqVMGR`EGodsAD3*&j>6n-(tO3Cmud+#kA2seCtdTYPgSz|Bo<T-2rSBi%G
zcdtLL<9giCu7L;qwR|yfxEcU`h7NM~^r*WBi^P`KO5qlzVL6R;)OhI!AueK+{vcPa
zq(q9{VMAysdkMZG_c5cZeG?`0mbKe#(vJ%e-gVR(zW$P-Np<}3HZiaccjH_c#PS}t
z#5uOngh%G7^It_0{~FG$X$w27*`3~Ty3Sr^)6u)KkN2><ti3Bz8T93{J@{o<w48Xs
z0C&;i<3A6_-bD~LQVo1ZnAWE$2uoH^wAOe5VcLNyd{d2YdR=xt&rP(dNq=T{+5p8f
zCT?+ra#&n2-ud-CX`w<F?T4X9J3ysqeFhjMJ`UQRHhu?!*lbpce%QAE?@zh0WQ6Kf
z@SmT%;iF4?WLcW90D|i*58lgou#YSY>N})ot|-*N!G>6qS%UzjSN-|ld*ilYVAm)Q
z&ev{055;8vIyek7lUGo|AUoSGY*1o<(&xHcR@nWrtDMNi>guj#P|qti565Kv`pkV^
z(hjTou&1B0>ck6_zU=Ea)(>LHR*`Lr5;~E34U_e39H$U1-{4agRnxy(#tA93arb1}
zh<uvE7%$`=ca9|r$yrL+84$d0oh7pzR;^HprUOi-&xN6(nFdSlONK4(sk$f9<R02l
z+!reE)G7<!>5qoqq_##Rp>?fu8p`z&sJThD^t$2}gnh=KVZB%-4_LweF<_)IJ+%zg
zAgY)q$Vnsl?!8<a_<OhYU6oz|#ai*M`UCh-ArLjR^q_#ce>G!09h3)9w2(SIDIy*3
z8Oe81dQ5cUO&J&V=Q02f5D`f&U@)@I1h@y8iL1uy-xN5d5QbJX_Q|Y|?;%vh{>W2h
z$Rto5>;jhh?GHmurKGz9pTKCyfL;eS$WlE<u~*gOG32IRaAIxExS<Sq%4zG_*=sJc
zz>jiNmm1yzDbWqO@O36$&2%U{GX5C4C3r3%D{=}DW=!-7{g;rtFhk;z2y)*>89$>B
zrg%4>h-NlG?k(@BVhx4l*U@dOWoL(k?6Q5|f{;5w;GF6K8wgwmb#U-C-N*#u;|tFq
zXM3?a6~yKct-H)0rxt(V_IZMgn=%B7v~ZMdh$4#c5okEgs9c9N^vjs2Qs{7uZ=g7D
zNQIfMCm?z?n4NDKT9X)oAyj)VRE{ShJcjp_ICvNP%>*eejKLg$O&BKpn5MhpSud!V
z9Ywwn8ZE~CjufsL`J%$<(h6O-r_OWe&hfW)xHOcd6x((G4^V-W%#L4DgwVL|>kY`T
zA8jgh=KKm=LSITglY-S2!qOvcAH-IY)5c<1GA(>19sR{U{y15<ikH!df`|WI8IV@a
zcI#IIIQb?4sz}l4>v7c>p_|w989SlYs;%7o`>Iwm^fGrm`H>zGG_P(R)9(<B=VI!i
z3nws7aG_vZpHZoeCd_h<D-otHE~XC<F{rnLGO8Ne%$!p-DCat%d&nEn=<GRP4*d)}
zj;&a?)0^G!%S9u>v&0O+URhtB!-E&O1@5TEbS|ldoc2v>>0T=^=X>Gf@5Z`zk`@<1
zaY3`652usmkYwHEW}+gLLv>!X2pz^=KKq4h^hZEY5MTg-uyPjWVfFkUC(bsvD}_KS
z={KdxjGhkLG3<hBB|4p1FqgsBbrAe*goM|T0^Rnm49Kt#1_`7USdB7fd#U3fR^kuY
z4!Tr8ET4o88o;Dyc~nAHLB=HYyjdI7&fjKV`xSue>t0Q2mz})fF*nba+q7L0+3rwa
zQ+6(r(%)z{MD?Y23>tUv5PJHpb@A8RV&TEkj9oKgf0jfhz^*Itr&Y7+DBZaa>-Nwx
zyC1*^7`_R&12QK0wkCx$XgVP#B3uYH#td`F_mR?Q8tb~zd@FTd;vdKI>ZNd{()?*K
zZFSSf!dmfrL0k)qdjctZKFF2Yj^Xtrwfn(9M^cb_f@SK(Lw49|V1@WxE8?B0t_CZ@
zql5&s=Qr+RUgbk~lfe!>$o<$)t2yi#!Ok8T;Gp@1YGy1|q*s75iZQv+c`EDY8ASMY
zr(#y%2cFkp|En;btR%0-K2rHc*CYzaKbw<Bde*68X|7cchN=FYPwV-;6_R~UXn*wJ
zzu3+u-ymAI4LAjg8EYEdQsg7;J+-7xmmBOm#<icrziU|&NGN}RjOGhn8<r)Fg8{4T
z@;s(9+JApM^bKP{I_SY=NW#{^BY$h=1aDr81Eyk873N-+P<@Uqb_Uu}EvIZyuwMJV
z9yj3pV*Q#)3`v2d23VXzYE7B5<-6|WKG#)<6D_Z^Cz{B`VMm>sOHoZI$z;-j)TN7v
zSR-D)O|0B*5yN4ByB_P>mGO+c(&DI7jCW`6TN)k)SdD=^r1ct_N@5vI`HwNTHe0PW
zqQ`PC>BNu$TaKtl@xdoqW@IS1u0@#v^gcdLD_Wb}udT`B>z!r<FpPrcpU*dM@shQK
zZ9h9_&Na(tKnF(3kpU8(flTXE;Q&4%lhTx=AY}3Bsw|I$c<rgWHUQ3FOvg#EtmCto
zanS4{zKans`3GEzWwW2yZ=i~a%=`3utsfIILjEPP59?q%`U%cjb}upexV3LKcF!eh
zq5#F#^=PmH8YW9$p)gwZ_-xZz-MG8f6>E|wty*79hO@c|%pN97^#OyFks;`U;4dbS
zwl8(HBvfuCHN^A%v5ea$)$v{Tdn$%@Z%d0Z`M#jX%O1e=h>DzRTGS-DbHW25T>=M_
zURv%weIcb)fYGl?Vd&wNP`y233f5vK>3#5-kjR%<8ZXeGDwDq%#?LD#_E2_6IZEL(
zaq21D9j;5iy@t161Qd~5v~+mbn7p9^F6_nfZL23tOkVaO0Q`$xjQLXan%rFRO(|uY
z7`4lL0x)ack42sibVF-Hupht;#r`EGSy+1J5<r~3j#wdQ{NRC_$Y{BRZfc*wY)L)M
zo0IXr?0M1)#KSebN4$xhkp!mPZuF4RskHBNSgAmh_YqK6`-Dk5bwN4#ROMN8m21?_
z&fphVP1c5)w_~wlhH$Wr@MX3MGW7KlmFCYTO5QuR76Opwz;U@?HNH4`5z^%GU{cWf
zcjj>GV$^lV#aTX5Iy=RjLQ%V461{TrE|P8vLZ*WX2+We6P1{WLRD-WCBR{&U5<!w2
zq4GtK&s&zM=|o2J^mZaO70{L8wY2a7#v1x89-OPVRd8c0ThYTjjzKCoZAem%0(hNz
ztLVDUGM=UiJNw>2JLFD#x>gv|BD84#_#Xxd$F3WG{bCn}BHU1a;v0!no1{sGY`>Y|
z;fkK45mLE}Ir7<^_dQa8UlAU-BD*x4UhjngSdvU1hoa68#vypW3liDMI(YLQxtX#w
z?N&R1#jqGQ^lbLSCVw~Qs8c_ehZ_HvEetPAZ2>HyvbFV*%P2*Ky%v#5wPi<xIR_gH
zT}}ilp;~#uJAb8iK9B~4H|usFDpGGbcC&zj)Y59YbFa_x)jOTxAYY%q380?@hZQ1M
z*C>{-xTZGh_x6=RVI)*_9>WAdF<H<ldE=n3+SJIpjaLVLSBSwu!-uI-x`u?v1_#NC
zB|6r3VW_n-gGBz#uy*0M$QGmGTChi%;elHgsW1hQl3`<cx!9`|>C~v+9NI^0q$`F-
zG9qsOJB67N?X&xh=HfnRc~KfquE8K&!V-jd4JW6LE1CGt9B<Tk2uL>Ma=X{5pH-c;
zigQd3h$c<?nIF*dS#h$zxbswlUK+~x@kCtN3q8v~hetJN=AtXRl!=3W9G(txoN1v|
z3yku|L%sPg(#mK}zFm+$CVHskI4`S;a(ONo7K7lbc#blnEX1O}j1^dLc_?B}cb#{~
zRJ5R3=(sW7SCXd>mnjnJr)-I>`wCyk?lh>++h8x0dP}1X)$6<yWuH<V-kiYkPNLl{
zka0P5F;%nee;ggFX}kwA0x@MJ<$1|N@6#Nb2Lc%BaX#jcA0u_1W&zhmaP)k=4f6-r
zo)j(I&I8DnLVkVdoSBpW2&^?@9DdLphyEz$An{+LkzyaLSA?m=kG;7{G?hd+BdVt{
zKN_`4p(PJex`&X2oI<md&ZC)a#4>%j!NKM!Q0chq@)rlH$+D`TM@Re3mJapb*yjvu
zh})hy+9^wbqk~`7Li|2#k#ZVc##X9r?9xeJ2`E<9PQge5urn^8Hs`O9fhb{2^k^rn
ziuEkXK0BiPoV|6t8EUux9`H%`^NY!V<m@D?Hx=0)v97|s0w3k2`t}O~-ozXr0h^vU
zkh1-8XfmgRvX_B8B>0C61n3mwL5l}ghH5~785jYF@^a*pf`s8j&^g*h4(e=ZPdcf5
zk4PkMEE{#{V)EoLP@q|9zhENHrj=BT+a`qQpt18nXelDj*zT3nht7xo_V>Nn&cHJF
z!yJ=0+x9SsOVLGtlOt!)?S(*|BkF`J@gi~-x}iHvTO&b`E>C*^rvq|OisO53>}Dn=
zwK*9Zc+{YqpX`;dQ3EuhcFQC8A`m>+qgHn6|AxK*LhwyOspt1dVU6VLwZiYTrLJoQ
z(s<p?4)R8;IaXM7x@5g$kim5YwlN>`pdw{6|5Hz^J@p^cG4d#MYvJ*DZGk5q^;w}{
zw<Ei|j)-zT(n%wWBOt|_k}Ms$%F^GJliR{+v9xB6`cSb!L(SZkD8_&yqp3SE;hXTa
zXfvpybBeT8jP)kq;tJy()&R0}wL{UksMCX4T2rr)O*7V;l4)2OKidkoNCBVC_wwxi
zb@F@DM*+me>x`>kRMr!4Zn1Pr+b=|(L9ak);>)?*mtp=@g`mi}MR^)5%`b~%+yb4D
z&omf)ZG$;*Luy@y#l3Ht^=4n*!jwSk+{g-Yd}5y8OO$EnX$4{2EPqvtw^`J<HIqu<
z%8y;QnW4F$RM2QYVl!o8q6fK7;5Z_4){g&`Ow|0bQSUZ0M&W}DKWT*-tQWoj6>Q1a
z|5clENhk4WOppF%j<(X(Z*O*h7eR)i;ut+XUm<#Yc}bx=Cs5fJHV&RF;_*68YZs~3
z#C4<9$Dp7^Zz(X9IkIkogIG@y7BMiA?$5p^?eg6vm`AzoQvnYPa?eiCT@eO50Dgoe
zanlL?(nP^^fe<{q1K|}N$V5D!te_wq^ZhZPQ+Ei=_)<mM&tLiH5L?8CEoI%6RA!TL
zP(Fv@|6pcPOTKr!uuS^3xgL{`k6~r41bByYd`%GEpq3c~gF{;YP=JyjO*K^}pSiVM
z3M(lAv5InVe=z&m2=s6DT!>QM&#gw#CgWxZ>)Mnlr<bwJU#rpG-$uf^M_yn*MR>+W
zn9lrWS@p^rGLj~0WCdoTZ9PU(O#1RBd=>_q>pjOW56?}z%h40Oy;bcu*z8`lu=XxS
zTh^I?csv2vkgn|Id^k8mCYMewyK)93w&#fe6vhm)`s1gcI$G*zkO3FH2#WGwedNuP
z;XEJr0dNSw1^+rPpKUxHf|AEE@aT;)BJPMum&k*~wlo%9_MQEgH^bjCrO=To%ja2%
z{PqZNG>5ATor~irl)Rd{vLe_>tQlR<Kdh2WHpd0*Cl?@B25pp24vu`24y{De>eYMY
zVk%K8ZM5hFiSMqH(Rkq!zbi(cFl?Wv@qnZ9S9p5$On+GAVGa%%ODLw=-<I~wi)}8)
z%R&_X`vpcRVbH_hi}QfCHi0g~y&N_}52jI^FclU{vHKvW)G>4t6MN{5qdfA}=6ma5
z48y73T;?s{yG@jg4d4=vu9G?i@&#C$7Kjvspce3K;EWU;y?{6nm8YsDUR8Gn<G}_s
z-VuuhVQ{9Cg^FD#Of2hk+b}WEy#q}S@bZP2NQQq3o7P1J;uL_eGQl&~i@MGV(g<zq
zKxB#6iLkc-&)eBO=7zo}HBR4Ce+C0N!|Y6w1elwx@6tw@%>z=x9|%+oAgv4l-G4$M
zeyyCbBqx7Pn3<Sc-wXAKkJ$M}N@IcU$fCXfZQk6nJaZPbDlg`Uze7qk=i9ylRNCgU
zjn|#xr$}1#ntrePdJ>H0MS)8XiF9ZlXZ4W;q7HH_<t1OxIF|58@$=^A@WlavgaJBl
zv3-DZzKdPn5+@eA0Dy7<A#LS8Zbb@Ls>h+`I&bCP=1u+x{oCnjRI<;fP&;O#;JpB^
zoTRIVq>`un72a6nXYmICI$n%@2wd36gnNl(Mi8$Cu{sgY&%!D+t0^8_Y*3msIQZs{
z{H*SJ$YDO<T<9E%*>K980KnNcM%`jOLQ@p1{^e5(9q|}ZwJ5ZK*&$JXhhRZ(ahi0B
zBiXpPL6?_#k^w}J?k+A`66?y3pXIeEO)Td<%G2_?VQNILNUT4>_<KD5bwvlQ5kwT6
z)`j5j%3$cI#gB(7?X_q%wWqZ6s^k*OQW9bE!}nD5lZ`vhzp#$!#e&;FqtA-r$r<3o
zG~dG}sO=<+k15P|md*%S3sdb}DalvWO4@aVd5B#PU3q7^LxGqk-PDLp=b}nY80dS}
zvm0|x1%fo?KL5V4;ThjPn}GEW29U!Zuo{M1#MIOa-x^F!h=7KY@RS#!X~eeuC^gl8
zFKlUN_0C<`%N&aXB}R}*a?&}U-^&^9%w}|!w)M+Ctb~yq=3>kBafb$_K^>+joDc&@
zBf;?D==*nx>LcP3sY5vU^yf8?zs{hK)e9>2d)_k{a=;LUn8s_LTZ8)a5B1)EkikX`
z)U1~8a@c|K8MV-ywh;(t;c@5=4{R%?_>^nebq0kS2j(!I(oN2saS*uaq{}e5?U$)b
zC$l2G4w#28o8bR=Yz^Ws6aZ_uHz@tO7}0?kbqj#THcFfUbIWMbi8c_`?{}2-{enyM
z(%Z_>eoBQ9Ao9vKwfrl$m*|2ltJ;ugcHW{t<vcXoLgIV-j&%GVf}&#xCkjw^gm`6(
zJGpR{r>?uwK@$mPR7bGt{lL@vZM4m!Uo1=%VD!M~^!JV8;=LLWZS*6E0V9B0aFP6Q
zltZ7)8x!yuUt`|~&dC_6Um?Dee->zooooD1JFikCx@0rWdc_jasm+f9P0On~x{`S`
zdb5L9GP?{?vUgB6&r?ilRcCgvDt|-4>;eV)<JM>Fa?Mh?aSF%q$&_qjT-CPH9uz%<
z{bhERQKlx)+YTKBN!Y$)MZ@d+0-~<0NgQSS9idn06}Wn9r%_&B8PhhtQ$dntM!GV0
zOz8&1_8o7GLykHCXPy3bAfdY@BlW_7_KpMPM%<gQ>Ma38X@-an4|${}-eF{|VOk$Q
z4slhrqi?EBv{MKfpV6-%P+QqBeQjV=)8At5zd?F=akyG3I$0w!a4;K~Ogn%SU6a*0
zBOP1;mqp9_<_KAB^}l+{7aCG1gh$N$6ltRv>;a&n-t*n61*2tPAk0N*>;wn=HNyB^
z%BOTaS`;U&YDuN)Idkx#&Foqw-ywNSGPC?)oQ9qSMLcd-&UKUB?++79-t(Vq>BxKD
z_JF?|nsL~fF39!hiQ|gcy~4p?ELWiyXaihuS6E?nezvas5Arm1rl$ad*%U<SO=V}G
z`Tnb+#43BOOkL$}?!qZ#lw-UAxYlO*{jzHH8weUF!V1-VQ|dnfJ_QbOZ);7v)(bNJ
z`v6*0MBGw6&5xX5E=&LgRm3$(o-lM$sk!`!2NcGpkKZ@-7f;+?8C$dOG51$sYav4e
zOq>%8?=S{e+9NsbY_90%OxBej;7fV2aMrD}L3ZqGVK{9zpR|azfTyjJARy-dCNvo}
zS-xR*_uYE{pNlMkuJ9S4Huwh%{(?H_7GVB73|&I4SbRaXl8smC_8N)=#N5gL=9&9k
zU~fTKgS57%mP$6DXc?Wu^3bpg-SozWP~BOSt{>&E%&#5S32I<D#cvd^JrRLQK|tRI
zqdKb<bWjS*kvzB-{QA8#V$DzQQ<*QU7ker`J6q&$uY+4xPyR$74vHexGNl;cPgg{9
zAJ)l`?ba?HdMY9#tNQ{AJyrNW8QVkv=QycFOL6^y=kFN85>yjr<gFEGFZ%JZ)e3QM
zd-ymc7Pwo?-wmYd88goPY-|-mLQGYYTi<5{c+_s=vs^eq_eLT0=KA4F<9sUVXX6p3
zrwQ#h9Lsz4O)RKay_W50wb?cEw>r<eYIw1m7Y@0@D`b`JJqL^5RDJw?B~3MaN_oqD
zr1Cyd13DLs1@{k|+sy*eVl%MR-PGEr0p&gr3+`i$PNUZDVgFKiig<e*XY;^+B{3co
zQ?o8nU#SWtX!Twaojm+RUv|jl1wPtP-9EqLkzLXGXfI}FD0w%V({D>fV3tIKjU0&}
zj}Sw+(<COJDxxb;>FQ}_gpTcgbkS*VzTV%^Rfwy0SH6vwXcIEoAu!*o#SiCDWeOQn
zHA*`a>|vlb$H#f89JF*HP^WPCQX)?G)=}aqAM4Ml;M!U<vb;E)AF*Wy2keKn|H@kp
zvC4ho?BoX~s;#%ts>C-zEpn8Pc*ZW~XJ5_7#EQmx)>ietpStkrpUXQu+b{Y$A8-$n
z+H)pz#KI^%?5XlqTUZH<z23cdT%pSBR#?b4_jTQ;ZyXe30jCup#bwoK71E%|u&@OS
zcBm_eonRNx`*Wfi<lY?do<^YY6h!#j8po^O?eg4Q^zcd`irVwm*BYnxSi1gf;Gp;7
z*$}=ks(d2q?wEquBbg961bPje^Dfoh$B?!2v@1Xy-N6y#UW*~Ba$@(bVSY7J%C-1d
z^OL9h+IojsOr|hlx@^L~Dt8|xcYh9Uz;xpEf!aRaqoB(YnXFI<U2h4+!*ZSn=9>dc
zfEv~D0|3f->idP3>ri1i#Zan$(exKwEax)O<BmdZ>|wP81%oHtYTDcsw1N=*C2Q(-
zP(X%4Ik3I5E{lGb385v_*fGmeHlpZx7^NJ@CKEizme2We$vff?JjqGn(3>7>!NHen
z(bC8|J6df7LODSPE8+BUR3!K<2W>XQhad*op>;()t<7r>2||Y0m#-@p=Mt#LZY?>#
z8id48j|I)*QS!^ii`8#DAPFK&sDu}Euh@eF30PeK-VXB^_Ct#S$H7jqG$|M+qhE=v
zDVRk|A$Ka}&QU|rQy6Whd^|c1Eu-z-@L+w@6*<%G;rLP%T?!^AKt!z#lk6`8xu4Zs
z!iclEs=@+0c!ZehJG2lu=ninr>UroQ_%H-5h-geTA#^exstAs6c1yG2Ysoa2m?u)E
z<6rdw<aa%tZ<q!1+RiYf!A`vJ2<7pdTy@sji&0?c#o%&E$l$0^56qjAYsRmRpo}CZ
z#Y`Py0Qvb6iH+!6R%!t`FW;%=ebO+>-I)|@py4U((3`-eG{rT_X=qx4>hfwvEAiyO
z%YXbeq|AH7h1MIU<yw;w0}q7_Y8Cm4YAr^=sWB7w9;`L7saSQi=vc2Nv<zyWTgj|g
zewL~bdtLG-YjSGcqqIC&>H-S+`xwi^sJD5A*FwAj;h5wx39mG>s8ZkTG3#QIu|QcC
zX6gqdMH8LJl){l4C_vYC0f43%-)(SAyc~^(6vkXZDGWCD)JQcBQNdrCEMT7<7&{ls
zn^e1z2d1cBjUemIUtKQs;8zLw*BUZkEYv5<n3$xuT@bIJwP|_6#{I4PQw*Z=A)#y4
zc=WUdZKS!l^2z)7+uI!%jpyt+UHYZCQyZyzcayWkccPnX2%uj2JGs2yyl`x9<|%{~
z@_yDOI{Nw$OOH&|2A8{z`bQWL_QulBo616T&U&=99vtKPyfEA~`zv6WZ%_F%hG!aP
zHf1JlSajnmc&LSFZFH0L>0W~qlbq0Hoj$ik4?RmK9<fxGB8Bpd;zXQITRprT(?qxE
zk1vQ;c|e+VoJXH4Y;0PC%J|#ZGICP#lMDw2&#kPbj|77qa8q>N*hwIMX&Rr)taXj6
z+U3!Ve!}+GQ;l78H-AJX!KN+C=>w6qS|X>4<v<YQS^>6ESvmM|-yp9lzn3=~!^vv=
zca9fxrW6=$AJ5uk1#+=KOF_;BFi~<GFUqphfptzOHj1ln7pbwvPDt94GU-z7{OFgM
zM&!A#(Z(co`wZ_^+N1(BnAk_EgFZ*rk^3dTy<PRsF6cN2%2<xlyh}3)$zyb8P(3wS
zf^)n5T&dHB<u_ecZ7hGB0{T4a8gSA2O!SnLb@NJ|-r=S<Y)nYX#AiK*iHLFX<ASPG
zu3-aNe2?ke(_M-ZWz%AWuyY3yu_p$Z+z8HJed|6}PMNN?BpV;+`x&`M^8^#UtM$Lh
ziPl$6dWr}4d6zknAe-3^uM5>USe5}R;;@>J)awj~UjEYFuWI>Bey$|$WCH~k^>2xr
z7-=QIhi&{|V1c3_v$GdnydHx^iDqo7^us}>*5Da@O2Yt2iT0JCDj2?%r<=761^m43
zJHSA?NZi`xTr4*Ui^S$7f{SpHWx#yz6HHTnjVIJKz&;(}3o|9N5KbP1fKT(>f{+Sn
zsAh}uCNQ+tVwG6`#~;}1)taR4e!*<IkDPG{=33`UtHSc#rpr~k;DwPqG2fWrOKh2$
zFVt$mU*w%W<F=1Uvf>Lmp7;rO`|TDjzYLT+4=+!FtD-<F|F+h0wZQyDmv;wCOomR`
zx!-BkL`nlD`4ZYT<O2XsrOpiK(5l#y0f@4C^A6z}&*^ITe;2UM)8j0#Z(Se0+pm)n
z_A59^L)X79vrDrqIYYQ<p&c3LmOLhlV$udiGdMS-zblTGzK<b3iggw=)zKs{{Pl6|
zPN-$*(B3d{>0+!9oDQ?aeHzUxyGp(#BXLShpHUGixgc_`-YX?Ez4k$-`kmgc(Wi(Q
z8-*9>oK16K^~Qwk(TV%1Ln;er3N^}j$2D0<5E{7|d*B?Q08o<&tspv6@0gxb_2kq_
z;7{TH?l=)O6;+F5qZZlfLt${!DWEHIycn>oX#CL(Hy}lgP?v*k5{Kn#0!<u5&!-tk
zUR}{aMKBZyHo$TOE<|aMB(E{K-bk6!;81~9QwPNZBN`ZlZ0bS^NJ{33Ymw}iK<j?h
z(p+fI!!ue<1pyqCbVk4ewhhBwSu>$w1I#<XA_)%$Y3ob1E;OCGyG?G?onOC!YjBrh
zV42}^$`;OosgwYKlLoZxTfbn8S`Uyruxr^qn8{3Y?#eWwk_Fh!e@SCH`H8Ad*>uox
zQX0hvd@nOZr9LYqtc~2B$t1lP7(YAM$RQ;{IN=nA6pHqZ*zrYCB`YAf2wDU9-R{`b
zH~X32r0u!?Ch{6H2%`D(H^8=T`R8@xLrDo?kH}tDCHzO6zAAofiv8it^{$`R6%@-)
zM;i{|?e60i4osU9hrO$9cbaVy<q)E(Hj$$`J7R+fM`=v<Y0iV9H+FvuZ`9jJLQrWp
zI5)Xm-!LX1ah2(sGZyuESqO6d<3S#pKU}XBe}=w2!&Q-v)GmWOhg7Q>yA^^({kQ{Z
zGyyMc13#W3&0GSR5%3^i{P~8}&~X-?!$q<@iQJZ@-0s8jzi<llaTYDk<Xnkg1XAU4
z;qdV2`umB+Lzc4xI%HOBy8n#a5f2r&J6*l}<Rl|OD3csDu_Qus7xoKtegf6xBE^*V
zr3bU?XD{*=frVe<XKffEgeYnyiuXFx0W)Nv^Mz0C>&D^mT4<#Hd78`FwBLpWa2wBh
zEop<+Rk6pIe^yM5V6pkg@c6|>+Qz&x7E&N^=QqWS`VuB~vwc6Iu50sd78w#9O8i!V
z_N8`1ghKV5HA~h2(K=@(ANWfAU%~6i^If(Qvn8tK&$ts}?(=9D$>AYZ(~(g)f@^ca
z_a6(ML*xuiwVGn(*jf0LJBu}D`~O)f{B2)oi3@6kue{t_=!>M$X~hWHWR%zXrW?Cn
zK`4cnlf7i>uS-0-6RKNP#H>6(g?-$Z?___hX|hNqqqhR$PjlV`&`rk`xkp(&1e~Te
z72kvDH^yR=#XfV8TI=`^hyZ+H<sosb{KkVfrmWct29Sh3oF_ig^G^|undCA3FG4jw
zy7j!}*u_P$lc-@Zi)^Lr<GyTe8g9K0R?2niA)4I<9U0h5+{X;;C3q)=28B9&L@k<p
z#^}*S-@_DbK+Yf{l~0|MDb5iK<(o%PQjDd8?+Jgi*Q<08dh}$fcciz}bMd~B(QgRS
z%E;-@YoSG-*GsCMb|14>(269{NLk3@+}0G}nacKzpih?pl<!+FLURl2C~YmgA4apX
z24=2<+H~G|CNQ0K3?<QbGu019NeiG&Ar0#0axf;Vo%yvgYZrL%HNs-CCaOrsPh3`6
z#d)&M=I#WOnexy-zj+N#!t;yzp)^ZW35>}`Ar7AF+JjvoJqLoxM7yMng!&PHANo*Z
zy#^w~iYIvB1|dlg7G7@%2O4VJpwBkcV+}CwEY2O(nVZm}Jb%*e<g(-EeGGa_4dYqJ
zk{eQ|u>$rZvfZrzEmGO_%&Vu7hQHK=Wen>c<Gf;ryw0I}|E^Kh1Z_^%T3Mg3m`4HC
zh3RWoW=uI<1^l7bU~b7c6mY3@7f9*AaclZ%i1}QQuIU~&grWRfc1Cp9+ehejOiHRM
zVV{DL6lkCl7cQA#kc%EZcCdRi_(D35-1sOrkYkYK4e>+aZLUqMtQJb=6SgA9O9Z0v
zWLoLC2?e93k4(D1({X*i2p|q>D6btRMLAfhJzu85=$sMZh(*}lR%f0ac)$u6X{Gw>
zewlA?-V}#Uk`o5rdEQ@{ho(1Dg<`M^Ae|&VXju=Aa({dT6#5-bZCg=-z{`IwQrAMO
zk`nLUq&``86@ze!CojsCK`zinyo&+vE9f<icnH~ExxkEqLZJl%?8-mc07RC(nlE=<
z6|7<M8k=0U3IuQh3r=MZ(4zVE)z%CY-9sB6DwAt|%r;@p{y@NU!}Lr0xl@i(+f7hO
zpNSX9GOJylC8`9pDcl1TNMl;NHGcA6f?(<#E<op86T|c3QDBYp+p+`8ts>@P3@b%i
zb{(Z%QqcO&4IiP_?fOX_v-8^aBrJfGR!npZt;{M<Wdb4I>>$EP8LV%1^X+Y12aO?q
z3h2aJGFBP0x*W{)FLYe(kQiqKiqgL;hfHtP2X8Aj-uU?opQIX*5&qq}yIk>8sipb{
zur}F~{v1$(Ij(C~3Rs2St&s7qi(<AR@<HwShvmRb9tStNY<kEE711+$G2=2#=EvS^
zyf!?^$bRh9fy}Nx12R1WHqIdeBiamx#*`}#^YY`SLmyZgB@DU6l49z0DC!w#y&`<x
z_8AY0%Y}rK&H>b{Vn4yxx-XwjS}>BKt2u6Xny_xq|1y@Dm0POkM1BR*fcLHv=2E{}
zFdZ@iwIwIlDO^W|piRIMx(@O1bui@jsc`&i%cWhT!ChO?4iGg3#WkqDb%kDQG!PIu
z5b|-Z!xBe^0`m-6BT*ya+lqQFY;stK(4R|SHM^{uA|n9FfAADNEwHB@V<8<i`yfM~
zeo+t6Mk1lZLu}WP1D)_T3lj8Eplb}CUPA8GFa&$0lNz2tOJGzqB~rm1)bbEuZ=FMo
zqRFAHHE9m@Z?!xwQ)a-!W$#Q@A&-WNiQsLD$GhCx>jWqa1;FjKk~=1timG?hmbJ#d
z?Gg?HHI5N9{P&?bQ9u%F4a!6?oD7f!<Y&k7*zBF#An#31i#PNO(SLhH#NLjsJ{t~k
z<8M(zVA8geE-|q#C3TzI>`4+<PVplsO7{N}9jMX^^Dt*k#;N{-ipC&1pODCKarvx1
zLa{lG@wzr)&)A~k7XUsa7z9PH5z9FkUuUn5{c&0ejB9x#$1SJ~ET-WH({l!&JxS=J
z=`Y|{{sL0~ww4d?NVr`z%J8&DGGpw4@bkg{0oyT{pQg_sG`CYtEl@`@N}JA<Idc_`
zK=lpbPPDsZZP_|Lg(qiI?Xg)>I3h4E<=74yz8CXEp5HCS3tl{_h$O+ctsc~%Nsgc$
zrXAVk^0Sq<1xq!Sl!r=b1YEl&(7{bH?J<S9oH9voy?aM+xMo-?Hr$q8MbY}wrfChm
z^|WO@e?yWhh3r8~F<l+}SF1o2bgzaGO5}rmC6+nFSRRl3JGtsoHre7`FD|qGyfWf=
zm@~)vfF)@JAZFxHJ!K)r?pShj*O^W7I3ajs48HQOB}{}yF#4h)55+RnS#`Z&U#H^b
zMsPhJBVDpCPx+y;kujBPl4CkHRKo(po^o~$I>q|HXDs`k=Y2TneXnz}^Jz%P>obzN
zW)nC?(`f>I#KwsxuGMETQCG=dN$U4*E;UfJm$6iF2!e8wHmTj;M9n7LA$z-6Z^{tG
zjL4$@TAFiXQy}t6LTzQN0%dIhbrJ6T5loRTQ-Xf~e#Q%y?#sp#p~E^fS)$*p^7zdi
z%fo|W>s5md_y|fF@`3wa)UdMCOT^~`XjJiZp(O1^h_enkL-?6-J=qyjpr}TuJF^1#
zvTZBoeMNXa<<T=EtunyyrAhlcQmca?uM*96&V_^n^LlLp(F0~@iUn?n@j~UtPm>V~
zkj4ZoGea56oG4q;BV7>%x|GqvSLWqW0FAG5a>5=eH9yK1{U_43!2wi6Z#1c;tu88=
z*A&RtqSM5;annhm#e4MY9Zk!4*<Q@i19?d*!oWj;{00fWp{uj3yyoWhDno1hU_R38
zsBEx4ThN4|RutnmHzo*08#oI2o4$s&E%QJD%Y2d^leM<kZatDwq$EP!45#*&E_S&<
zaVV!HR2St6#ULePGyne!fD&InFMCS_@&{rr>!Xlkrs*oI2l?LqTDu|C2i8ECS#?J~
ztxrDIGB6l>LC{fF=rJXND&n)NrG%Qwc6JCL9oWfE+C>Pi^Eb{zlqhXE@(+@LGdklx
zC=OBc2%!0XE=0d~)EwcF(wUeKPzQnk+?&yZ{|>_a1fPzy@4bXdhoT&|O-$P&@qJ^7
z=n29f!-EL*;(kW&u3F;9HPB98D?Oz)(FeT0X7S>BlN_=&8-eJ1+1BAx4bNfgZFKw8
zaJ@Yl7$yl&fbY_oZL#rwTS?Fc2jGc8B!2?qZAECKe(SyWmG}&%X*xTua$aiik^am?
zidD-sTOwyT$dL^{w?<**^$N4#)(+TAd04(ivXRcB0J*wcPIfTesn=}1>)g#4NQRVX
z=W*O2W!~U!EqH<O18^KWq5fu#O}N@awwuhz&4`fqI|ME*+;YY9X9?knQQui5temKf
zM>e=v3jMlpROE4~4GXEI-}I!`ue~Uedom1K4E_zlcYpOPCBglvC~1>68d~UpKmSHm
z6Ppo&!u;3>U}9wIa;5yGe9K->y2oZB7!ZuYhfx`vxyD}0cwE=VAuDtJ6d7OKleFt>
zf9v)9uuplo%=0bX*^Tu9iE^x4{3o>QAX9c|Jp=ZLPUICUCxB3dQzG$om8Y%YIu;N^
zh#Q(}_0v;bi`MVGKXVQ(bU05pqimGg7zyXF$jC!MoGiF=Vzx{XKhVkqbcCw^Co%Ku
zv?F{Cl<5%1<n2j|UL7qnH8(LEi~JEWm7D(eq9O|KfMi=P3>q$gE_#9Wvp&A$ULsd5
zh0UCp1yP}~88jL=aLa^<WE)7ajv%$079ayeGU{}R==J3~rbbGaaYx*o9wQZ*>HFsV
zvxpVd<{+x9^Jq#PHx)ACNLXAe9YG$#o;krjMUz!#Z|2IQhavG$p!B$lBA#Xn`(8TR
zayF{yUFIzOHhiB3dzNoF*WnpgnSoAYnAsifki1jwML-5+4pXDM;CKw6k0=QRJ9<O7
zL2%HQh3RNH4|@pi7{3rU`GiZetd<gL@$fu|H&uog-o7dQh*QGfvS7!cUjd2E+}9|T
z&Cv2h<!Ceqy5Y};2y|Sh)-m)(4{Es?8<Yt^Hp=9E-3wj(OCH*j&hOPG(*I6I#KfZ&
zf|tfGRN(70`zeOpVn+wiC5b_GbmZZL@Ae2w&oJTeK^*JR5VsOKa~uZ}S?UrErc~(?
zq+0Q{o#$79=B;F3ta;9cgqOz62X<H0l@`&uxZgMG*h?}lVYIR`uF3hHG!<viWl{si
zAm&S8Wp(GUuPIo*rnzF9p?+NQUg_ur9FgE$MSd|i9NU<=y15%qz2Kr|kXm7G9p>G_
za@~(PdWI6~9MEEQ^q<JS_Xi@$G2%CY{TaOI#&3l<)^f4OffD)h6eB0e)0?*kS6BW=
zGQN&-H!1<e{FQ9gSoGUT@qW?W0S5y3{V6DYO&rC}62*hpi0s)igSTke!)d^TE^OnD
za1;r(T>NYGIi!^yfOO}Bp8GqriW?B<5K!M*5gU`OY76qvH2+M-6QC&+Z6ZDq(2s~C
zlQ&N{klhZciM6*;1INhsFA5Pukax&y$%!=W$YQY9_<@+;mzKxLD10A>J)HAgy_4Yk
z>9=&rMhs6iX7TK`!dbWWX``~5&z_X}ENimdCiHIC#@yPCYc~@gNur~EO0!*V3ZDr<
zUd*h1D23=Gxj0bg0&uT6xgukt7N1^R*{6(Qn)tf5JzNk<hrs$!&UC01X5^F>?5!gN
zcdSREv;GQ(%Lpe?tV(mZA};=;cML|`xT^ourwS4Ji;usXd96T!+!!y?NlKNP3n3kq
z9xU&N(0>j@QT=sV%$nj!rab%?Y{$_UiXq*9pFJ}$LN}%LD&eAVyP3HAxhkq|RDH@u
zF>Z1;*Q;LiYH{MNskj}*Hp7LTKM`0cri=W%Xty9w+dStDlc4*&B!rw+73v|mO=2H)
zLgV}l6TC@fmW^p&CoEwth8EMDc1FkbrFuuPGT#t{m-zW)<>%m)GL?7YeO9R*6nz3h
zZeD+{=XY)sjNw()c4x7al#C>=hqUVj(L&KJDhcrPz=$QWidfsLnH1oEAqw_b2>Vq1
bQk8jX^xsLsh$-9+i~zz|5?Y_BDsv!b-PFa=

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio.v
new file mode 100644
index 0000000000000000000000000000000000000000..a4c0ec90152aa26782fb581c0dd6b56f6502b822
GIT binary patch
literal 16952
zcmV(zK<2-T6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M277p5$zSkoM#G>#x2`40$BPp^<^H>L^L
zfKUQ5^l_@URb=c{^J1^px{FeVh(TZ<1UM$O$hX1;xoo#~`3$3FmR4})+4~H<dv4^u
z)c}OZpP1r*zCp=BOI>Si2)dQM01^W6y0$?;kd!COST2?ydiqL@#-@5SBI?>5?ZAs{
z_Cq@hJv4|;wQ%}R{be*;+)mHV+40bc@xNO*D79!Bb2;T@!W2gQ!_ZY$M9t6U=lPXE
zVjc7)Hx2VQ%>zCdUzg;#GJX`By<v8E4M6(Mt^clLTmEI`Z?``>G836eX(z*yT||3U
za_5rAmf1QX1EO|xAw3HWI!1(3$jxr{xHX#2#cW)#^z%#?V6t#ix!e6`Ca)9xqD?$V
zSeKj37p`k^TXp#SK%4c+n()$p2q>pY_RHgIy)1Dw@6w?gwjEGD=kU6%Z0gv1vM!pA
zw@K?I*xms+US6%5MPwDyE~=7kQxE+A7(HyO*FI|AdUcUXfJa*F<*#GM)yDwNGfqf3
zoZX7XMA!0G8mLV`1qietnv3<FK*W|XlhSm*n{V9UcU)4f68au|y<@`;$!_{St#VMN
z26j4?!s~yNzy?ueg~G|M^#eRiV0ZNE<|t_e!%N-I9(Bd3?vr#3e;~Y%Ybksw$<rz~
zN~AB=48Oq#RNKDj`2MKtyyoYB;*XUU0o<iiOiwN$|CdaJ;Gdzh9>A^PQCggQQ+F%P
z-WYHPq#KYvM@@Lub+v;&zGGa6#R=Fe-$5M%$(^j}Ll@8=H$Ls(?<Wv8xK`h6xDKLm
zB#e<hP=}p|$<-Da4ndcucrNvBk$!{bMv{d$#7Ww=)5-f15zZK0?KvhoBtdc6nV0?V
zghzectar$XlHq^j`R`9lpOUyc$P=oQw&lRW{j}dKQy=hWQy<z-d4K3F@=Mc&wiUox
zrRi>fHV-+cU3epKO&gkZJmjEIL6)|u24Rk|#A(o>UTT)oKY;7*&?@OCpHa`ff}9vM
zGY{ZJT1JG<*Ez@|^%2`A!@zo$1q=)5+d7tu{$L*338?MN+55+;f7iv1{PHCf%v$(A
zl3+Frh&JHdWZ_n~a@oE8G45cB2WIToN!KK}T-zBb@1ZVFFaL-QYV^DQzL2y|DvC%=
znDom@BQ-WABmzYLAok3k1`lLRTVFuBzbWwv+G0|MO|qAIzq|S`1=aU4zVcCl3ZZki
z9wIEfBLID@$YAZMQ$)Rh3K54OkinmcR9;so*=RW}2YK)CeLoH+X8-Y$mT+x$q5a7)
z<|{tTuD(XNR9o1M!pbfSR^EN`DS`n_2E#0H^X%Uj(7q;|Kkt<{tV_WFt-hnh$@=1a
zw62L_0FKGR@NlRD&hfcQjWLZOzK}@KB3U{<l7cWx7h)o~X}C7-2PU>rqHQu@HYxO1
z{ge>dD?Jse2ZMEa(W$_x?cMkA26!anN<*(+8bY&o7}gzg4*M+1iFJgao9a+H3vNXb
zLnTS$VD@1GFyR#oA*^qArxpNyG@8RLJf7OG9Y{R^q&52<z_?IsfL>)t{#RNmLh3%F
zn5RaZ;~l6ePsrj?2&oFrBq)m$_cJ1!fNLvWrDI>05}!UvsZD%yW4jW(L1dwdrWWgM
z(ne<eM($lay)L~ka;t{Wiqy(#2BTo)Lt`Ul5vh$<G(8@eM>QFUrY6-i(gvGezIg{8
zbbGStf0xc&wxogs9n)!{H`72oAinun)PUi?#+wjoB=?Sd#7{S17Ld(l^5V5C?EH0D
zmmC?)c?L}n`j;+{c6JqIEL3AvQO0xFLTlS=@e*ja1*X>@rl%3sG6U9dA`3W6xaSoi
zm<sJN;)s+FMQ_@3))`D?5S;ZhttpaYc-ZOArbSK@UlEx>vm>|dNRb&n&QUX}ZZnHf
z3sh$be$=iABUXbWBffow*(VsljpB`N3A%pH%WLQ90_lpLxdZz_E#yxealSSJml@93
zX2G5;P*3q+>G;UHx~hLb;d4`Mb%(SgCfm+3st+KpK#<Kk>2ut4W3Mc>W7XPij!{?Y
ztzs+44O(|sgBCOBe1OIQ5%oc~W|vwLDka(pvON>=daKiPBaG!|@=3WHyRO3L7x6Wb
zM<O7qRK2RC-m1-$ejd$Y#!Mf}@E#+wI-m1gOC1ga0fmQs8u+|v?sxEL8<Ah}wRSoF
z-M@saN3hSMGuV`C9Eexnmu7`SKBiND-T|=)P&YSf4PA+#T`u68Pj7wuWaLk8TJ~Kh
z{WBQ!gv0AaFN$b7PVXE72^ipjNu$2Z-8KumzU9fu-F)T+bG<@;Xhk)WO(4eqQ$(Cb
z{ibW>0d#~R34ro77WjqutS#yH?c{vb1+YAnZ0e&0z?+M=SVSkc${T0?Givx(3)sxt
zz$V2KLBL$xQ7J1V0$8D`^UD`aph{_1)3vS>^;StWMBU+wwH)VY$vc*z%{xx3GR-_?
z<~)6VqhV=v$P=S2Txwa*1jMpeLiFdl&rZ)!=TSo&3Au$@+D^7yu*^^aBks~0b`q$c
zJCuwi{$ixqBU4zbt!QE$jJ^72D68OXTbZFS`R?-W`0ckJOf(H`5%g+pT_2nRJB<xH
zqd?A>zCd@${Pfq#<?`Ct{4KePaeSip-(O?TN=QGGrs1b{uP3PnWY8AAqQYM`eARee
zMbmg9d=W4fSSYkOoN)>^ur}TimJW3#3rA2yOU9-fGy^-Fi-b4$YJT_IzHJGHf~n0T
z+EP-?V_?60r}cPE-sv#QF<#y&jfGjHDM5f)|7IUO=yuxmin%`pXw1I;wWF@QwtIvs
zg3T98|8nh^M|xYD`hMGt>*x`~F4HDjfHKo?s4yAn$jcu+pZlCc@oVtzb@wA0a;8L?
zDWeLTG_77!j+$jzCMk0$bp_kn-}kEG3UNjijTF}7(CHj7!Qxh}bc0xwbGI^GBj-Ej
z63()shO&scclCfxStFH*WYdV$26s*zYsf0#x)XEB^Jk+WhJy^q1;@&cI_DfOlwR)n
zPr)niIyde;d4ss*pmJx&q<y{*hn|{QN}Z4%MKbH{Q0#L)5$wMz(f<XH45XN$7h!h`
zx7gR__GnjPH74#80sD&~2A13EsbE>KHhxO&RuvAk3qgfVJVWft486M1@Xsy0d^UFl
z#*KK8CH2d$gx@g%8Ky3FOo&|2lZ!%7H8P!t4<wH5++K|w4I8hu<^ii?P)>wn*SsBW
zqu8VrMUsICmJO0BJLtx1Jt#5SfUly)Ccec29>1{RUo%9~oZh|h0~Tj9<pd$`TZ<3(
zj`#8251ET?SU~ZM=#a{Lf2}g*tat0Zm<JD^;fF<&qLpy|OC%Nc13p}3-hG-On7|t@
ztw_eg;@FieRtXVuQm(x-N8}|Wx(JtRIm`c4wIR6p0Yt9*)vOHmZOS2lQGPfLM{-$i
zp4{zj1vXc??k=wz(<u>?x~)~sK|SsNp&wlaZxg;nI<$elv`2?>uK5_QM1XbSkoiL6
zBKtiR{K#}at=&8KcwGn+ibd$d50gw2MnqH|{{&(asWbzQffB(|FA!C=eL=mbwe8qO
z^hRiYuL4q|0Rp;FZ6#%y$RZWgh;gwKCqW$p!ddRoc^XxcuAx=Gd)*1yS(c1jX$QS#
z!H35zf@E)IrfzjkR+6J!1CIW<ZH6y>4XDZd4=~<xJTzO%Aj?DNf}z#{C&>#S0wxLS
znyu0u%IKu_I#^O#b)5|)#BZ0N^wm-euF@RZJkw%_x(ei973naSEMDt`w<vK8yd~3C
z-u%RVf+1<TY2xIg|5!!iEie8?sr;#moEIDisa2R1x`OcPG@7g>4LLThl|#8uY*f+f
zhXMI@GliWSISf2N<pu@ydyrn!z~2gq3U1>O=5;m&*`#-)?#9LCV<X=Q{>HLA#5JxL
znLc!;{8n2VA$qSJjME%LyE1)6Os6rf=Ed5`Q<twEX*)cJ!JA+y9n{wCXx(mWBu$ex
zWn|R1xN}K8)t50dM{3rX&pIM8bQ42xMm(OA1}^g|a@`;}v|#rMtpT=b9&C`cp?;`T
z*2S`;FX!&P;P(2n$@RcgaV9TVmAA#aht$RQCu77uWVfiZtBO^A$UKn<eZvb0lSWsD
zJ_Q57xet-5+L|$8428$zEoqJZUL=PTj{>9WrM3x|p%YZeVE;pssPJ7rp~Psbx!NO`
zZhENhbFs~(Sj*pMriB0Rr*?K2CA)v}`PMkqRz%%5ZF*fiVlrZs7v*a+_rphF5nt$#
z-im)Veyy5m?Ii_^y1MO>Yo=Bbh9ra`=qroSa%v{Lj9io=J=<0OWn;dgfj%V@xG+wF
z*Z|U~2|Sp=OQT>xBL9O)LTycOF#JMjC6fNhcUFYq8jo4k?B?M-wkC4{ns2FXJa_X4
zZ}gTkCzOi}LQ*qX3Q?`Inyu90=PzB6&&p?Q79O%e-)ewj17e-NzQtt>Wod5K{hw>f
zK+(6<xbj;(rOtrRYxGNiz|!l(SECO(SlB(+!Yt1^I=5p30i~I0cDk4qe}^H7oFp0E
z_-MVR(Q=;hTo9A6MG=o^Y5zeWbvI!|&X}IuXzpc8tuy>YH@Sw1Lbd!$e91IDxa$~x
z{M(i(z$Su;`|9t8q$uLaG#T}(G_QCoh4=!OXN>8pAvDc6QYo7%auTY)^%5h`0|ycv
zNY)CCI)LVa*_~^6@4|o^*`PP=er8_eCDUdd=44HHSJPKf+)K(qmG@+5a9M!i#Q~_k
z_G!pq!Jn*CfSb~{0w@fcS3_P(k6X}JYHR#ccS}?d_yvDEjT5@7-;!DzTSj`q1n0FZ
zHh*OLvt&wxo;Q_dN1e!jNT)2mR*%?X0PN}F_4IyH))mB*)@AM%o^LdGLC>Jd$v}{8
zJ(uX}ixm?(r(})Zb?c1tJ^Tb)6+10}S=>o#g!H#X;o;{}W4r)TbJg1<BUiDN?_ZQu
zCbtC5gTDDB;y>U6B8*sGxHU2ck$b0iQP++q29Tk^`39;Nxj}`ZMZ*Q%;(eD@5Lp`i
zP+ln|-P0kWz)74Y=H;As(N}a_Kg?pN;dy<IE$<P7p>$+s#p(w+V&=jQ5zKAMekNu_
zKTvFnXu~%8UYQaTKduCB?BQmcVl;=b>`FOlQ?x;(8?ECk+umIoBx^7?Q9W=T0!q81
z(mY(L_LGWr)W75%xbrc<JLks4D3l9qYQ9(lgxgT*i}fmktnI*&SezbRe^xJ;m&8ZW
z*gfRp+@_SA8HY!KiwxEP>o5JD(PcuaNZQM)%dnEZvUDjUVZWGk*D>-SCCYGCuESvF
z+uAzN=Xe`@)Ycdkn`KF5?ODjk6mC@;rZ_#EXD$O(*f7z*sS7?MiF@ZHe$RUP^REV+
zS$L*{Tk|M?B5;e!?oC2n*yDY79_X4XDlW&0d6VSTXh&1Fg>fMn35hOE=f!IwMCke)
ztVOB#m+)A%Q$$xd_z9oTFvsNXa$l64Qr-C)evl<#A@MQ8haPq1L0t4s(|v3`?N65f
zP-Lu)+|z@Wtgcu_b^j8URQp3hyfO8`|2Ulc<l&I)RRJM>d2Uo|c%)xopSK9)s5iES
zkv0F-ssC=C4+y@lr)X8fXLT~Ql}D0hwO{0QOA(0Gno4#Ec<7RbU)Id(Oy$O0AhuNg
zqVG;2r3+U-A_%YL^`m;tuc-Kc*os~?)FD`<!MG_A>uO^8uXz|){XO5)*s~F<OXNIQ
zK68djZBX0()sAb{XFSpKPf6hwZL`VD=yTjI)w61eHA0=Fc=5NM>CoOc_vs3Ij_Bl7
zUIMq3pWR$mv4%mYW$Gs%K)irP$_U-ZrEEFZ5x--y?wY1X2`tMrle`mDBQ9jOpw=mw
zG0m9bkQ0_)gk-!}FOr7Vcu(*`@AxzMl50$?1$DEiG9z)1C@eYB8qqvFIf2UmMprkm
z-~<cpS2O&7T$-P-xrd%C%E;mPHh_g~@SSCmM4+7N;dd()gPU1_)ZjZkR_h-si?%<w
z(D!EZWg*+T`45VjPfe-H#tE`P81bIuPe=Cf?8R!I6DJZGlhT;wjaz+{=N6|0-NVN-
zZis8_K8mqX(Ly7G=)!V5?PK<QnR(7~FCuSWlP}xf9Be#8c8DQ6%#?{h<%h=Vd;j6U
z2C3@$+G|O4E{3Wkax4Gj25fsfWvN;K`R#v{<qcpd(UoXMNQf?Vn`0-d70`+{Yrz=l
z35e>{0gVL2?O_01KX@Iw%?o}}%+H%%PM^PZr3j9;-Ajb&lQ8fv=;BtRkG<gV#w0*~
zpQ}C6oBGK(f9Y&&TT}K{@?P%Mj@vzJec6M)jq1;ky8`LB-fqzDCOszGbHT6P#jc;s
z^JyYDnZ84cXaV4oeW??GOfWmxgJU)4H*dtuG*67$PA@#l$N#o=)=ii!qcjJE2XS%?
za@Le%28=TXP}5Eg(owgq==Dn5Vg1<~4%(LwES0Fdutxs|?-7zIj~JoUg!Kk!hXdnb
zD?oqckXzKCl6`&9N8F*iQB5E%Y=_#x0Ko5$trGhKYAHFq$u26~q+aB>KJwWXU)L8k
zuLbv<Tf!JP{%K0V;Hy$0qS9rUu!_1r5N+YszR&6fcrpZj3r5&s>31Tj7&>7kEt1mR
zq-g&LHLHrArxKadYmZsw{IP_Q3@+psnkW9wg(QxeBn1%uGnI)8zGQczm$E+P`cIX-
zSMH3>!U0ZOa%feJfR^O6epNed?a`%fJM{qR^MWnerb0h7l4O3rgnmzs;eAGE7oMC^
z5av_EJ&f94c!O?)8h&z_H28ZPFzm;<hRrU8yt~56rK_+#`Wtrkw+%YUgIbeHo+2*K
z_Cw4P^I*ICmW?~ieX7{-NR3rJFcs>`6|XyGZ#Z*U90~v|JE+~vMsZH+dsh<^b$iR?
zFCG7iW~E{mbxI!|#{ZkP($gm9Y(+D_6&Q$E<jWVNd@&>&8fpcZTYL2*u-!ud24b$!
zaV*53BK}%Wv_oXKD$6VGn_T2zglP++`Fj~k)3_egbedzbZUn=W^AR2R&8XFRA?J`v
zYn`VjQ<@$@VBheB2oG;i8Y|j49j>|wK@8<O#x)~|rE+kv>d)mV)vNzO^iB#?kk}g8
zc%DqhAs9e_+r$QQbAx*vi#d;xZk6p$e*WXwjeBU9LGHVlBE#C5dM37U#XWA){uNb{
z^HIaT4D5u2s+lXw5~z);(SCRTv`XR3mlU;%o>RlQ;;i_;S#)40S^AA)j}Apk+ufHM
zzL-h*@ejjB+@w#xM%NLZ3D!_Tk(JYu1sJ*aSZ{7USlKRYlzV#GJ~Q?g0R4I6TX})H
zI^8pzm}F+>UbrjKRm}s`jc84)Y`h<|^=1*K@q=Q7a8MLkeOR(;YD(ZjP99~(0LsVk
zv869Z1e%b9S5oF?;2ME88$Fe&nmCQFqts!~yZ498ymXX;M@K+Ke_<7(oM)NhKd0(L
zu@FMvdzF~t?Rf7V#cx>>kq4zn8z27wvhWZ>Xp{p`BajF=Xr_M=_q2)GEmqnRsrLVA
zqWCji++;Z>yBc}=c-%Wx*sM#yFVKWUW4NZI3NT!Ds!h&_%UtdV=Oo#EFpX_I2Q-jp
zA|EulFAgjbT^#1`S<hzAbkc9MfP0oEAHo2DktKOw&|yy8V>!Y#ZFTOtDv4WCwtwJ5
zilaTg!u(InaHOt$Pb%gwDjemtmyZ(&kK*&s52M(^?tkCjwRJ;G{DGZE=}K_C@aJJ}
zQpB5gvmmhDhNYM2(NlEgoypG7ba=k<4@8$ZRi{0uzLZq}2{H1oT??w}$P<xK;QK&*
zzvZoSObeX`nq4g81!Lgodgom?Wy^yC7FHwbUw-OX(MOw>7lk`@_3!VLohAIxL9SpE
zB8pW*j8l&(P^UO1Q&h(XB4~b-c&zZ|4=OgKyS{&qOygA@8{CplIM<KUC!J%dCcJ06
z1#dsbw^f{3m)?3TMsZr%G$jkFRiR7PqyS?8+5-mK@b9^<RepMz%}}S=j?Q9;eQ*k7
z35Hhw73$>W0Uc_7O7UR2_aby<UYa{^atm`C$@~Z@xHAah+nB<Oy>e^6tQRsOG30<^
z730p~)N-X6^qZp{V4HrOHXTQ-@w9!0IVB~SuoOB2(i0Z;FISVNB|lE9vxg%cwk<i&
z6&@JG!GkZTg-2xKL%ew3uK*GCN;ww~4vVdHrkdV;l|cf9VO<M7x}Zl7B}H5m>{~aG
zsUCN#RXB8d;YrKXI{c7QffV}f!P+bNhch`jE-GDP=OKVv3m%&$vUdfLa^#8gT7CXw
zbL<>eMQ^LO9}19lYPimp@P1<cwxt2GV?H0}2_;@cJSNV}%Y=_q_#%exYSCLriS_r)
z;!jI2ZVe=^l6A0e?5R?rH|Q}ub4(BX{LR{Ix)r%(P<H!?>vi=j7a@(@ltpVIKDMU2
z^$$Sv8Riif)q6N<L0r1mNcc#G%e6a942LOxJMs<`T>uUOp@mILuOyZ;k3J0gN|}Ov
z2?+(+(Slikq7J`OY5C-_h6qYFQxo%ExrkATlMP@*HnpF=&s3xXsgRA7u5t>_@~)EM
zPsbFbwqAo{$A88aN`9{y2E}rLdGs9eLd4qZ+o=_1o|gyU;#p;1kmzBWU@MyADLBEf
zU!xhJO$cLeLYC>lx&<gKD-pcCMo9`Jqh4Tn$=2chV+J-vp268+%avbtyV4xAu^HL4
zKLuFsSUH}d(u+Y>6Db$<Y4w`n0uO7#x1g6@cT~s&S-3TIj5hWc{>_u4J<Ipfx#Co{
zr=0S%5&G1KCOo*d7uV@?#_=JWw#>;tho;0=DjkmdY*PkkI7u>fZG++c=#w?{uSo6=
zs!K1Sd}_p9=msh!;o~U%ff+Nup>c`Y)TT8mDg!*#g3YbBWpz|Jt*P((0J3@Z2}UoM
zc3Ke_+FCE1U|MC3dQRjg)N^^K9uyPNawYVe8#rVaH=r1M0puYWu&@mRzH$%M6Z5*`
z>S{k4<_MuV(7pZzV1sX&lht%Kq+Z5w$c1bKzk_z{e#B=Y5`NxcjDZ>0s8d^RH|wp6
z9-xsJs1M3NC@4XMvIJpd;J1Vazw$&LiVX>HpOQx1Cctu=IYJ!n1#a<;N>+g2q?<Aw
z9|X5z<xT~94D&sfJBjlVdP`95AQ#yIO7Gp*$i9XpP499c4F%B$$Wpvv5FwswfA*zx
zq{7In-wT3}W5>!5z|sB@2Z@`2=j*P|q3-<7%K*d=Q1K7l$%2ai`#4AR!lv_Q0nZGX
zzMFsW2Tk>GWFcS^uLx2<H#ZbO=wL67=OI?xnJ#z{)u6F1)d5Zb%4Ms6<xfsWfRel3
z@ue8ZCt86i5}iq4i;e61;NRFV+;j2A1LC*L#I5S5nX)ZP=4MsgLi5>6ldf(p86OF?
za1y7U?zI8A<g`!4Hg4lgEjskc3RJ0*MNWu!A$-9cnaE9`a-%5J>i-$fVx(Xvec&-%
zqJ&j}8}cyd+md}7+)v6IOuAHRFC>Uw$$GbeGu~1t9tf&EL-`6{<3ATjB4RJJFg|s3
ziRszylkr2d@5?T@d(;42z<a6uZ;7_b?mF})*cZAE8~1zUd2CO5^h-w)E8{WJaGN^B
zy>$o8kbui955r;O0ZEVViH#`Xq!q0}7DgD3P-~($!%#+ic-e+>1oI-4apQ+pH}<Wg
z@ANp<AG<u|-s=leQeKqI=i~i>02PuHDv$wV)|;aO9ast`uMcxm%8+On&ELT3etB_w
z=@g|eY=C>~Y^pzBHjIZ4$<uUXo9^V2M@OhO4Rt%Yel8?N4ktKZxgfHZJJIq^izW&g
zZsaf<a4PEyDGiimKv6K{sIVD+4+dkogRl^P16_ELCW5wMed4zdaZ&~5z`?32n4Zfz
zpXgp%R-#xtshb3~b69%xOuqy!Vsq1Hc!vdt4%t;%T%tQD35ZOXGkMb;nQ`pJ1ao!T
zy~G8M**ts4`qBn`Psf%nPjvP`RpG*+o-;c>yFVgj2yM^_wwmaDPcF(yTt6K`<Vp2l
zykysa1v0fRaTc6ul&w{Y@SDTGP>D2-K-y!5seke<OYxi&SacCJ%Gbo=mSiK0UIP<J
z&F^$^x;&S7Q;LUvM?`VKETxbd4^d(BiF-$X$o=yI&=Pc`tGF8j$QH*4ko!e3*%r3j
zsG!hE2y{eTP%Z&wC>{VPYxXfB+n7MEK=;RyC>*%-jcN8DoV3h62>1ugD1YQ>R-Zpd
zPW)rO=7IkH&p$GB+S~hsW6{Z!24*Wj8wZ4%WA+;0O&W*n^f^Eu4T-@Y&>~bb?sKts
zA(VPsr4e1rZ97@kMADi#O0hx;dvYl;^i@*tS4Rpc@~>SFcYP;S9EkL%p_IzAtX=o!
z7=*|Tn`na&9czpk_w0T;5Fr<FU`1~9qAAn?WaWGc(gCIZ_uhD<Z6<D%J^E!Q)~p($
z{1}mVf`nC0p7bFMkUrwZbn*W-7VQlJ%vVc?pD)wW=-0jIs$+rK&lN@PchC2P<S^6;
z^Q<7LBG*M%hWZi@;}Qe)5Ba%Eq$UN$p0XEm6RoJnK0Xp5gi4;gHjUcX>dV<EYJZiD
z)<~Go!z7hWd@sdN5hz@7t<s>^j=r0ClZdou8fxW0MP;nIZ|&#|f~bBIWsa;?OfgZH
z1qe#4%>{l1n1k@e_TRwVMKFsqBcxc&Jxu@vOid7G<J<Tln0M`M7wyr+u$W%jO^n-9
z_eK@=sQ=>8`5AB=hyvdPtL+F{4x#x}a7*~@Zbgtyu)sMT2KnxYKJ8ElTk$x574i04
zrqxKRPCEGRsk4%K2ghU(E5Mmbz}{Ghep`E>Ol;h_Kn0ZCDc?2cIG_)m?`k#c5MXIR
ztAUKAEAVp*Ou<)`)<;{mY|dPc0Vdgz+mDg^HoUE|3u7m9L$NX^`9qLK{xcHUn9l5v
zjvW<U$f=DnV+EJWA@^tLItNZq%zwy;rfdabV*nDv)B}IHD0}@696jO-@!*oFxDXZd
zM<`{Ns#tJJoSsqCFS(P+mHcY>T-><Q104VY>oIw#uE$f{=^)x9I~}s{r-3As_mx3&
z8jsCNeYmPq4Ct({F>NAgYs=638AtU&OuF2Wk0da-1Ylr(A7&KoA~<RRA^fF&GuxCN
zt$(p~Nt@&sE*E;G2inYFohgk^U2#q*NVgnh%L1$OVPZSn^>T14&n|z5gkftdST<e0
z!0PBz(X1YC*7Y8aZgC?Ii>L~Yhx&0j76RR?GG9%h_sy{7JtuFpCk|_~xpV<}-<ah?
zV_c)B!`%KT{rqZ+Cig3Fx&U}a(S+?u;+>mjT&9zh8m^zOD_fNVh{eL5qZl6t5g~c5
ziN&lWGp!cXGe^?jvtw0)C0uztg-A`Y1m})$S!s@MWzE}pa7#!%-1Aa&0BRE}y>`O>
z0`^hXI~lv@e9kY$#h;AWVZlVD{V|IZ^bAw|NOsQJPYT*+>QNZmU7ude1%cvd-07Pl
zL~BvqtAWpV#_+}*a_*%lz?0zA+2CmH?k;7Pt&7D}fxvr2XY#<y5<IzsOO}t|DB3PP
z$+AQizXt7I>w=yT%!h!qbN(s^aZuUV;uVU5DzXac^UR*gL?kI=?;7;FQF8p{>u_nh
zi!A%uwY3DG<e{AjLG3sj6UfO^DRVeA21_eZpU8KR4`|UO>&W{J&qe;`XMylN&7lEC
ziASAXTE<)CxN=AloJ!rkEY@isQPJ!!-v%-Vl?v#~d>c1Q<nZhoJwO}YJl>$ewZHwA
zA;>KCj3r7XiEf6A<x>2#3+y-e#7H_jBrl_hFV7=qY}>!mMZ>-p0B<p!GTwW{h2cD$
zD2$S(mt$A>`6jaOtnW|Qop1^Ll~<IrkSB5j1K&k+i?j!Y^W=N8mpS0a_n9it8$^GO
z)m=q8WDk=5_P*OMhm458V?NF2<i)(LSK^^o18Q%z?aP9yz1UKACdgmwqTiIMB+b&P
z5Np#c#_@-k-|x>K_r1wMPVhPfk60@AFb=uATz9dSm|b~MP7(%edkUR7E<ZeWIXjE%
zoJ250D?ym^7xm!1-)&cQpvXAnE-d*PaFY5xln3gSi+ux;?SMa#SLG^+#@U79<E<-6
zdj+_vlq#%axIVgH&+KV7TkRBED+cca-tCZ+8$y~0n3&q*@{RH?p}4MX$KN89=4_Fd
z7cC&AG7MR|D`yXG2s-!8-`sCd`hWc{W&lB8y)%*tZrZPl(gu*g%h@RM?LYr-AEOpI
zaEAeonzn*8JYv57Lcuigy7BQ_&Jpv#9hUwJkU~flYbtZu8^l!}XG-QSI;zpnuCYtQ
zWL$)1D<i&02~F`f^zf0O{|Sf`Q|{Xp5vhFl7Dzb^4mtgAiiP39IplBaY>f+CFr$?8
z2obWhT9jXV6xr`*oCEhJ)+hHYdkJp1i24Pg*-c2PA!gjbBZl68I39rZj=VHSOyMxH
z{Ore;4C?(FV1+O|ZdVHdOQ>D(2|d^~3M<ZbNSq7dSAhS5;+O2NhKIaQpB<GmX0q+P
z^`6NN726-=U=DhBid(<p3G{Qm$QADv&89s$HYWS@lWGYb<<g(!O>n#Alj~EBqznvV
z0uK7N2NV3yU4-SQra`RBw9Il*vXqqksh%2!JOO7hbZx>9S-uHaa(m(yLf;vf8-*@h
z#g(^7km4IFI>q1f9~ifu@hXP}_ok32hSKe!f12$k$SA&{$Rc0o0j@{YCcs?2g`62J
z6E?5<YHAOdp3-c}!@nYvc0<LChGsZXweh)aC(7^vlCOJESYgy&quVBF#D#fy0YRW$
z^1rZch!*LmU-VB7NFh-`UbGgnF*W=80-sNi5>s!kh)+LRJez<N`k~TW3-JA3NMk6y
z!~`0yac#~=h)g%vlpThV=OHU_WP+-t&E@}L6o9zt4ySs!m$**;`zpTAu^02SJkn#^
zr2bfHma`<O#Ys_&Rtg%B&FRcsjJl-RWWA(av*`OL0P-MApW2_RCop1BEa?~f6HVVE
z_Z_@&QYAJjgbkP$f@I7<8mfYv%7Pn9bC%m09a2cidq_Ve+B{DdRZ0)AV|c`JINevU
zZ{lgV-OF8J3KIbK;bonCu2C!3xL#u%BwrIf3-6flTEKlM-bYYuu2Nkvd)Q)Z$5wyG
zesP<|Bz^6Zj#*;huC)^dm)p8Wh~6$@6#s&KZqB8FCo}k-wWObOc9VrdfzTvxQLT7P
z(m5@|aB=k#FEF!c1xut)C_k}p+1dSlM%yhbWdNW2VF5AfSr(E#T((IYdQlJg$_9z$
z4K6Ci`uBv(NHZobFL+?{>^`CxIe{jL<=9`zA}Jiwi=ug5ndFVNW+(clqC!llYCO6S
zb-Ems?(19bJq3Fqej@T_ySRqy<e}M*)%Ac7B&bQ9@SF6{YCKl~mAwDT?E3Mj(Fvq(
z4x;n7I9fGw?p4GH5KSc&%?q=fW!s1fM=$VbyI%cHjSt8bsmlERP2CED^k=?E#m(m)
zjW9mN!2kJNmw!(F*2Tz`XDeAb5bvc*-eTZlrx{MibnB^hb|!hVk*c@5hGvr|-EEkW
zZ)?q*-7nyE(+u=LWs7HVs@wv|L9~j`;^c--(kVL_T0OWxgH*C5xVkB_(~c@>|HDL@
z`YkarhuV7PByMGVc%kkECT~gah8r9@uUDhJ{LiGwk^KPhp)z(cBusP73eCP?WhS)+
zSc<GtNsLD_qP9MQG>AoPWO<Fg>bvcnN4uoADTM%?{mWau2}Pb~?}MSw-FINsG~{4u
z!ZR%R+}&~$6bq<r_2{!4%{nCC_3n1H%OSoRc55(Cb&}`s$Q4X0EWdM}A6ST_efC|X
z7V&STG_qQi2m<QQMN0if-VvSp@(x$12e5bN`;cWz9&2b|cXh`6-z*}^I83<u|FLQy
z66ta3AsTb^+*~C~31een%-#1~*ElVN3y^^%wF&oVPV0j5$mJ3BaknwEkS`x!jNkJJ
z!Q%x(FwUwZ-yjilpwSK#>cOFe!w;=lwm6uu#S*t0p6<292Zxc7UGD<n3YDiA)i^O-
zs&-V>?63!%0Byw@26a^w3P9`rtdO#oB2<DTnU{vf5Ai!%93%DDob-CysU<2VDStAL
zg;zRG#G5*`eF;QLIPjs=91W5W&_qjmqSV)u<q}7}xn<n%EB|Vkgftur3*HP0^h8He
zc0$ll`@miPR`7x*1};*Yn$}s1R?D?v)rh{QM(i^l>8FA!ZC>b)u7iigfp|?N$SuwK
z!JMOiOyWYB>~o-85B)E>8B%sR=*!gpJAT0$)7s)81d3J}z0_Oe2nk_ABazr?2@;j|
z+|=p>_VQD#hDk%d|GD8ORw&j<U=0!zaw=^Elz%0S#07N!EVRXi0_XH2rbiZs#=(sG
z8aefdW^~|XW1RafYVPnC)gKu>RKZOSh%M9<IBvuPbbA`Ic08akp$bsNJu{a)Gt{NG
zAMPjQEPS1MMp`q(ahaJ2sBLLctyb}cgeD`g0qx%>EgUxRn^!EB@u>ei-N@a+Aj<%w
zBnKeIYcLQ(C=g&+7k~UH=y^RWgnT8|mI37A$T8&KKg2?ou<#Vj8d_66y1bU~1+^r#
zcKjeXX!H3Qa(3yrOc4~8BKk-?(n|0l^@6-$&av8i&Z^xP_8QTI=EfqCC;%#YcK%P*
zdKL_yrL)D(yWTnZ=w$bC*_~53_V^bEuBR&L@{%!49;IGIsNU;$x<)cy8Grr9_DSx>
z-WNAA&Y-&!bp1^zpH&U(6w=L`y%{#Yu5UIN97ZY}i!(J4l0iVt^8hXOGDb+)mv}1E
z;xq+0%P>!+6m<{(ARV22Pb(agtmLvat!@;Q#1Wl@P}-aD@nAqL#{j){Vi(c98p)97
zqNIB-t1rM0G;b)2NCFeB^#W&0P9?V;g)~cJ&%9}PVtc1HFQhRsR(&CRc<4TovW|7t
zX&4J`iI-!IzjWDYGm^NeG`1W)94xf@p!FTQB5F7V+9zvj1>a_D3=5pR`C_1XTGUDW
zHWc+N={M>@KOnU(j`bB3GwHmOVx2muCSYCW^d30cX7rpfpboDL@6Q<32NZX9M4^`>
zfV&hJkqkZtCdhO<QQhKcP4kBdD>xBJn$}|?W~+J`bvv0`^@1yfa!J&C*Mr|asHdGE
zOBcwCL~mZ?S^Qw2w;j`HefgJFFgDxR$JMN2@Su~T-O8wVx>vRF%)`<qRZk7Rr)Zc8
zdemL&LaQOFNJ^W{uE7UMn4xe`3G<9{P!cu^zpN>OAtUEn#X@DjoQ-Km2fTV~<SIWN
zx989;ymNf$r5nsw`CiSzC?}PQo0it^kiMR`aQ#;qyR<GaYvbS3xC@kdMDwXx1;AZM
zHKgzpXVw-pL>R<$0GHRcPmMjg<M{XZZeex;;87CVuIEJWn6p9k$5{7q1PdIDFA`?q
ztiZQAK=p3StjB%&nY!n1Jlc<$C^OKTT^J1=ku~l@EFhx#!CY(g>j$|&M%Ey<$lS49
z1Q52_Ia9ycWKMb)zQN4OCO=B07%o|IvZzyIMmQCpV^$0zMWA4Rn`X5gc2PdMm+AuE
z&jkWGwy&<n6L82lI;I<hwA%I5wuc(-qCj^+*CYm&V!r@cTJ4c4<|l_6O&wgKuW4{`
zvHdA$_KH*t$v4W)C)sVyslc{Q$6P#sL>PIM#rPN2du;x=UQPvI5wj(LbyYWY2)x|A
zk6rHifP2jiO9v7=rIdy7!H3I>qNN<D3;i7<Qg}z|uUEd~05+}3U8HRE>bUW$H%2p@
z5mU$1jNJRu35b$s{Ym0T@iiKK=%+r`6a8O?M0~W00}Xn$N7AbUtr?za!cE)lBb_Gd
z=||@lMa-4v!^x+esd1kNi0(0QF9<9C;VMV*vCNJS!=%9lM(QIKrG(@pvU@BI;pK6;
zEa^NcxiGSGz?Zk0lqFNcw~#9#*sbt0#T;a31`-aVyE3AF{qI-?<zB|`|GV-M+HB+&
zs$A55R(U4dSKo=zMp_OV^r7u*V%A4ZDPN3m9KCG8mbAr7Q<BC!LIIjIpTZp&5Tpl9
zJOp}u76WgAk8TDHJm}*4i4(TFOBxk^J`lVVyJ<V6hM2mzgg$opTNn+zsZcr7xcC4*
z`LU8G)>2S7UVWN_d*zztoLGtrP(;(D%Nbl`!Gpj&hxj9qle5J0kbtg5jIA5LiugKS
z`F-6+b5s(A<XIp*H?O&~JoDd+6!KMxc05R}Ad7MX!SFj+@~a`D{o1Z!+Z)jKkl6-*
zXUn7a%VI&DH6_7-5AQQ?`L#q0gsX`c3vy%1C4f4l(vsmKOTDN!F&ITpVE~mFj6<mr
z@IsVMH7#q?qBbEmkt}u%hAajB_JjeE@Zt=NxY~;|dI89ibQ51h&)6u94`~oJuCj-f
z@FjvRA5>vHuVF#JInJP65sv|UY%rM4*+GitzX&2qrpWHrjB$?m+f6PE*RU>@Jl2yz
z1jeKLpwp%$++AOPMyJ1I!ne@A4n2wMnVU1c<2e^`(`QamCUwE$lbba>u(H-04xO4`
zU5%ZTWXcP*WTez04qrB-X1FtGEkJba6oby^Rog?h=uo#p`QP{lL=8*jQEAh|$J2jW
zXk7d;hs_ULox88h(Wh`Upk(I>6CorXOQRHx=$18Qe~0y@4^k_s;tk>h6_}!-j?*&+
zJ*3*Z$!3}yQ5^0>I}W&zY4c8u4L8V(eGl4m=%PL|F6r`vfc5UW?D=j$-K|j(FB!tj
znV2i@YNX%VKe@Gi>36^UyA~F!01)xL$xQOZ$@gDiSg#NuIUN=UrCu&dwbXN(J=kTf
z$z+CV7ggZTt3UaO^IIJ8?s${m`K!I*iFl|9NKxp<AP#BEZ}fNWU`wAchsdvpS6)UR
zrdv|~s6G?;FWE&er!HX+-1`hrukx_yWWq97*f1g^YCPjG&T^q`BRw))iTOUT?t>oq
zXfiTHzZ?f{;?n9>OnU-cSF40$kCd~+lAAkh|8Y~*a&bI}CT%E}WQl&x9USJD+c;Xx
z**}%@edGzJkVR16OeZ9k92ggN>Q(w-(yY}r<9E4?$k~F&vSFLZ);}*8k&`#;<#w5s
zNmK?GHe8RjAn;3*{@;^Ty>Bis)j2xYC3lp)G-%B!6I@IRocHM#ED|~zHnFL=B~(M7
zF_+5XajEN2FBn+7jXJ!zqKZ{O1YY-=Ag|C4ABlK)V=S!+&_gjS{eLo{i>)7VW{T3r
z$Y`sUH|KYIZ2;Y?jO_=Wpq4~NcI$bNr=5!mznfmb6e*9p6W)3JbJZF4j^+|InC8@B
z!I@Kv2A=@_ld#aCAMRH-g3KUpNYnB(@f$_8Em^M{y@=l~wB6Es&)0*M>k)=rp+2VP
zHQzXBn9OX-icmE4u%jp}ly92Xu`O=D)4yugFSZXGXoiYYi3`zxExgJAht*xW9-a<N
ztz!7^6=V@X6uatZ)+EVAtIMYH@Lh~&Bu>&4QqM9FvTuJ&WPV5qrH^m1Di|Cj`%-6O
zX}c>wbvso6B?*aW+!`#2$3br7hk>i-$zbdYDu2B+1sci*AOq834e8od^X?UTv~Dli
zs^$q`beSj&{56AA#hez{dG#tfebuB`*Daw0@~N!yVxkSe+y(JDip{po6|Cx-a%RtT
zGMi^{Q1cz;)dc68VP0riU9%1dtl}p33Wxu>Jb$MjW(Hn-H<}onNC9(DI!n)t)Xts-
z6D3j>B~YHHGla?8)5kSQ6nn|;a%J$QhP>NAbv%U#lFMcGBWFwW5{7=ZWE9@ng<>e#
zRu1{cc9uLTz0)98b^xiWR5@TdUss)|p<5)$D-WK`GRc=ORfZU_7p$<S7wYp6{mFe$
zAm`z!IcmDDGPtia%?L73xIKiciO<R~l>unb@ltDDlt+*)C(dvb(-;v3LpKhRb+l1K
zO!mIu58_1WgEeqAM;{g(MHj)Dk@b4_!zoOR&Wri<qhdLmHZ-WB@(N|;rBm77I&Q5l
ze<y8fUR+I(1Og2zPyba$N7eTx+dkXwA|+i<8P`vTkI;R8aJ~ph;r`mchAzeKuY_1$
z1_wi&$y>C`-u}}`>~ijA8ogN92y^^V%B>pFM!^;IJ1gMZOtFxD!X%22>Ebxt=ELCq
z{TmJca5Df1qxx|h?dkrtEX1e@cmA>B`iXm5Dci)6ArCyFI3Rx99zHMsvln|37#tQf
z{S?86cK((Lx9#1#^ro=up}ro$&>+&2bZ1F20>(g_Z~-Bp6ug>kw~@HLRIc+0j$*cO
zCvjdf1B<-iCODW-MZ}-=q?Fy*{r)oGLjxDvYFyxSPG&weDLI6c*SdL!6}j(2iGFmt
zv;n`D+f{#)3O^OF1G3QYy$?#6`O;~#b1<ulONYvW1nzYItDKt$67h2MR+!yDW8dgT
zwAM0t=hataB*W&>h8kRsAaF<lk)mTHRL%wz&?ReZ*Yt{o!Gc)g;?Wt7)N^-6jlw-w
ze+mWYrtb=pwJvp65U16<DS!{W(s5-gvc2K|^0s6iagYLxDXtW&AgkWeS_Cu})S@_S
ziqO^<=i1c8^1e5btKI060QtqgD9$wF%EU^oWDa6|kGFL&|MqA`G>hDSlSj7A7d3k6
zR_Hs$<^I(ItPA1wv(0W~>Ne%NMhEQ`;j(lOqM{H6peN6a3@$KFxkn~_yHopga)@Pk
z=L1IgT(#TJBT%wBR0r8_j)`)>8My%>l4^&l=ogqL>89#s7nbPPp)txIkWA8p25ZNe
zD$3?^n{*o)_7h}w6SYgdYN6o?jiox2VC&o4MJ!4VVt+cp{)=2rp5@3>l3h5#9IITh
z%`I9==bjaj==Dm}<hdAkoKc@{1k5OpoFVX`Yj);Y0YMulaC{p1q?FhT$$>ijSC>w)
zythbHeS)AW2>_UA3R~Bfw;1uNj84!sAtwO`@nrMkX}%$kv)t6VcjfK!TUblw2@r!k
z4?V;YbvUww@fFIw1U{BUfXP9?I`2~kAU3IG){3M=?`(^`;pN#a))$C)T(8v8(Ezd5
zr8Kbr`HrlONO`%4V=%i(WN`qx_ry%S@%vg+Y%MaqWpKO@`aI477-44Fo*`)mYp!WG
zNQezgI|axPfIOa{=W<*Ws&Bm?&snAj8vXR<nTzfWBE@z&ta7-_(iv3Uu%{2Osu=~h
z*%ibBYyzeWI*0w9KKQ|v{5)TbF$bZ$92U2+1uC49bID{#ZI%9H2!)Buv)ynfHMSfT
zKaVRcmDmdfM4CZd-zUhZ@f2pE)?c!<*c$UUiaz@fGqX5or<k-ir3ZI}aZf$j&nnHs
znE$K+IzqIMa(1p;^tuppGtXG8f1}=c5+D3ZNBO=pYY6K=$5Y#1Rr+}!+YNP^F-Nxd
zZ4GK8MNpZMbpT654B-_8O6FKn8xcrZ9aZh;((-*&%z*HGZZZB+O%#Y5Vja#i6iq;@
zyPnhk*N@^I7jUy#hW$vtKqbmjC;|$pHmcOnQ#KMv4C^)L;v%2mRm~xG!@GZ=3CL<S
z&zmN~_=1_k$xs#&U}*b+IULC;d>Bt4DlS2o3gTeWEJAStu&aZZVQ@YVc`aMf&p~sn
zp99n7m?J4M$4Ud3S7pO-Z4ZLothvGy=?5R%XDn^*))L*#x?z;bM8Va57^K%8fh_yb
zf2)pZ@R>b*#~+6U&JdNlR2toAct4T#oC11?X!oB)hE4FQoxxC0#&Cb&UvfBo>#hyD
z?=f$jOBzO?hJVM(cxsUjB#5U~Pv_I47;)M2l0L00g^H_8D)qfO)wIe}B<XYGJ8R2P
zY23V1c^}(%Q#;^u%1^j)o2L^fOMhpd$J?zFhEl%@Ng5i*vj@<APzmTmMa|4g=O0g+
zuAo7Ib2`$vL4?&jOiskVX565w0omVSrOG<pzjp=H^0Lgua=)cQ(!<D0M;v-h{Lc=L
z7-XN}wiTnCqlu<;(_SYoV&dfR9#|4tXdd@l5iLuY_N2pDV(@=gLge1kg5%ea>Lv13
zY{QY|o6N=}`RI&<cwwBXkn}r=CT}Hb(_FZF;oL0`XBglTa*vf^Jc0jxcv<G`&t*Ft
z{@JKO6K{v4TbP4JY?}LEH`g%hp1w!Jx!U+MG6;FqRxDFxm-9Rc<I`-_rCj9RisB5?
zKk>Ez!1;$Ja{^nuC27OEViSf>N+5~_3r92enLouz|1)A70@oH{oeE?HW8cw-P~N~h
zYs(q*99UONSv%xydsrMy2>7u3<HU^EN9xR57olAT3xRqYA4OpxP%c?sKYi?<^as=g
z>s`a|X^77*H>R-rTAB9iqQz`3H!5OrrWT=dsG<Qg!PO5dnxp%O|FjU&JgqYXJ!*{(
z1Ym|#4d978)@D->Oy6KWXU8=hB*Cl!Lp@}^8ka(QDcigoFeu5P&+#n1kcKB-Shk^a
zV9WG(ih_%^;#PSBF)fAS-3NV`I;&-Nccjb_zPCV|O)~fVkws-!4h?-92a2|p5wx){
zv`Z(%|49@E0Z+MO?P*FRS&)y5w9pU3@_`(@x?avkd!OGAWlwD!;`#H6a@E`jz!UW9
zM|RI`nUd|PtgL{MA>28QH@IuzQ#hfYBXh#~pT#Zf)?TUbvV7~BT&h67>&RfUj<8>s
zm5_~$pUufB9Zgtp+*Ac45cR7;`M?~)h1y=xS@YODv^;5~-Z3Q4dM0h-)J!z71;y|-
zS(zT>to4+v#2cZu4mc_I5LL%RP3CpKei1PgQqUKRz=+ySW<NyO1WalNg^>WF7z04X
zb1dMx2!19bI~ur*bt!L0%ggG;SL0nlSq|L-NTW*7g`a1nVcdb0(_MMJjl_b??@;+l
z58)4Pv8~t9GzUZ3WgbvEirM7hA6<}I5yspybW0}vWn^J}7<OjK@=g;nxzZK46Z$P4
z#}$Mz2^&_+#3lAHMV%qTcD<~X0mFSXbVg^H?2*;eDj^BSvO5cG=JZiK#~}lW#C1wz
z1t&Px8G<qR<MI-fG~CiIO7zQ9J+2<5?eug%nYeFUk3P6VfmYYP!=g=7HKmtyuyL_-
ztuBA2xA|@ri=>+0k3U||5ArOO)!LUq>DBU7<ao?X+3=OH5<a=GQ(N{f8y<U^>3B$7
zbw*?%jWl`QVa#r@U99kOQvp&noosa=-dyTGjP9C{6|#oDa?iZ6`gjOnAe=cYlQRBB
zcfYz@l8r9FKgD?bC^-&XQY#Ve_Me_D$lf2`nfd-tKF^&Ta*(T-wldEBD<%?TJ?|&d
zKe6#N_dA|5pOxK(*^L_7i_6g$DYclltO9df<Qf>%FH5_l<k~lTdh{@X_yFU<4hx{_
zqvf);kvVN%>+~E#OM5f`Mhu3B{tE|3_8BVK;0?1~n6Z7{0UrY3{aNkc)2bxNvyc7z
z&DQE2RuSq>GzNuYwSjhMRq}4BZvwZ14H>$$ndB;IX17;k{kolD^UL$ry0E~6<#GfO
zXU!dw<zLLMsubE6TCCI6*i8_*FFC6!947xyIyVdEXqp@3c+}HrS)1Pe1iLPf_F)IP
z^bx4c*ukAF=}THQacS=bEv)Dm{=Pez=n#hez{9lhbvO%!_`Z%a_oi{lL0(`wNm|<(
z1Uh#K#C$MMA!!g?_!|I4)pKq~d5YeQ-Z#bi`%-+oIYzn>@82N;i`Mes+A8J2r0aG;
zWje(Gh1&$dDoH*P8A`wiXCqv0`r<@!+{PrGmu0^~s{9vrbT_~5nD{*0uB&18w0&uk
zh%zA9I2Vpc^1F3|D6+AlHLn(LwoUD61aJ3EDAB{CZ!vaRX7{wbEYM|4N_7$Rj=_pg
znjD~q6=VcGTNZ?Y`P=(0&6-+nIQV*SY_4#JA&%mg{7-%hDwXV9JwC$3le!QJA?M3v
z2*aoQkHbpi;`t`B)X!6+l=iwdyG4wBYtE{T=J<OOa~4ECf1O3c7U`Cemg(y25FF5^
zT!2WZMTm`spz8eOHV8F6d>;%Kp<-v!vv07x=eu(~^hE=iaa;lu=M#fcUk8PPTd;{P
zvz^833vq{2p~S$!S)%c2xY}85bBhpQN!K2`i<Bpwhc6R#Os#?5*aOerdp)CYpV+A4
PIAc(Z+?2wtHNLEJO?KS;

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_clk_gen.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_clk_gen.v
new file mode 100644
index 0000000000000000000000000000000000000000..a8cdb8c092274424c91e1f9feed67dd20d9f1a0f
GIT binary patch
literal 2576
zcmV+r3h(uc6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M277p5$zSkoNo2datx;6R5FrzBHUFeTTE
z8cwzN+*g)beOM1U^v92PDWRV~)t+seXhK0U9Wb+K@H2}W@$zN+>6*Nx1%4AS9VYhb
zl_l~J+Zo=x6^)~&r_PAmk_kA+@F%{ZFb>?T9<Iem`?6Qz1vlmN3>9!vW*_lKt8}Xo
z9}OWo1wuTS>Q{uOmcQGupz&hsIUU8L&vUWZgt%I0s=Ub^4jQyJW#WDqitfjNnp3HL
z&b9<15V)l&@T^(xt`kq7HV6Jgg2|}ItHU{l2g^wvw#`S`3^O72!Wv?4vTKChZLv>@
zx{C?FDBOdN!J>$$9FG>r%3%bEl6IRdm%u!v#9EbIBj5eMr8{w-r`-*O2J8j7uRwLF
zZYi@hrN-Kt1U-m{!n0smU?Xi5FPGku%TKUQGV|X*>v{3n42CsYB1~QNEYF$CsRg)1
zDOz>c|DBiy@VPyH0w;Qss5^kFF&=g(0OvC}DiM+FBG&=T;%ol2_+=7i=mAa7^c$_3
za#d@QMug$qxE|>L_F8KK-OvDyiaMz@8?igXJc9cUd(jI5vvvJV^a;zpw!957bT7pl
z151L~yyn5(_g`dEr5-#|vpDUEdhv#B3lNYY><YZ&+MO=x(ldcOY8kxWMlWamWIZLI
zB~Y=}Pp+5Jj<#S`e?NB*<#<soY(3LVX6b?tk~5-L3x~d}_QqqJ<NMYG;gfj^Zd-c*
z+RU*Rr`t^<a@;l6I5liN74ziZ=-31b%{vDF%m1tXs$W0*vxsC(4=;vl2zGr#W@!Y!
z<Y%8b;K+^|45`tTi+QW7r&_am<+1*oLlAGu$r0zI@illU1mqKhvJy5uIDY&7@wRZF
z0V9I+=TQK{VB1wl%Td9r!MiYdNIi|;$iN)5**v7d%vuQ_l9lYkvyaMf{+4tVoD=9(
z_u@o^-2B;eJxXK|zY~#s`6TJ}K!*QcRX!VAJp_-T6?4Qc>7OvyOR*~7OvhA$g3Q{@
zC%r-!C_UUgbPJfDp^yUJ^<;(v*xP<{_$Yveq)!5+8Sb-mRqP7gbhgcFdDk-Y6Mq{h
z9elU;6Dm;J4Tksm{GG?sT~Fk7CF!|o@1jcl;Gi=O(<PG?FK32+%=`2RifGxv(9sdw
z(hY~Doc9l_&QV9ecx;5Rb&7%{Vt76S=#_sM<m<gGayG(*w9z9U(h=xAi?d>ua1fu<
z5QT^GHaUn~U0er!8EKa)bD-{3h7WkCeIyqHUYSQrNAb^?Mc7M4B8t^uJp$cZ46$6%
z-X@=r)fGi$X0H3(o*oXQKpTZ6kNQR<BxkHtNM5&JAmGXeeI8#&so3lGg<jDHxz`c{
z$TqQ%GY^^x-0sUVxSSK9VOHGVlMXCpHR01K<c9u3=VdI--<*9~`p`fF;t4v8faNw;
zuwLyri7mx~AXydsEfiE|f@Adar6V_*;>Q>fF78bqy8@mXiAknZnH=F)V47+FGAIbY
zM?QGhLzkBum2edOuGvbfHk}RLN`LM~+<AkQB(V+$wVZ?A**GcBK0~SRpzkv1b3qP)
zJMuOU-LUUW-h*$0DG;c#U_u(6E$h$PvlbKb*GkG89lNcxC$P3M(9pnN_4(c$_&==a
zQ+Hl*OJ={Dfa%AZavWCo;aCHW-N{SKv&y5^(N#n5%8tQ|#)S3o-;>ozIgbbe*Ne7q
z5V=t}h`8eW`HO&y0xQ7K0CsZuC+I%tdSPS9dnN!s6gw8K^x?!-Sw{ZjBvM!iqWhD!
z7D>n}c{WZjc}hTU`5R)|p`Kvuh1pt-`N3zRT5dTpT6jo3+|ZWkRrj#jDx6#2Yc}b;
z!DGfMl2o9nZ#%7t*oaW4ZbC37H<8gzMH!Y|28*s2I6*S7MdJjPv-zVmHvO<|FK|fh
zI66R_xux?OpXDqS8sQQv%3uVeHOl`7@CcKqyMr4+UnbC8GSMzuK1i~J)f@OEgee{6
zH|6m7k5Y}d#deSb?t+=x*C=DXs5c=>6LW48qWsH!)9^$^wl8?#6o8t38$!(DD6q}V
zjJ%QM_?XyMOz*-IUML)0kwGXkv3&tOOd2k{h?i$jhH5+^_w|F&ss62U0dCV60q#c`
zNHuTpmuuyLmx&3`ga}*AbY;_1)tZn7+E@o<AZ*@I6{lV_Y5r9W8hW@rNBqFBl~0B8
zY&f#W!v`|?LO%QeCTYvO`uh4F0=3se`TK(gD<(H=tYu{3l)3fch)?FGA0~DgZi#FT
zd;chUBLJG><TjS5Dvs*QoF77SCqRPR(5T$6mh;@LE{U*=s80U-6li#Y!qLZ>#{x?r
zY3|3ZbxzUm6pmT=DV2}&g>mUVJ_h!7sz)P0d`&XuAoukeWh3771`{Py48&>(c}ke+
zE(7%^3d0;A#IdFS8XaDIS1-zGx1&<U7U83)s}&?T?U%hcjZsTwjq&MDVlEg~&mg-D
z@SH-G#5*`fzTVC}UqIH);n5hfn?R@bs|37RXVzDB9ArAGbW`BX_v;K%pL04y@}LRB
z+;V?EZtN*4R(}v^<KEJMla{U{s@(0>!w$*(d|%2oe-Mb%m0yY@P5P88jmO#A`ZK#X
zATP)`@QI$rjw<+k+LQJd!Dul9{E)8mlQQGN4jo<2C-~~?Cyp}w31W%(fMZr^73NUC
zm%M*oHJ=Q*O?FL>Pq+VE=L5IcjIpakRy}t=#hbULgzcM&yR}DU4C4O1lNKj2b7;ap
z_J=L0f5zm}(3g6trGP%lBDs3l;2ZH%w9?HqD@+^xc*9q*;4GyDC|JNuc|;l(n}hns
zeJ6r)mQ)RqpF+!VJAs%Z=D_BAHaM5f+!TzeX3v48`sn>)&~BPr!dZsypD(GxSL=T5
my-)(m5JV`{2M%BMSjPv+npz~Sy26$9s;j`J9kpQVBQ&0zuMVUD

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..c1aeff8bb89cc90162ca1a6bfbbb4fb5dd544a44
GIT binary patch
literal 8424
zcmV<EAQ#_@6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M277p5$zSkoNKgE%Cu`FO#e5<6Qi4Oe1&
zpAY_YzXT9L#)%|5d-K$&!UPKmFz5p#h>0wrBdoGDgA@A<IPyl(xjKGJIqFtW7B%HK
zr(83GWF+QAx~+Laigh*07}WcW09tC$B)U#I+OZ5AcV%ECF`Z#{-K#3(lpIyf-aVaN
zzD4GnRj@XvZLZ8IG1Ph}2wH11MgaHPy5&OgwFlKh`GVk&ECAZwAJaTcDwYhWKyl9m
z5N=5xLkrU2wfc1g`^<OhVA8nK{Pdy+CUf0J*PP2`&xVbx2hX2a<~E=gk0%&>qykeB
zP{^Voa`Vf1I|>_&8roV9^B_Ak7jDTy$@N^mebjAYNs28Sp;`i-x-XOzjf@lHz(Kyh
zqmvAWdM+ta$yvP-k?+k-Vzah&6y2v0C+QbrP`lp;2&D@IEi=PXHdZh?l1|$Z#69}v
z#7EuVAx&;>26g@N-Pe0HaRhx6fYLrLaTj(9De`jGr{;WwdmJ5Ua$fgV*><azY0oyd
zcjX121iHLG2Da^coiEm%0R(psg;~j!w>b}_o*c#);jn-F#}Nr^@P%`zz3p~zTsC3V
zmEa(e0qHvIRC(LCF0rX3TI?*oe_&w2V#Rlq1@=3AHJ0=y;$)rZm&i+3l+zE`d5mgY
zw>oNjsCk~3@*(mp-+Y+hZ1Kyzyp_wIZ;h7I+a&C;3yZ@=4(pXlGsK=xKF!ONYp~X9
zg`uQc6@>ppzxq~hfplG{Xq(Id{0nUEqGD(sSZ$B~_M2)BQ&xb>e@vcp2_5dz2*=NT
z!0-w%tDo(--Y0dS`U%i&TniB*Kf@r?-Vls18Kr4|29hmDTZINU?PBp_X7O@2(UU}^
zMwket6MIlB%t6}u^kHA4yDc1a<0*xj!3yR?$a>ECVq+g9>qc8br5jNh9WCP%;9S7@
zJ{&9hXF!~5_Ef+&nA!n;RK_2cCJhMAyXjmCE)<T8W6P{Eh0Sv_2ni<~IIQ)$Bxyex
z!w7YK>wusCMpt@Fa2zRtKhhMgd$q>ePQ&QPxZi-6a|?bnr`Zjpq@2-n6XZPK8K0{m
ztEw7Ceu|F8Q0M?_^eLihDKV%;m=@;j(b1SJTkQbJ>5p$tPBd`nVyIzKDipuZybbuB
z_}Q598wT06Uwy3T$*UwGY?WwL<H#XSOEuR^VS~R+_yBa{&s8(4#1Gl}Z*GNnCaJgr
zE`$*R_t#Ncc4WDMPN!x1X@YOc6e|)JM7uh=AT{@kCm8$H1t2)I|9D=1$6LY;-cZ5h
ztsB0RfZ5U{?8iPgXjCdV0<!V@J^%m?JBGJUwkINT$h6SpcXrr$zpJ^)Gn=J!i~>lt
z(JS5#+mbf~SGE5N5?q_PNlW!|OAWtyUbuC`M6P{LH@oWeL>d$ina8Ox+k6TTtR=W{
zJ>7l>T;o-PTB7~%AL+vL_pPFrtAdME0feSx;EZwii1xAtlyDBx_-%8vl)Nc57YE=s
zvD7d@HWkO%*|!e@J$e)h6}=6wlOFA?BSA;y+aCItN`m3L!9{CKV5w4mX3;LZgI7cF
zhpZ14Omk-zs!Zw?;;2O3vs4f?^;vWnC+hhTZYrpMT+6x{XDo%ey@~LW|08TsidohG
z3YUt95)8;)+u}0?yL?a_{5!{0;Ew<>`}LbISMb*kW<80!?nhsDrFHai7uP9zHoYm|
z*Ee&?jmY+)?9<+|5rdPYLp=cT23$E1?}A*bal@_38q-F4*zNlcYro$kRu=!^MYo$Y
zBWy42tBdqcv1By{<Eyt#+dIZvS0Ha9TR~+J$6DFdlgV>O6Asp@cEsd~uZCHJO^sy}
z;&5r=v+jm-W>{B?J`d$Lh-1W<X;p$iK$L5`oDMA-SR~R}{^V@v^2aM%`mP56l3krE
zW=U~dolXs&)U8v<P1~PVu%2(~!N9B&iE}AR!%`hM4q-)7mQ84e2s74oC@GKhf_Z$I
zCS%zx7msvdTO|OdvItU5Tk%q1p5B*JlS+F&ERwGi-#x!6qvqOqeBZyr4b9=MM>mI+
z7(nNTe;fFX_iJOO9xBlr#fZw0+n+N~wqt}GkL|YrGwi?H_`$KEL5s8SG^`!iNpT}E
z&!@#y$E~HUIPf+v*&~Ym-2;q@U*#){r?0YEaFh2p(fUV)ls%+d!WnMjU$^l8ut2G$
z!iYM<pCRi>vQTxM43@KYsJwBuE$hR+u`8pPh}mK-wk@Z;fwd5Rrxf{f**~EbZg-6&
zoTz}SI#`BVKM4!`c)d8OU5drCywpPqPvg@Q+2{uFAs;FE0Yl46G(x#{g8V@&fLZ54
zl*dRLU~53KX!ZBi`DSu@S;s=6n-9TudkI!^SfuGffi~M3qwqnvj$~YdeF@Aaee43x
zFe0y`SAEJzePSyCsVvKB!l|lh>o5Wve@MjI8W0lFe;?2SnR}}$I9k-OTkgvx5MS%9
z@|lv5;FTn(9L`z%e>#l^#(VG0b+t%qbcx{_>fYfo(`W#}US@h|XRuPK5t0HrQgBu*
zn*I;Zd^HmIr6X2CY(k{6Ic^||qi4yBWcVrGAHOcDZyTJokKe*<pqv(326*o_Iz@O;
z5$nq^_DDQ)yYr1|X5<M18%$D&f)wR{mZ7n8o!?8Cb^X9sY^yAe`on9sTL}*FveN^t
zInZ2BmF_c0<S+xMUCiI;I0$WO9ldSem}=2vQTOhd+IAOZJ;O!y$>#mEap9()hq!lm
zKX%=AsI8qLS1_tl7MEezR-c0!<eeV38G4<9pdPYIYF{~EWPu8$beg}Q7{0a(O;u=<
zbF?2T$e)$Sk1aJZ3j9ugojiSSEX}A|6OUsH$u)3nosaY;%ZB*KEMT<qWW{aIH~6{G
z+sSh&h-dfn;kQ#B;SVPtwmz+v{+<KF6CN7_=h6|1U)BgP)hmq^c8ULRlYpR*2(@^4
zIo}!+Vk3nVjan#Em-@y+*aEY53zdQ(N@^NI8D|Q(@|u4g>*YZUQD0`OIbRGp<S-@S
z9IRd@uCH!KKtjK~<=_gmw`;JO%+s&jY{b_X`MuPdJB+?Tv1}X8Sd2ltG~W$u@8phC
zR8vpbaw{q_bnFSlOIIV33Y(eG?8uSy(4ZT*M63Pf4!Nj$FGjJTWtFZCzQ+J&Kk8^4
z;$gvaO7vbg-J-k>iD?O&^GxuSwL7;mMQmu`2FQfb?J!ua86#1uwmTQ>_5BQo;^XH;
zCNAs^fB+z5N;^$4z*DP~Vl&Z}(HB6gupto5D<gtvJB>I#9bT2p$XWlFMCFWn&muxD
zY1I>*i+Ju7Cmi>u*?n=33#Dl^Lo4UO485QJ70wi%bxFEShaj^8dj-+hTW_EDF6v$+
z$^JFn>y^YT?Xy}<E-uKe@aM&EchZkj3doKuw0q#LsX1I{o9QBPm%gY|q(k3|I3M4$
zqH$w>u9wx>+-^q-%Ikj2`>+2N`>2n5#Lf|>_jJ4fF+kp*8UNv(f7oou3Zm>j8ptRR
zM(z^|y?R)Otr$|eS&#?qu>UZ(Y8dRfG}K__`ZqA?Z-zElK<=%<qqU3QXW$D+Ij*vs
z@9~~781e1@Kf533_bTE>z~&?tcYDLY8B)9`FWron8iH?N4+VHO8fN3PTHH^<cfxsO
zPXmeXYVdGQ{*WEPFDniQi^!6yl4(vRbPB;wKJ#gqLOMNASL-k0g`boAqKsJt@J=z1
z)l|+!vKVUqI+NSy^t^wQ;b9!x#QdHx29wx(L{?b=QW<-|V7-Tu$jhxuU`<dxGA<tT
zF_2d{2YdIzM|}oihb2UEMAP;nKauzc>aaNH6o!o_DoE(Lf1T+r-7XWdu7o=4<-ZAU
zuWKMg`-o9v1Ht}CZL++lDUr~QAsp4aielvk2f-eHQcbXd^9fd?j@+yuhcc)Ins3Jg
z!8cPF$v2EM1Iz*cM~`ou=p9U*)+$y}s=w1(?|d0=Z$u3QeJyy@`K}7MO&jpEnQT)d
z+Of%rmX&ShM!JE_)2wiMVd4Phv4kqh&j)viP_=cc$Wf79G^Km*pGd}5Vrdj25^-Lj
zPVLF!4bg_+A~3MPdcz+>Q<Jt`Y_Q#F_j(Pp6!@0-g6@C%>&JgyhL0k7=~J}2)k%sd
zr2mt!BLe?!!E5vBelP20R4Yhc_&s5|eH&B}rN5N2MhCXEsrhh*YG+u2yW4|JeX+!k
z62j=A^y-4NdS7dpCZ<RFO<QM|L!fbM(L_7%ol<@)6>_c>E0G5Sw29KE)Z?980_wUs
zp(h?<_8ctl9Wgm_CCD-o%i5ly&EgkC?gq|w+?+Y}w6%8GN&tfxZPzc2m>>@6u<2jy
zY?&5xBVV{1TpuUA@W)e->i!|2vy^iNlq#kHwzzgJh8FMyXLd_(%yrh@yM=I-8nkP1
z9D`>POq@)WPSX1B(H)vPORt2=M{CDYcsjlj=|p%=>dIWHejE6<_<!%s2KH}y$EZ@L
znC_9E3F#;g6W5R$v2mPhvG2}7Ka`tata@5QorgL*f8I461dec($LF&8_j%LX^5#Rb
zZ{^q)|FlI*8EFan{r_7lH2e7S`@Z6aD4KT9)92+W2j1xz(Ef)7cN=Us@gcBCbI_7|
zu%dLu!aH}-=xcr3$tivSBBmb2F_O_G=O@-}LD-;-r?oOly90)}WB|PH#9P4p6|;2j
z^B)Wpl&lUUCkNgVA^PS`TJ=}2xMgvS!Zbt;mi^UoX9dN*<iE=G>W*#;W!uHcv7KRQ
z4QzzYsd0LL8u8ijUQ@dejirQz)g~bV$?IQh%=v9n+%=@7FQ>#NzS<g~?SJ@wJS)Kr
zE}Gxli3cLYA$RHPmifHih+Dp1|LoP`n||RS6@W;5INNqKG*Qqpi^G}>N>mv*DPTj8
zgi3C+KrWVJgDb?_V}))?zpwWr+m_%7WYi|_le{(Gb;&cyd`@zQNM!CS-ZwJXl0?om
z?`<-AZ6~b;0`XNGQjSP8h#E*Di^e>IxG<%>sYOitS0rjK<;kvwj=hsP%QQ@cz)pis
z!$@<wZHcl%6wvnB?=vicv4Qa-7lGpwai`9jfi`vfq9K?a0X7?@mefxnq)x!1kDC9r
zVp~tN=+m@ys5DdN#*w!qHIqqE%k_k1I;kz=FB@1fpPI<Az2qx5cIpdI%qUA9yhJ8O
zCO0oIG6AU@;+dCBWpo5(=A2<f{EI^23N_TsaO=o8wq--Rc^%4wDF-?^G-kz+-|I@z
z3`0rmAKMUyH+Y}__d^lVJ&PpZgTp+HE&0+65OkF-uLbPL;HaBD24Zm8>6@GjV#f<3
zcSpn`=5&o2HPK4d3eKNR6*<zrqK-2N?e!)f`P2q5E9tURlHSU|QZ7O%TcLmKRJAHh
z>Ez{JW)Kwk%4^#7*i2Dq5!hm5=2s*%{9wQ2Ex~4s08Ej7tP8Z{Tg!fqE+!;j`#G`n
z-5?%ePKbObIz#VV<<O5G8)W)Hd0;M2Zg+P7(f-#qo~WK~c-^HK>xVTy1B2qz{I~<{
zeMW9puZatO{&sa}AqE%z72k-3HhSNUa!OGa-q8;GfDj}b1^WeoIanh{JXc&mWNC(0
z)=^ne>v(SnBNqF^+zHGLp>x)TWz{YY=2j;ll=mL#?Vjxgw8DC78PFY9Q06y36am0S
zu7-RrT_uwW{g|rvuY1lAJ@8jAwQqUwWT*89oADh`9(sm!TZrL(+^=W{eheuO;s`KY
z54QRxK2=Xav|kxO%2*}Wx?R+pf#1P?YAXr{cj40IC2({Ko6`%q(ubOl)oqM=)D!x)
zAcwZ~8w`C(ebIGG%L6!sBiQ=3b{+&&{88%(@f^YoQ(Pk~=5ML^*`MY?$y>?c%PLp0
ztld4DoOgY|%X><*_?cFBEV}XV=?&iL?N6|~+*F(VWGUnGotZkKL^y{)-vN|r6I#_P
zUlX?t$#S--;=u0%<HvO*MRcw<Mgc5pe9_l?sGUZHLn3Z_#vPHy0!=Otf^_oU!PKj$
z@5;b|*t-d@uE&vFBnIAuZ3|12Y&W<sQ@xSB*X(O7PO2-kpIL_WC*(hc07u7QkO|x@
z2w7kfSir9k8x+zG+T=h8Eh(5RV=CYKOKqzcaErnMm4e?Uif3*|5W)bR)lqDAj&0h<
zV5eaF0`3)w?;FPsin9F;cL>i?u)7O+lr9+BuSbv|On*<?yqj&X%S7sW+U1)u8%)c#
zkI$l8m)*jEYr6Vj@7n)5Vns;5Yg~-^P5@OC71Wtl0asc9LlVceo=vKjnY>_@)roYi
zUw$$ivlor$Qx>(;2aXwuB&zH1YK%)BNItEPS#2_l51;zJSXT3J)|0|>{<+0oMaPk8
zCX_Z_^dp(GB&ciu!dsHioNv{je_3gCf_lBvKC~qITndaV+(9pLk0v|(HVx!smslr9
zz#iFsd{Mp*AQuK-qIcoK7wwl?LX<l#{{{erq<kd*M;nc%`dt-sD=SeuP5Q_wy1US)
z{0jx$upy8x$mXNV&&Ip0z7U8;{&Hg)TV-nTFCnqySf<AN(icn3>i3=GyFmq?hQTIJ
zUqB2+yR7tzRTd@k!!LCR@B?$$W@JNrf<74LmjP6upDixSY9-|AYMq?r&&1^z)|=Va
z=A^VW;5!Un1bUj%Bw(BhD=!72VXICfFoA>2_4X9;_l(6=?}g=LaltOCm4p0$2qtyy
zbq719LUqnzbfXjJos}O=uholA9^4dwm6p1}0EI75E?siWZg$zs;FMT8aGNvj&FG@P
z6~1UT8Q~>0x5$2p%h?{wu;_&|+423&cOH2&wFpsj)EDEkv?F+Uy>)6un;HJ9g`1Iq
zhmLyfSRkD9G-r9NGbf1CkkyNiAKIS~g@gz)sh#wj2n*m1#gVp@%<+$GVfI>`hEkgH
zg-Mj8kBed%%E+TIA`3#r4^|o3h!R6^IG3aUT%WoGI({kMC|E^AT7Pk%3A*|->`R%F
zHq9N>x{*5?T4f7qv5^f6jJ(#HT$Ks~olVG`@1f4}|2I*+$$o89jKa-HDiIRdxP!%r
zMpH(*GejbXvP)1B$+wfIU0H>CM}d$}5@KIu4Z7M;{1>Ru5H%`!c$5u5Epxp}_#|3Z
zF6ENj!D&;zn3)I;XJ0Z~YL*Ku<P(>VWKs@WWG@=5z<)!(5E{^1^7burE6h*bHkmnx
zsN3a<C^Go8Y!Iz$mbXHU`I3b^>wFE$W|V{p(WCX0Y1f^M(h9UQC3P{XqW&HzPel9^
zl6i*m=)iHmG)$+2x5ryb4>T6>jI6@axSu}rqv>4t8bre`RYZ@=e?`lZ2M(N8dL&`|
zjuIlO6B|JkTgMiNmI;ErlE3mInDmktD~=XIIdYbZ{@41KaYPqya9{Y|iMaoA<C&$W
zn1-S;O=B0}SIAI1nr|q3aDCxq!F8F2Xb?-0?olibqqN8jTLF@RzOkMLoAw@pTnkTg
z-=EghAReGV_~yHxT-*$m;JkzF@jjr5mo1e0b^GDHt3A1o$8{E}iF6!8&p#|gt}sFG
zOP<Ub3AQrP<+00<IUSqo4%`eq67dpiNf6yU1FxL4Zn7&;+Xe3seQ0cfUsKj1;(2dp
zKeyomzr;dBw-8Z&vPPy0s3e_OsVlk{`3ADw_9_?4L0_F6bn%P<RKvM;W8%@A9qg%F
zlMp|ItlBf_2?7t6xp*J4ybj0_KaW=FES;-w7ea(*49?<8pSJq@E{Ri&NR#r?pXbHM
zeucKC8TJ>tnoobv$;5A{L_M)B$E#x-_P!G<A*smAIzRY1c~ay(Bl#^-aa`ehM95`f
zLMtK6#Yc?*kQ?mV)~p51!75%V4T)Q{nKS^+PInSRnUB2S1Kx?SPAJdzGOH9bSH{B>
z98@Eg=|c(|4%N}wNFrPDAfr)cT-CXp6NWrU{*7ol9RIycBCaqoOzbIdyB81N)|NM$
z53PuTr6~-cWP-%?JxX67b9Hk-7fJEp{Ds2ym11FCJ2~U}Xq?zer+)1Ms#4E0tB;z7
z&Gdw7P>@+Z$jOF0$Sve=S*VpU<Bd7usN>~OEEIeBc01YX^r;I`P^TiK@afj3-FNIH
z2bZEdL^45|%}(VKKK;lcv3{CcZ=OOvm!>JaY!?7XEmujDmUC!Cx3i=|h;J44E)79v
zy=H(Ov*vSkYD2REkkUwVlXwgnAW%p569(bn=!tXQ(K1KoA-N;t&%r(f>1500jI4+S
znq9d(AF0@PvkcbS)P++<PF^PrFO+(VH~+RtpFm4*WUVAm2&eyl!W4|$rMKD1m*IkA
zOq80UF9yM_UMVP``tdPjYAykcQcKSg1Nb0h5wA4RWt%79AgLr~fi)E66Kp6ce6}I$
zEakeY58>yn4^#%hnBkCp&h887y?o@}4Foc;dxA>1<jJ3+^FDyx$t9wz-mGD()v15_
z1IaGEiC$2>d@!3N6p~zFXAmSpahZQl%R(JsM&qvr`uG|jYZfvqC}Ad?@dGaLz8ydl
z)3(Ak4G%+0fJxkzT`ClrH9ot*XXV=2+`o8zt~%^vH0}O=htaM$+v`;44g**eo6NU1
zW_<tYs4uyk!it)-lX(38qS%y$b%I4&g;;mI|MPyP`Itpp<l258lQ4Z=BpZSdA%9a=
z;L;u_^9m^_9SW*aL*a)d*bmoU%Rc2ay$$M7fLlzGa$9@oTX?N7%wXvYLNvb?Dfvtu
z)bIbG07twIzjVKR_XxinYc$`lIVp50>4ToA8mdmL8pVYvmR~+8`SEsCZpfJ}Mx*vP
zM`X|0lvwD=@H{3k)7@$iLu3*J47fr=>vH_gKOj_p)oWnEtlUYQ$}B({$+by3MoNHj
zj3SPF6?zLUJOFBl;9Q@O9h<X#JbGqE9mbH+^JEHR)Chk3I<@v}EqfjTY{SX@VjgDP
zoImaU`!thwzJi^3Xne=p?Kp}N>+^ezlNdj?7$ouUcdqiyLr^c`0Q9VV0=4w7n}Do%
z;k6i4IN;k`zw;y$SWyQ%vqE%ha0d`4%eS^u-{XZ43c#~XF^sn2b4*h#(ARs_M2knP
zIAb{(;lMToJCo4vne7!Q-{dtjLNYsz&TR&~P{zGAu*ZnN|2SIjR8E-HS$n=Qw@biJ
zc;S&j^>^dexB2T~v*HC>H3&83c0(7fC@a|GyOiEiPpz{QGT~_wX^F>E(&iYVWrB;h
zi*!mF46qCJ^#qBsCMg95fkwdn(MPanR}dGWj+0Yt@O}<r5hejWg?RzhvynmTn8CvS
z{f*}r!J5juL^~m}H;9#oceK46N#(g<NBk$;0iK`#D3n5|P{~51kI{I8G@Cxz9A-kM
zSjD;8pEUj$Sww|@xgWJRq^T35>%?qT+T9klA1#%W@oKIg)VNHbIRf~onrbB~GxS#M
z?XO3syySsVWG)}s$1*jg<-z<%+CHR%s@&TsXIDOCBTj}=q@a;g(%CSXcykNei8_kK
zh|2Ze)M}3g6&iucb@7gn)FHkkLDzIRXQ;hkrwo0>Qy9a7854$=1YW$X_pQo!hUP8~
zPD_WVPRkc*wbMvV@YKJ@TTM`f)rGP&pR$+<`dLz|-Q}^T`kl!Zk6HD1j3epZNI5DF
zB8&K@5uoqvDL2MLA|rkYCPa~&mL^X<ggUZG>5Srr+Ki2~<GY}2Mji0gAm%XR2+?r+
z#l==k?C-A-5`O0ZyFHVwm=%!<=yHuRlz;m(e@KlDSLTRK(x>t1KjB2-blRbK(wj_C
zb8N;KP<3b=yl5jmg?T2?Jh9a39*wz2yb{>VWd=y|hD;-fBk6m2i2c!U7Xj8iZEX<N
z2ZQ#aUh81;cf;|qQI}o+j-<*!$PWG}Hx5b7%7_lKsMK9or0ZyTFk{p)R<-g>vuV`|
zv=|H^cGIBJc?ypR@Md)gu{GJYB<nqz&8&mnc}*mo-K%hf1Ck{>*$)>o!P4y|1=(D$
zCW6>cVqyV29kYdY&$mW>|0NZ&@T5^FG*f>U5c(P_`$!J@0)8#>dZxBnjTihgL8S{5
ziuFtg<%Adx*e4JRIaGim?y{0L76Lz47miIv+Cbl7``WDbyDCis0y-cx@Gw^{dryZB
z?)NMpkwE|;J%|e@?{%{^S1T`?CnN6Fs`Z4q&DvffOcf^3Kg&9lk7u%(PspV0{#l3h
zphz_c86tV|Wk@Y7&wgb!kzg7|Q5fG~3B)v}nRHeTf<1*1kITi?-#UuyK5W1{$>4zj
zREdlFe>Ph4_0~^EqT%*c!>!%R<3yXKF>Uhocs)FO_jfR??^!<TS;s6X);gNdG5nJa
zns~OYe4=xqii1)jk&=Jb#2(83&bhSB=ge(@Pmz$4ERTKsywMqtC}5SNkPKR{7WYpP
zj>gZ$fSYKHuI5IxzuGt%&5|CO%xw50z!!3Y;c8Bgu%}=H#eo>LpwWEi;d3zwu2F91
z0)Bx4mIv64xe|JGCMqsfc4+(lg9zFbtenY>yt?Mp0_cr6m7H5R<EcI~VOWcitrgYy
zoDi*G(xFwsY31Ju!HQ|uI#rVt(}ts0LfT$YJbU=Pl81a%PZ-?h{q{nZMvoQ1T~=3|
zG2zfMTiT_svPJu`&lDnQ7bT7veXYu&H7F1jH1A!(^44teyKWUzE2uUDwC4vf38J`x
z_v(tiq`@Kg1dPx`15>hB0%~oCr}6ujX?}zIU6I{`a+%1>1-EgB*vlM=E5y#EBD-`t
K{c|mZUEHSqp+s!}

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_reg.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mdio_reg.v
new file mode 100644
index 0000000000000000000000000000000000000000..8a0047183a44af9c217fdb2f37ac049b1a9b14d8
GIT binary patch
literal 22568
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}URMIJR^9^m-G
zLABzW8SS?u?DKX*Y)Mh<-0IyItGtTA*2^)|L7YHSdiPS5oHWEO+qCxjd^i~$V2CHz
z+Wxm*ru1clYCw6q;_*aBADb<jJYP23kir>uf-Ghl+-KeEWZsuKyHLd!DYv4&gIwuj
zG@ER*L9WMjg=5+pNrWR#iSC@vPnmnF&96*!xxl!Xq1ONtkAB&udX#t7fjKQ6^%g`w
z{BRva%Rl|bOVr;D?LN2eJ6EY)V;mBe?{b&HO-In9ZTiT%l<wsP-8-=B+fc>kI&I0|
zo5FiUs5bq%oEALe6_hEa5=#}AW+t>Xs^;bVq1dvIrP8mTqZhF=%O+e}#=Jjf<m-f>
zsvmr~NBlcN#qsJ_7dyA*-iy8<h&02{82HF%{7W|r!gRK1!#qMEG;@#Xvim#ltZcBI
zF!3C}K!}xJdSb|93^6^r*bTl3fjwf2HG>l)or_s!nsr3X2NbPEzw&KW=}V@5AFjaM
z*aN*4E^Ax|CjSR>aX?2ddf}Xv-slv=hgw@d5lD8iK%yw_?M~HNph@EkLCz%bPw_TS
zBUp=_PX_}z=zuNkX?@h1IT6IJi}6OnC>F_e9Z6<DL57?uq!jpx;O#C2&f%cB>5eRQ
zEC<xG7{=5Ga@E2X<WBiQR{(XlUfH>`5U<ErD|3_vuNvv|M+w1B0_C4FO!`%&Fw%XB
z>(Ic1IQxqMeI<k=caH+Y{{EYG;VNXcU!Z3Uwq<B1cNkLdrqE0jYGmTiM1gQhO7HC~
zpC5`P^yE~H3jB16bW8E*PFKW`XrDvuhDsXysBSGksI^b;d=@4xG0fZCgqlt7v)~JB
z3fS}ONx5H6>V@i=jZC%*PcEyhmgyaNy-75}%T3*~bEQ>;??QaAv&`_1b!<fY@3hz=
zP6{gI=Tt0v$M0^SCuhx8CTi}!^_JM$lwWgHb7ykUGfIB0cR-7gm5$dGms$G&m^t7g
z>n|w{$K?1p;w3zXrjQgoe1dwc$B1d{Q(Hoviu#;ZX?5JSZl+;YS;31i^7P;*R<>I%
zPpFYW=L>OGp2#nTuPUgC42+X=n-%iDW|o+?P3S;cCl5*Ph>F5VEG|A2LA$v(9a0u!
zyQIRYh!u)@G}UJkRXh6Ug2OR{e2Q@^oH-#YjTmBg^~A&`E39s_E0%?Ia73htLJ2iH
zNpzrKFlv|$aYcDv@F-fxGeLLX@dggPcV8O^kU;3SuVke34b{b;OYe+{rygmwc>l-V
zbBMUR8viMA3W6Cn(6>5m8!%_=iIkU=`5I@h4@yE6iRNi<;2U^SG+bugZFef13Hkj@
z%izRjLCdlL<*PkyC*k@SWGDo~m-3{1Ov8hJiV_!!qxG?^u{v^>CGE=DIUj~23KH7C
z_kcv2d?_hwn?ztLjg8V+j>ib?K)%~W=PLb|Z9e`(ok}ewvPJUEaHv>@c1H2H{*?+-
z8EpoM?tIC1jPKMLt5f4@^{L~zFLtYuw+G(0`=%tX(If+lij40IxGjktuPgzVTLn~Q
z394qXl$w@yQhPMi@Hb*ws0Wwjoy3~G^jx-?)nje~m{Zh&c7sf3WbVA?7=>0{_q9@E
zeW>dc&WEf9dF*%H-JpFZUo_@Bgqg+N8;fgq<(mGn7BBArUX;Z7TkISq;F|1jd0z6Z
zYmC*38zs<QcLM6x?Fhs6NR>e9qZ299<}?S(scG3380&QWHzof-yj1@6ILb6T+YQU*
z?%=ILEYgKy@Mrujfr7yM3|nih(*L-M%cf*EieKwIBMb3CW4hEvV?mC;EM*YLrvW^z
z6*x{WlCXSS@-SmqM;^V|R4^R{Hh*_0-Q<EGgo=Ed?J7HA&?J1jH8j9EPKkJc`?idr
z4aj!dRUxY8td{TqknSAW@R>wW(+P#8MH>v?;SL43xJT7iPcYb1AotI<S$rTX>ejne
z$Hq>tlA-#c%2WQ=wbr=GMdfL@Xe%-8<T$>wum)nDz1~l#7YJPX<7D|W^bEvN#Bs?5
zJPF~Iy}xJh@`s+p;<TwE0*n?Z!cKEBD1>$W>06|86ai{A$YVQ=&)A9DQXL|t<xp=8
zP0vvct(LV3AWdt8^LiJdydHMb8hW3UnB|2o7hL>AUhsLLDGG2KgcN9y4aV17bTDc5
zG(%7S(H2Q$@Wjqn5T+$!f`b%o!$LJshWT_ls2CgC`5?3<F<w|VqS&*5??oX4jd?vo
z^TM7=U^T3&NJ982K<w=sh<y2a&JR6<ZBg$}5K$>jbTzbxFo#42!9e>?-iLV+DdJc}
zJ5t#K<(0lynAktsS=#a!&^QCH?qB}L(zt2H5BI8JTn?ophb4q+ac;wh!a5<tT8Ib{
zZhRIcTs}>UQg<DTIWK??X`S~&4I!M71T^y_n=Xu68+fmyG?(aZ)QA(BZ&mdjDg~b%
zj34fI)V~`>O_M7-2mU4y(xFAc&8e$v<oBMM=*E2|A*@bLGv#o$@I5beRcYAHz#(yG
zRH&!RttfCG=+G00saxQ}adi{=K(dos{sUwA6ONGrRJ$opmn>X!GYm@^19Zyd$a~sR
z$ykYh6bbeud(w~5H9#UMtSniGxD{sJv}C@c2Iq*ackCT6mbDaIpfb^yinP5+Q}2B3
z717O3inymWS0Yhz0|n~1JeDwIxDEWJ5zjuY!7qJEj9H@3G8KXdL(XdQ{-UJxN@~<P
z7Wwo;E*+HeL6Km-l`7szn)uR|Ikv4a!EPd7k@zZNfJ7y*T*9!ji$Ptdt|H8oP-by%
zwgA)G^w?iuhI+I)O@_-bDtf@snZ0{u!cB&p;pS@)urm-9KV)Ex_^o5%vOh&!h$3#Q
zO*0U$QEk<4x~-I}!w<+rpydlV06p`C9Rqqm#7F2o)D=qJzi=BH<Nv}To64wL^`X1m
z&$Wxp9mF21;c=KZKd}U#wXB+P$2%%l>J;H>@bhS)fRcoFHBSBu{r8R%6fx8I#YpKe
zD1*r`fGiY;orTdDePSAf>HBKT=`4bNL^!DXg*tg;*8}G&h&#6t8{l{zW(;~PO@*6-
z^O@g~=OsLb3SGodIivlNjX|44>D{nV8T!)P`LcGrL;*9a!op$;|N1+rD(WpjYWGOf
z%L@+Jm7vna<{$JG0y_i)V&?DgIFC(3B~HqZ&Yztx7WHDvVV0AWJ<D*j-*_FEAs1!6
z13Xd$0c_W|Mf=??6%&p#V86$)>hf*3b&6Mb#JI^5#D5f4trpM)HnD#8-Gg%@ujx3`
zr?%YQPXP&A0tpVm_iC;GjRDKDuHY~T_zml653QXWCnH-&1ua7bQ!AM<TY_NqoqW;B
z4G94w=Y>FLQ<OlB#LTTb$gC|X(F^Q!#2mW4-5nQ1(ht_3ww)R4uubu)+V&drE=N;A
zW#0*%wl|U(p_?hmRR;)A(J&45GkaigMMdqXuZLv_$XBmDaA)8T2VKG1XABpKmrmN{
zWoRO|)#WUFz`zj)nkoY34hn#fHM5jt)t)!)qFbAkdS~9&as;Waj-tSK7ivxHci=7C
zxe07{P6)*S1`B#$dM@EOQMuL+H?U8&o8IiXO3;WN*U=<;u(KjQy9;_Z@8M%<8E(_3
zK{}$6@Mbtiml{U*>ytolQU7-D8WRI{B#jE6Z}N1=>r(jSX_1;zU~%Hi6+6)c@JO2>
zps}D#0ogn%Q#CnFo$b<7i0YSN1Q$VMwx!ALj)w!o_`*L+*x4X%+1_!R7xHwuA+XCz
z$q9KGZ27D;G~YWXdiCVZ(_Uv1gFR3TgmV68>XU(4L4(*;F||HoR5Ih3`A+sQJ*6<=
zXtdzq0PJR;8}Z8^{0&8*ZlpvbMcfHnx=3fdJ%r3Ml@5b=zDvF@xf8}wUUZ+TB_%Ev
z(F^QV35Qo^aNSU04oR5A(j3ZsW9Ncu$~&#V%IoET+4dk?;S~F2ihfhli<kpEYTyfz
z3vgY&<H^EUgu%7%02RTO3oyc4P%YupR<TAHIk_%*sOyZnaSF@O3&Tti@2uw8n8qJy
z#>LG<-JVME9p51N^28B#-QA(Rpkr?tBAv3+!Sv1VEk?zq20gDN#7>3Es+=lRe&61o
zs1?p^W*Xk1rH1*ZP1QeLxwIrkfp?O|vzeEs`ZdXqOkbdW>nB3+(zP?Kv#0Kb92_&0
zdxVB&0_?IxAQaYe;?dBT8pKKXu|qwGz^#baTl8<7Gfvuq$qDi&ZiF-=CldvM=3NN?
zA5Vg6tS?c*6BM;TKwjVY$JhFfmoK(e(_m>oY4)kzyOMCayY=I($5MjPq8f2a<eGe*
zNM`Ji{=+Ig`)vyF!1z$aHtf2|nISW}wv0sy@%m;`SEMK~66~o%c3m&=P%r-9ru0tg
z_@Ws5GynYPt+9iA47!cIX_Ly}<KZx+b0et8d@J#fElgbzHEOXTtl5oQ71l{t$^>vq
zP_ZgU<|wB(-bDLOu0`x@U`<S!rfFssuxY^kcd<W>^Zo#_T!ibRxdyCn0(9rbhyQY@
ztc!m7S8r25yW2p&sPX=K<v+%XiZSn%G`A@aW|zrhFzn|8Uswyb9cq0Q6qaM$KsFYF
zFYl>O=_6ja&^fNg3>yt)Z*9g+nym38NNK2e*^Erm$6CsdMBpk9v9TT|#6(U_MO^^V
zH5N3o;qk{{5_YVfQhJtK2h%t4#w!EvtI!Z%So7b(h06c!n0KhdU(x-wb562=5Si<r
zhLq@|#_c{VO%6BpS3nKFQC(|hM!IC}Or0fqTkXCPfhjH9;>z*y0zII&fLbOCIEsrS
zdt~}(ypTP|5Sy&wh7rW%+b3fFEwq|^Gqq|fxb&9p=4#+CNej8abY1Q(SEG|NKX0=Y
za$my{W-|X^Fd&&=%shx)#(`pyuY$ZqOLd_3HCA1AAS^J7J9_geFs0a>;Pf{Z6K^p*
zb<-3-)M68+2;s~>u!}dqUPkl_cAw9zTk&VW55ll9-SMQ&r6@z(vByc&tcm&|?l!!u
zzm68fD5sUuj}VrO%)LAol%0F$SZ#2+ofjd{oX6KbOIiu#hz=)4GLs$a`4Ii<$n|}Q
z1Ki(({O}<bX9&xiR%kmT;nqSuuZNE3-bb|Xx@;ZQILLa4T?K(wqO`7?^?pt{q!;$>
z|9XM*3`y{<!`2_IA}dlWVOwplwH16|zp4`2JzwhkaWFdn$6c*yfi&8d_dIx&<-n$y
zJDtt~PP>dR)40#uMfdv$p3R~ZqAF(hs+HFYf#^(Sbd0QR14-voW8`b%;nPwW7fS~R
zo`TidDSt`GPks}W|5P<FNIA`yN_u0OOjHH!`KC%c%-rFIeE7%QHD!KSs!3Mu8?@^2
z!0+!Ip5(65e;&Azz7&8ijP^bp%x85H%9%}ng+#jnb#ZMxgj)aK8VSm(h#p4vLZ(&P
zl^zgeH78k)K5z-IS1{l{++bEopYclk5cH4b$xeH`+Rk|8jiPio1H@rr3n?o2=*ubv
z;GFyvqE6>Euoqm&F|nxzj981PVgXBld;K_`Xv-{DO^z_e@>V6zU&SshtKcP}hcU(t
z&%CwzuGWX%89zb+K?z*MUe}0>RoIosk!Jyc)zlV2@&?x!k5ArGx!KtnY;Kw(fJyH;
ztyX}8i2<!WS_#}s<CW6@<n*9XIMloFXrn3*X#_?`Evf?0+C>9z6VykkPl*brns(n_
zVOD}{QQ#GtC)9JE66Btfu|9g9VWW7=H=*3@DC4AfHoOcz@j=YS(E6}W2#YD*^gor@
zKnYmb<$tEXh^s{M>5~j{7+<b~(|U0Xziqq4H#RecQa<X!o*Hr3UL;$MUg!k6JbFuF
z7)B>+V0)SC1hlkc{eD(O<H%FsN!!!IccF&&0YN5rel2~LR8?`eM<1?+V)|c^F%A+4
z$FY=w@5Uu14<r{zGi_Rk4A!Cae<z7;y;gsXtgIsB@e}U`tPWF~2SekWVGX?T11ReS
zgaNS4T^W!Dk(c4dc3SZwp3q#F)<fW81YSY&vs0iY$?HG(yg9@X=@3@}itQ_(c86kn
z>wS{=Y(e@(xL(?DOHS=84@!^yga$;o7Gq&Eif(<08~wc2PiO<ND!c|8$-ej=r`mcO
zvWCzHvWIC=gI1&m+QHmLE^O-odUz9)u?^8O2T4gW8^U!pZUIewr~ef*BD|bl9zCB+
zD3{222bj><`e<Uj%63y}uIaem9&>6kek3ObTG3MsO7*~SzZN6J!2|EWt`DVU+UBCQ
z<k_Df(%9g%MR>V2<-uunh6?w~ZyTWXWf3r=Xc7nxPWD(#nN;Y8WyqHRl=xuZPLw(c
zOy+|0N|pC$y1TnUD?tF{s7GjWl3D?a<joN2wPC8^Ar{V=qisrARTnMb|M>HjrJ<nO
z@2+aDH7<<X8d9Gv`I89S+nY3#ICLcfs)mO7r6EHc3~YsFFWW>hL_UfixZxIiUn~*c
zZ4X>&`zi+LVLEJ*0;$DWhSI^)Iu1&;%>kh+_H_&OG$rZBV33bDPbvLV$P;WxqsM!t
zgJJN3mFk#YdH92(#0zL}>c`?YoyQHwdplk6lpv{qJHcziGLzAFjRyK$Hg8M^Tp!SY
z)X-W$qy-Dsr>Q<sA=0iHOFd!d2iixH4~i%?hVNx1k<k2Uj;y>N>(BuU7z;M_sFGA`
zp4ksD{~2;`1KZV|0D^xwC0jk}m9i4S>Dsyk5`fxkR|M9We%g3cx?FRU*HG!*FIdBx
zX+|WY*>>bSjeAn`9qk%f=Db9OT|s+d93&cE0r4o=#KUEE$Dn{_ur~9xbt6YM2{yXx
z)Tm%jEW<HR+n+fxHuo^7wjG;xr1!~ITun?mtO%VLInW*8w<PsJH(X67GWuE0$3e6e
zZ5~yO0#Q|{DoiR;LmhUX9_^Vbzd9!|zUS-~IC!!5qy(*a`DC4=4KeRp_BOJ0NUZ{6
z-QpEXRy)I^;y}-fJVa4{@qQq!b$hrPwXrbqq)q7daZolhvZtBgdQ)MPYi4M8QqaMe
zaMrzYrmz492%hK%G!gYVga>n1Q<A5|3b0?abjl=sJe-_cma~ACq?Z}wB2m2=S!upI
z1%`&;8AnQC+GV_{;w%Qz6_nnP;FsL7N7alP0(5&RRFDi}doP&DHt(=GVciz9nqpL}
z@wG;4j(r@%dAha24zf4p-{V5bTk((P0PIC;L%-a`AbS9|)`5)D#5PZ}%i6x%yV{+z
zYrQT?OB)7X*&6evoEFCGrSY-@n~0g<>BqKapan9y0FS3=qDWD<i~oEx;_20pQ}u{b
ziNm#!%A?b01j(rf*^vECOskPH?iz;D>o!nH^^Cy1f9XTBo{&ChT*2bY3$Z)kEdzR@
z^`ZLD1CEl}>w5iujv){;Ba!+qV=oH{9t7TS2S#~V8kkK>1X`w$Tn3Xj^uI<d5K{At
zm!ghrkzAbfHd8i5;Q;lAlgIIO>3QjY+@%k$&(2+$I{V8K*r)h8FvW_h4Y|~w<kmr3
zlSicL%|BT<%|t&<Acq21GqH+&=CD|>tXyvh7xMiAfO3E8<^U^PN_l}kLMccROtbI7
zQp$cg_>+(`m_!%c!W5gu<XtZn((vUCK@!o8_hirk_>9-p6P~h4z6y67*O~P`9cSwa
zh2C1FE`8bDmqtyDA%R5|4-RZdeCj|)>H{}!VeFX7*3`>P){PP3#X?y@ifV_7HR%$D
z3mk?eUNy@E^Z$?Wt09`5vc`B6vX$=bR{nx;rRWr7w$25)E))su!Xy4b6ti>%_Y_&v
zA~sa$)U5$x!aV411ClBm5!6?7)M}<vQ_+O=-Q_o6hZl6tWL4ivi_=q|risGvB(W+&
z8C%y!cCAUv{)um_n%LLPQj`Tum%wT)8V|SAK)&z~{vxxH(tna>r>L}RfCOzx=Ka)V
z{ueaX-jc~M<}t_d{P$A+3<2Byf}d$(%Our7#KCQmu+q`4U{90k{<AjV<AWzor3N|&
zEniLI8Uc>N3LPE@Bcd+<AE<N{vTDatW=MqTBqG%_?*7Q{L!?q`WO+#v|5yiP8}kZD
zJak!)!kcqe5%6R^u8HK7-^KPljSKXJOZS}mX|c!R{pqpK9wzL*9Lgrd`SeBK!)lTM
zH49!2f?zk8=p-)J#P96TG~K8-Yu*Uy#uYTEi|{d{3)e+xjiEIoHw(sn^ur5c&0B(o
zLQe3}?AG80*P7DkA$Hus*Lu2XQ(EHaYG*t%@dL-&YFqu!$?=2Bia$*YKy{3&IQ4Ps
zHC)QyXX+^<z4fBrV$4o9WK_R?+{J>V*@ggsUI)-4PVhQP1{hJUgka8C)z?;+(g)E4
z7fRUAS?vGJ*(^1#l?(1j1Hzc?)UepC-#6vd`)&rTtR7tFYCO+BFeA|OCR|Lnb*6eW
zWD&=pew!P>Jb~=R!;0o5x{qNA!#m|hg<2riZ<FK{jSJV0L=Zh``RVNL9Jk|$Hpoqm
zBos|=!vf9zlj^QqGrJm-g7)1??R0RMCK%|D`=D`slnndo^R<yvei=tn>5s}yfVezB
zL-rg57u}E^%9^Rut-g&4%!INU2x3GY(}bIxq=xUj(MJ%eAn#GV)6;^)pzr)Uy8vUc
zF~f>tN~R}R=Zrbjh7F27uWgx3F|YALT|<jll+4aVw`iF0xCQS?wwDXvf<b$(FkWKg
zEJY~-)GD*2Y}wqmI0e%$lm4O*K*%cyDS0ZbAL4z^e*VG_r;VA^>VY0ZCA9!L#>L1r
z^yAZf#=7Zsvt<Gr^knB0Z_@Z}buv1}C-7Wwr_UqeR=8L09xJ@+G_$|8Lx8bZeujxd
zJKM=lDG@$EAyO)--ZJqo?UszaL+_8Bhwo_6*w-UH49;^cCX0nyy?67c_R}bT)k)uH
zm;&1n^@G;z#vKhb&&7?LPc(K|l0E$>#8Gt5ueh;pZ8lJ1X$1|g0!cb#aXuVEkoF!U
zuV_QI+O2~ky2Khru=E*FgQ#n)#fc~VH+JlBIJ$6>aj2juN#4`k#xb{z;=#4I!$7o<
z`Jj?O=}B=Ug{T<@z>*(zXpa`Di*yD9<G~+**Mi`1Z}S0+_T`$NUIFNvEw-w#xv$wC
z1NV(EJsB^K=o4{rZ;%<xUr)pOGMOle_AY?Iw747=Z?@1B=iD~PU3OZZ@?W(A(Xh8@
z5MYnnOXK&hi4ae&PT3C<WoFs8V*0HQz}iEN)0Pw_CCiK3;&y0&l`v#3S}UpKW_EvK
zHwg`H&t}xY+K@NHoRi!nNs{A?GGo|<-cjHQ9SV*l4(m|t#4jhf1?G<1Z$q?suJV7q
zz`9-mFSf2rsE9Wm*o`rx(rgT%%ky-7r;2&?Xq|3i@+60yss-mAW&b!Xr0a~eTXe1*
z6mp4~F`c80ez@iHk$@(i#ESO-*Np+6n9zW<f({j;fC5*OM+@zv+2h|63CwRf_z%16
zbu*X#3RHu0p;K6fT;dw=uZg)7M1I8WXq^a8f(zUshI6Fa-H1)4S9}DUb#-V3rjGEg
z+IvGx-65(WB@0qGBk?&qbhZ^V(Tks0K&rw*RSc|QVIHmft^b>N`}m5sdetoL_SS5n
zP@6E05DA)jJc=-}UB1CC>P(IGh|c}j{FCfHkyd4p2qQTcCTnQrMutmiy(ra$d3WYX
zk)>6$h?tGb!&UgsFDtzmvjJ<^sq&u@^0*QR+cV5l1xJj2uCCEnTpqtsfqNdciSz-;
zhCA2S)9T{fthamE|J!S(LN6Z{iF*5AHmllu?payHiEiSE8H%`%?ivKIGvlzJU_tu;
zN+znYh=8apfX9fLL5D|n|GzLJYAL|wFy5C1%SqFD6Cuet(F!WtH}5Ns*L&8iE{?G0
z=A+ic+Hm=7Cd#>O_G`F1bsDSn@59IdqU#!GyPh1;DF#P;4E$^`n9@pEVrsIl!DZ+1
z1Vc<Qsn$~G8Oq%thYC!UDYY5vdTzrJ>wUw>w7<<eyh-TS$uQXDi<}sd9W4tK6X3Bc
zb5d>86V%e6$N(F2!{#4GO<s!>ffTv_a8~u%G!s94P!|WahcKxOyk9Tv;<Qu@z-&au
zLwkjp#@25`T~m6BR`Bv_dw+JvPmI@yo8&$n%a=-`e(P6l?)ud<db%A>{EZz@-jt>H
z7+)}$<p||FX(Df{51avR0Bcr;JtrO5C`j;&ylh+)0mG#x*)j(OBJd~pRPz{#h`3A0
zdnJ%gEE~%I(x+#slHwPS9(nbxs+i#bUfj?=`6tv0m7ac#jVefGWRihn-$MWaSap;T
zTqO^o!A1S_Th&BT_LEoVu|okfb*Vg%l|V8GGF;w5ENmm{RkWQ)X!LtH%H<sk%X+2R
zc?!pGhU5UCdHyi8f!6b)7LaD*#Py42uoo|9KN$#AfM^f(i?h0K`)3#9XUSOJAkI}N
zTs$FhLvfnvZfu|j2ct`qAe5py6x6j;vg)4ox&t)~x9`+fodfhLAl2*4FtChM`&ye`
z5p2Lr){Bc&=cy{}2HH&{<qPaJh*G@cXW4Es;O3Arw!iR6zRb~fVwhXB{4$?c8okUS
ziKYq+(OwyWMLL<^Jq?+%Um&h>SAp!{f~DQsNK;XXixMM&=l&&Y6Zk!3$fLzdKiA&~
z{yY#KAL-KiqMY>)%UOIU3%icsr;jpW!YOJT0|C<%lNXr-zWKAI1@N>9$|7w*<wI}B
z*s&mz##gC*DWLa3FyB}F-jjEq`erv!{m>DjgU-Vco}wQ-VcMOw11&O<_AHg&&)M5B
zqLO<ecCPZHiW&7ldwUuhUio%j`4f^^WZ))2G9Wm5mblmI=go}fL4hI=XV-~)wm7Nn
z9!<PyItMxTx}fa)`AhSf6T)`9+R;WGV^wB%BO%BXw>h%7lt+H>GKWU9Zl#3rNeKP=
zjN6NSyltYMdhlW8^*?ewbKaBbCnnFq@k^^V0dtkaC-r-N&}0;|ug^h{*<m1ZjAwUr
z#xQ&DnO(_Z=RJ#5<(4RJ9S;8+$Q}01@7#TG+2^N5_hyjO@;Z2b-P7;3C#>m10_u!3
z_N9)r;<+-~mD8lhfS0&!N#=z@V0H=qnN1llkzZ3HCq30w0zfwMRuxhZD)&y+zBp5n
z&tR}y&2tNQ>D;2TH>Gm4^wGfJ!1a8#kiNfrNDfr6P=(|~N2g@gNmRr<ky30<Y~bbU
z<uq}~B`87R&`xWj_)T!9K?0DNzzcUG??K_-c_15<luTpGxr3>7i3OWlbwhRUjZ5mf
z2JmY&CJK9{&N2iG1bE>6G(gN&Gl{?E@~<GiSWq2T^c!?F>hd*QWae<=BL63*3d2?;
zrjR~>VS9DznR2+H4+;x1OHxyjN2O0@%;J`Uv}LC13oF-yFE)fEhKb;qVy0a<ho|6k
z;pUHBGwBeqmlqf>%~+`rbtFVUtOg!)r7vKF7a-bT0@DPK7>6B4Ekmxq3>g88Ba+V}
zY1xV31~G>pBbxDbYxdhplu|_Y<O^SlSS0ya0-WwJ3NIeqB($|Dg6*q&FE;V#u0ZlT
zTQ-pI<lF9eW|Vs|sb$i|MO)jNXzD>+U8D<VF^({su<Dw{jJ4+ltK*+X!E)~-Ma3TF
z^>scBk&2Mx6N|jP>YEpY`7k_sar(G|zqAOHj)Pp1rCu!J&q-dOmeD6Q8YijVoUcw5
z{F11<S76a9ir?W^0XY(4jhk?1j{YC`mp++*C9w(!QnN#NJt+1;-AKw-_oF*rs8P=`
zIUSa}mSQ4-@C{;U*`{KUB{8!onY1g7y<d#Eb7(1}={@)J%z;_B`|7YLmk%t*AJ^^N
zT3VZ{Rnk4P^?w#NgpPB+bvjCLv|sDG%>#|oudO^2!|COj2O=l+VOW3G6So+5LJr>L
z9%1v$MdLH7(95PE<9W8HXDJ0E!*)Uwe2*#}QyDOVWM}E-SBd<eWaXsBiDS`nC3{Q0
zYbNWi=fIS`BEmG<E{+3P_m|?t!_Yq`FqFNh@_uIUx$EtR6PnOIs<lyrtQCNK!;Gq~
z>DtA|-`e0>S&V`*AP6`33IbanDyhvHc_q*r!o2}VCdn@Y)wy*2<)RmcsG+ht`^<0G
z@&M72EZf;kIe)`DgJ@7TC~i(#A}zH<1~Y@0%Fs`+I5zBuk+^hhb2|+)V~rh*(nu*H
z&;kE=GK6^+LR-8$l@D5ZRo#TD*S5J7rtUpXY`WJlDg0`yzo^iG)6qFri(c0i`Z^gj
z1PeRID7WZT1al@PY^w|9-M;S&IN}k&Y5M^iDCR*=$CGa%r0nif9eO_j`n<nbFjLfA
zkrhdUC{d0v9C;UYgpH0Qf~XEHSuBf6_uOcSvI~npDz?D)J3yg(L`k(V{h%d1?x^6y
z;2C|~9(!i3V`@~$x=agsG$*{n?#(a{j?K&w&}Mqo`qMEU2}&S)S7ZH_v>qk&Cnw|o
zsL#l)vvJMlX5ixRDu3KVqTURp`?lDc_xC;lMt_gt%%oDT#5oMzm2;a6#94nm86@RG
zV136bt25?qoG^V%SfuF!+V@X3W4XR>yv|5>G)D1QPU4>qOR@3OI{&_bOrCy><;<B~
zDLHWBop_A5!}c8!E`*17exhU2{lP~%P=n7om$WmY?se#M1lh8QVnM(wmaU1O+Ac;d
z4_+sFzm9@Q(C6=)PU?&hxmUczmisZ-)S+!q_X0_QP?T2L9>36bnd5M7(I!L<K^JE=
z4^u^_wzr2G>k-7}-Ho%4E*~N(dS$D|syCwrw3&yr4^>-mOh`Bi^5<SE;~HD)nq~7K
z#htYEWMl;h&aIDWFV*g4GfouP){qjT_mEBAAI)>@07F53K3bP`$le~Hfe*qPy0H<8
zXs^NBjp`CtAr;*^F`yW$4>Nyku}UL`oH`#1Gkb1EE`qxZ2U1VmbjU<Gnk%PE!}yuc
zM@E>QCExdnwah>Hy@JB!jQZ90o(Y~zvY26;>}^~8V5~*`ODIsQNhh3PpW%RIb2Be3
zy7A(QFaavl59C+xLGtxis&KC>L{zQaLr4;Lb0_A#eRV{6yUnz8YrYGxe1aWtrGQBw
z3c{86GFI>F4t-8Xvuk}mL?}^^`(FyG2@nv=m?4&b2+%Mrv6v}mPF{A{N|7bt+f=p^
z-5px4@XHDRdGBH)D4451z!C0r)|AX0xwRVNpL<rCF3qLVP_CD!#t-+YF4<C96{3vE
zd0Fw7J1n3Jj?N}FEmjsWIni(MJQQ?Mv(ArJ>?{!TKDHjMELq+4vDL|^muqCX)k`(F
zLZ-P>5<<_~xeA~K-7o4d^-;PxFKh*0mrD^flLXgvDDIMJ;d9G$XpoD7(OMO;-4W2*
zQ{I8h`!cnz`Kkte6-z;<-h_hszoiV9{gDU~dJ{M1V}^zRW~Up+)G2M>0396>e7$W|
z2w5^@;($Fol*HJ_pdusNMl!mm8E_WyX03TRf(u&F6C%BTsq<Bu48*<1g%)iwkPXK#
ziQ@v%=O0VQisFUhY_RZYG9e@-Pi^#>KYgwZnX|F#d)W-Cv$6Y{oCKD8t?1mC@iW1L
zO){H>%dMJt&?_nliLK$3{d84PtSC@Vc9$0FQ^h|1x1J=%@0&=gdkV=1jRP$sZUfu7
zP~*0NMrS7=U^J_NUwXJJUIG|{a{@=sW$!1l14GffEF4Yv9Qx3tk{ie1_3zKoH=qC>
zQLbL|Fgn3+J{rbk+Rx(Py<t8io$KcJuiYM9OyW+Pw>5mE&a)z2->KYTJyGZvF3Ns7
zt+khCHci2ed8)Ce16mqRM=G+{+utAamiIYmTBgjpjPzSlZ`oL__jRkEl()DJ^@rao
zZ?47ZSwE-qv-=3gW~yw=hob-Ruf+%?Q-s}!-0^-z>%l|DcS=OaR4<6C9>2iga*2M1
zxpAc9^8u`|py8JiE~>Sp*;(jsvEy}Uy1r7LQ68WUK;%hODKPJ3bzGJAW>Z@on#Vi3
zfDS<5>~f>PYUkT06HN|EzyB3iI;QqFXVHVrip{*p+5e>=ZXg+y<KYVMAxHEJMrrJ}
zChdQxT`p;MDQ_lwq<rows-sF-tFwf;J_(V-8#EO#N+V|i=6>?2VZ6n>5hRjlfl!5T
zvt?6}&1j)te^TIl8|xPRwB%z{e&Qej4!qRzj@6nS7ICOTNt9V0p6>xE+L+k1Y$%Og
z>@Od__7wfoCx=|IATrUGhy0I<f;XiR*1G@eJdqqpg#0m>rrh7pe6^=>_<q2Uk|5Tz
zel<jI{#IN%Vek|+nf<mALn0o(GZ<$f<vs#*k*SWaqx?A_9DUob9_;OUPsQ(9ZhnU9
zC&?T^h(Ie!yQphA(h)euv*FP`kFc;BX7?zR;BS}OM3v-y^Ug+(VCfy1gY~mbB7nH3
z`!>|#<HrahSC=hm?gl21gAe5id|Y1|bjZebw!4R9ct<!WDKJBofz^aaYl4}`JYQVN
z=G2j3)^lzG{zm#$tK#%cI<nh_`7vn|+zItXpA`-vwX2h(Ef1)+S0POBA63%MS+tDa
zY?lkZZYL0~HA|jO86l0+91?4GxU2fw71F*mklDhoKR9WHwl1T!lCx+#YifyY#0Y!;
z_A^*q@bA(0n?;&lL(r0s)^?QuV$31E9fUGjNj@bu$L@eOicq2MI@8a~oeAVVW<@m@
zc$+m3`<$%TlWiu>NwE6ZoiG%dj<)*P(wW%BvIrzp0I<%lC-2q%cs@_~gjC+B6Bx`H
zSMf>F(XAF^HB|<YXGF`?2meV+lONjCEbrj1$Bw#+-qbd~Hwp8%CNn>#f$!wBo}kf*
zbE<=-zaFW!`x#u(<dCNQ%xeD?Z)#gU`SW{7Z}E#ex5ZZ0MFfoqmR-jD!~yP_5C<ja
ziOGzdG*=bvQR>hJO|Yt3ObG!v_q3Nb7rV<IhjE+TItF~%<mvLIknuVrpxM<4#eHby
zX&yR5vqUFfO>4v?ru_wY&5d<;vfAJr#bnukCN=uaDiuMT<xbF(D#o>|JY<=QKi<0P
z)msdPl_=Ot+QQ)><-CUV2GFtvf#M1ay~X2wLbgR;lCN)yR}FO=gGqL~O!pn=Q1{v}
z?}EV-jv8v*5+;Xh6ARiTGw)^JD~qeGVTq}!<o>T0!097r#x{BWI4c{gQ0;WiGujq5
zBKu68!h7>f7C&dIzn7MqzjA=~bwq@v3!k$b=RDHXRkWY3h;&p;hE`GV-lU35rNkj?
zZ;+s{{hWFGP)!hBM8Q^Z?HCp%S#7hVHwlVgRtsonr=hEoySk=ro#mEVD}>W7K_9U6
z+-_PIINW!Qk2a|~OH)CR%zC}~IgjA0fdMRxr3$A4bQWEs=V+2pV(d((B4%&VJfq1$
ziwk1$%H<mn%h~)Pg<Wgucgfdw{rr=rbor&mLlwL)ViBJdU2~s=34b39BZ~%|YIiku
z&-=%J^COKLsQ<tZ@qcebqMj)({=(}&V#6lm7eP((c*Z{mY+wJ57|Q672=Eugq|O8b
zef%}hO^Omb_WFP4TOJcWU^%xTf2~go-Z_|{3??*?QS%5Y1nuvqI!0m^%*Ex_6RZV@
zUU1CQuS9YsRl6SVp6bTq8|X>tp90#~cma)CQM{Fjsqm;cR<MLg`O=(%%JOMSg^G(@
z|9RL6xh8)Ujhey-=c(F!G*&J)7F|f-$bz)&iv*97ZxA|_llXoL6)U2><hx(-yId3P
zEY+QLff(K(`<en*Fd$fik~o@<VA2LFJ*crE>y&Z)$ArFGALz8_4x?2>DETP!c(A7<
z-y^#I(DcNf^d*P)_<GV|?>d8wrhuxXvE-BWuqa#sBbZ6`pg~Y~b*}V{?0czM#_|!B
zvx+f8cd4YgVrMD?>|_d_R?V2RbC`1u-3@oQAK9E&29v$I#qGyhhh9c_QDT>CabpY>
znJp&$#a}W=I)5<~!Ym^D{@GTKcKU_A|H^Bgd>|Ggc%O{be21Jh2DjTZENH7r$n?@1
zBMZW`ntkFGCO%zQxtZJ}hrNc3e#;1L1nxK}p%dA$H~OcWp+(5;MO+yNqO)-;fdy?s
zAbH`RV|KS%^o+pZCX+psHVG)daFh^0Cz?&tc%wA&-Hl%EF9(QRw%)dkD)pqnovTb%
zQbJ=j^y)8Az8-I3@WE^1JJH&)2TGG_%Ai)EIE6G+k3Iwa)D@lp_%z~0TlZ|)?kS2x
zmEShqHEw^RwYD8}H*11@075~_#Lo8v`T|u3((RX0%ri^6@^Xjn8u6&CBZqK8b-<^t
zQlvI?C?O28S84eVcgl?#mM&NG9bi^+av4-5XqA_BzZzqDZ?-fwHF2I|+_g^?rDOaZ
z^(H4OtS9mrZMyHKovV^1{4MR*O-cI!J(-H`qJ}n>#b~mx5q{B`*rGw9fxQp-{vgLZ
zg4az`8&!tpW|ppg49MOe!k;D`5XDtwr0pZb3I_hbLh>&q_P633e`V7zIZEZ$BFEIe
z%?`?f`PUd*`Dal1Vko4sKV1IRubG>NUO$;no!QE=lti=Y;M<LVK>lGjHfh~$%L;jg
z^sxREP&6OxHCbVn-(5IFB~19e71cDJyul5^H|zJawXcpx@943>Sxcl5@zeYkKPrkB
z9SrDskl8ReWO9sA0}^|GXa$ftNKFry`uiF=>DfzKJoPC2|MU$nLvc`z`JD(a`&V;g
zat95?s<Z^itrUHcFs#~k1w|AC#k$v<&dA&VpJH*FJ{zeLCY8z}wZW%W*7SFIUd~wO
zu|xmNk__RBOjYWuP<E+oz39Dr;|z%|GyY3QHGxK=PwkmV_dsUe^VMBs^~#?+)KBPz
zpek((x!Zy|57n)J7drtTF~VJsbQYaN<U8jiWzjkqW)NhzXt0AZTz@axy~Os!(cd_j
zh667SdW6c?Ls}|=I?7DFSg_V<;|tVA)lqv}0)v(ODREF1t{-J@uH<2xi2^jiePiJ3
zPT)YN(}>3QO=V96T^L4oGb~ez9U08qp@38@4)pNJ6R@ZSxH_}tiZeW+pS+Sv@w0jr
zzHWMY(_oG+%2bWaR<OL`$d>T*W$cze1}?~uuFo1T;EczR3E51j+CxDE`C;HXI7Yq%
z8J{{?zQM?ge2C5l+!nu&LzVh{N5$!b4<@QJ?3V709Hfs}^yhJeEm*{mYY$9PJPdqz
zH-PlK1==p-ngIm$&gTmC(c=h1?tXhg3bkz#DxZF9q=HYQ-_Dywe9g`yZBKzv9a1{E
z<3Lx{UM?X)53JrXFK9Oayw<sTvx)VSqXgCktiMfQH-q!KBGG`>{Al@~LjKo^r5xTO
zKQg2JH#wrd%|pLF@TmPe${CVDoU%Ogio<63CG81653uHNR-l{b31pzve{!!dAVPB&
zaLY_>U@pHuu{7K1f_NdPBy&QC&(zcIoCBmOupWglgQkDqcdlNwG&04JO)iYdf}D{_
z`ETt^Q%0CbHE!Y85hCA%4_{r2zTKJKu!H3y?ge(YPR9pxg%$2LNK-iXF1rG(gmHrc
z*`#0tZMpQsCVcowob=V+l2Hx3N2v?1)jG**2ln+rN<fmzk*Hr$BO8qnEBR%{D0+7C
zV^F%W1;Xl#QfvM-!184)u_J@QaTX<1m>8!kh{*kJ*#MX+XGYXL_X;}5cWa`{@r3>1
ze^i^<<X_UaS!uM$h3mP1`>nL$uy&Bo2kgYgE1bKs!zoJvkg`gPWUaG7M9AX&Q#BuQ
zTS<#OZfy=}b;kYTieD5HKbaqJnLGd#a<Iw?AD|HYIx$um6~4f(a0>P>CXkI=+`1c@
z_5X4a0S5S~XNdogEE^i0{tMvMG;Y9-zb#iQi?Fw|2y+A|Bb2m2e%rVYO9~8r#HOcP
z^q*2c<v!vrv?2i(buYlMI1IHEI`)kf4mxN}pUIc&C%k>erEcL#a%J06!IGdYS8Yew
z=uw&a7Bilb3o(Yff>z#Jc6x(gK>+69&KL#aom7?;4DeMlQx_wcH{paOA%17*`_BY@
z-m;44SotnWYqImZAN^=&O5bgKt13>oZ09ZW6^D}ktA{>t%|s?@9iviY);7Kwg?@ho
zPvtH<NCoR{tq^`BqjcHek9WXuvW}U%;K!!<!McmR91qBv|2aLC9SEl?D)w!3A3a|y
z9%)Q78X2TBVA6%;^MfG;Hzud219NWX#Kvqe0rZz+SGZT$t#^`BOuJrTBH62AMiW!Z
zs|S=mj+f(AXSEe-X5*vU9IpYCS)oO<bJ8e&j~`)Wa2T<i_qN0(g$RP>0ER!<ejFAB
zwySvBw=6{%y5e658KyLZ@A0T|IPbz>10W;#RbHY`;6*4=<^0NDlm(}48fhNdXkg~R
zQTmMewrhf=%li?2@Dx(al*5us{QT#<eFBdh%FEV$K5`LOpNfIxQL$R{`J0<lolNC_
z6oYzHS+U^KNx)>ZXjJ4hbF3K?mU-;@;NLBFhW`id86;VN)>l@(Gbk$-b}tPf=TK3Z
z*+p8H1LT`R7G8c%&CZXEMNMMeq_V{>XodSASuy1VvR2!CuWGy#;Y8wE$L0-#7Ydtb
zFv*wkGK`k43sb219tqT7_RNOKOpaaRS!33RqNilp&ru57uSh|@a;1;6Q!v=I9!$m5
zjToaavneOb1;~_VkM0kTKk59FFC8Pp^Rj$@;R|939D+o?gSTl$p(Vk4G&k7xzazH1
zu1{k#N4PbSbZu{&y&+%|hiJKr1eW+5-i{)+b6hYql&sLFS^Y~y0vt32DYQs%sTZeo
zt55go9l5=<Du%Oyer<^?j4JT%TH>g7HK#D-=6C2VxhwpMJUx|-ODCYO_Q$g4XmG+1
zeo_VC_hY49ywmhh+DU?C?q7HjV|mPq=dBj-!Y6a#<H~HM{`!$YZ<-E^md0kwr}M$2
zWaP<RTmP#U)bs0ze}-#}99LMR0Wn`oByFgP+TkMny3Er5VFav-4Ntpqe^_>={^2%Y
z&q_>3QHh;}&M_mq`fc)XwhJ6D%csAW?Z!(1YEhLO+VyW()&^xgPk}D~FoXZgj>9jp
zXsN!+eMYyPL)tF(0+#f&u*=CWMQLu%P%1X4Z%x73zVvw@A~YrQZNz@mD}~3S@+O>s
z5(`-VrSFHui<Uhnz7<5R9fXU#rS#tQF@qRnEaYt`&2@?Jf?(@h5Z{K={F<W1@%<Fg
z+EOF%{d}J828eJ-O1lN;(-ORO@hHU&3?D|R{LyS4g~xy>w5LDBf6RvN=>DD^K?;Qh
zTfWguPF3b;d+a6DfA+BM)N_Xg5gBA^)bCZMvG0lc+^No9R)@C?i>TNg62Qb(jWlnu
z#7lzJh)o)AE%=X0B2TFHgJ{g30i~2dJ-#C_`}2HP^$;9)p|<$nL>{aT#1fHjWj7o_
z>ww*kem~zaG<LA(6VAhm+HMZ(fxRmBH^k=uwK|W#T@Pg#e{lH#XVZ$|H@QxO$mQ)m
zz?h$+IlNd-GFZHIERBt>5ga8b#FswoNKU%7^!!L#X*RTSw)Kd3uUHH=N9zGgY5@k1
zYDZVtn02p<)EtrXt9GN*o+x(OL|D5fyD&xUFM9tkyL|A~jJ+tH8KvJIzT^V2bD{B6
zyZY^=claxGp2RtN)7lT_a3~Ds#Rd4gs`SCa1Qzo|xONEnY4OqEOl99mxS?RE=*g+B
zbLtH=MP*I%BQ&Csr_YIwf5=}+sOEj=X!~;D(POxYxBb#E<f_2LmUD<hE3{Fw$jH=L
zO_=dOkErli3L#!8_NBsFrKj(Pku)70SU9!8`KG`jBwbVN!j1il#njY4D9J0^&>|Nh
z#0wr?kYt4k|J+`1Xv^~t3W-hN*uvLl2L(0yS%Vk5;{`#zUk$^&n}vh7Clgp(VeKWz
z=d>J?lMkhn+4LYEPs%vYaL_IO-b$oj$a{p&@}=5)e3VubRkB6eKAz8xw{Sw?wnyv?
z0OtL&6slb*tb>Xun?VUUQ#s?~Oc%to>(L1Xs^LP4wQ4;l{VA+u?0zD_PvM=|{l(?!
z=je<IUx>xJ#(zC29$Be!h<PED@khkJx^%$KIz{U2Sz1WIfV+5R=052x^>%d_p+7Xa
zoRnz8JZN@xxSjGfMK)EQJ$vXmOG_aQ1+6c(qdKQj%BGy&zX*9pI#%SC;>=b}AK}2l
zOHjvurHU=p94+6SOjcmg0jIeUFkBb^D39nECqk|0cOUsrA`dI8DX3{grs2f?($=(G
z+e8Yg(bi}TU=-KixjToH0Ed@9#?qozWXq}DZy9YP3SeElJ0+#s{~3dr1jq4jd=mKs
zI@B`gxN-|X^~^RqtIU6}TQLDKP$9m{7%IqfdjFfAsCk$mbZ_Y(@Y)XGdrykC&ojwq
z4|TKT6U*vsB66y##SZjEs9|a6<tw0WLL@iCAr2sh66pEgpr*N%MJz3(0F*<)TtNqC
z>gP)#RiR@*)i6oG+3)`1d-`~BekGWS^5&?}Yluvn)4fh!SccxZpy~HsZiMwccldxr
zHI)(iAuq`DX5T{|$F#FCbe*azMiA>ZylfbsduW^8b14ksEb;dzb{aXF@hwVFh4?iB
z4{Z$@uKLX+50f`p&0R&5Yv5>W8GFn{XfZV&Y;Di@6e>$c@L!a=;sQH2hn}K4f^LwR
zQqLWT0ut`C5r;<*)+{Ss$9cZO@C~ngjZAjj<`Ev_NAV)<IbYLofR8wN142sX=W=WV
zq3-vc0O;}G*<v`<f^^uG1h8v#;<4u-)Tu(WV|~`=w^w3{;ENf(lRJ0(KOK_lPiACh
zS8H=~w|Epqp+H6ihnK^QS~wN?o|mMjjdL(UKzu74t<R>dJ#xeTX|z)=I*B;uxg)IT
z9Wl^7kWom#x2@-6T_cEBWJOs1p6BNv8s*|zUF(eAZZC^=*h>Wh7!0Oy1#qmo@R{pH
z=WSDa>TDM&cSw2PRhCmvXT5;loX6Ib34>%=-SOLa(w}wSOCVxcl73HSRzvTMP1XMc
z5PWT;xRN6FN|su6`gyO75`g?`BFhdd9bF#3F)fT8tt@5r7|ngyvqZa)Z^f8Sm*6>V
zk_Zuz4|dB@w@FJazS86~W!LQ$p-%>8q|#Zt(4uPM7z!G1k>o^fSUZDQAl$1hLzcKc
z0(mZ5$kCrIH2?i>V3Le~2O!wwg1z=kEVq)@gexKM#YiJ$*Zyv!z`s_0=QY~B)KZ^0
zYgSVD=JGlE7fO{%Q+m1`Bvl{8Ch3tPD!)#bYqr_(hg7eMZ(p$OX6tjRbwOAp#7egY
z*)K7VMzI|q=C0BOOgby{{ob<Yx};k%7VGJ;DO=Zlh6~4)l-XZvA8L#}Y4uk#d&aY8
zE%lp7oXXiD6*8#*L=!h&GV|M_Lc(aa^PmA480P17^qAnaw=YCg?T%D^j^iOLH!RTz
z?|dB&Koj%Jbk;E^b|2&YsP2v8SBuQb4^5!e8gL>D%|T<rh03Oi2ZCi>{MTa0Ko}gd
zmf_pp9|RI;uN24wO0@cb2BVd%BuC8TBtN^bje{o7G?;%{OxlpiFkcr8@9XnG5b)BP
z0$zPHS%EUH={#sl+C*&<a*;57Uir^giUCW<434M=(mAnWq}db`vVgw1N{{gu0jFQ?
zu`I*T5FTx$imZG8ixiXaR?JLW9+J{Oz=a<|N4yD^a0_jpMdBe3wn7#F0fIugTft+F
z!dtBdCLZ^O@cVJ$OFbk;Vt6e31|4vHezSPW>*o1VJ_YBIcsIL$2}@Sk{}f3d=^UtH
zL~WuRRJE`XpkLH#WhHOWTY9RCAS4f0k>mE78GdzMj-nfqWrZ*`V#EbO^PnT=ar(?~
zeGi>N8jnlc*0G~B(7{wxM_|!O*5o)u+lQSRF5^zzo1y20oG)krsD$hx#|H5_#AmIL
z&5DS^3WK7B8rH-rpckn$X);ykYHmO1l|UN2Z__hZnPx^N0G#!F9jweodGPX8P+cfp
zYB6)jhb+988y+W3gSX5(n$9!Z+mo|)rRL)55`PSbE4xFrGuGV#_E!ipu|1%QQGgqt
zDeBqUQD@@b<Mm;n&b=u3{Q0wBt`@-*;VjoDWGx#wOD=2z9%HTDIqlu1ai1z_y+w@*
zapZhIr)q7X!~wqL^#G~d{F;yO=GVO)@mn`{9OZ3x!lMno?WIWqBisW4X>2N{BTF&)
z7E#Yj_S~pH-uowg%%PxF-w_+j=o#|-XF=^Z-}NF~z#N~hru<9LwdcnLc`*Z=TQkcG
zx^!WHo3mj_6IY>3{K>tcMc-WPetrgoi$yWVgn&r($N;&)D1=(fW&vbv`dbR(UloqB
zJC*3VWvFv3;;|JLj%y0kGseVDTVcxa!^W%6t<u#E3rxvO#Yp-znvx~;ou5${vvPU{
zgI6FDLe)Y8<KT!o1_r8U@+B+!hw4YaBPAD*f8|<!84_4M2VWsd#(iCM=5J*i_zjdm
zra?$gp^(Fu>TM60Au<DW7-niIuSf3QI)|L9E<6lpg-QWS2^>T|0DrOzDivc<rtRdN
z@9ax`;=Jwg3EO2tCrw<gvzkm^L4eyOr5NO63zc!<*2GK}tAukYIDpoo+sr^zR29jy
z$?|P?-Yd_~MNyC;*iL5^*<9kgpDt|@9JL(Jt4;YWjJ147WmxU(L$TuFwP`2I>Mltz
zo03d#N5D6=fO6XB+%13sqsw9dXQo4;33LH_{;y2o6>ucT7Zm{PaRLvXjuJbS9~yXi
z8`{y-$RJx<ta~D(aqUltJpWpNs~ELFtGo4cOlXbYIpdRu(~7WzLihZ;K@L`<5B7+1
zunCz>i^cQ9QE$ZYIjqZ&F(B?IBI)3|8$m!v6+$}utD^MBkZP9{>yW7>Z(wMb!JChx
zelS}7Qqg|JD(ElP?$p-rPBVlhGt<3a1e2o&vlYbArT2zG0KuX>iMA1R;AE3vtKgpX
z&VY(Iw`PoS^j|4w6v^*VsDJ`*iWQQF1xFfGlZYYbFnl-LLy4n_Sm`S97Q@`;Dn$9+
zWCZPlD6=o7$DHX`BMGU8H#bry+*_8bVbEDCdUftVK>G~l#5H>lw5tvy^Qc_p0FFmw
zc%3(?PbZw<_K%+FIWo0@CI($nWAzk711}*u8lqbMb&r9{w6{&c$4^quJ3ruOfZ5Xb
zve2~Y661a~{{`CqH@8NO;v8i4h`|DuVx*Rj5wA-XKu03<Jxe+6Bawe}-X)U(5B@+z
zOZZ0^8I;}raWotfnE;ehims0Yv{Mo%%q%jg!o>QtUU5JU<flmC=vGJdAEwtn{r<D{
z8hBr^biw+Faj*w1*#o>wY0ID8o1aDnZR`tRT_hDnuK>JtI@VyobZb!O+9*R08ha+z
zzqxjMcMXlZwpD6E!_$NjUOy^o<I-tHiDd#*^~Cl95-=;LDOFXk*r#o8dzC@amnh|`
zQ(pn?TK>xuWtGGx-fpNHzXWjo67|hSz?8|LBpEg4XiDXA&xwd~e>1NRn82%f$vZD;
zB&K4BytR8@7FyAZ7nO4rfa5pq(>9of)m7v$2GhLwj8J=~A0W}H(4c^7eC-CCI~I<3
zuN7^LOV&ZYzb%nuEW}I+$Wj9p`#Wsh>#U125{wmAnhsiRKO+-GI)%8~Uio3pskL+x
zsXMv66}S$vZM3SHz=A_AbNRKbKcKfUtO|iSlv8h(cp7F?k_SG2^wdu>r)bVrG5`Ya
z-lM-~sGFR^pSrj%`+qK*3LV88BpPon$FHH1F{!))sq1MfL|4q9djO~@f(L}TNP1E$
zy%Ml<M8D*l0z9w^l@a)^)RyGne=}a<n+WEeNhM0_d!%JG!|J&)4CJ^se0vtXV<+&j
zw&5U<qczZ>Od?x_YW>cKDiKX*6_DrNy@OBos}Ss;o_cIn^paVwvz<)ixLCKekFyag
z+Q@b)+u7g02Z206B7`XM6hY6c2rN|0dsK0;nD$|kZAn~(st&yrniu`gkgrNxa_(=L
z<ekAa33MN=-(<W!DF05@eJ0MRVKZ7J(Jyb?+GzG+X%w_73Fg;hnVWOI;pxRd{*O&(
zTURlw`x%3<J+p{Lo9C_2+ha){2mqKEA!2tdQnlJo$ge%^x->@$7)!-7Rx)*i<28HU
zGOk{m?}mml(s=zf7J`Cv;b~Dn*zyhuWwt^sSC}H1(8&QfMr}0pDZjR_@$m9)2iGJu
zelX!;^2b+P^_R8*4n$@FwcDilfqV+@`k~2v2u&)cmXQ%1l5zK{7ZRfNCspskvRjYG
zh~^<M=+{=tZilaISCnYArtV)-M?!LQpS&e~na1L1L)u$;6FXR3>*%f-grhK@-0wEY
z^H?DlwM8>OlX$^c>_`L%2d!;u#1mU~M2)R*y23!bN)ZcMP@T)Q_`-HargLslHsuU%
zVq8~akzOEJ6THzF+VR-_4T_^6XkeF-o8zHU;l^k_<fU!>3@poQq#i|U#F<+`<Q_In
zis|w<er58^i-6c7vF>d$G2%vRXYAU%i=(=2JwJ<YIo|-FN)c)i3<gU$`qAqSqJjxz
zgn7_do%KhSubvbYJYAd(<zf_Gs}l~b9Rj?2qB10gKudOP@*uKc6hsqEUM!a-8sv{c
zoBVl8TcGY7T2aX(YkdzUPZ}>(u(1d`xJG}hppsd+$R`!q!!!X#-QBKffh>}lDX=j<
zrW}oIoBkfQ74Ab-uxCf9fRq;+Vm<Ya`9u2<F~WM1*eyhigm$z$pLuw^1Q!1T$1bsR
znolWE8c9i|m^=7+50vY?ow{r@QP<bRR``etNnjZy4K;0x_+W%IU|dN_OsoAUgE7v5
zJd^A0JZL#!o}xv7El32Uz7&gsFsv^{V)HOn1w`cQ8@>ounU)s-yQGsYw!=lMU-`fE
z?ZG8P$)wHmw*iI^>W+L=dYI`0r%NeAlJ0yOuqRTHsqR&N?cEf5)ZsAVU&3H(=f0&M
zP1zhni3%l_^h5d^&mzySje(S{PO2p8{$v>lVB_VF%A5`4tH+GGZ3zE;4sEEw5v*!l
z-u$@@+a+XI-ZQENJX+b<429N+*kkI(NTOABoOBo%i6<maICxLv*r}IHGU^xL7obsG
zaw4LSOm6X<6FNZ(MwHkyb`ejRw5kEN2>)Xe2of+gy}eH{5+}?9=D&Zu2%cqo{bg{f
z!WegUPr(qm9H~M1pRorX2sz9Uk{r8LqQgQPj;yF+=;hgCO?*rb9rQsPr+4zS=nRKY
zskinT6jQ7=!_g#5KAk%7*3L<J6dYv4pwpcCrsiK|dXn^8ZWJ^q7LJt{O{)9CJOGBe
z(>zKIzto?8m~7$R8){n*$=omWD-{|h2>3t%$Kugq_`0LwiB*}=?s6(D<5}1Ial@f`
znvAWLs?>yS94J6dss#=SWTpMdD!NK>hMla~vGz}|`ya<117Zr+ihj^*lu4r#8NcXB
zL+Z<`l;m!8nY#sVNqW#Mk;0##p|AWFl!d|ZyPhi}wrGo$WnQ^?fst=m^<>tW9=biA
zAs^`x$Wj~@T0;s=oKwZ2BG9xX|HYnO!vsuwMfu<azg@60`L5hG%ZNlaPSXRQU-Tze
zUQsQ#_wN#ox$R}{w$T@HIojl?x_^UfT*G7E>ic46&W3KW7!uYRE(_PG(m)VXst0*b
z7j*`eN4&fxVGfeOUp@z`r<TO2Th8mMBSd9N%46;Fr;7W@Z64Om*F15^5QopZ-{Fn6
zE()%Ni<`fF{dle4Sd9~I_q$I+`^yQx2y;%ApQp1Gefxu}r(`;3`xkb(Vc?(docnqz
zQftMKzgXyJgTa(5#X60bDobuaxgreLR}<7f56Hd5fm8N2@T1E1TjVbSTmz&RJ`e9?
zqN5&KK7rwizzq(r7?O=@yFwDR{by4tlLT-cV#1G!y=Z*@UUunpb_><{038YcEtXZT
zhdL^+S%{=B8Md$nzV>+N3(mqXrMQ>fasoiTHp-Fj4o3M<=c35zDSJd%b{R|pUqLP`
zMIGS=V0G-FeBgaSa0_-!)CnFT9CmDrCy?_SN_V&$H=f%}aH3TJuZuWLt`ZvV<+AeI
z67r<-g%_)~EyhYA-TiWGM)HX?O8wX95pL8u+jFnj2NB@ph3s?)$J?b9$#9?i6=3vv
zmqN2*@mN3~5mnEY3O99&G@87%lwUi)^d;J1Ni=g%=%lX0)n50U8N*6lSVq14Q`BBW
zm-nX{xMIGNE%OVDaaX6j0APO3B>;gBRJ~FX%g^%v&GW2IlF3e0t2B%U2V?9f_u9B7
z1ez4f4J0KW17)P}VTyxF-6(<c6iJ)$xO~n1=5qOytu-$PRHHKtCn!ZdZ<i+6Z_z5`
zoxT%lH;Bb`?s0sF6AGnkR)S+P7I#3{%#%1>LFFcB^;^q<LierC&p?W<eHy}hMVLKW
z9m<+(YGGL_8B>Z%LEczq0u-sHoZ0XPZj0+ztU`-4uhEM(#wf~WUc?t6&8*U{nD?Cq
zo8v&I7)PZl-R`PUc$cRdJ#NuVVToLl(6n$5H``G5Xr}Y+?R-1}Xzh|Iua=fRlYl8i
zcg-(IvC}WaMYsR~ESA~MPB`|cDoIN%3nj`$Fph;3&yqX=*awiH7-hg}_$0_55k~NL
z`A$h9M;wY!13F%`3-HRLi%2@z#K$Zm$#G#j5Q%Oo?A}wqlwKj224F>(DgLJ#Qt@p(
z@4xC@#jk*;PP%sNQ!#BK#+yQgFuzJF%!D8`2`)hnUKMDHT+R$lR|c?_xQv<!q}?u}
zK&#dUaZfKAoD~#!i~i$<AK@1v{Ht6kmM5Ve=~aHr@UkC<QtaT~{+JgONG(FdU*VCh
z1|XIF&Dat{hEBEEdR4NiQYpp~xQ!^~pF=Oc2fyF;>h!9FXHT%3wE$6$m7&-2nfb&w
z;y6YDUk81`h_W6Q#*sRHOT<~>*|PZbBl#>O@+T#N!!XTwbvdGRN!yl9Gbv@%W5o$i
z)k&bSIlw<l2H?hv@CE5yT-qY3JwLm)&??*LML;SXaO-8X?7_JEwoN0;f}LQzx-BnA
zv%!|Xepz8|OWQR3zqRJ9i9bvYl>^M{732Wf8@S>GM~+b@4whZsc<$%SWDZz`q3+cT
zXjWu$b696-AEK?(e8P4C+$%*ludv3{-`mx#N_C~xtmgc?q~;s+@FUCOiC2vV-D>q@
z(Pg)gi-$Kz1M7mHSFG>f^eUra=g%q33PMKCiwIKlc!k55$P7=JA_2__iY<UwL`6TB
zZbbnofbtcDNqw^aY;pqGShUikaoLO>(<}3%RdfYUm(Pmq2JDWRs92EQ&8On|wd+Re
zcyM^pr`5`}lC&AOM~xR1MfEW1WW$2Wd`t#5ix3!VZWK$(RC~%`ae^2D;Ye3txrWp%
zlgW%3zGK>llmF=725V_&A#bI87O&zPU%z=}#C1IMf(4V*jCeVnkDnI3c%}%!!%Us}
zfi98D+R0CfWmEI~69|x;V1rda$K$zOu`v0!I6Uwgv!QHGJ4ncS$i5#0M^mpDe~iBZ
z_t|*IRKw&?u4*h!(?R__^PFW0q*g~jf8w9IjC4H;ii|K#WxD$(&ChLzin0P{0VN))
zb%g6MmDUP>Uk+ToqO%?13#y0zFn-3t70$&6uiyWufDA6l_Wb=rw@j%7go29j^|^r@
z`!A}TLolLQ>;V<-U+X!A3a|njlH>^T4g5o}F=pK@M-~=em@NgU4cVU}d`n%=Dj?-R
zOR&GUHiQR0fie>7zRZ-cye6#F2pGaCTdk(A)2#gx&Hj;Unq{GKbVA8cdF1yMQ+*?r
zi!2;D$(K#P4|!?<?fmL0oJe3(N|!U0AN~k**i*zcXkTc03-^C5K`@o@uePm^nX7PC
zI}{;gb>*};n952rPg4f_$I3HjNWyrkl&HM~Yxuwz9{`VC+;{!?_2$d_A#^PbGY(#m
z=lw<0YDvGwIdLy*W_HEfYg(Ye!W}}du*MuKcUQ(qMHRs7(FekeFa!^p+`7%&Lt5F;
z-{1LL%7&q8f<J(T^N%ALvl+U>6DLdBr7312v_Dy@<D@r_!uAC8rpe)_p#8TZ*>rT@
zrie)XLZ7?RgQtoK(D^O`#!_M&bc-y(FtE!Sc0UdRpal{ud<zmyGgt3cbzA>bWJumZ
zor}42x*f1i>6=j>t%?wXJoj`2hApx2Yp3$N3F?Xf=ijeV{iDXy;3~c*`B(5_<cptI
z%-bdO3|HS4ruRZ&P5nzDw8!GTyEe5qF~9a@FeH(ws2?C%NeboV4BLq`#W1n~p^!zv
zc4=em7Ji-jK7N}v-zfHwCGzT+1wzD-q#9Z5Sn^;fzkMq!Qk2+-YZ~4b@f}oZJ$egR
zta~ch*C&JASRCpl&%zN$*|9|9X3oxpu@?95P+x2ili_*}gm}2BvKcMYVFX^^E09_n
z!p+X6uP`f>V%1ShR4Wx@`3>{bAK4p{K5ZpDcroM%+8htw!<{E88A>~yyUgzKrd@>A
zZzdAi#E=Q<y%i)#>~7RD`#`8xUE-e%Od7c-mnD=vCp3g%#lmm4Q+)MTSG~VJk}vKQ
zJ1MCY0(kdh-l@e2&4Cwpt%?gSmYvXVTj>@Vsa9$~<wTY_AK$=o{8c%t8{R6-<X$v5
zEJyAXj7YVTH4{LGve&gL7fx>eaP}`l@LH1T?FHPDRBp|x7KDgvMQ*YMka|PVN$N!e
zL($A|^x)4GX>U_LDmV_>WWsV=Vx@QEg#R1J3p+pqEL{SG1?0BIN}b2aKC9_|@%wgN
zmm}Kf?Cpzo8#b`vSH1j4DdOLe1o{3_T(bk2oOO0ESNvKN%vgd0`EEV2SvNYrvg6Ht
zq*W%yP;8n_NL4jN_Jxxe!D7p?vggTH3}>-SEefmV68q8XldgBmto$<*^JLb-R@26=
zpP5(uJP~Y<5I=#sWpptVoM&TM*)*lVu{<Hd`Dw;SONH3$+q~jN88C`a;<;<*T-)eh
zqb&J>c+;sMJ#mME_vfIiQb#mj(#^PDLQ>1N+p7lif5ebsP)%HiNNQfxMT@^wUzoxy
z)Fy5Iib{A#U`T4m?ghBD+>dh)Bf&A4;fiM!R!p~{%At6?mHLOK!kttK*7F@=G9C4c
z42mNeiwvA*OV=WFEE51UIe>;Aj-LnEn)8S7vMcd*>?tHh_|`Dn%-uKwN+NY#rJO*U
zdmYA{<2VX-w5eW@5T4YLY3pB!Ce;w2EZZ}?1h>e?gmY6F)C<z%A9M}mKt{qk+0u~C
zP~em;{arN=KsUHWp|}XXH{rAY2^S)MeLSP?*QxpBkN{{n8eFTRt{0dZ7M>d{>AY))
zujen9XB%`l(p(GLi_jR|QMv#ap(Jq+?Wj3*Q}phJJGhsj3KNR$i)r>c1^=<^RdWgu
zq4b9A#~kDOKvRKo;$NSGM@ri&8)fiyhSQAe8AEWF+}Oy=xsrOMpGC2xieHSniim<B
z$uL;4u^Y_B!t|OWvN6(BG!|rv6h0FU;#)S?su}p%t}Dfs2Zz^VjlHsIGOc<<m0xks
z!S_1=Q%$N=)9Fej39z@Z%La(@PYK;L`^h?Q|F-LQdH`IIa+=qxtb1>d%UUc=x-Z>K
P=YA>bibpQC9?k!Kb`=Ej

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if.v
new file mode 100644
index 0000000000000000000000000000000000000000..72a974f6662c3dfc81144026d124ed0aa6038819
GIT binary patch
literal 7328
zcmV;R9AD#$6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3jyJc(fFe>6gw>&ET2&>m+8e7GtEMP0d
z!1A4RC^sSZN!k5x{6JE0{Qp3$Sh6ze&N3c8u2%u!RFd{vaRyxFFg?Yq_H_)-n@yP=
zEhW>V+Vm0%-3)E6Xd3Bo<TH!gN4G|}9>wW5Yd4nNE-Lel`8Q}!bu|3@z>k-xVV)hf
z*0c3BmHNJ%2h!lfS&9tEno8r<R(^+6qX9iKH%F>9$g?)AqLGzv%XRdkhTla8XjQs^
z@MiPypPl?sX*2%~V4L=v!YxR6HtPcqXI#K&QlWw&Z%%0DK_I$|_XLZ5bs*`npn@mh
zNE17Rl6+he(K-+wJ&utzD>30(<6c3n2HebA&4O?6$ZzfUpH{Ad43ES=XQZP}7bIip
zgo+O<iQ(zykr<yXVZ}J03lwiTK0y18ZmQXjj?!HN60E08YU#8`f-?o3E|g1$3GEy*
z9spRKsPNqXvJ*FGqqk?kkd_>Rf1JiK{(x2EzNjXO<`48_)hxxZOs*(9A!u73D;*cg
zAhZyxaa~EvPY=ZwR_o%$*`E33hIf<NE`tnAYB0vbo=XC$TX59vu+><{l$C3?-ax4g
z9^%Hq(h^8bN&-Lb#}01T!LK~x2FZk<w9$YEd8MD%B4DS&>CTlGHpnQzf)SsZgm>=O
zH6rQD3%W-ZTr!h7JtyRIP5V0pn>%)K1Y_n_X_A=AIuFd?A`gKHveIy%cOP*1@9<9=
zK0juxbM4yMcX)Bt<8Do6w$~vg4%lg3_Z@{4*R7Yl<`W(jYMnyEht|@O7D@ezH8Ge7
zc)#SipQXnx&7(FwZrgU~PTfBx2H*b-t}WJB;hmGyWIRP;6>gQ*C3HGS9@ur`npi2q
zc}{LVv??M9w02uPD);1=1vA+KWmW9UHP-ELHU$l4lC%;iYwrF{;MS1W!j_LH`<RcS
z@pBc$O9hRq|D(V^<l$!-;O;*CEP93W)t~y@Ba!nPRG1l?p#EtZi7D6zi%vl!nU`0-
z-6!kkbxFA4+za&viLfxGv8OpXmq@~tP~}25-#Hu1c?E8(i}$<WxPaJ{pkuC&PfM>-
ziRSJFm)Wc&tO>N8^gs{xN!4j6ymy-s(dcZ@*DDN$oL<=<2K`p1bC2tbuN%s}KbNAi
zxx)TXd0lCHJPJf8-K(ETJ^*RfNRe!x4qwYMcKEs#iF8^KQ95PxijjD)pohxQac=BS
z303Qo(_48KUc)|drxDb*+lEF@?)7ix$WNL07KO~>_lX`^Q+Xs$HQP>cPrBB#m$Cx6
z=I#$ebEct=fYP1tH3tum+z}tGLl8avhILi9&eiy1{2aAX#J{K;cJU6eEz}J7All7t
zT!Zq;z(z3&J3<rii9)1_>oT9&`=I}R?(GP4Dnfsr3ruQULF@^H8^~ZgR^I93@Fr3~
zZ%M2VDqq27YS%%4VyNNxV<CIbgzRg&et<w1@!>IMH=EI38L!B9VT4+l`1)OEg#Lx1
zAdxxu$V*-)-wvX)8v-830c8U(S8cUtA6ldxJizx~aw+@+Ah>${p6@_(hf^-LwmXsS
zc&<Hjnc2Kpr6(yV69R^GfptC?OMq>({6gAkKlw47y@}tM%H3OWR5tJ07X6VR<AMf*
z8JN<rWC4KGMlz1+<*FnVijjdh(P-|k`!b`r^d*j^$8`VxSD|!njyNKFlODh@JvYO2
zExD)Ig*$RL3YNT>Ft^{_6LU4U*Ed9GE<knV`rf%XI#~BkEV&_hiuibBivC;NeZ8Y{
z8ieU?Iszz<j7n>iYRN;J_aqfQd&Mp0U7}N<O_CIoH9R8X<oM;`8A>%{vX$-^rHI8K
zNWL4A%4>v^vX+H_z-ku4P)M(Y4wPLjkGs`FA0&ul^~5w3$6VR#N)Wh`nji^*q1Z@W
z!8{rpP%>gGfR9Dwp~?n6pGXnF+Y(O2k)w+@zI8ERS7yN{0|&frQ4oW{P4kJjIR4~k
zLx#aKhus34&FF~v+NVd{FNhXJx+a&OzdV_3zuQJHC28jJfT>FRfgbVPpM_H{$U@Ym
zTlZS{4k$bmv?CEyy&o%RECVDED}B!#JixbYtau&W#qF42#HJDRKM%&#(}58(oDVpl
zQpIt0hyFD+hDk>wg(|ivOriwmRHg8|u$!zc&=Cro!0!AB0?|!4B6|1Qq~k3V^<U{^
z;-HEV=hQvA9!!K8PT`?DcA(jq4Iw-;qSC8)01_RkuW0wT32+)e2$g?+DaE0Z+Zwjk
zH4e*gFQ{Ez%xeP<!Nl#VZiM^DI6SYnSwC8l2NGUyYio0;x_$OVV@b=FW`Koy!n{*j
z`ozxAjtWvUc0s=sfKY|o!3z>~o$7Pyq*zc^qX5Sh+_OvM0F;|ioTgLVcw5N6|G>DA
zge&L}o1fy~=08J#=jQ73&WNxwDxg<D@SVUq3U#)dS_uxT<;|!j8TlVch*|T}(YV_r
za0_k`kzIT()YvlFfkG|x*tViz7qp-FnprcH6s}7j6mFml>u{LPW2z}^02p+)XoT|D
zrR;CluCfUw?`qku@z(9#Gwlt~uk{Cnw2Dd>?{QBYEVl1d{e-l^GNzCPyt}Qjv&V_6
zz5l*wRnj|t6*=|dFORfSWK0)Q6E(S&gb6+rSNyhlOcxsw<d)$AEp31lGRmMlv)gX#
z;LUl?!^*`SkN)J>)JN$Eh_XWSNyR*M(dPfCCtLC4fB!reUf5Jwphu&sO$gg)C}BFz
zb~u!D&Wap)^{KKfKpKR$9ZO&Rhd({1oA`#6kD*E+k6}S{-NAtIzy5%4H9*7M=g<DA
z(~J8eJ#M2vlls#t3VGk&!$wE|_D5;}%}d00y;-LRE$72|oYu+$BM1GYSX8Cr^0nO&
zjuRF9)+tVR3(bVqGyP-k8R6--bOJz>)$>BbfaH||Fay1YD4c8))xx9}srlCx)MSyy
zUnbuN4{c{3#9@hRptrDSGTlf($(18}(TuFwi}vWJD&va{7(J))<Kcz(VVwRqb-tfZ
z?1uG`pDaBILh-^+O|ZZsu=h2$dpq@Hk#^7kSZ!Sdv_AJRb#ANXw(Qxnj3*z^pskpu
zQ<lb^6svVz5uB`hg@kgEC_%KT_dt8k;HbwkOk#_c6gax$>~UvygcozZIeb{eS_jJW
z2ziF~`W#=Fae!nM^Z92Pl534=<8pPi-B3iAj?u<Wb0sJGgj@88z>6tw=QJ9x?8J5|
z=;H6amDCE?Wn4XVtY$|hAM$JhVq+JBSDNpM8lGC~H5yDwkJ!x2snks9U{KU!+H+2i
z9`Ub{@TDm1B{a#m;&@O}GbDl%lXXKbSESXHU<8b^m4UD8O>NixkbRi6n&pYmW@;m#
z7-Rb)&LO;><~S*?Zn;Mes`2f}4;#63bP~*8BS~?O&zT&?1E*|)gP+={T?smy;~i$p
zp1#19Q9?YKV2TGdQlcD3%wcwV9Bp9ZZLS)9Ob8T~leR`*XN-;~R3VfmhX?20mXe2E
zB{hCTk!qw>RPt4#6W~!^jKDHK9(Ny0{TxUj-4EOz3J9oSG%CM=S;HS10@?*GkeU-e
zC@i_6J`YI@X2d3qz$I0TWCmIBmN@9&RBoj>scF#W)n4^*Oh%H!7F-1m?f0;S3h&ta
z8&Q1#GA}E{nR!1qXehyE$3@+P7r9%F@SGUvQ+NFWO1^=hkOQSih$0Qh#1%wf!o&K{
z(gNoifo@}r@?W&mPjA6hU?J7e{_w0G=u0_iuw2^c?0NXKof9X%Kc}f;%j&y0<x%r?
z2HXR^;Zs!EfmR$C5u<i%g*Hw|2~Xlty5&bL%-~@Sn7RG?*jcd`xp5n&@j3aac7W2&
z082abT_jCM*u9~p&EIeUqryj+2YX)ucJ1fS&jdDsEq@@cPevh8!+1RbS^;Db_j_cJ
z^~oZBP>=;!X8D-6J<N67xumrY8tsBXXCiABi2sK~qpkH{``mwi!?OXqSvoOAki7%B
z;#qcv7r0apdI&meLcB$~&9+oo3oJ=?zl$6@bt)#W+%j!xnTDsYvc5LlLJca5BhOLi
z&`G(}BvJt*`Ahn$8PeHRWzgf|t5WIb?JL{?mKs#1^|jE<@OgfnRjhgHd9T)Y4tQKx
zXFTJZhuvyp{89zQKWV>oG3OCodFTS3jC<3MH8owlo|eOm=f_7Lg#YmqhjAjhP6=ER
zCkmx71o=}^>bF61n5FI7)YEeC^DAbkbut)r`>yFvE6WJCuH~D%ijkGJEfDa6<o9DU
zG%Yy6UOjUK=4#2^S!759@VYwR!i>i(-SR@?ctU^<%oA>QgQ{i`fz>o&y0t(VFB~H%
zJ$j*~?cD20NM0W2k(Wc}#)M@-TP^$4e9rBPGq@Ab5WRUD@e}(4rGv2YIg@H9o)m}B
zDTBP5AB&(keB{D8UE&-t<=&oiWw=XIt}oct!4vHWpqh$&b2TT<g}!Vhy~z@JRtvlB
zgcTz6kFix@69?9$OpSsznsU4)1x}~Pf9+3bBAfwsl<0kQFR=`LrT$bMvdYjW=l5zw
z7~_pec7Jjqw-f7AZt0T_S9?73dZ%0sG<au}5~RHv1XPB83G+I*;&2Q5^u8`#Lo<QN
z1YLo`-URxf8uuU9p%|!Hd<?KYs@CABTJQIU=dxST_0gZdluZOX1yVGf%4l@!9wu7C
zswt2Uq_BP%XUq;*tfJFB`EJg8qR4wXJj!LTNDU6x6dP6R8ft%Wvqm|r4Dp&7`=r7{
zWtCArm>4Wg(#Jq{V|Kqe#BCtoZGY%<;f$TCmRDAwNpWUXA}lM;#D(#hlg_C}b<J+g
zEULL`1VuraL+h>L%rFM%`N63%uEbpk4Ul*OB`o6=Kk(y4N(X&Y*%-P5B@BHN(UWpR
z=AX$$0K}AeDPBuMWp{B2ZFM6K!CKm)E?#dqjQVq_x&|Jn>cen8e6({L6azkSwQ~52
zBfT8I9Ne7$5d5Fo_zKR1k|bI+^V;zp3K!5r@+NZm@fEukGCohQs8==1saajev!=yQ
ziJ3RCb|GT0YELdvrra7}t!?bo8P7n$T8C#`Kijrxn#i___0})Z_nmIGH@4k*09kW*
z1S;F+P~)U)=C{tBw)WThD2kWKELJqjFl~S-QaOLiyIyWnVYM)iRO3-Fl#pWnMjqj1
z8;?cxZb**Z6Dt4S^Z%ND4yfKf@4azuSR|_c@xy4}1M4(rjo*j6i7}{h1z1)tO{t>~
zwN9W7vsge-Qm=jMVP@aoxA9+aH2KQ$1bJs+IVie#=cMI;pZRzVzP2A#F27<gZ`ca4
zM&LkR^%duO97krPfRjS@F<{k++x=DczRZx)jGtNTDFw119(B@983B!)okSPmW5~q|
z%Aq8WV!t2sx3!u9;Go27`13<XEuVf2F!Ul`pZ(vdsw9phtJQ|B^D)kE8C-RQ_(a`c
zj-eS%GZBR$3hqDn@CXKQI&@qhu>7-Fced^yX=oAo8HV{HL7d!~Q1$ZgpBMJK!+xCE
zmvvI{lSRS7XICm!6`R%Nzu+L6xi$SNwi*oiW|-af1t!L{yk8UglZtI)@|O?lKdcOu
z3o+Q>O3>z*zBRGB9|SvIBmv?3=_24b{Ef(NnBEjP$BpE98(@@Ud-?4w@6hKqqOaUm
z1ib4hh@Q@iMV{of1bS(_uh*hSIrM*mPOL_H1#lWf@JZCbJ9eH4Y3tyhFN%J^X6vr5
z#8ZHld;~v#@@kH??dHW=j3${}GUwFfRIW^lJ{hO#ea@2c0R1}weL+(_|1q-U$1hr%
zOTnX+_%m#b!>hO6^YDHlpS=uHoRa1Bt6*9Dg!Yq}@<j-?V)f|(fdW;8>F>cGAVbp?
zD*23N{}-RgVXhFb7D7GsmgRuBwkk3|Y)a@+B1|Jh9be0Sofs1=Z%!C4gKRS-2n0&B
z%fK8B@iUc`bA;Vlb3?CNO3rc8xtA!>Y08p1bDNzJpzSGYZ>MxRugnfj<cz9`BPV1A
zZFpP>93C8jD&~XxcHNQ0W^W`A`RxI^7vm2;u@r=BGAGidf`v$LXKd@1^t9I&)|&5S
za997A9C;PbN)G_@kX;p$n>8*Q4FH!nNeOzUQrjxLaYI|BK`#qTGV~b0;prt~J<H#p
z%jmsysAf7C*ibwc6Db9ul!a&0GphM;huO&(L@plR2ddkGm8#!@j4q=-u^CgR6rQy}
zb+FIdc^36~mBDS3s>;Rvm<)&JFM5q(N{^B@yP!?G)@2|=N0(?(ra!1W8SrqJMbsLa
z2k`ze$joqL#_`Ab)FR4(=Na=$A97y2VIh*BLkBUyrgogq(4SAvEI4Pa*5Oj?SY8*&
zNPqOS$VLuGeSX%%n-RBU8FE4Q+99bVzvkXy%PX{Jcb6uf6QD*C^1_EAMGFV4n*sG|
z_e^DlmFeKPP6@|a8p?G<=HAktvO#RC#jr1S=<<J0N-8{}q3`#(8sHh#{e~^TOOEp^
z;gqO}V;~UjK`^Fep}P-9q3NU|w{%hodaM93mL3p(B7|p;8p82h_ityv5Q-8MED!78
z`bFtRAUQa%gTD&Vk;)MvQ_1iH9Gf^@)FUxkW!F>n%xd=`lzb&slCsd+cth`O`~$6+
zdd5d9uA`Q`y~;67eLA~_@OI$f-Cy#8byZJy$i0+HYZw?H1%{+(4H35@rpn6fE-RWh
zoJ+l6W9?hmW)ZrWE7luJkCW9s@^mMZLM(Y2qx8ehoLm#$Q8eKHp}?%j1`-n+dfxj?
zh!D*CudMoL@z$jBmg3eEs_No^OLs8*vgVxT!DzmI23cEbf<?I>ff0?;aE@ZOEi*q`
zQ+I)&M)%w;L_7g~D9q9=0?FmP>k8)sqAIiDG~)!@Z2HG^>*P3;{?GzftT>v?-R-gn
zGJ95H0NpP<PWL={Exg+tI`YSvyF1DntqN1wWk7mIJSp^FcA(pOhzIe^-I-KuC5^bF
z4Qxtb$wHo6i$h5}--GGwVv0;3w-?4&coRn`1)#UX{pzzyXobSWPy5SZ))K=JNf!(Q
zl*${$T?Qe{4>8z}9WocKA5bTiRj!B?&~f(+ppT3dBhVL=0?(o0hY_J{k)tU7RO&2A
ztiB&{kzt4PtLze>!jdhl#=cB862}&yjJ`x!D9w++Tx0tp2;RzhLu(dbZu%`;fQ$&c
z{uw6v(3&%PBO&&3vOem(()nE)WzBzb8|N~&M<<3^5=39q^|k;!pVf9m@_v!q%}4I9
z|A@{6VV;iXcsH|I_e7Og?$&qteob$-jv-*NXaAWbq)gu;p6!MF>y;HiXpH$!U(KsB
zUz4bUEqHiiO)%`VBZvuSc@U$<=1?I)uXZGNOv*gXMb@tZ)*YPxYRo!?OJUp)#25He
zX~C#k3*OgU8&M~CcS=FPq=+vrGUa18&|rp^B!9i&QdzTp^}t`b0`$xQwq?7p8Cbk!
ztt$&F{?Iv82zOi4ppm==RUzC?3w%Q6`*zTo5_uMzjuev-Deez$J89zqd6zC*3@83y
zp<?(l92fOo!izISdHyS7ihpo=p8w?;3iGn?T?@l%jp`{E<Tz|2r=9b~^m^!0(4m2K
zlJOtGQ(C|oizd!!Ebbn0_e9Lu?!mf30DuGGe1Sf<eLpd7ey4y+kt%i>apT+s^}Ob#
zcGu2=E*LIplPT}*iUOHOS?$dF1P~oB)Yewp{{cPo#OdI9Zf7%a1Qx2KRZ^S8*+cGh
zdt!O9-AeX?7;`cBolL!7BP}DICB|*rTZQw1-`KMQP&>dUcceA?X2AgNaSV7Nm8QY$
z4%tzTkP1k8Z0Zln>zed3)Nq^MLL88+Zfo=rgTN_^I2!u?Jl{)4l;?_L%A7|9qQd6X
zeyb{@1LHpP{jE9x{J8o;W{)L*NzP=MxT00In#(Be1UkQPQ3#c@6=g{rj&(yA@|+eN
z=TSA@H#O#yuz57*7#?2MAGY?A`@|r^Cr$==k`L@78sQ!pDt{cx0*=)UZ)89l*Sa3o
zJPHC(*)DTnOJ>nh2vQX2aU&#Z2V^DnUpNX8qEyO9m23~})WOrV#tGM_M(bFim#}*x
z7cDHpTy>gVOeoyk(U1fdjvali@%c1$6K;$0kc>YGY0`yv2XYJT{AqN2u(9z~yWCx|
z?TPk-&h`gc`&w4uhK*W8$yF~z6oK7))eUz!DD~M|H>EH-U14ypby`6={Lw8ufO(Xx
ztxz7gSS)2-N}xAg$IfJF?#xK4HY@@%T1VsmCwj=yQ)K7c)ZCCWVIJY|)MEc_^Tf(W
zXG@?-vdAAbWWsr%aDSO1Lw*#405)2xa<!$GpcCY&*Mbzw1|MswuMpT!^y7d?&%|Ys
zqt3Qb$yp=MU^*NXTK+!(m|{)~{!+vp`~LVTC_CPGOU_c*iHWYaPrc+|u&E-OM&ZQ)
zr)U{34`WI`-Z(?yB?7b|i!&L6M^vs%71amEIykEpmIt|#iZx&HXKR=j@?8>$c}l*^
zXo8vS|DT~>nu7idlda=~Ft8Ef-^-=yoA=X3O1_Y@a=4wxXZTCz)^n!2={x(^Q#nil
z9;2iwGJU!>7WPhwEP94S&`TX>!rfK`)3G>1E~!;BAp&ZvZn=@2Wjp;p>~8k{xjy<r
zc`z`<BR6lkU>pCh%GfgV^8`_Yx9Yk_cd>NrZTR$^OV@>skF0!pg!JQ_Cr=Fa09?`N
zfvT)^#vz)kJvwTe!zT1&3c5swLA5Erc?#Q=1uv9Pqw&wM<MH@w+LMqd!q2$fyWSRL
zWOF2~$chg_T$Z>IsX&N#UyN=Ugczd)4*jjIJftgBzlVi5%&&}ufUPc+YOqkI97jI1
zYhCD1I+k+U{+9-(dE5&B(%BQ!aF0fCz|b?OZP>vGQHfaj-hy?vO^LBW4v*5?fo~8*
zKshIyI51`Mx{zt4lWUi`bgWc18>>Y|-ZP;5A4Y}j>HSFPM}t;vaG6i~G)|BPZ|>@3
z_p>@Y82l{!T8#(avB|oHzR~K-Kcov}w7^)6K2nr-0t&D5RG?dk%iL)dft7`WN@dPe
z_6}4u+W#KqsB+K0<4TkBgZziA_Tg@r4=SM~QgyJES>Z*D{XaQjv=vJV_}&<u)L_(;
z6)OS^J)yt#ml_^~aEc_xp|=MNq}ZP@s{FQltx0dqtg>hV5&#l5PVIa2!NzFOw?c6^
zCj~mB@<X%gA1z?Z3BXEaWnh#<xE(QdRD2xtCUO4HQJ?+mQNN)yrlx;^BAp0_@Sj<K
G{yn2WnM@l1

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_rx_if_pcs.v
new file mode 100644
index 0000000000000000000000000000000000000000..e8e5eb470b60f70fd0d19691bd3aabe508f7f352
GIT binary patch
literal 6776
zcmV-;8i(bJ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3jyJc(fFe>7dOc_nih$Y_kWuRKbJ@JQ=
zS#7}iOyCgLokr&!2O8P!^Vi8*wW1DPhbEW#AnMhih7m@bE4^qVvoP6o0y4`kCfLE_
z{XG?ip@vU_V9E*vGID7}MkyRSRilhdgvDP$wZ1blo6Gk#JEfj7HEtEbfL5@2loA69
z@<y}Zr)@Xwk7MSZup{5+*n-l~<p>}8L*mp2a(j}S0E-#af>*&6_w{AY<z2PDnPx)D
zh_;0{Y`n1;EM3)b(CH#>mLEH_5Ae=>=+Cajl!q;tH#HnJ8s)Gar264i(x-U&ZnuCs
z>Vtsu4`k!y;1)a!=Py+G{=Aeb+L2;FO)ipQK1y|n?KAvxpDxd^0QhtP=qCl8FX1zR
zs2`v$U5*}5OZSd6!KAu!+UT*`_DfU^CD39>CxnfV9D4qSeiCy1R;iN~5-oM;C09!B
zSw#AovuB(M^LL3Fe4^%NtaE5-Ibvi|em0321>}8dM}&+DG4?Lj7l!Y@0IXUPIz=0e
zRpl8kn3hDE%2h4xmc~VJYPIoA$5J1{v9FvV<BWF(O$e(C9KxV!>RMdv7|_HX-K(h$
zr}g&&CjTNJ<_v5;&LzYk+}ZQ79$80s7$`M#j2NXYr!eazgRNI`Z$WwnNgt4e|80@_
zs#Xct$%C>nvF<bVV~V-vs^@`PY7F7f0>N=JxyFRfvIjr?>n6ri*0T*(7Nk-6CKhBm
zIj<aI_>SKcWJVP{P+jO9M-Jp2r*xh*tx(_|?cMHJ1l2m#CM-iAAZkRNL$k+Tbpv&1
zzHT$OnEG2j9mOYv!czd@G~q*?YF>leOw}Bp180{KZm7l{6#gJD`rX(703IjxfxB1x
zVIUu?`#QJN*e=4wNA~>{8QrSTIFns~kG`HlSfb%$DHS$-PGIfg?SV6r?}SVN>aEdJ
z7o{$3ZfT=<a1`2y;awej>^9XjvM(*88Cr?+Ausau&E>t(mg?`hd7U(ab{S+3{+G_d
z;l?jns)7tEA$9)@&a=^5RxD}*i;3gNS~4nJLQD`NeA>Be@?fqYgP)E&;9A*hdbmn6
zqJEvyJQ+*Cse_h~Bh}#?C^r@t68oRA9yb+2R8VlU`Cg9o)I+y9e#d4AVqW(E{(4sA
z1%%40XvBfZ1(kz49^ul!$ja)*W*jL^na<%lOi}0Er5&9|l8e&eEk(6~p;FvvabaPq
z5?03%He-CWhols|&-y?qf8yR#U#g6RwKb9houu&{81iwb5aPY5m4UK;Y?`oE+QHK)
zLZEsa_9~BHlO&i1f`TV>ebQu;>&;T^;s1(ue5AEcBEa#rz5}U|5cf4hw(k(kG)t%c
ziD<MF3r68H;h^KUx_mD<c6f+8o~D2p^LS%>tg4}MYj+M5KWw{DVkX(Uk~&mFUgz;{
z@NbCp>%-;zO{X-Kah|1`zyoU_qzZ)Le?}EiHkL#NTp>&Y%rx+%s8G~Y5vYKTz!s4i
zc929nvbx+L4KLH+w6p{jx8WDG{U|E6`;y#DkzuixOP&rxh2pKtcHe;9N@WZtM-0<U
zA4CeqOj||ba0XPujsSecKUbjE!Xv>=s$EtfspHNtm>UW_pC`#ALNlg@N(3+Y&UQ~`
zn!Dbh>)tY4{Q_{~D1=;XNp86B9Ffozwo^?>54;vY<^(fOG>?rZ(~0!$$CN_%6H3zz
zSebS+<@n7k0*CVwE&d9bU0Z^?g^BzcEM{+l@#-92gVX<`h1XtH$(cSri+~(BZBt!{
z>ii`omfsgDE-a>BNl^v6q4p-_*559v{{oZ&`?r6(49HEaaF(U+1oEO_%qHjJ-iZ*J
ze$}tNI9)4P)^6OTP!^QZ7F8IGr3AZD6V~tbAUKY*wao2vamR9J;v%xr@jVJA+0<!i
z3k#@0xHX90JKRK7nzaQxL?c!06Gbkbs{QQ5=D#)3?H0&Lrbb^6%xs@|J-bRgz@C)P
zG2#}8lkX}9+Vtr8q5M3`B=kfv=5!n28RvKnI;CX##(vueb!mV)Jkug$^Cy}`cVh97
z6hrWDF?Xf$rt>v1HCZYfg+{D$QEU8GH^kqHyV{91(S>yz&}(jX9XJ~`nft|SqenhE
zX6+W(+_~7)&9ug<OKL=`9^E0y6Fr{uj>PGrSL9E$8!w3IeGrJ3GG-ML;}mBXSpVio
zvT~2XU~MRZ0~poPQ&T{P8Hl~<llDCWnRV5DZh+Cr*J9n!GgEyF*ECO3J?xK#PC$K9
zOXS*lLE$*5=zHOL;(%$mEPbf0`Xi!)*6ogEP{lV*AR>qGG{t{Wg-Pl1O$oHE1}oRt
zMEo=&4R%HdD|cwU?ta9v&pQN}c4#PJ30l|@7?N;~OiD{4?hPT=$4Xc3aEIwZwsj&K
zE~r5}=9wZgLLnpapnfoXB7$06F@#hG#kDaQ!ByJpG-u^Wj9WO~au1pv1bN$u?<O$1
zpeG>XzaR5&8+Q)SLGOOUeiQ(l0`Z`|C~&N46Q6YIruN0s3>AeXXAs?E5-IUry#$Rw
zA-9&TZgIEHhbrLxKU<hmbd>puLN=xj3n~?`!7hvL0z%S3_LI*uSLm4#td#Ri=N!l9
z*oFvK;6WxEp42#7a^7b((G-_<-kYE>e(`|*$rLa|3IQ`cd;*z3ewl(&{tZ;8+i?-i
z-JEkHZ6kvd?tRhC!kS<F6&{dN<-yF-;YQm@S<sIatB{@!cY;#Y*V%Nbz`RGpbmJ*z
za7dp9glfaV7clYi21!U`Aq7c<JgLs-eBssr4t;qrYGjw(1Ryi%k%ITfpR%>Gh2=~~
zP|FbbFhPgtl=z4GMpA^k?2YVbD90)y)>uZ^VTvAv?`r14QPr6Y_mtreMSzMVRnVlq
z5bm1P?z1?kiii^%+mTrZyQ@E;DF@(l*U9M6yso${%&>ah86<hB$K<}dWAh+55b(RB
z)?-pOX%u>Ed^%UP91df`&iS`$Y8mNt#9N{S#&umLk$OzK-*U7bh~%g1#6}L!%g$Y#
zeZ1xijbS<ZHr*>IwV(rj#QdLr%`Z!Cb>7p)f;fVg4a%jPs&pu(B@Cw@5CSVA9;}Xt
zK49xscPpL!uR*2p^Iw)M!gudO2&FxdwU#uXc$dk_5?TiW)ZLVMu&PNXGwh)hs+6G^
zC_bCnrN0A4!Vy2Ys)*^?%>ED#1NyrP3i6Lzy|~HbtGsy%XcQo+b5l3ns0#)NRC|4p
z|8mRR->8U>*jDspx?u&+Oe1AEo^QkYEipe<qQ0r_k-cO$L!j}??8kdHC+d~r56$kd
zFF;q&5gy4pohw5{Xoo!yf5RXZopn%wRS$$;t7@%%aRalc!i^8rIUWxXh6l{IUHfO^
zjyDm`JGx$j3=zRE>Euw~p{H9rmr{dKD(T?4^Lq7xp?bU6d7MnAKaMJ=>Jk~<gui1Y
z3php@Dj41bYH(tS+nNv3fFOudzCQ=BylxA45uJ>9zZ{Bnfe)c5_4$EVD+_LyMfM)I
zr8u*R9n684{<D5-Z?+||Ea1mlZtze?f_5Q?fDdxj0A(NXBB?C@pQ<rp1?nTZr+f~!
zMio16cu&6Tf~)ba19=w6rVz>?L`cxMVAS#g=Tvy=Of=SLXN(8Ep$<kRvdZ~R@+Z6x
zvhfJW_+S}2@$+UF<W&dhd^_03hIEl>-KNSU9vk|#F^5m@y#hg#BJrut1k4OWy)XNe
zsj}Rp*2S=nGRsI1UBY*&`C)|f<J}hnKx*;PUG%0@?3yW(E8Dc~7;E0fVct$!cmIaL
zSM<?H$+q1e0_W&=q&i5Oli|Klo<e}JD!iGm)BH?LZ|w;Tl&H%2Z))NPn|2kH8BdT|
zq6gG9L9KzVeNHbeyRS?uQNo=DxF%cwwt{Y&zA&rS%b*XQuL+@3FCz7e*Mxgm%lGd0
zxAY$^N-^hKrYpxBP3{G?uM*js5R;fV^tn!oAphz-N}k<{Ip+SD8O`AN3d@5X;h0-h
z>q(UN8owor5~vcGPtqzyx=^@v6>Jcen2!QIu2%fK-iPw0T#brm9jxUxv@|jj>;)J%
z7Tt_d_RmBq=Ple7>^QbgKay79fXhG`+5*JBVri-nL0+rKL4jp<COsE#QvIKC;yh0q
ztG{qLfon_-Ebs~2i>^&=@C7);|6)SU0?lQ?#N;I4l3d8zf0#wCz)!DoN`<c{qkPx#
zT_7UTm5V4^yGm!~^ho|{w=Uh@$Gjbv&bdM_0mqYcWWf?8d0B-~juW+Dj}$?2dhlv?
zj$}=C=+J9)6XCGe7Ok}LMwM9vtI&ZXLraW|?>NAiDsJtAUeYB+?@VR}YwQ~a^ggq)
zK|&S#3zimStV7;FZG}qk$(9R(Z!&e}o09vz92aK%T!Xt)+Y_r9*DWNDp(q%X-o)S)
zO>2f&1Vz}EN{HuaIc(q#kCvz2p=8HE2k*Ieq$(*6+(mOmT6ProA2X$=jWB(>R1wus
ztL5npPy;zC+<4#xhe$)_`G->r%a>Le_q}gW?aD)l&B~!t8(ni#K9DrOVpzoAZ{!ac
zoKG}H9Mc#X|K9fDn~X)c;Ej4=euWJ?ybw)`-Xr3Noz0W}OR+j4Vo7TbMN_D9gjp20
zbo)3zatO6gv$ZzB3jfGDVzj*=2aS3Bbd#yEKWI^U6_N7Dqy(;(NWY?2!q;1u5t=uP
zn(A^;oDOkiBSGpJzJj7>&8azSDR|QhjP?qmX=PuSQuYm$G$osJ_`V@Z55#YP`l7Y-
z(T^;5fX#h$iVxjdbS`3EOBu;a1lL?N*GJzvTnFam<$g!IG2EiKhv0}mfX$@k0m-PG
zvr4zSdX#;MQ2h%p0p~8ax|e9p2nt`o5@K*jyrF@>#L$j#YHbk=9h#$cAP7~tSA-V`
zUvBvhxqnzwG4XgETi*E{l99R6Tt1}4S*nW-E|>;ks{K6bX5%sKha*7WkzidE^Axnt
zVVpzqEU-{*onCX{FQ+F|3|YH^&CR^9>(O(SkKOe3r8E_iy;9hC@9h_H27WZmX0=wM
z2;2i9ra&Tq<DZ+=P4TT5ofoYQze5{RkpafI&t$g8M5N+qh#^lva1@dQtPmn-tQiiE
zP8(Az{tpprTG9x7hAm#-hkxa9thm+IXS?5LK1c+qy9mCM0~#I9nA&dLMhltolVZT8
z;u!b3yDMsWfcZS6T7YLiNu~T-st8C&8gp}`3TazRMME4#{+0$UJlT$%x!k?5YPgji
znxilmkGcW=wW$n*X6}dclMXCOhO)CU6?jb20dmy@07nvzrO`#zU4jlmQ2X{a^V4jS
z9h&R+Drqh}=ZEZ)FjwKBM^P|6mcPj4T&<19Cc2deyAm6U*z3vEA}I_D*+_@`mIV7}
zW?;GKW5+qN`$a`@j$s5`dwA|#0&;!{w09CD&`(c3=TbX_e}+~)IWj<sM+GT@uKKf-
zrzcqd{^`ZEZ-Q^o4SS{r%Csx_LX?Ic&srv3(Q13gx4yUo0#0``H+WSBhG}vb+1O%1
ztYvkac*@P1NF(KCsR#>wx$A=f3bHr}uW?PO14haghqWPhLV4_*p!aAPmj@nKCiwQ9
z15-SCpD&hx9HX+F4lLIHG>%HquMw+0ude2*y&etTv{OfD?_emuvBNVnV$Ao(J1vG8
z99eMRgvgpl9v={Ur$0R81(3xTeUmOUgC*@&BLBL4w&EEgr<>Vd;*X4NIn8!>hpJ(m
zyCn;`d)QbPDH5S`T{PmhAPZzqC8?#aLT7|J*MJ66m3FHi?Q7P1xfQ!ZBxbzXb<;LV
z5#yz?c4CsD5(<gMzxca@dM<=$sKnE%6}!wBq_>KYUEN#9JTlP4F+W+Fmv+%gL>xQG
zTGcQ^6(cltVdA1&Jo0{zEGYH5YupxyeQzGQJv5s6@*&V)3sP34sDx8ez+pe8p_kaX
z4BYaNq83aJ81yA{Vxn^YU1LX`(Tgmjm^0Ccdxeq2FT7vO3pr!8T*r^r%t)hv$p1P3
zVsb_)Y<$G`t-X8bGO!^q9t-&YW>%C^s_h;mi_nzR&K4lFfENt0i9}B!N`1zQOK@9t
zK_YhkxSsdR<^n}c=@~$=-m`OHj@v&z5v-k^=m9x&xDdi;@`eCVCKw!xRL_|V*y+0@
z`Bd=@>`$i~$@smB#U4b=ctUJ#{S#yIK<akKyb5OiS!NY{Psq7%Pmv$;fH^;hy+SvR
z;Jdmhf7*lkAx=_M8aek+F|r-L_Zy*!ZTX_uGPpB>eiHPwnpy4sT~_=^Ts=?Gc6}hn
zFuL`lyM^G~g9q6PG`<CHP=Q&jiF*Zr>G2=?u1n5(dBAp8OtFgTPSr=n6_&cO=||d0
zqSbe*O9EHQQUx&4aIOAwzCij%eJ$fHi}R)rKGj3={-Dv2okhAy!GaD0vj2)yKl<;9
z@)4LS&!>};Apvj<-vSnpk1n+loI}Xx;tJ*a{RrQ-%<3`|S>qC0F8q~F=Jn1XrUd6R
z%^$tkB<MRkl5H<p!pf;S0BY4DDqfFP6?_>t_dKH`B%8gE{G{-QjKa!{jS`m2W~MxT
zey03q?da!LgDfIL;K<JcH>GZ)m{q}xe8<c?H;V#00qb*rxa|tidN|;qa0BN!|DNp^
z?GTh-Xr9pX(~DG`P9vTg@Dz#r2UMH3Zd-KJxq~o1ail}iFZtbV&u2yum-mZd4$*Nd
z6K>J!w%SPJ=x059TC?v?NA{@d?=Nu<62EKaDm@!*F|7`%{;D;j8wsS1GV=|;GvEnf
zF&cd#?aAj_I-;va*ZlWZQ2?#<$_t2_4DC$CN0cl4&ex!(yGvYVe{5WNx3B<e*e!O0
zP`f&W`v{ynl<r3*Bb$zJMZs!kbxl<bi&%4uqVSxg>k;~@FxM6s+jajz7dc6T4Mc#b
zVfK-tyBK=OloYcuVN#?THMC!VpFwBE1$|JEC)zs?!Bmu-m8J5ICgq^?f^gt%H>~+Z
zscGsycL}-RBf?tty(N0zje<6Z@rzab!i#GEk?HL<p^j|ir!sGclOBIElCbr@6~^Sa
zg&Qi?5#|BRcXfF>LE^i0jMy)*Ap>U~m{$^~eavi1NmIp_#T-~A-Vl+61RWAGR3o>i
zUF~c;vSeo8BY;Klws=)8RH1-7s~_fp@CS?toChB*5JD$WRm?_s55OVDwd79Gt}pwr
z`5Jvc9`+Y#{f#R{IiUl!s7>a(<fzxuqL_ZtF~z^Yk0V2|M=tI8(O|i?K;dj8srU`Y
z$iSeW68^%(Vh{D5Ye(EdIMtmEi7#;p>ZfQh!aEQJS+EiTYwWWZa;bXjM5+OWomcG~
zUV=f>+{OO7T{<d_pxk<kMCox-Mg7QLjZnwf$CfKiS#>jfw)-G<K`oF8&|Qd^GdZOc
zqf0=3)XZK6dLXHA^!91|68@G<Xc|sZ*2heKLV!OcCu4<e23&OA^DJ_4*4#M3J~`<V
z+j}_{&j_*}BT9~qhsv47LP^9Ga~TcP>@vhS*4)F0$44f*h3<2_&P1ij?HLN}`u}P^
zFspRNrwHw!6?ijXW_IRMrX^O&_ITPfEz}P2C(Jz1zO=)9k>)JVYNc2>vl$XXUJO3H
z4IN^^%i?uZ7yF5u+85j_%2zNaJ^&kN>Wnm!I^a$-?#>?^XA9W$);bhh0Y_ehqAz`G
zjvGqIeRg{mn}$<$5=%)M-YZ2k(zi5^$WIC*&ee(u4uCBz+PM6$7sd&nyx9}2)=qPC
zMv!Bpo>(iorQ=>yN2>3rU7$Bt<Ku;)Ue})OpH2=(Rt*kQxdG(IWw^mZ@vTm1Ilm*3
zd?)iG3+FS{a1?RMFf>Oe{YfN>JTIr3FhlHzTIq*rdg#F9`n*{*DmuaL_n^%6m-aq)
z$lfgvV%2GrOC~*kZAYG#Jc=@gM7oFMeOwdGm<>bNs(aIJT`qkFwg73ir%?w+LQwcb
zeEJK%T1`V>0(FAD3a4`O%4>*U!*|p`C8mZTOagV+Q!wJHltC8!{f<3m#6PCATg;E|
z0PNRD7NBQFJEn>4#SHb==iXvJ8N{e#0)aW-zJqGqcm$9oNUm6oe8NQxIyS-XH<i-o
zFzU_j;zZ@7PURMzpHsS@=?`F>3G>=G{EbddkWUG@ht<6IQvoR$6!KlDc>PyGcaRE3
zZ`RD~*_`JA&u?Wk_Lm8f<>~zRBCDGjIX=koZhD&A9EV7Xl@ePZ)mQz+|M<yEz>!2F
z>;?R=>vK?>U8IoT{o;5ow<<4Kc{XHqc_iCvB+QYc(*pXWAUDrdRGOpI@=<Z;k2_8t
zkyz%J&k7yc9Qak%@PQj1g$HXvhBZ!EKzW7ykAT*^1ep)=Ni#AH4j}BkcAeC~4LOYc
zYsXJ}T?e$i$V&w1?%Cu$3vFnAZAg5Qvo&w<@jpcZw6L%=1DQuOmJVBm70gK;87r%8
z@xv$Icqvzt^yuzgv*Gf?Gw_y9J-C~hXIIU?#9ah!EY@L#x^QoASUR;}HgULs2h@VF
aVbIXl_>GlNlIt)dU|+XQ%>pQ*9fRbPu?@=r

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if.v
new file mode 100644
index 0000000000000000000000000000000000000000..df2e9575f2655ff5012677334fcb3c235b69067f
GIT binary patch
literal 5312
zcmV;x6hG^W6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3jyJc(fFe>6*OKV}}vlB}UFvXU<ODG4j
zLHvL{?S~|)c+wmvnDy4Ub0&4kbWH(!Pi|H=n;%cf#YtIkjek}M8)fR$r@0i=kGCW@
zZPmrRb8rI2zdV}H+tVR59(^N`9wv$X$aI;T9%tBvbD6<*;>W}<Mz77|Lp7Pi09VTb
z_!9}l#eG&8<Tr%T1@z0f4i*OLzLS)ouvTO5oMcn>1OrhqNip&{Y8{09e))}{>~d=F
zUQH+d0hs#~HO}aFc=D%*v6lQdlo6;_#B*!fxIL1{#kkx>NIK<kVJD?yItkK6$^%SG
zt6?~5-)FsYpZfco?q*10DKR>hRtF=Pr~umy@`Kt91+VhL!M|&n*!8?7G~qw;Jgls<
zpR>kmKD^ZUka?<jpIVWsJ%O4DGXc1iyh?@2oXyJBUBLr=t*Gen$4y?>x&esU5zF4e
zqm~PLEt81v9#lQafNcNDTr|cA)Cjuh{cAldh9Z>5%^^6LhjC%zR8u#w0kTEKNA`D<
zV*pwu@90~+0r<%H<w5`276(=nk`vs^B(Q-$SZB+sY(f6JSyf(&_mC}&joaXgek9&B
z=Ceu=mOW4pHrh`*9)&8ji>F&+VBMz>{sLWqTfKN}m2eT`zIKk@h$}HFZXs<BJX(2&
znG4RO&ENR|V&0Q!@WMY41_wNrK8e2gyq~y2`XHE+33aAzriL+6LTB?JJD`<9iO|<W
zwMqYCO*}<Ux@mlc2k;Hk$`4E8!fZKF3vr;YY@95<<4ruc=0FzF#1Ex|zlmc)nui@C
zTAcH0h{RE(NJp}YY%M&xq8lob+<6)s_>6GwjbgTkl|>5L7L2h@9WYsX1;o;oPJSQh
zVV>1Qr`hmW`my04wnLCwXjG@#Q%~Z$-C%B5SV0`S6C-pHE-G~W&R?x%A_JHe6`V3{
zfUISq*!X5S0ZpCJy|-B4|IG<x75=H@Bbh=O8VKpV0NjjeGiAar)Jhj7P;)7R7_RlJ
za?{Iu#1?Qqt8bH?XG2vb7T^0_$F7UyEDYooE$;EU`W~H%9NIue&Y20!T~U~Ko_51G
zZ6cO>Z}TRjti};%fG#C}3BF<qezCnP9ftwll^xqGXW>v!#<}@y@#tOw_yn~(3ORgV
zL+zO9Zqax7;a-v0ru25%{094EkZ<9NP{&;JJ0!5n#bqZoiwLu(msNDDGrvG9S49_X
zm;`KzSv|=?^H`!HFPxort@NUtDmEWai>Ar;cda^6umi*O*Hs|gqPxO~y>In(ED})!
z&A7_hqjpxk>(-DAQ$Mq}QfP=K8IpiQ6__?&x;Hajpz-@J3GrTmE+=-SbXvai*h2-y
zBM)b&#bvN)CO||!ZEMDKIZcdxtEtwyw96|U3MF5!F1j=uQeL@pHu<}xaf?n@Q)K})
zT~{&UIq%*(At?;^XtW@CBlLeJW0Qh<z<__xY9;S5K%6p$%B<j3$E~QF_bCb-epaHb
zdkht4n&szhaZ1dLd|?<Yjhk=3RnUmThnmMjJ6VN>Bn38`CwNR}oprwD*o<>&5$Y(6
z9wimuMhp_nXTE)7-*c{q40kO2++I?lf@sSdo-6}bJ{rclE9(jtTYh~c;8<~jl2hKl
z^m1HFCE;je6)VlJZsHO^sKmX4`xd1pO7qRT*)c0K;n3}JU&q_EvsBs&)^JmRq~KW9
z3nheUI1<jW**$I*jPDvxIOZ~thu{m2hs%M5lIp*0^uOD~fS)mS_9V4R`yXwJqMb~>
zKgj4%ZG$S&qhXnfD`*s?6=iLF{?*l5QTgkrFD9KzE&9QBp%WhPcXiO!7K%q7cFZ8&
zX(awVNVBO~`9F<qvA?4jD;?%jr-2p3Mr9xFc?Uq6Ym)#m%_=}&J|dXjJ2~!0p0HdS
zFML#$Y-YyOCtF!K*+n-Bw#D-;vYfZiou33NpqFn|%!Jx^OICg#5o1OlOby!R(9H5#
zu`dwhvrj0_Mu^=9F-;T)t39OI@~e!qBlJ|_ou^BXNZRf;k#h2bYE)KcpnUQ&%rO05
zg%C05u8lvtqvy=@F{P^peGKl|EBhRq&f)8Wdeh@)QmJHz#i@*Ns;+Gfr^vH@L^Xt7
zcm^#b{fAdl`@0pi&<cN_Qtrmpqq978Xrr5p_f}T9g7w$ku^MlTXu+i~He@vr70h~L
zMb&ogD}0B<dQ`2ie%NzzbXU7~h21bXIsC$vtx%O}62Z!iGm1?`0hBlFi~eLfsPV`{
ziqQwNfJ68G9nmh^SQ1wJ8|CD689YepjG>@Y3v=I*?BMD^&lJ!yWTz-H*$*Gh79!8_
zl+5tSZEXERHA-r=La!4W()?ZxET|@{oW@R_L{>cDwkRpORYVXqrxGP2A*+%zCaZ+s
zbB`-E3O)xEFqE4QNg(<?b1@l$4jF-7l}}w1XXrQW@lr2}^?9Gtea_tq9ehjSP%}us
z#l$Z-H*iu(zu86>&u0)u#snvVllUj6PUMJoC`w!$m<aDsZewm8r@TuLz+4?6p@;ZW
zqp_aiiv{m27^AvipguHiihbE&kGfzmpIba=t3+V>|5FSv-X_z_jyG1UDHEtbiZS;L
z-|<$hHp3SKp7706QyyvnX^eJQS9J>Q_|`G=6QdJ)+tBYC9O$nJCd|c==H=&X5C~)}
ziN+t_?$s`1EzodDaroBPW0Dx)b;Fi>cYa5C0-@~_pKT0>e@B;&U3fAhhTI-+U5Eij
zC*jWL0Sf;)M@B_(DyO5G)Wf_Y^&qVsCSDJR3O^OlH7Vn;bCw)&G?js)(#9b^FN2vY
zI+71S1;HGrZ$!Ha4jhDz;h~rjUM;3(o0od`6H=F!@vfo)dL^T-Gno*w_0`;KE6azx
z`q2@=?2@n74D3!6C0_t}>b#y4A0IK5>QnNf;alH|Tcm@KZh`e@q`%Fm#Ffy=?^l^M
zXP=vE0l1a_>i9PZe$~mOf&Zl}#Wu%G(=sRxCT%T~+ykV4NDVxHf7_$ehOMewuO8Oc
z&w*HKjn-m-_{je=SdxNj;!qHNDfkC=z`W<@JyzC*HlErO<eFbpwcu<{iM8<3tQEPV
zWdrH1Xb#LY-5`KS3({vIR)CJhRphPwB6%EUB*4%7a_S8ts*ocQ+eZ;Mip#pc%HGI~
zQD<Y9%i<SzaI2#syb27Ve5Ux%8>d@#Oi?uo1}Grmj-cK7SAobO&&Nm1jc7!(<*j(6
zrK~lVvRPn|c%B_A%Uxt{{%SY1M5kFc<W}Zy@#PjE7=fNkKBxu?YxgTO1{qcK_gwN;
zF%o(Qjp6-Jj$#3q!G$&8Y1av`6Z9I{;X?&$)oD0cZF<AwGv+!_-VEkJH<4PTBG#T$
zbT*ovVD^wP#zyHY4<wIm)}@BV^=kzUrm{;Yf7PbT<aLu;9INgeksAv175H(SvS=$-
zGdoN63g%b9UrE{gd4%6lyg+5`oM~VZ>UPU+>$;Ml8O`y4W$vy&b<k+?SN%NO0b`%r
z-j)Yny9z*|=vTg>2U9fF{mD)zqdwSo%l!u!2nSe63U{BR)9vZ++F@(Wg|nK#y!|A%
z+*Pc<{oMgElZ&N8XR&m9;3bEhiMW8?>TXtg+K_U#+si!TP1Wm^FD4Fg6@n9Dza^=4
zO?WJn?yiPv=QU>GNIC470wP)d1P8i;IQ-EkzI~pc#+k1}h`liwAK?hz`VC95mWFB}
zfZQj{1&A>+*2B0#w3@47b#mRRM7#Bi<aGAEAonn8G{(t_wB<#ExtoOPwg=luR}-55
zeJ(k+xIj7q08#j*;DUhQX2R1u>!Z=pPi+*`qaYd{{yww**Oayh<6BD(3yISC8Z=JK
zZBxB790S7^ANtuq-=}EkjchWLa_9_Yi$W^Tla*L98%*pVUUJ`%ZqLV*EL#e=c1t+k
zStIE5`}y|NfpCG;V6aN>1V+3~m3_(&$~MVvdPHdXa}$@Q))Kyg^bdu=3T*L=Y#)>N
z<7iU#p|w*yS&nMFR7gHdRJBOE_Gglls(9IvEp762WN2kjMj-%1ktNNym4K>^mERzY
z4Zzejf64*7Mu)E4A8p`Z@OiJj3}C8XKbCaC6MZmS-_W0xmp=K{Go?x=%N4$h1zX;K
z!^pu>bMrwofMI1?wQI^lTB&KF(Ul7(@f>7xNz9oenHi>Va4{r3o!F58F>v9$h}>bI
z-O{wx-j%_6@BIV;n-<+XS!JCP2hKGF*P9A}u9(HJK3H->v0NleG>%0he9db%<f*?8
z*^T0a!&EhX<elcJ`pXpj0!S%7ooiN4|GkJ-N(Imgz*Z_2y}Uairv3N2P1@uw4|w>Y
zjCnLl>et>-_R19J&CvE|BCH^YTJ>j8kpYVp3!RQEYMRAg`!Pupi$4}WDnyE1*bDE=
z<EY&iM6I7OdjPX^2#7e8c&hDjJ;&;@_E5=L8;C%?EK5i<H?$&3JZf^}7l)C~@_SwK
zl}}`~TRdY^23Ovac`%hV$=-JrarIUId*vQ>jmUHasxX3G%L+D**;?X{tNG5(<&e0%
zm}mx>^~@hL=G0nS*^%JNl*rq}j)PoT#YnNIGmXxk`$~HX&Yc8;eDfS#vADVr8bXj9
z?aKw3zVb&~`RMxs^l4QpeX?pnS<K<Hq+{ySrh4Kp-~NPdA}htg?{VxC!vD`pa1Z1%
zl<hJWFBI}H>CwP=%PkTk8f+YCDUDw5VJQ#c8RW^~oL!H~zx*7(YP^0JEyz!!OLeDp
z1S2_TOg7obQ(Eak2R)J}Fl~XP`c|;Zw>%==^2Kv3D@<DTh~Zfn)+S)N`ag}G&i;^q
zmy7@#qNSqVosmH`b*Ve=eDj&k?x@6OLJ6~+yr6Ie0II#T?6=wt^#N5C{Px=F1M?19
zZnsGnHcmMMagid@+`Z|buCfa()(i#wB`&F_zT(MyJL^v5Y&=lKJ(m(Mnq87{ew%xO
zFu=Tnhol{LjSqVT2cIOWM7I;YeKYDtLTIqMAkxiK@(S5rE$X|8?JI1+A8ai}dIiMJ
z9MP6LGGoKn9pj314-_UI(a0+s!3VRA_a(*4umiE3<bPyO#_h4n#yIbyrwk9vne{;Z
z6X86PANHT?@8U^1I+%aK*H_kU^n4ykpmx)!39&<ByL6J!$})UHG;$w_hM&eB;iz-=
zbCkrm59k5(E|BFaq9G5P^b0XsQFcWj)A8>=>_r0TE*J3b$7T-TK^FjLHVT)DuOa^A
zzIBfYpoNZS;7b0P9YqQ0m5*+raH8$VbTQLE&4xmkmdxayP?e<AL^8_vk}o3N({eg}
zzMk4gQCr<F``>Hj6dEb3t69QJxG1kFz{&6A9k{S4LKp1+a;5mK;V2lFv$e5AgvbC0
zv=a6<E0IJde_<ZTJ4fDGfX;C^L>xr2fVT=q>4j=K!hMzOnb>FBwu^=mF-AC|pWgH1
z*7$H4wc%;`!QSB@{k>pQRE6LPfRpkMnDefglyeB5k4PQP&J%On5d<cg_$#vSq7xYU
z-c^z7YH<-}5n`cRbbx!SCf{a2RDgJOBbON0H5~k$bJB3yF%8vEZ8rE?n*Xa}xxZqz
zm&!?~)D7!?tcqe1>Wp3~Y<e$-@pKcp*J;aZ&4Kx=R&&Hs`ezY30<gtbdqkAL-{Ycz
zmTQeAd@wX3$eKP+B)ZR{5yJJ=Log9bX_=MNjgBhbFCwnRUL544dab5tk4IzfnpxY8
zelwA(xPvQzWsa=L3^1>YFYd}Z%*%JU*UVnGtI7W!r~l0qUvPk!l8sckIFI?}-w9F^
z%F-uLBOtp8bf<{P_+P*crMPA9O30A$myS6YSGp<?m<e-##hu3}k5=w;GT6X6{%xt>
z9%T=s8RhB~fvIpD0V<1CUf4srZbg+7qEUilBkp7Fs=0>EpdEx7+{*=NoE0<Bc9?ok
z7FGUyEpNZrT5Bvzk30}Of{PD|%&jTkj}b$d89x6*7p0J+ZJ37++A)DUf+!2X(Vnus
zZWQ$rBVy$5{74^shd{w15ShDeN2-37K4*2L7eNp~@mt&qlU=Z=J(K61SR{doqOo}{
z($}Xp(5tQFEiBH8<AnOu%XoaM9w#UIPuWuC<K_}Q7OQsi-<-KsAxXmdbGgInP(A0K
zy&y^O*WWY$6G?bdF@Rr<!Z|pnQ;1jcvsB3|AIg#0*-SL<wjCVywDJrDNyJ+evU=zc
zB7e!^w0N8wzq4B3b+#`Z>|!*z!A?NXe16Mwv4|pblu~~+IULU>4u5@77KBuAY*(WE
z!u*!;Son9GxH#4kzZ_egEJ7aoS_T8>bIil%`SBB8by|*llDyl`Fse0;xRH3r$agX6
zx5RC+*}&A)`yb@B4_*~RrMb!ffIi)Xs~p5f`&<7U=zoq)-v{H*c0p|_lsOm3=^n9~
z?;awv=Xf;NPQ-^fzCrSh4PPQ?MuN3$Dgg7-SLupbFAb}-u!`(-$D)usD@N52tL~3i
zTQWb#{hJLE`-o+Dd88?p?;ldk>-CUezWp1Eqn7aPE)|WH4&Chye4i^xn18EM>CATc
S9GU|jbs^qDtGz!hlHD0e?_FL1

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_mii_tx_if_pcs.v
new file mode 100644
index 0000000000000000000000000000000000000000..ec77e315992c1ef7171e144d755e13945ff2454c
GIT binary patch
literal 3528
zcmV;(4L9<O6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3jyJc(fFe>5`1nxGbh2~9lTEany_~S3*
zrU$HSjx`%U=?<#7d=QY(D|0j9tdXJQbXTE=YhoFFewQnxcuM$v8KwTl%+%7oag1#&
zXV`iXU!=TVg^I3H01q}5^I+&C7XqSz2YwRC3ZPeg9TUO8^{K&+v;>eOSxxPwR3Vi0
znZ|WifBEGX7jY+}j)I+=!2rnpcK{;`_OifFFo$1`mUF!)51wExgW&AME)}Tkg@A#V
z3&$cZ6ezn23X|9q{zi|CUWQeQgR=64yLhBx&<11CjaGGAaM5&aAWaOwnw0u_Q!xwP
zxmfDeal&#*k-wMTgi&OrA$|C7s=C0D5r^~(CGdMAbMPy_>y09<rX`3q7}_kn)Sf^J
z2M|R|(iBWOMI`uOZp`kE+<QSw67o(akrFnmWIb~<mDfnpg!SODH@n?=-NUY{8sZm_
zQRH^qf`;KVpjCY&m(V^mV5KfcNlia-*vg_Bq)B?D^CV`;aDpdH`-Yt)kXm1fy(z0h
zTkuLg>-anvc9wu25gT;M<NycW<aZplY4k!a>C4Z?aii0!I94abeo=IqA;6Inf}Ns1
z**!Ayc1v07s?$=nW(s==+Ao*dPYaY!%|($$H!O@2)bR+Ag_Gn)pRUDbBW>8sG5b$%
z^j`$qQRwq68e~IJn%#5=&F9y6`6CH$RdTIaZgVe+ct-&K_yYz?uAT3TLP$T(cM&i5
z0d|MXQ*<^hLb{J$>fi}1r*i-BCcV@yIm>~fbt*7i;4HeuCrjlM+?a8A!$l&jqWXO*
z9U}B2gZi=+OSWVob+ntcT-nNg3@W{pyp&ZS)ZI@%jl7^@{b*GO16%Z!U4_^zC20)V
zkODmc46I<aAt5L^VdHSv4p_7+7h6xisbDGB6l`{%Gr1Y9qPq%%=@U=WgV>#T8(O3q
z(eI^*s}LT(rtZ4EW*o7VWB)<#2V~wf^M>N?Rdb7r(@&*IrUc353A_V2SFJ6ODSiDe
z&=g&K$BkrZTXIWNU@@Z9nl@9yyV-G2UAxgvZ45m8Z}F`TC{!RKqevb86`<xI1q7Aa
z3g9=`HbMVM*!))+ACX%06XC7WB0ii)D%JGQ^v8x3Xq}h%a{-VqixKubLhvgrjmoui
zX;YWT-Q(3@EpEt>b@wTYEiacDbZEzlT@@snis-gjraJeXasLZHqGpPWsTc@J_H01v
zHYMzX8$pX^+IRB9^nvCYdpGm+l0lgjF*W!e81T3lbx0n{tY=@wj>?V=yX1J`%h!zh
zt&_kEaN@=Zi$%pzM56$no*N*ak2tCn?*0C3_^Lz<*`sg9TDhTG&(C~KJYPT}N*C<7
zvaQP~l{td)zcTt{vod3!N_3<dxw|~cO~4}Z_lSjPS>yfWmea3UNg<ynT~N~(UdUNB
zjDp{dD)P9cly%s+78`l0G-08wdZ~MRc;QpshYVBrksEl)nylny4Bd;g_byZ3u7p3P
z6-(y|<Jz2+{c`uWkNFL83}J`8OGp|u+Z%a7%8BlWN5v3$K~swuF+V6x!yLYoi9+vr
zET5h$MdJh+6{?vJ4V{5^=a#YHH2^@Ku2@d~6w!48Bl&EvT1tw3SB;2hBl20w4rXAH
zkQo&~@dKs8M(N%&>D9%i4pnBADZVyffQ+vBKg%_9*1h{3=2o(Ggjgu8Dbkllf0IZs
zy=R*gpFwWVIs8OTED!%vR>jH#_B%Mdj*vQ^`PCLNJ=}7BJJ~GgZZkTn5{XJ-U|Pov
zG>6ibP@aDHIdVOs#&Kr@DHZtS14Kq8J^V*k)+)n;_oIq}ZP1%t3GWaAEWBIt-Jp5|
z#^V;yTi_m%f*ueN_j48ceZqNB)93u>PxtMoLIAE7_y&1%@jug*2(V60B$v+}xac><
z47+cmy<7LxTPHyakBG7__NJ{V(=P)A-Krl64BDyg9oIlGQF96b<42(9;NiQM*{p&T
z5wt3(Bp--Ew2B)iccW?pw$EK<e$%{M#lBD=1osDXaaXKV2xpV&cd$X2x1y*RA_ue;
z5RWF4=2Lylh{=^uGlmgX_DY)G)#p1+K&2f(xn%<1yuRF0f85Y)h#)YzY<8RCPzliz
zr9~o<BV26Yv3xDML6--PglTs&s3G&5e8~OcYMXf)ZY;`;A59V<126zp8jn|Ze;e18
zGW7*mHlkIU1u^RY;%B8^5s#i91^vvfawAL!!wYd5H;eeXLA5^3;hO0cPm_1g|4woX
zqoo2LkeB2{!^MtZE0RC=ql{+l$|4kTDG<x3B@%e9!zcw{f~$ZqtBHDQ!*wI-xg3q3
z194Az&Mz0}#!kVQrk*V+)P;?vUR`kSgS-gGy0wGEZMWb6Y&UdjM;!xyCYJy%&w$_8
z9|;HI+_HYxBVbOR(r77mxGUEfr3%O};3)+V-hS#n^O%GWAKQj<I3ku%BF?A$rV8ms
zGqGj;UoI$nCvq17E=kNEQvm4OQ$f<buzq64;Kh&Bawh$1spyNHuR&615`vKRRrfA1
zv&19|sX~t@V)*=-#m32zu&#-90|yhcl1SNP)%VTsZu*u)dg^IRG8$o&3PVoxkrH_&
znZ4@BpAk!2>%lFSTmfSL07+s%(C$y3f7qvz{A1|4Qsp)9cs~nF{BZ>267&k=ZnYZ8
zP3=19FVfW}wHFM-U~a&|GZi{?^4~S%9&|agfdNQG#8+cuAbQvktUV8MV0ic~j%n8=
zIJrDXT+!Q)B?^taQymZE?Ms|38oybW!usq)NYM5QHV!JN%cn!rRsqJup$yQSv|81~
z6k69;(+Vhi|DGP*&?-~a??mp<nnn@^&cIvKs>VJe=T%70^KBA7Gv6y|yA)Bgd6gX<
z{@D)H=zo)E;*G}!UUmEP&uU3Qh%AEQ%CS_-a(eK2#Ew0E=sK`7LK%C>gKt5m4~TS-
zNz1(&-v52~_gddZz_BJHS{&_!YS4fiKFJ`ov_xl|B@&E<gBzt;Q#2;1i*|HPNoJ>D
z(9wi6IWuD6xv2B`7$pYw;dM(W!W=Ex733xmT1b+nU;EEx;PddIHB!M%lKPTO89J7D
z$1D$PWQ&A5pk5|IO%XAe<duSfHv-B>@>`y#s5aE4$C?x>nW?D4Q1Eq_wp|%G8T8M~
zx_W$#bT5pplNR<ZA9)O&dp(xvIRv~RBVFtc3x3-q9de|y;1h(4DT<2q4&$N|qIH{5
z=W2)NCeOhW48{X469erHgrlUzST@>FexL6Fn%iAC9Q5QMl+eXLCO|D%`cgKkmgis(
zyi+2-Lb<<1x5j7sv}yWB^t|l`mEUVdA`uMZxSWT8NccsnP{s5)@JU)hO0L;_iC)&8
ztIP||^Fj<03=$EZlfER8=)u5qe!LH+Ii(O+bD*@ilxU}OMSG0;XN2+W6uEQoCx=T*
zld{`z<9o^8fX?k+Z-vm(!X4p{QurhjA5F&EcDu<B7}e3d%R_?7#hIqOM?UudFR5TP
zcR79k0*t7VX0S@tElzgOt`|i;y8e5<)C=LQ$YxIp=Hr@T7I0t?yZXbu+mZ|Cl{0`e
z?J^Kbc2=3VvN#mv#|!GG%IH!fU$x@cJFSqjM6|0ADXsgP-H2W1Qp$UHvtJq~9A6tt
z%ATcKq!4K07veC}6j0YDDSaTp1rTZG%{MuGoxgg6OQSX`%BLhX9)st>gO3=9K(Gd_
ze`}vw6C%TFX!4PGl%he2tfDZ%zN>2O0sx<s5#j#YhtuON!-zvc|9Z{fF#2y_6Or63
ziv=~UUC9-qTy`CCa>6rY<W1P9ax-bBHA2OPFMN9KLlHZUF%@YaReqSq5o*a+>>h3I
z;TDM7wXS|9hH<!GX!NAa6)d&)bIG~NG)shmJpFx(6vP3xKkTwbe5AcUrKDdbo2$N<
z9+1NM)!_aq^&LpG5Dj`dwrv^QkAOSR;Bh0RY!p|J-0pdw>#{y48$`3Jp=w*R>qB7k
z(<W68eY8B)7)yhIdpbkm)Grr;fHtF>D_r%*&aWvkISl$BPZREmM^9*88H`GA_cByg
zc)s3imVY7DmPbE)WIq6qNTKEdFmG#Y;Vw65EJc+m^g6%rrss#v<xEq-Gx;2&i=<Jz
z%n<k}?TigLx9z9!shjdBd!O0dNAJEMu{`Xcq*f7QaZX=~3IFlu+5V|NoO<W2a1vIb
CImW60

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_channel_arbiter.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_channel_arbiter.v
new file mode 100644
index 0000000000000000000000000000000000000000..dbdf88c1ce58a02bfab5647ab300607122e2857e
GIT binary patch
literal 8960
zcmV+bBmdls6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}SX8st+nJT|R^
zwDTxIiVgS7ezVhUf(+b>|KO9vTC+i?-dv?`dM~d~T9;I*WyCLAkIRLvnwcV5L=nKi
z*yP$x7{&<!uEhvAKE|VgWU}SDFEr{JJ{W7}lhOC%oIQ`TO|Ct~UY!3N+17nKib!jD
zW76C9q`nGkL(7A?vnsX;j<9k(hUu`D@CK>=bJmkEX6d64#A@6rW&k}3hMXAmmsKr4
zFO2sWd#xihn;92i=-quA{p&v==6_=tU8(NRB_`<3B$S_Ba6f*tY=N9-tJ_lwSr_+v
zJIA&JXW|7zn^W8g6a9N7Ih-FM(WBK88QtH$Mq>U@ktia5vg}gB@E_+=?)c`o!VXUc
z5dDe|<6OY6*W=$$kW`$=#2tq;@w`(o|HO(FzL|qN`Dq+E&}*%Ck|=Z_olnf2A!ti*
zC`JAz*3#unExEOx2}Jw577ZY0U4FA6$+C#fop@jNB6$D>^vI7Jey2*anR(twttXez
zz(3o{R|)NaTT=z(_l(nqn#oKTd*e~7ah6JTPn=p@#eMn+1YWk&C~jw>=EKLxS>W1J
zY#WsTZHTiIhqHb6rJ6Qed(PiBCU28eHV2e-yEDul>|Qa+0AM45(*{HN5UO*=tTbxT
zR(B3vBuxEV9@!Ennq!Z#YS@k&R+y8n30eivH<`5xdeZpPX_#Dk2r^eI<$2&s@!JRI
zuQtsmELdwicke|DBC5N;L3+T7BA=loA_4bfyi?GND&Z*ChuAn2kkC#A6-oKSZR}G*
z>4KfT`sFA<o``&i{;~Quu*w}@KB-KUcj|w9`?6g_L5zF}AHoHXCVWUvu_2ndRXRg3
zav%=5ydOmb5|WXDrsaYufL_8aG4a5|(_~s?(ZA|q6?%vy)ggG<r%4@W-r)6`eQ0*<
zEd1}F*<k+%Rv*;`QLNJ=2I*^Xn#iVEJxZe`m@DPQS|cf-<C5fhJn@4uHw{a9r&(g}
zy4j&%sauP2^6T7R#OI;;2SI$svZ<P0ps-fj@@cpM-kf!uvmxZFGO06Hs5I;?<jNrM
zC6S&T>>g{l79Ox!BV8(ttI}1@v@#SrvHj^2IprTGt?UXV-!tZvKY5lRCg=y&I4+km
zpdPhBt7htLRVTG=zIN5djyWh2%%0ki7T6j!-u_a(u7YjZB=j$WA7!%0tO7zo7I*Mk
z2JP=SY`jE~9OZ&6<wM!*LVkj{N(vC8DLY`13zEZU{UcS!sF1dnrKUsjfn_h=eY)UC
z?Z+<c(yCi|M}G)pkmF2cC7Y87Sz^3KI?<C_PjmyXV!1b|Mt9S?CNMDQ)Z#Jbn@+Sy
zkg0T^Ja&P7btzdg8@5Q=v^za;xzg|ljYMyvzaUi}+}FSw%n(3L?qij-6nzC*`W-01
zPsGt&;CdTsOEnM}D6?^Pr2D6(%7z-jBzt^G>yKym_TY4}*tF(&e`C2f>qxD<|GfSe
zPgZdQYSp+-&t<4m&oM6;F!W2Pn`BR}xVI~A%DxJM8=PJH6`lhHG@W3uG&7K>u6Swi
zxlerJ1LrH)U)L(a%OhzS29YvK7u%yM=PpDE<D7TaANgUx@>b2;^Z>yOrp>`VAJ&LE
zY<g8-mSy^hFXwh|()QHIIE1msR^tQ(Vk$UFy3W0oJnKGC(=~qp{eZSG`s>miVGy~K
zGzEtfnI0-WQZNRk71M7Zy14S}n_(4ysn8k!d(LVmzquh(&KiL)r=5>-Add$pW%I53
zuahOjVydr*o7W}tPM(%JS`W+)rs7pTOdanmx>ptgpw$EeWdpqRWfEtv6K7mnXIkcc
zmA*)FQ!`f2JtCa-ZLA)b2Se6823xFS;-pxT3MyNK@`Ax2PTPn-k5)MyTfg&}jt#oc
zIiKG8^&zmCTJt1gx6gtP-^RAv3cu(i-n68CVKp2mtXiU=Zr@t$B#U^(cr<k%ES$8f
zVu7S-L63A%-<A&+#uA$nF^0o)%I4qmS1*JQ_J&n|NM5(7zi<KQFh@;C%^Hll2+Se6
z%A8F+BX~q^6ncTuMzd3n?^$%{6&at2=@n&&-+&$c^LnK_w4qF7+}ac?Z$h&E`qPVB
z_$u^*Pj|H5$V1<*ofxCROvpXdH@U_!@7w`fb)wp2TG42!^#6ABUq^SURNF%)OyF$-
zgafjU%2-x$)x|K*!?EEymR9P@cU|dJE+ZSj1}3W?1MCV;OFp|L{_foSaP*QEycEVE
zXUC#@%q|necz1{Xpj}CG8%M?JyS+_~e{sm}7l;_k73|h_3Wi+GbE}9wLdZ3fl(OEa
zP6nvxJrAigZT{y?2*QAr6Z6T89(4tJnU0{=n?rL>DSt+P6L@ATI1V)HWpG|88kx+E
z(Njr4q6;|y`W;JQgv`h%(Z5=%oM!mqW*L-}ri_(vUil%HMk$g_=CV-p{{s{ts~~O4
zx5suLXdym5?3W=!q%pbre95DJ;1<^B?Ov5zys<iIk@yPTdnnuwpZ%jjYTBwS)zt{r
z-Iy@>F-=F<)1`h#btnm8Xdrb*>u!Ve563IR?JL${&pK`P@`E8qNYn&V^6PI<`LsQ)
z5WY&^cKi4476lxydVsiEo6RiFk1e{y%6l;owqD=GE@>=<KYuc`&K82VRyY-XF}lg8
z^?417R6g32K@<dXXF{Rha*!S5hbcK|q%GTz_$feII>*pNV!i1yxUr&^z#lG5UUE*d
zM$*EFRMl#8+Ta@u7T5U-GGXa~pn#1(lK<ku@79L)ex5-n^Q5Z{@ByUZlrwv+%Xdt;
z_OhaHcSBcp)4aa-h=M{ot<{ajQ59hdI=GA<TdKhr$Bodn6B<`FR6B=@_s7ULc&z(%
z(UnCmeI)q?yVEHn&*ZiOT<7;QE)a5DV|8AJE6XI_w0l8UV|G^l3CKBdgIhPxXyv(+
z{GcHbhwk(HBP%$l8a{KVjWZ+qP_j80n8R8D1g!-HmErdA+5BnG3(-FQrrf;TC0san
zi|1-$GL}cK&tZuG_FKbX*<sILLfxHAU6`+*D^dVgA2AJIynSRrt1wRIthH7~gq)|f
z=-1cw5Ut8toao7^Xh&!>WtGl{2Jy&|I5338*6n4B^raBPtWxM^8hKLZZ{px7OeO6S
zpLEL`RU`C(%)1c0<<Gi=4=m-W&rphE*}a9;=p;*JuehYUh!Q3un&v7_$^F6gSlrOq
z=Qr@iosP%Y4#KjOlgOf(PQJB^$|YxoJ>R36I~pXrCf*f_|0FX;kMK{6^Q{`IP@O46
z4vY0^*OQ7pgPPPC0`hixy(O4j0ceoPF2uJz@YQ!aKGS{LqNN?k0y9QyewbQjJ^7dj
z!h!48^@;2LhvF$~|D0akx~@)vX1$%&0g6<l#-=K%kkG#r<!4=#fTG`qcR+;WsnbBq
z)AGp5;uhYZp~9CUJT#{dB=-;cZ+zQ;y~rYF^}e4rTEWd$@R7T9E|$epnx+%|o`&Pd
zPE7bIURei(=RQjn!G*$A+3?KX=|vmW!Mj`O&+T#F<p@hMPTh)<QkNz4B%ns>H=>;Q
zUM!;eby<4E{jg+i0V%fPM`|tReNAV3UNYwJ57}0Z%*?u&S5Ca6<>#vi=3ePRbfGj2
zsgZTZXi{ZiZN_vEKS$g9bi0z3K!6F2A@V1%ue7zyK*EvWQ}vOp26l*Gk)`*_@p!Qp
ze}v1S@<g1421So>V;#!Q0g>ORSlF_W6U&QwfK2~dlviAuXqz|+Z$WcU6JZinKB>l-
zQp|S&(YlyFexqVhln!JX&hGYt!ZOXFLBzeTy^hX#%t^^%8Ke@Lx4;Kq$-d_y2y6au
zZ|bAy{rq$GU6gIOFZ?spdGHinHH5eT+{mmZ&{P{eQH*%F2j=jlKn`z>JpAkH2MQFt
zW~%V0+QY7II){v-L{FU%?OEaU;;!8o%h=+Df-Prhb&3uhcqO~8{G$aAIyIq>koARS
z?V;bx8kJw;8HLv60GB7-peU_E<*e}clW9Xl%<m?o2$+?b6@B!bD$XHO`s81gAs25=
z;pcdfK;Ldaz{cFT%Q)gre(X~E0ah2w`0#U@-S`r%v%g!l-luJPo#qNh0y3w^#q8p#
zSm@q&_!^WG96m-hf=4&j=CR43O5}3=q_iAlY`s(>7Q5QOH@j;M`J14s^%A4K14Yl(
zIL^Jb3H(6sn2v>G3D%Lso2!RTzK4#VQ|TQGRZU1k$-mY@5qVcgyylA0%Z=q7hof5}
z5jf+Z!NLO@Xn5}HLfud#SHss5?gGT-^0l!>gt^%7qn<ix2$A=j{NqHd<P=FDvNlKS
zB64Uf0%U@|Qa`Z68xfb+{MOlDkVs?$whaa+tiV|{#LK)t$mI}GP@HGWF2i2-Zr^5q
zd*6CgKoUNm0SP;|F7xF(*Vb)IekT5zehgQn<S!18C$D4c6eC=nOi00Lb{ndmOn8?v
zZ%9D_rfBPD$CSaxPv8M0`IP*ZaFF~(`{Zc&w|QkoRI=bbhjGVkWxUVPJ3-*FS?w_f
zD123R=@y?>TXSI+ILo>N(dcT2FKLyQQBaK<A}F)#ep#z<y%QyE$%V%SM11>uSX$;e
z4iLT&!Ek<gy#ekLgDe^~#3Xv`rhUWl8CZ2`(rcFV-C3iVkX8o*7e3khh3;njDaT+9
zdfI%}#^T+$f{%MJ9}zNkIJ=K3OLbE=%jst8-cmWHaTL7dPnZvW^)dj3c<_ECrDB4X
zgNT4hp!Hl5k_zxhJIKWDV1|F^GA;sYjr{JAWPzv6ZKX%;GfxTmoE2M#Q`@4S);-`%
z;1axHOF9V}!w|wAf9uH`4Zjms&5JoYT&-C1tT`ByL*>Lxlq#bjA~oQ(B(f)A=43Q!
z*n}(hN3y|!mDH>ewd`wwJ(;s2>!{t4c_m<xOEYPXJ>+`r)9lck6pt13Z_hC_8Aq@i
z`EwVLcO*~G^2Us&x}tj?@B}EXC2@Lv_(17KB|{P{tp#)Pa4d`D)4rpcHK5}0-!Da<
zfWUpOe&01|4~c|)P|=qV@||0k)Rk#*gFd_<<l!EQB}-JAd>nQy$n$9+wwF|tzojcw
ziqy^$6*Kgzre>|ra=ns>v*C)wotpXE0;tR>cFVMIO^dlxQHStBWW0x}Ap~(y@dDdM
z9mq@gRl)lh#*!LSX2_x#F@+JAiIZ)gm16qeqo%fcs!_w%PmeZ~1n`!ya5n~a_#}WJ
z4<reTtGuIa{NS$RYJ&?@dA4nIiJR*aV(=4qI<?OF=zwiMP|ihJc5)Mt*J*W`3!HC2
zQSovy{@r@ne_lpvL7xzl4V%<w!M$!UY}MYlC>W>%ExR+q#HdSy)L$+o$0z0v>wrdO
zZOWHvgl_>IO+(-d9I1HDR6WgnebOX__5ox->!Enx#j^DIAahrPTht%&iFA2(Y*}o1
zU)?quDp3Krsn@efhI8_+x8eTl_DgKpN;_V|MzFeW5RRzpiy_&x#Z`sokKzQMxgxc#
z|GV}X5k`vx6`RtWOJ17>Vzb@mCP%Fb*Db+D%MHkuS&CbFO)Khc8vK@DSUFy{Qv-e;
zrXe~Aoa^-a`%{0$r!KW<vsxK{{p_aFzw%x5oj!S~+oegy?7^;y46hu=G3<R?iU2+)
z)_>&F-2gJ5dl`#MNIvvv2|$l1!G(OT!jyx>`K(gm+jKjFEgx#pd-DK*sWwE<JP9Pg
z^plN^>WCZ^cRZZVTHGSr*Rz$3{11Hy?iEy0PZ#W>Ubu6V=6-BWTDAD@+$fbrgCu&F
zUz^l;LT7dce2*N^6Tv_*pRnLRi%#G>QwaZty6j4SZ78GXW|cFZ)?cHW6*FQiraKGt
zuX!HO@@l2=baStJFjM%6@6JLQ`&yxpseX^|1Z?(Ab>a|2XiAht<-37|U7<A^l}!G(
zU-+C9$YF2cmo189gv#Cp>H&>}WPEdA)#Mw-FyBCaOg`!4!Cfag<jkSX>hWY4u%VE~
zM`Aj&(C<)nkV<$ClndRdJmMj+C>8Vc{lZ4&m#*W&;ZY33Bx55APxNZnef8Np#Rg>&
z!If|o=H7(#pW!$lJu}Fr%y!G?VP^7LB&C3MZG$QzK)#Y2tv+=sbvK&`7z0(gyMhx^
z-ucHrHWmjF<<Hm@(rh@9hb-(O!QsH++|%@oU^58cK?aEZ8yakB;D^`2xn-Q$W~|r7
z*DFCcK<ymAF~hm$yR~vn#{d#&)9{7PJ%(KXY3Jx*#6w?EJaTxsVU9Txyodb~tL3Dw
z1(|Td{2JcS0CPV>*<P<U4>n?V3Cz$vI;!J*Yd^chY&C_ZhZW}XI)IL%0o`8kME!*h
z^CJjv8_)kebhJ{FBOsCKnf=JdV2f5tfi)_*-b+Sn5VBL;axCN6FJ`k!n<1xG+Hrs_
z&R3bluaW^6sBjD`$bWKv$fP`wJ5Pt1TZCfunqM4?mq$h6^Vq_g|4rmg$>#+-1J-4l
zwd|50W6tr{IhvCO(b2SWJK}^rlgayX5!%*g7(S&9`YbD5iE_c1^$CL#Gc$}|!vVX_
zm7jhGj&36EKpM?)q<~*K=M2T1`MaO$^$zOX$q@VIWa=L`yQTr7FotlkOf|&c7DJ-l
zQyylAlMeELLhqD67@BsA!6@GDTI~RwiBMPiCo_Y$VC1hTl);^d?2n(Uie4Wcu{h7t
z4MMn%1icm85Qa#-W1Ni6J8WlG=t0Y$r{G&h2gQ5!{Qg=VQE=SOc-S<)!w5gS@f^<r
zxxbm>gtOgD_n-j~Z%x&gt5vb52`EMzCxVZ!YuhXjK+ISY_l<ENvcD_j%J8&WmM&~)
z4)}|WpyHJoyz_l3M!hGM|3SwMBB*~v2XYAIs-UTaE<}$9x1@l&`LiEyLJ*?MD)<9&
zYEOS2Y<ks-#AD(PZF;wor`ETy`ogAPQm$=NGV-)>WM{{j5ykAFtp2rTzW7c-FFfpC
zbY}lv*bsS8+yO;v0jjVsK+6!+3;xS`=>xO^x}m9e3U?9TD<FEgea<+_NJ}Wl&YWt`
zshe0V$XbISy=T+{xSkgGeJO~&mP8<h?g7BRHBh@YoG93&c^QtTUL7A6xJ2JmRS0I~
z5beAAYD<(&6qIaNVuceP?APJhIEyc64eV@W_2gzAvRwJN6)+Y(sy|Wt*Ui`ANCB$K
z$z6K1Ddv6iy>0CNM^YB_{$Nl0xh|h9@P}Qvw_CehTjMzU=c;u$X7~K;0<8*_A1&_?
zM5IY(?7|7feU6fJY7evO--HppyRi9v0Rv$XDQy^?<h)D5E7V$V3LR{Varuf){2>4E
zLTtZX8bd&D4`Cbu-?zGZvA8svy#w{-W2A_yz^$te3D(3+Gmr1D=mGXnaFwjEJJxY@
zBzw2wW0dc{3dn2&a)P5XJm`~N2u8qhjBcn`%uKu06Ky*{QY?{QyOVw!4e1XMVl_FR
zE8+Q{q)XaFgj(W_n!H%BQ!&rL7ARTh-0uZYbR)|{p1Nys8TC@+Z;=_#?+v!WVYP&(
zsj}1p`s#kN8KswtoraQ6;EUoggh*$7mKDGV&*Z(DiiDq)Yt;hJ#!QrQ$}}A39#@rO
zNC$!zmT=hSVl79`tRF;G6ng~0Ljix&G3)NK3w=>l<BMHXl3Uo|R*u5})f&?dH8A^w
zYQW*vV%yk30*k(;-bqrpXYM~R-oCzIMb8XlGx45ev^x&(>7144w;C!|`IVlAMiP1=
zu-=vT+f;mfFpmah3#eGPROxi-auQ-UQ?;y<AXeNKxqNg=A;_x$2j3?EZNTsni)E4i
zqykawsl{Nio?lTLT1$>K*dvO2ow9CR2pVQC-7oyKeZ!Jm*&4jqTmM_gCIlhuzjB61
z8hy6{c5_%X2iHPtdFTCRZ987=zO_<S^|UG%<5No>a6B>S_;@Qt>pAJXT##_fwKFI$
z&wX9jp3@MgU}HCN-9r|W{|XFx>a=OG@B8SROG))zwAmapWyS;|KMxtezB33>m2_~p
zw0bhpOL#MVQ>@nGWEla111-ZSR0Jw7d{91gt3-WYj>d$lmv+&$x;U>mS~V{P%oZx4
zkMCNjn_ePQ-ML@b(3@g!RL{CwJ@3O)jEEMLLSnaxql=O(7Ak4ffOaqXA%a@Toe%8Q
z!2l4RrWVPpi%Mq^0{{Ps3y}M&YW4W`j@e{vBf$gpXnvWC0yE^)vPUEA#-!{}kb^EH
z?xCfq#ll085FTRk!RUwL%>p}Rm$#h7y#PI++f&2AVHuHCPD?epR43%K57a7)JW;bx
zccI&2Qfbp(=l{{EY+X)&Q+JeP_Bz;mY`0la25{oOfCG9usT^yP9$3=LdEF)Ai)T^%
z6abbpMHVZUE0yf1D`wC+hUl-BV}ir<HXCK)VFBC1$0II^cPsnA)2{xUmkHDXf_*ul
z|HntPP2H&A*?Q{ZGsz#?UWmYI0Yt$$51-;byeN}wi--oPr-idS=~IgbtFawcMD7t}
z$^}7wA-^m1eJoCOatIjYN<wd^*7?Kt>n*8oErp`TOn%v>?AdJHV3IeIYXs`toUBrh
zn^OQIrB$OL3TLQ%!)xasF`5SExH-wYXf?`9aVe<si|!rv`pXIWLb~AO={_V1M>Daw
zMwVeXKK*|&%<48N@5@6*FaCJ{Y_83#wHIsi<hn{yhnJ1zT7&<9{ksq~Z@}|^Jg#cl
zg!KY3{|Ip|ska+OA#gvz|NRHpe1irYuhKdG=a8@0dCK<(fEfm?X3WD?1I~2mji#da
zgf$GDGyLF=JP98c%L=nIUl`R?FSmkh;wUjX=!dCP!+;hrcX`@0Z%pm~)gxkP9Hczw
zxRkUpqe7^nBuhNn)7U6gw}~<%QNIA7nM@B%I43+!9(V6oAxM2OQIuBBrP5PQhA}`E
zUs3(<UAk@hT{1WM;9=XI`p@Z44m>#04muZ$&3ZA-Y`fl*Muz5g73Ri(L#dKFQ8&KW
zpjnPzNtZS4@DQMp?$e|{zpdCZiTaTOT&at@07#gOZ}ZC{g9O44#x^xX{M$xs+?Abf
zXWS+H;|37RkKU{uj1>~cq?zMq<r=UuMbW;=F4e>W24(`Hag>)<aZ8#R85kKLAoQ&i
zRVnc8y(ki1F$28dip{LHA5kKOS$1U}N@b>i@7y+6_DNB7{XCR~I7p>mNd9a?Yw#eS
zOApI0)`s>vQ%2#@=}L)vOadztH;-kbEqK~%CYx~%_ck2A`2vo#O4&>3s3T!t%}E4V
zCPK`kO74~HGdZ6EEtnIB5euiT;{JFJBGGIxPJGMv*PgVh<vrk3ttLX867uPOsHOWj
zxk=6;5W-gOj_Yx_ExeGXXj;Z?Pj$ySu4fQw3xxoTwr6RjZcOe94Ar>e!txbL3!3%;
z>|{ASy33bu*I$@ngtwj{r9-X)a>LAC=Z=-Gzoloai8Q#hO*nk2>)vo$Kt6UoXfk4r
zYXFY=BaNLmFMgqVH)wLN2rv){aKVHdgPq_-Kv%q0{u5%z*`$E?G2>rKg*)!RWWzq;
z16)5V26ohZGN8OBWJ^8Ic-;S=x!w3sSMrVa0lRGe{WR^By5zgr4;~tS7FfNipl_TP
zC8n763IfTs<oW4BHs?#tjX~oRDJ^rcoI>Wov)$3yZy#hZHy1FG3&-2)+?(Z{;W*MI
z-n<C0ovf>^iD#i5^r2!%zc43qn_ewtUk0F$VxuTxHodWPTepe(VSF~$mH3Y&3cNwT
zb&zgo*lwt7fg9gKE9f@Wr921c6|637NyALS9Es&qmMb{%#()sfLf8#*gSZB_u{yZh
z<I}JPD+1xSz)2m2-3w%R**(-{F>dxcR-k2?r<F{j(XoNaJ8u$)A?%6WNCgE-yb2=a
z&@ln?NZSF`j9voi)P&{kp#ARX4|fgC^bY7n0UWlM3q?X#a#m`2dQ8nbC7);@Ul7`B
zfm&kxCZ-TnkXGTHh!a6F2z+gqWBObG_oiLh|IeI+(=S7`fG5QH#S`RW77UC0GM$YR
z=@W`rH1H(BKE&Ifk=rYY!Ed#5fD8rLQ;nFBQQ-3Em&5nTe=T-d$bXJ0I~ByFHp|iL
z#1?|m)*$oGU6SoKBF7PO+WcSeZ<Q(7$YGF^)>g64!vCo+x%+igeS>wxUOijX`K_E|
z_@_om2vZ8$Vrwx1%5Fs`EZ1(!@|o?N9Uf&1TT3PCbFsfMk#?;|ppkvmChbhsS}n(W
zq@$gqUe+{f9*8nNg=IIE58W{!oVncto#i6FvfRe~DwxUq48MLt*dNFtWX1$H4aMIn
zBrXBnFi*I?mZ}U|e<Hm(e=rr&>K4T2Uvp$B#hG|TD^!?H=CauE5-f;Rk@k!rrn4jM
zsB%phH4I5L$VAszf-a~fQ@OQrC|>^@4aLW63G^l<Q`|Bp(`pKv+=z(as9b619S^{p
z9gIrote7YY$vKBjVq6WT{;|Y%kJc$h+V8W?>uIwDA839EINC0IucSNrX4==eTWadj
zDW43Gt|?yo$qE-G%EaueEP&?i{)%Yj{od4H-twAB%Lj2d?9DT?VcfZ+h9Fh67Ny=_
zw|P294cU-h4n$#y0IwY{XSnMBTO0H@R?zxg-MK==|3<H!Ek}fHhT$uYwZo&He;{K*
z@+x0{cieP(zOg`Rww4Vg@9BXXxU(0S9yRL9BS?0O%BG?Yk<~t&o*Y=;={W`9>NLAF
zBeP@l&)W4FxI3N_jZVK8JxUys7gj$F%IyVofr@>lVPDIoUB^wUi&BCk;bODyf~ZoY
zT+#KcY;3Q&h1!Mrq*hR1fK~3g?Y=?{y!N#`lSbHAt<ETb&+4hwew2c`AELyWhgaWK
zpsEH01=dllqj}gNiaHdd05)s8*S7TF^f;%=W~)SmVo~R$c8hl1b+oIPo>QGT>zi@V
z<JfjZzI6&z{2*kRhk&Ao)YnEWSVGzrIh4J--Ua7rSB=!z@yTO)xe_zd-+=RJ3>Sn&
zU*N$7d4D`LjDIIJ*(W+jaN%hsz9K8g=R_vMK6xyTD{|HQ3t&+J>%dICg=~@0>3rWX
z!deUMNnrGf6~y!v!iIrBcEg(QvdH?WKwa9`?-H}4RdkzU?H{^QHyLKd^Q2kRMrw(H
z)PFrV$K!0Ke~_{dM}v;ug`S`}W;w$g(_LlSQ~Y3BaG-F|W7QwaVuMIbxgr#!ues*J
z5NnrDQASl=5GrX}L$PB;lX@)r1?}qd%;2J1E>5MU0M*kJ<JHypQ!Zydr{24rhS(Hq
zJk#FDr=%IlGgf|`N6^`p^~xA7Jlv@8!|hnYuz%L~VFDv+Hw$~_Js-V*d+}_!n99n`
zn!<NWlbOw?`kLGaMb>l7ZhjbC(@y)ZSB=9CBs`WdDq6+fd2Pug_lW~2Tg+^i#lP-$
a*5*0OGwv^|wIu=<JUy}UYAaV+Owg%o!>PRh

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac.v
new file mode 100644
index 0000000000..090cb2ac08
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac.v
@@ -0,0 +1,2665 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_multi_mac.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_multi_mac.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet - 10/100/1000 MAC
+//
+// Description : 
+//
+// Top Level Triple Speed Ethernet(10/100/1000) MAC with FIFOs, MII/GMII
+// interfaces, mdio module and register space (statistic, control and 
+// management)
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation  
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_multi_mac 
+/* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */
+#(
+
+parameter USE_SYNC_RESET        = 0,                    //  Use Synchronized Reset Inputs
+parameter RESET_LEVEL           = 1'b 1 ,               //  Reset Active Level
+parameter ENABLE_GMII_LOOPBACK  = 1,                    //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1,                    //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter ENABLE_SUP_ADDR       = 1,                    //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1,                    //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1,                    //  STAT_CNT_ENA Enable Statistic Counters
+parameter MDIO_CLK_DIV          = 40 ,                  //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3,                //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ,                   //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 0,                    //  Enable the RGMII Interface
+parameter ENABLE_MDIO           = 1,                    //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1,                    //  Enable magic packet detection 
+parameter CRC32DWIDTH           = 4'b 1000,             //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110,              //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0,                //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0,                 //  false: merge enable
+parameter ENABLE_SHIFT16        = 0,                    //  Enable byte stuffing at packet header 
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1,                 //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1,                 //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+
+parameter ENABLE_CLK_SHARING    = 0,                    //  Option to share clock for multiple channels (Clocks are rate-matched).
+parameter ENABLE_REG_SHARING    = 1,                    //  Option to share register space. Uses certain hard-coded values from input.
+parameter ENABLE_EXTENDED_STAT_REG = 0,                 //  Enable a few extended statistic registers
+parameter MAX_CHANNELS          = 1,                    //  The number of channels in Multi-TSE component 
+parameter ENABLE_PKT_CLASS      = 1,                    //  Enable Packet Classification Av-ST Interface
+parameter ENABLE_RX_FIFO_STATUS = 1,                    //  Enable Receive FIFO Almost Full status interface
+parameter CHANNEL_WIDTH         = 1,                    //  The width of the channel interface
+parameter SYNCHRONIZER_DEPTH 	= 3,		  	//  Number of synchronizer
+
+
+// Internal parameters
+parameter ADDR_WIDTH = (MAX_CHANNELS > 16)? 13 :
+                       (MAX_CHANNELS > 8)? 12 :
+                       (MAX_CHANNELS > 4)? 11 :
+                       (MAX_CHANNELS > 2)? 10 :                       
+                       (MAX_CHANNELS > 1)? 9 : 8
+
+)
+
+
+
+(
+
+	// RESET / MAC REG IF / MDIO
+	input wire   reset,                      //  Asynchronous Reset - clk Domain
+	input wire   clk,                        //  25MHz Host Interface Clock
+	input wire   read,                       //  Register Read Strobe
+	input wire   write,                      //  Register Write Strobe
+	input wire   [ADDR_WIDTH-1:0] address,   //  Register Address
+	input wire   [31:0] writedata,           //  Write Data for Host Bus
+	output wire  [31:0] readdata,            //  Read Data to Host Bus
+	output wire  waitrequest,                //  Interface Busy
+	output wire  mdc,                        //  2.5MHz Inteface
+	input wire   mdio_in,                    //  MDIO Input
+	output wire  mdio_out,                   //  MDIO Output
+	output wire  mdio_oen,                   //  MDIO Output Enable
+
+	// SHARED CLK SIGNALS
+	input wire   rx_clk,                     //  Receive Clock
+	input wire   tx_clk,                     //  Transmit Clock 
+    output wire  mac_rx_clk,                 //  Av-ST Receive Clock
+	output wire  mac_tx_clk,                 //  Av-ST Transmit Clock 
+
+    // SHARED RX STATUS 
+    input wire   rx_afull_clk,                             //  Almost full clock
+	input wire   [1:0] rx_afull_data,                      //  Almost full data
+	input wire   rx_afull_valid,                           //  Almost full valid
+	input wire   [CHANNEL_WIDTH-1:0] rx_afull_channel,     //  Almost full channel
+	
+
+    // CHANNEL 0
+	
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_0,               //  Carrier Sense
+	input wire   m_rx_col_0,               //  Collition
+	input wire   rx_clk_0,                 //  Receive Clock
+	input wire   tx_clk_0,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_0,          //  GMII Receive Data
+	input wire   gm_rx_dv_0,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_0,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_0,          //  GMII Transmit Data
+	output wire  gm_tx_en_0,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_0,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_0,           //  MII Receive Data
+	input wire   m_rx_en_0,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_0,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_0,           //  MII Transmit Data
+	output wire  m_tx_en_0,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_0,               //  MII Transmit Frame Error
+	output wire  tx_control_0,
+	output wire  [3:0] rgmii_out_0,
+	input wire   [3:0] rgmii_in_0,
+	input wire   rx_control_0,
+	output wire  eth_mode_0,               //  Ethernet Mode
+	output wire  ena_10_0,                 //  Enable 10Mbps Mode
+	input wire   set_1000_0,               //  Gigabit Mode Enable
+	input wire   set_10_0,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_0,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_0,             //  Av-ST Transmit Clock 	
+	output wire  data_rx_sop_0,            //  Start of Packet
+	output wire  data_rx_eop_0,            //  End of Packet
+	output wire  [7:0] data_rx_data_0,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_0,    //  Receive packet error
+	output wire  data_rx_valid_0,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_0,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_0,   //  Frame Type Indication
+	output wire  pkt_class_valid_0,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_0,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_0,     //  Data from FIFO transmit
+	input wire   data_tx_valid_0,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_0,            //  Start of Packet
+	input wire   data_tx_eop_0,            //  END of Packet
+	output wire  data_tx_ready_0,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_0,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_0,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_0,               //  Xoff Pause frame generate 
+	input wire   xon_gen_0,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_0,          //  Enable Sleep Mode
+	output wire  magic_wakeup_0,           //  Wake Up Request
+
+
+    // CHANNEL 1
+	
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_1,               //  Carrier Sense
+	input wire   m_rx_col_1,               //  Collition
+	input wire   rx_clk_1,                 //  Receive Clock
+	input wire   tx_clk_1,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_1,          //  GMII Receive Data
+	input wire   gm_rx_dv_1,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_1,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_1,          //  GMII Transmit Data
+	output wire  gm_tx_en_1,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_1,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_1,           //  MII Receive Data
+	input wire   m_rx_en_1,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_1,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_1,           //  MII Transmit Data
+	output wire  m_tx_en_1,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_1,               //  MII Transmit Frame Error
+	output wire  tx_control_1,
+	output wire  [3:0] rgmii_out_1,
+	input wire   [3:0] rgmii_in_1,
+	input wire   rx_control_1,
+	output wire  eth_mode_1,               //  Ethernet Mode
+	output wire  ena_10_1,                 //  Enable 10Mbps Mode
+	input wire   set_1000_1,               //  Gigabit Mode Enable
+	input wire   set_10_1,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_1,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_1,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_1,            //  Start of Packet
+	output wire  data_rx_eop_1,            //  End of Packet
+	output wire  [7:0] data_rx_data_1,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_1,    //  Receive packet error
+	output wire  data_rx_valid_1,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_1,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_1,   //  Frame Type Indication
+	output wire  pkt_class_valid_1,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_1,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_1,     //  Data from FIFO transmit
+	input wire   data_tx_valid_1,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_1,            //  Start of Packet
+	input wire   data_tx_eop_1,            //  END of Packet
+	output wire  data_tx_ready_1,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_1,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_1,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_1,               //  Xoff Pause frame generate 
+	input wire   xon_gen_1,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_1,          //  Enable Sleep Mode
+	output wire  magic_wakeup_1,           //  Wake Up Request
+
+
+    // CHANNEL 2
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_2,               //  Carrier Sense
+	input wire   m_rx_col_2,               //  Collition
+	input wire   rx_clk_2,                 //  Receive Clock
+	input wire   tx_clk_2,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_2,          //  GMII Receive Data
+	input wire   gm_rx_dv_2,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_2,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_2,          //  GMII Transmit Data
+	output wire  gm_tx_en_2,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_2,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_2,           //  MII Receive Data
+	input wire   m_rx_en_2,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_2,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_2,           //  MII Transmit Data
+	output wire  m_tx_en_2,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_2,               //  MII Transmit Frame Error
+	output wire  tx_control_2,
+	output wire  [3:0] rgmii_out_2,
+	input wire   [3:0] rgmii_in_2,
+	input wire   rx_control_2,
+	output wire  eth_mode_2,               //  Ethernet Mode
+	output wire  ena_10_2,                 //  Enable 10Mbps Mode
+	input wire   set_1000_2,               //  Gigabit Mode Enable
+	input wire   set_10_2,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_2,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_2,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_2,            //  Start of Packet
+	output wire  data_rx_eop_2,            //  End of Packet
+	output wire  [7:0] data_rx_data_2,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_2,    //  Receive packet error
+	output wire  data_rx_valid_2,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_2,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_2,   //  Frame Type Indication
+	output wire  pkt_class_valid_2,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_2,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_2,     //  Data from FIFO transmit
+	input wire   data_tx_valid_2,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_2,            //  Start of Packet
+	input wire   data_tx_eop_2,            //  END of Packet
+	output wire  data_tx_ready_2,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_2,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_2,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_2,               //  Xoff Pause frame generate 
+	input wire   xon_gen_2,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_2,          //  Enable Sleep Mode
+	output wire  magic_wakeup_2,           //  Wake Up Request
+
+
+    // CHANNEL 3
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_3,               //  Carrier Sense
+	input wire   m_rx_col_3,               //  Collition
+	input wire   rx_clk_3,                 //  Receive Clock
+	input wire   tx_clk_3,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_3,          //  GMII Receive Data
+	input wire   gm_rx_dv_3,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_3,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_3,          //  GMII Transmit Data
+	output wire  gm_tx_en_3,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_3,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_3,           //  MII Receive Data
+	input wire   m_rx_en_3,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_3,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_3,           //  MII Transmit Data
+	output wire  m_tx_en_3,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_3,               //  MII Transmit Frame Error
+	output wire  tx_control_3,
+	output wire  [3:0] rgmii_out_3,
+	input wire   [3:0] rgmii_in_3,
+	input wire   rx_control_3,
+	output wire  eth_mode_3,               //  Ethernet Mode
+	output wire  ena_10_3,                 //  Enable 10Mbps Mode
+	input wire   set_1000_3,               //  Gigabit Mode Enable
+	input wire   set_10_3,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_3,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_3,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_3,            //  Start of Packet
+	output wire  data_rx_eop_3,            //  End of Packet
+	output wire  [7:0] data_rx_data_3,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_3,    //  Receive packet error
+	output wire  data_rx_valid_3,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_3,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_3,   //  Frame Type Indication
+	output wire  pkt_class_valid_3,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_3,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_3,     //  Data from FIFO transmit
+	input wire   data_tx_valid_3,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_3,            //  Start of Packet
+	input wire   data_tx_eop_3,            //  END of Packet
+	output wire  data_tx_ready_3,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_3,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_3,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_3,               //  Xoff Pause frame generate 
+	input wire   xon_gen_3,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_3,          //  Enable Sleep Mode
+	output wire  magic_wakeup_3,           //  Wake Up Request
+
+
+    // CHANNEL 4
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_4,               //  Carrier Sense
+	input wire   m_rx_col_4,               //  Collition
+	input wire   rx_clk_4,                 //  Receive Clock
+	input wire   tx_clk_4,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_4,          //  GMII Receive Data
+	input wire   gm_rx_dv_4,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_4,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_4,          //  GMII Transmit Data
+	output wire  gm_tx_en_4,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_4,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_4,           //  MII Receive Data
+	input wire   m_rx_en_4,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_4,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_4,           //  MII Transmit Data
+	output wire  m_tx_en_4,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_4,               //  MII Transmit Frame Error
+	output wire  tx_control_4,
+	output wire  [3:0] rgmii_out_4,
+	input wire   [3:0] rgmii_in_4,
+	input wire   rx_control_4,
+	output wire  eth_mode_4,               //  Ethernet Mode
+	output wire  ena_10_4,                 //  Enable 10Mbps Mode
+	input wire   set_1000_4,               //  Gigabit Mode Enable
+	input wire   set_10_4,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_4,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_4,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_4,            //  Start of Packet
+	output wire  data_rx_eop_4,            //  End of Packet
+	output wire  [7:0] data_rx_data_4,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_4,    //  Receive packet error
+	output wire  data_rx_valid_4,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_4,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_4,   //  Frame Type Indication
+	output wire  pkt_class_valid_4,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_4,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_4,     //  Data from FIFO transmit
+	input wire   data_tx_valid_4,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_4,            //  Start of Packet
+	input wire   data_tx_eop_4,            //  END of Packet
+	output wire  data_tx_ready_4,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_4,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_4,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_4,               //  Xoff Pause frame generate 
+	input wire   xon_gen_4,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_4,          //  Enable Sleep Mode
+	output wire  magic_wakeup_4,           //  Wake Up Request
+
+
+    // CHANNEL 5
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_5,               //  Carrier Sense
+	input wire   m_rx_col_5,               //  Collition
+	input wire   rx_clk_5,                 //  Receive Clock
+	input wire   tx_clk_5,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_5,          //  GMII Receive Data
+	input wire   gm_rx_dv_5,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_5,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_5,          //  GMII Transmit Data
+	output wire  gm_tx_en_5,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_5,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_5,           //  MII Receive Data
+	input wire   m_rx_en_5,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_5,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_5,           //  MII Transmit Data
+	output wire  m_tx_en_5,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_5,               //  MII Transmit Frame Error
+	output wire  tx_control_5,
+	output wire  [3:0] rgmii_out_5,
+	input wire   [3:0] rgmii_in_5,
+	input wire   rx_control_5,
+	output wire  eth_mode_5,               //  Ethernet Mode
+	output wire  ena_10_5,                 //  Enable 10Mbps Mode
+	input wire   set_1000_5,               //  Gigabit Mode Enable
+	input wire   set_10_5,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_5,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_5,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_5,            //  Start of Packet
+	output wire  data_rx_eop_5,            //  End of Packet
+	output wire  [7:0] data_rx_data_5,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_5,    //  Receive packet error
+	output wire  data_rx_valid_5,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_5,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_5,   //  Frame Type Indication
+	output wire  pkt_class_valid_5,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_5,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_5,     //  Data from FIFO transmit
+	input wire   data_tx_valid_5,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_5,            //  Start of Packet
+	input wire   data_tx_eop_5,            //  END of Packet
+	output wire  data_tx_ready_5,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_5,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_5,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_5,               //  Xoff Pause frame generate 
+	input wire   xon_gen_5,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_5,          //  Enable Sleep Mode
+	output wire  magic_wakeup_5,           //  Wake Up Request
+
+
+    // CHANNEL 6
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_6,               //  Carrier Sense
+	input wire   m_rx_col_6,               //  Collition
+	input wire   rx_clk_6,                 //  Receive Clock
+	input wire   tx_clk_6,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_6,          //  GMII Receive Data
+	input wire   gm_rx_dv_6,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_6,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_6,          //  GMII Transmit Data
+	output wire  gm_tx_en_6,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_6,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_6,           //  MII Receive Data
+	input wire   m_rx_en_6,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_6,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_6,           //  MII Transmit Data
+	output wire  m_tx_en_6,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_6,               //  MII Transmit Frame Error
+	output wire  tx_control_6,
+	output wire  [3:0] rgmii_out_6,
+	input wire   [3:0] rgmii_in_6,
+	input wire   rx_control_6,
+	output wire  eth_mode_6,               //  Ethernet Mode
+	output wire  ena_10_6,                 //  Enable 10Mbps Mode
+	input wire   set_1000_6,               //  Gigabit Mode Enable
+	input wire   set_10_6,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_6,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_6,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_6,            //  Start of Packet
+	output wire  data_rx_eop_6,            //  End of Packet
+	output wire  [7:0] data_rx_data_6,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_6,    //  Receive packet error
+	output wire  data_rx_valid_6,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_6,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_6,   //  Frame Type Indication
+	output wire  pkt_class_valid_6,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_6,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_6,     //  Data from FIFO transmit
+	input wire   data_tx_valid_6,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_6,            //  Start of Packet
+	input wire   data_tx_eop_6,            //  END of Packet
+	output wire  data_tx_ready_6,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_6,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_6,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_6,               //  Xoff Pause frame generate 
+	input wire   xon_gen_6,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_6,          //  Enable Sleep Mode
+	output wire  magic_wakeup_6,           //  Wake Up Request
+
+
+    // CHANNEL 7
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_7,               //  Carrier Sense
+	input wire   m_rx_col_7,               //  Collition
+	input wire   rx_clk_7,                 //  Receive Clock
+	input wire   tx_clk_7,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_7,          //  GMII Receive Data
+	input wire   gm_rx_dv_7,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_7,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_7,          //  GMII Transmit Data
+	output wire  gm_tx_en_7,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_7,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_7,           //  MII Receive Data
+	input wire   m_rx_en_7,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_7,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_7,           //  MII Transmit Data
+	output wire  m_tx_en_7,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_7,               //  MII Transmit Frame Error
+	output wire  tx_control_7,
+	output wire  [3:0] rgmii_out_7,
+	input wire   [3:0] rgmii_in_7,
+	input wire   rx_control_7,
+	output wire  eth_mode_7,               //  Ethernet Mode
+	output wire  ena_10_7,                 //  Enable 10Mbps Mode
+	input wire   set_1000_7,               //  Gigabit Mode Enable
+	input wire   set_10_7,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_7,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_7,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_7,            //  Start of Packet
+	output wire  data_rx_eop_7,            //  End of Packet
+	output wire  [7:0] data_rx_data_7,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_7,    //  Receive packet error
+	output wire  data_rx_valid_7,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_7,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_7,   //  Frame Type Indication
+	output wire  pkt_class_valid_7,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_7,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_7,     //  Data from FIFO transmit
+	input wire   data_tx_valid_7,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_7,            //  Start of Packet
+	input wire   data_tx_eop_7,            //  END of Packet
+	output wire  data_tx_ready_7,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_7,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_7,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_7,               //  Xoff Pause frame generate 
+	input wire   xon_gen_7,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_7,          //  Enable Sleep Mode
+	output wire  magic_wakeup_7,           //  Wake Up Request
+
+
+    // CHANNEL 8
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_8,               //  Carrier Sense
+	input wire   m_rx_col_8,               //  Collition
+	input wire   rx_clk_8,                 //  Receive Clock
+	input wire   tx_clk_8,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_8,          //  GMII Receive Data
+	input wire   gm_rx_dv_8,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_8,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_8,          //  GMII Transmit Data
+	output wire  gm_tx_en_8,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_8,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_8,           //  MII Receive Data
+	input wire   m_rx_en_8,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_8,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_8,           //  MII Transmit Data
+	output wire  m_tx_en_8,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_8,               //  MII Transmit Frame Error
+	output wire  tx_control_8,
+	output wire  [3:0] rgmii_out_8,
+	input wire   [3:0] rgmii_in_8,
+	input wire   rx_control_8,
+	output wire  eth_mode_8,               //  Ethernet Mode
+	output wire  ena_10_8,                 //  Enable 10Mbps Mode
+	input wire   set_1000_8,               //  Gigabit Mode Enable
+	input wire   set_10_8,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_8,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_8,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_8,            //  Start of Packet
+	output wire  data_rx_eop_8,            //  End of Packet
+	output wire  [7:0] data_rx_data_8,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_8,    //  Receive packet error
+	output wire  data_rx_valid_8,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_8,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_8,   //  Frame Type Indication
+	output wire  pkt_class_valid_8,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_8,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_8,     //  Data from FIFO transmit
+	input wire   data_tx_valid_8,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_8,            //  Start of Packet
+	input wire   data_tx_eop_8,            //  END of Packet
+	output wire  data_tx_ready_8,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_8,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_8,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_8,               //  Xoff Pause frame generate 
+	input wire   xon_gen_8,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_8,          //  Enable Sleep Mode
+	output wire  magic_wakeup_8,           //  Wake Up Request
+
+
+    // CHANNEL 9
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_9,               //  Carrier Sense
+	input wire   m_rx_col_9,               //  Collition
+	input wire   rx_clk_9,                 //  Receive Clock
+	input wire   tx_clk_9,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_9,          //  GMII Receive Data
+	input wire   gm_rx_dv_9,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_9,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_9,          //  GMII Transmit Data
+	output wire  gm_tx_en_9,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_9,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_9,           //  MII Receive Data
+	input wire   m_rx_en_9,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_9,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_9,           //  MII Transmit Data
+	output wire  m_tx_en_9,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_9,               //  MII Transmit Frame Error
+	output wire  tx_control_9,
+	output wire  [3:0] rgmii_out_9,
+	input wire   [3:0] rgmii_in_9,
+	input wire   rx_control_9,
+	output wire  eth_mode_9,               //  Ethernet Mode
+	output wire  ena_10_9,                 //  Enable 10Mbps Mode
+	input wire   set_1000_9,               //  Gigabit Mode Enable
+	input wire   set_10_9,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_9,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_9,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_9,            //  Start of Packet
+	output wire  data_rx_eop_9,            //  End of Packet
+	output wire  [7:0] data_rx_data_9,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_9,    //  Receive packet error
+	output wire  data_rx_valid_9,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_9,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_9,   //  Frame Type Indication
+	output wire  pkt_class_valid_9,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_9,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_9,     //  Data from FIFO transmit
+	input wire   data_tx_valid_9,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_9,            //  Start of Packet
+	input wire   data_tx_eop_9,            //  END of Packet
+	output wire  data_tx_ready_9,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_9,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_9,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_9,               //  Xoff Pause frame generate 
+	input wire   xon_gen_9,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_9,          //  Enable Sleep Mode
+	output wire  magic_wakeup_9,           //  Wake Up Request
+
+
+    // CHANNEL 10
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_10,               //  Carrier Sense
+	input wire   m_rx_col_10,               //  Collition
+	input wire   rx_clk_10,                 //  Receive Clock
+	input wire   tx_clk_10,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_10,          //  GMII Receive Data
+	input wire   gm_rx_dv_10,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_10,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_10,          //  GMII Transmit Data
+	output wire  gm_tx_en_10,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_10,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_10,           //  MII Receive Data
+	input wire   m_rx_en_10,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_10,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_10,           //  MII Transmit Data
+	output wire  m_tx_en_10,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_10,               //  MII Transmit Frame Error
+	output wire  tx_control_10,
+	output wire  [3:0] rgmii_out_10,
+	input wire   [3:0] rgmii_in_10,
+	input wire   rx_control_10,
+	output wire  eth_mode_10,               //  Ethernet Mode
+	output wire  ena_10_10,                 //  Enable 10Mbps Mode
+	input wire   set_1000_10,               //  Gigabit Mode Enable
+	input wire   set_10_10,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_10,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_10,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_10,            //  Start of Packet
+	output wire  data_rx_eop_10,            //  End of Packet
+	output wire  [7:0] data_rx_data_10,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_10,    //  Receive packet error
+	output wire  data_rx_valid_10,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_10,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_10,   //  Frame Type Indication
+	output wire  pkt_class_valid_10,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_10,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_10,     //  Data from FIFO transmit
+	input wire   data_tx_valid_10,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_10,            //  Start of Packet
+	input wire   data_tx_eop_10,            //  END of Packet
+	output wire  data_tx_ready_10,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_10,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_10,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_10,               //  Xoff Pause frame generate 
+	input wire   xon_gen_10,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_10,          //  Enable Sleep Mode
+	output wire  magic_wakeup_10,           //  Wake Up Request
+
+
+    // CHANNEL 11
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_11,               //  Carrier Sense
+	input wire   m_rx_col_11,               //  Collition
+	input wire   rx_clk_11,                 //  Receive Clock
+	input wire   tx_clk_11,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_11,          //  GMII Receive Data
+	input wire   gm_rx_dv_11,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_11,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_11,          //  GMII Transmit Data
+	output wire  gm_tx_en_11,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_11,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_11,           //  MII Receive Data
+	input wire   m_rx_en_11,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_11,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_11,           //  MII Transmit Data
+	output wire  m_tx_en_11,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_11,               //  MII Transmit Frame Error
+	output wire  tx_control_11,
+	output wire  [3:0] rgmii_out_11,
+	input wire   [3:0] rgmii_in_11,
+	input wire   rx_control_11,
+	output wire  eth_mode_11,               //  Ethernet Mode
+	output wire  ena_10_11,                 //  Enable 10Mbps Mode
+	input wire   set_1000_11,               //  Gigabit Mode Enable
+	input wire   set_10_11,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_11,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_11,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_11,            //  Start of Packet
+	output wire  data_rx_eop_11,            //  End of Packet
+	output wire  [7:0] data_rx_data_11,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_11,    //  Receive packet error
+	output wire  data_rx_valid_11,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_11,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_11,   //  Frame Type Indication
+	output wire  pkt_class_valid_11,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_11,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_11,     //  Data from FIFO transmit
+	input wire   data_tx_valid_11,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_11,            //  Start of Packet
+	input wire   data_tx_eop_11,            //  END of Packet
+	output wire  data_tx_ready_11,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_11,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_11,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_11,               //  Xoff Pause frame generate 
+	input wire   xon_gen_11,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_11,          //  Enable Sleep Mode
+	output wire  magic_wakeup_11,           //  Wake Up Request
+
+
+    // CHANNEL 12
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_12,               //  Carrier Sense
+	input wire   m_rx_col_12,               //  Collition
+	input wire   rx_clk_12,                 //  Receive Clock
+	input wire   tx_clk_12,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_12,          //  GMII Receive Data
+	input wire   gm_rx_dv_12,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_12,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_12,          //  GMII Transmit Data
+	output wire  gm_tx_en_12,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_12,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_12,           //  MII Receive Data
+	input wire   m_rx_en_12,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_12,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_12,           //  MII Transmit Data
+	output wire  m_tx_en_12,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_12,               //  MII Transmit Frame Error
+	output wire  tx_control_12,
+	output wire  [3:0] rgmii_out_12,
+	input wire   [3:0] rgmii_in_12,
+	input wire   rx_control_12,
+	output wire  eth_mode_12,               //  Ethernet Mode
+	output wire  ena_10_12,                 //  Enable 10Mbps Mode
+	input wire   set_1000_12,               //  Gigabit Mode Enable
+	input wire   set_10_12,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_12,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_12,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_12,            //  Start of Packet
+	output wire  data_rx_eop_12,            //  End of Packet
+	output wire  [7:0] data_rx_data_12,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_12,    //  Receive packet error
+	output wire  data_rx_valid_12,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_12,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_12,   //  Frame Type Indication
+	output wire  pkt_class_valid_12,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_12,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_12,     //  Data from FIFO transmit
+	input wire   data_tx_valid_12,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_12,            //  Start of Packet
+	input wire   data_tx_eop_12,            //  END of Packet
+	output wire  data_tx_ready_12,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_12,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_12,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_12,               //  Xoff Pause frame generate 
+	input wire   xon_gen_12,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_12,          //  Enable Sleep Mode
+	output wire  magic_wakeup_12,           //  Wake Up Request
+
+
+    // CHANNEL 13
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_13,               //  Carrier Sense
+	input wire   m_rx_col_13,               //  Collition
+	input wire   rx_clk_13,                 //  Receive Clock
+	input wire   tx_clk_13,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_13,          //  GMII Receive Data
+	input wire   gm_rx_dv_13,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_13,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_13,          //  GMII Transmit Data
+	output wire  gm_tx_en_13,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_13,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_13,           //  MII Receive Data
+	input wire   m_rx_en_13,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_13,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_13,           //  MII Transmit Data
+	output wire  m_tx_en_13,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_13,               //  MII Transmit Frame Error
+	output wire  tx_control_13,
+	output wire  [3:0] rgmii_out_13,
+	input wire   [3:0] rgmii_in_13,
+	input wire   rx_control_13,
+	output wire  eth_mode_13,               //  Ethernet Mode
+	output wire  ena_10_13,                 //  Enable 10Mbps Mode
+	input wire   set_1000_13,               //  Gigabit Mode Enable
+	input wire   set_10_13,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_13,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_13,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_13,            //  Start of Packet
+	output wire  data_rx_eop_13,            //  End of Packet
+	output wire  [7:0] data_rx_data_13,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_13,    //  Receive packet error
+	output wire  data_rx_valid_13,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_13,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_13,   //  Frame Type Indication
+	output wire  pkt_class_valid_13,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_13,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_13,     //  Data from FIFO transmit
+	input wire   data_tx_valid_13,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_13,            //  Start of Packet
+	input wire   data_tx_eop_13,            //  END of Packet
+	output wire  data_tx_ready_13,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_13,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_13,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_13,               //  Xoff Pause frame generate 
+	input wire   xon_gen_13,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_13,          //  Enable Sleep Mode
+	output wire  magic_wakeup_13,           //  Wake Up Request
+
+
+    // CHANNEL 14
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_14,               //  Carrier Sense
+	input wire   m_rx_col_14,               //  Collition
+	input wire   rx_clk_14,                 //  Receive Clock
+	input wire   tx_clk_14,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_14,          //  GMII Receive Data
+	input wire   gm_rx_dv_14,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_14,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_14,          //  GMII Transmit Data
+	output wire  gm_tx_en_14,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_14,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_14,           //  MII Receive Data
+	input wire   m_rx_en_14,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_14,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_14,           //  MII Transmit Data
+	output wire  m_tx_en_14,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_14,               //  MII Transmit Frame Error
+	output wire  tx_control_14,
+	output wire  [3:0] rgmii_out_14,
+	input wire   [3:0] rgmii_in_14,
+	input wire   rx_control_14,
+	output wire  eth_mode_14,               //  Ethernet Mode
+	output wire  ena_10_14,                 //  Enable 10Mbps Mode
+	input wire   set_1000_14,               //  Gigabit Mode Enable
+	input wire   set_10_14,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_14,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_14,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_14,            //  Start of Packet
+	output wire  data_rx_eop_14,            //  End of Packet
+	output wire  [7:0] data_rx_data_14,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_14,    //  Receive packet error
+	output wire  data_rx_valid_14,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_14,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_14,   //  Frame Type Indication
+	output wire  pkt_class_valid_14,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_14,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_14,     //  Data from FIFO transmit
+	input wire   data_tx_valid_14,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_14,            //  Start of Packet
+	input wire   data_tx_eop_14,            //  END of Packet
+	output wire  data_tx_ready_14,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_14,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_14,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_14,               //  Xoff Pause frame generate 
+	input wire   xon_gen_14,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_14,          //  Enable Sleep Mode
+	output wire  magic_wakeup_14,           //  Wake Up Request
+
+
+    // CHANNEL 15
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_15,               //  Carrier Sense
+	input wire   m_rx_col_15,               //  Collition
+	input wire   rx_clk_15,                 //  Receive Clock
+	input wire   tx_clk_15,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_15,          //  GMII Receive Data
+	input wire   gm_rx_dv_15,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_15,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_15,          //  GMII Transmit Data
+	output wire  gm_tx_en_15,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_15,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_15,           //  MII Receive Data
+	input wire   m_rx_en_15,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_15,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_15,           //  MII Transmit Data
+	output wire  m_tx_en_15,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_15,               //  MII Transmit Frame Error
+	output wire  tx_control_15,
+	output wire  [3:0] rgmii_out_15,
+	input wire   [3:0] rgmii_in_15,
+	input wire   rx_control_15,
+	output wire  eth_mode_15,               //  Ethernet Mode
+	output wire  ena_10_15,                 //  Enable 10Mbps Mode
+	input wire   set_1000_15,               //  Gigabit Mode Enable
+	input wire   set_10_15,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_15,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_15,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_15,            //  Start of Packet
+	output wire  data_rx_eop_15,            //  End of Packet
+	output wire  [7:0] data_rx_data_15,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_15,    //  Receive packet error
+	output wire  data_rx_valid_15,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_15,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_15,   //  Frame Type Indication
+	output wire  pkt_class_valid_15,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_15,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_15,     //  Data from FIFO transmit
+	input wire   data_tx_valid_15,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_15,            //  Start of Packet
+	input wire   data_tx_eop_15,            //  END of Packet
+	output wire  data_tx_ready_15,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_15,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_15,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_15,               //  Xoff Pause frame generate 
+	input wire   xon_gen_15,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_15,          //  Enable Sleep Mode
+	output wire  magic_wakeup_15,           //  Wake Up Request
+
+
+    // CHANNEL 16
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_16,               //  Carrier Sense
+	input wire   m_rx_col_16,               //  Collition
+	input wire   rx_clk_16,                 //  Receive Clock
+	input wire   tx_clk_16,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_16,          //  GMII Receive Data
+	input wire   gm_rx_dv_16,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_16,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_16,          //  GMII Transmit Data
+	output wire  gm_tx_en_16,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_16,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_16,           //  MII Receive Data
+	input wire   m_rx_en_16,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_16,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_16,           //  MII Transmit Data
+	output wire  m_tx_en_16,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_16,               //  MII Transmit Frame Error
+	output wire  tx_control_16,
+	output wire  [3:0] rgmii_out_16,
+	input wire   [3:0] rgmii_in_16,
+	input wire   rx_control_16,
+	output wire  eth_mode_16,               //  Ethernet Mode
+	output wire  ena_10_16,                 //  Enable 10Mbps Mode
+	input wire   set_1000_16,               //  Gigabit Mode Enable
+	input wire   set_10_16,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_16,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_16,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_16,            //  Start of Packet
+	output wire  data_rx_eop_16,            //  End of Packet
+	output wire  [7:0] data_rx_data_16,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_16,    //  Receive packet error
+	output wire  data_rx_valid_16,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_16,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_16,   //  Frame Type Indication
+	output wire  pkt_class_valid_16,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_16,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_16,     //  Data from FIFO transmit
+	input wire   data_tx_valid_16,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_16,            //  Start of Packet
+	input wire   data_tx_eop_16,            //  END of Packet
+	output wire  data_tx_ready_16,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_16,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_16,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_16,               //  Xoff Pause frame generate 
+	input wire   xon_gen_16,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_16,          //  Enable Sleep Mode
+	output wire  magic_wakeup_16,           //  Wake Up Request
+
+
+    // CHANNEL 17
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_17,               //  Carrier Sense
+	input wire   m_rx_col_17,               //  Collition
+	input wire   rx_clk_17,                 //  Receive Clock
+	input wire   tx_clk_17,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_17,          //  GMII Receive Data
+	input wire   gm_rx_dv_17,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_17,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_17,          //  GMII Transmit Data
+	output wire  gm_tx_en_17,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_17,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_17,           //  MII Receive Data
+	input wire   m_rx_en_17,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_17,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_17,           //  MII Transmit Data
+	output wire  m_tx_en_17,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_17,               //  MII Transmit Frame Error
+	output wire  tx_control_17,
+	output wire  [3:0] rgmii_out_17,
+	input wire   [3:0] rgmii_in_17,
+	input wire   rx_control_17,
+	output wire  eth_mode_17,               //  Ethernet Mode
+	output wire  ena_10_17,                 //  Enable 10Mbps Mode
+	input wire   set_1000_17,               //  Gigabit Mode Enable
+	input wire   set_10_17,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_17,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_17,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_17,            //  Start of Packet
+	output wire  data_rx_eop_17,            //  End of Packet
+	output wire  [7:0] data_rx_data_17,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_17,    //  Receive packet error
+	output wire  data_rx_valid_17,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_17,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_17,   //  Frame Type Indication
+	output wire  pkt_class_valid_17,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_17,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_17,     //  Data from FIFO transmit
+	input wire   data_tx_valid_17,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_17,            //  Start of Packet
+	input wire   data_tx_eop_17,            //  END of Packet
+	output wire  data_tx_ready_17,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_17,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_17,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_17,               //  Xoff Pause frame generate 
+	input wire   xon_gen_17,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_17,          //  Enable Sleep Mode
+	output wire  magic_wakeup_17,           //  Wake Up Request
+
+
+    // CHANNEL 18
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_18,               //  Carrier Sense
+	input wire   m_rx_col_18,               //  Collition
+	input wire   rx_clk_18,                 //  Receive Clock
+	input wire   tx_clk_18,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_18,          //  GMII Receive Data
+	input wire   gm_rx_dv_18,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_18,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_18,          //  GMII Transmit Data
+	output wire  gm_tx_en_18,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_18,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_18,           //  MII Receive Data
+	input wire   m_rx_en_18,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_18,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_18,           //  MII Transmit Data
+	output wire  m_tx_en_18,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_18,               //  MII Transmit Frame Error
+	output wire  tx_control_18,
+	output wire  [3:0] rgmii_out_18,
+	input wire   [3:0] rgmii_in_18,
+	input wire   rx_control_18,
+	output wire  eth_mode_18,               //  Ethernet Mode
+	output wire  ena_10_18,                 //  Enable 10Mbps Mode
+	input wire   set_1000_18,               //  Gigabit Mode Enable
+	input wire   set_10_18,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_18,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_18,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_18,            //  Start of Packet
+	output wire  data_rx_eop_18,            //  End of Packet
+	output wire  [7:0] data_rx_data_18,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_18,    //  Receive packet error
+	output wire  data_rx_valid_18,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_18,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_18,   //  Frame Type Indication
+	output wire  pkt_class_valid_18,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_18,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_18,     //  Data from FIFO transmit
+	input wire   data_tx_valid_18,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_18,            //  Start of Packet
+	input wire   data_tx_eop_18,            //  END of Packet
+	output wire  data_tx_ready_18,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_18,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_18,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_18,               //  Xoff Pause frame generate 
+	input wire   xon_gen_18,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_18,          //  Enable Sleep Mode
+	output wire  magic_wakeup_18,           //  Wake Up Request
+
+
+    // CHANNEL 19
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_19,               //  Carrier Sense
+	input wire   m_rx_col_19,               //  Collition
+	input wire   rx_clk_19,                 //  Receive Clock
+	input wire   tx_clk_19,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_19,          //  GMII Receive Data
+	input wire   gm_rx_dv_19,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_19,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_19,          //  GMII Transmit Data
+	output wire  gm_tx_en_19,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_19,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_19,           //  MII Receive Data
+	input wire   m_rx_en_19,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_19,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_19,           //  MII Transmit Data
+	output wire  m_tx_en_19,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_19,               //  MII Transmit Frame Error
+	output wire  tx_control_19,
+	output wire  [3:0] rgmii_out_19,
+	input wire   [3:0] rgmii_in_19,
+	input wire   rx_control_19,
+	output wire  eth_mode_19,               //  Ethernet Mode
+	output wire  ena_10_19,                 //  Enable 10Mbps Mode
+	input wire   set_1000_19,               //  Gigabit Mode Enable
+	input wire   set_10_19,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_19,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_19,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_19,            //  Start of Packet
+	output wire  data_rx_eop_19,            //  End of Packet
+	output wire  [7:0] data_rx_data_19,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_19,    //  Receive packet error
+	output wire  data_rx_valid_19,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_19,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_19,   //  Frame Type Indication
+	output wire  pkt_class_valid_19,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_19,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_19,     //  Data from FIFO transmit
+	input wire   data_tx_valid_19,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_19,            //  Start of Packet
+	input wire   data_tx_eop_19,            //  END of Packet
+	output wire  data_tx_ready_19,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_19,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_19,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_19,               //  Xoff Pause frame generate 
+	input wire   xon_gen_19,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_19,          //  Enable Sleep Mode
+	output wire  magic_wakeup_19,           //  Wake Up Request
+
+
+    // CHANNEL 20
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_20,               //  Carrier Sense
+	input wire   m_rx_col_20,               //  Collition
+	input wire   rx_clk_20,                 //  Receive Clock
+	input wire   tx_clk_20,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_20,          //  GMII Receive Data
+	input wire   gm_rx_dv_20,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_20,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_20,          //  GMII Transmit Data
+	output wire  gm_tx_en_20,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_20,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_20,           //  MII Receive Data
+	input wire   m_rx_en_20,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_20,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_20,           //  MII Transmit Data
+	output wire  m_tx_en_20,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_20,               //  MII Transmit Frame Error
+	output wire  tx_control_20,
+	output wire  [3:0] rgmii_out_20,
+	input wire   [3:0] rgmii_in_20,
+	input wire   rx_control_20,
+	output wire  eth_mode_20,               //  Ethernet Mode
+	output wire  ena_10_20,                 //  Enable 10Mbps Mode
+	input wire   set_1000_20,               //  Gigabit Mode Enable
+	input wire   set_10_20,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_20,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_20,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_20,            //  Start of Packet
+	output wire  data_rx_eop_20,            //  End of Packet
+	output wire  [7:0] data_rx_data_20,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_20,    //  Receive packet error
+	output wire  data_rx_valid_20,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_20,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_20,   //  Frame Type Indication
+	output wire  pkt_class_valid_20,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_20,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_20,     //  Data from FIFO transmit
+	input wire   data_tx_valid_20,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_20,            //  Start of Packet
+	input wire   data_tx_eop_20,            //  END of Packet
+	output wire  data_tx_ready_20,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_20,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_20,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_20,               //  Xoff Pause frame generate 
+	input wire   xon_gen_20,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_20,          //  Enable Sleep Mode
+	output wire  magic_wakeup_20,           //  Wake Up Request
+
+
+    // CHANNEL 21
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_21,               //  Carrier Sense
+	input wire   m_rx_col_21,               //  Collition
+	input wire   rx_clk_21,                 //  Receive Clock
+	input wire   tx_clk_21,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_21,          //  GMII Receive Data
+	input wire   gm_rx_dv_21,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_21,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_21,          //  GMII Transmit Data
+	output wire  gm_tx_en_21,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_21,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_21,           //  MII Receive Data
+	input wire   m_rx_en_21,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_21,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_21,           //  MII Transmit Data
+	output wire  m_tx_en_21,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_21,               //  MII Transmit Frame Error
+	output wire  tx_control_21,
+	output wire  [3:0] rgmii_out_21,
+	input wire   [3:0] rgmii_in_21,
+	input wire   rx_control_21,
+	output wire  eth_mode_21,               //  Ethernet Mode
+	output wire  ena_10_21,                 //  Enable 10Mbps Mode
+	input wire   set_1000_21,               //  Gigabit Mode Enable
+	input wire   set_10_21,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_21,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_21,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_21,            //  Start of Packet
+	output wire  data_rx_eop_21,            //  End of Packet
+	output wire  [7:0] data_rx_data_21,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_21,    //  Receive packet error
+	output wire  data_rx_valid_21,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_21,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_21,   //  Frame Type Indication
+	output wire  pkt_class_valid_21,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_21,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_21,     //  Data from FIFO transmit
+	input wire   data_tx_valid_21,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_21,            //  Start of Packet
+	input wire   data_tx_eop_21,            //  END of Packet
+	output wire  data_tx_ready_21,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_21,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_21,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_21,               //  Xoff Pause frame generate 
+	input wire   xon_gen_21,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_21,          //  Enable Sleep Mode
+	output wire  magic_wakeup_21,           //  Wake Up Request
+
+
+    // CHANNEL 22
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_22,               //  Carrier Sense
+	input wire   m_rx_col_22,               //  Collition
+	input wire   rx_clk_22,                 //  Receive Clock
+	input wire   tx_clk_22,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_22,          //  GMII Receive Data
+	input wire   gm_rx_dv_22,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_22,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_22,          //  GMII Transmit Data
+	output wire  gm_tx_en_22,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_22,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_22,           //  MII Receive Data
+	input wire   m_rx_en_22,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_22,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_22,           //  MII Transmit Data
+	output wire  m_tx_en_22,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_22,               //  MII Transmit Frame Error
+	output wire  tx_control_22,
+	output wire  [3:0] rgmii_out_22,
+	input wire   [3:0] rgmii_in_22,
+	input wire   rx_control_22,
+	output wire  eth_mode_22,               //  Ethernet Mode
+	output wire  ena_10_22,                 //  Enable 10Mbps Mode
+	input wire   set_1000_22,               //  Gigabit Mode Enable
+	input wire   set_10_22,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_22,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_22,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_22,            //  Start of Packet
+	output wire  data_rx_eop_22,            //  End of Packet
+	output wire  [7:0] data_rx_data_22,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_22,    //  Receive packet error
+	output wire  data_rx_valid_22,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_22,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_22,   //  Frame Type Indication
+	output wire  pkt_class_valid_22,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_22,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_22,     //  Data from FIFO transmit
+	input wire   data_tx_valid_22,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_22,            //  Start of Packet
+	input wire   data_tx_eop_22,            //  END of Packet
+	output wire  data_tx_ready_22,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_22,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_22,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_22,               //  Xoff Pause frame generate 
+	input wire   xon_gen_22,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_22,          //  Enable Sleep Mode
+	output wire  magic_wakeup_22,           //  Wake Up Request
+
+
+    // CHANNEL 23
+
+	// GMII / MII / RGMII SIGNALS 
+	input wire   m_rx_crs_23,               //  Carrier Sense
+	input wire   m_rx_col_23,               //  Collition
+	input wire   rx_clk_23,                 //  Receive Clock
+	input wire   tx_clk_23,                 //  Transmit Clock                
+	input wire   [7:0] gm_rx_d_23,          //  GMII Receive Data
+	input wire   gm_rx_dv_23,               //  GMII Receive Frame Enable  
+	input wire   gm_rx_err_23,              //  GMII Receive Frame Error  
+	output wire  [7:0] gm_tx_d_23,          //  GMII Transmit Data
+	output wire  gm_tx_en_23,               //  GMII Transmit Frame Enable  
+	output wire  gm_tx_err_23,              //  GMII Transmit Frame Error
+	input wire   [3:0] m_rx_d_23,           //  MII Receive Data
+	input wire   m_rx_en_23,                //  MII Receive Frame Enable  
+	input wire   m_rx_err_23,               //  MII Receive Drame Error      
+	output wire  [3:0] m_tx_d_23,           //  MII Transmit Data
+	output wire  m_tx_en_23,                //  MII Transmit Frame Enable  
+	output wire  m_tx_err_23,               //  MII Transmit Frame Error
+	output wire  tx_control_23,
+	output wire  [3:0] rgmii_out_23,
+	input wire   [3:0] rgmii_in_23,
+	input wire   rx_control_23,
+	output wire  eth_mode_23,               //  Ethernet Mode
+	output wire  ena_10_23,                 //  Enable 10Mbps Mode
+	input wire   set_1000_23,               //  Gigabit Mode Enable
+	input wire   set_10_23,                 //  10Mbps Mode Enable
+	
+	// AV-ST TX & RX
+	output wire  mac_rx_clk_23,             //  Av-ST Receive Clock
+	output wire  mac_tx_clk_23,             //  Av-ST Transmit Clock
+	output wire  data_rx_sop_23,            //  Start of Packet
+	output wire  data_rx_eop_23,            //  End of Packet
+	output wire  [7:0] data_rx_data_23,     //  Data from FIFO
+	output wire  [4:0] data_rx_error_23,    //  Receive packet error
+	output wire  data_rx_valid_23,          //  Data Receive FIFO Valid
+	input wire   data_rx_ready_23,          //  Data Receive Ready
+	output wire  [4:0] pkt_class_data_23,   //  Frame Type Indication
+	output wire  pkt_class_valid_23,        //  Frame Type Indication Valid 
+	input wire   data_tx_error_23,          //  STATUS FIFO (Tx frame Error from Apps)
+	input wire   [7:0] data_tx_data_23,     //  Data from FIFO transmit
+	input wire   data_tx_valid_23,          //  Data FIFO transmit Empty
+	input wire   data_tx_sop_23,            //  Start of Packet
+	input wire   data_tx_eop_23,            //  END of Packet
+	output wire  data_tx_ready_23,          //  Data FIFO transmit Read Enable 
+	
+	// STAND_ALONE CONDUITS 
+	output wire  tx_ff_uflow_23,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+	input wire   tx_crc_fwd_23,             //  Forward Current Frame with CRC from Application
+	input wire   xoff_gen_23,               //  Xoff Pause frame generate 
+	input wire   xon_gen_23,                //  Xon Pause frame generate 
+	input wire   magic_sleep_n_23,          //  Enable Sleep Mode
+	output wire  magic_wakeup_23);          //  Wake Up Request
+
+
+
+
+	altera_tse_top_multi_mac U_TOP_MULTI_MAC(
+	
+	        .reset(reset),                            //INPUT  : ASYNCHRONOUS RESET - clk DOMAIN
+	        .clk(clk),                                //INPUT  : CLOCK
+	        .read(read),                              //INPUT  : REGISTER READ TRANSACTION
+	        .write(write),                            //INPUT  : REGISTER WRITE TRANSACTION
+	        .address(address),                        //INPUT  : REGISTER ADDRESS
+	        .writedata(writedata),                    //INPUT  : REGISTER WRITE DATA
+	        .readdata(readdata),                      //OUTPUT : REGISTER READ DATA
+	        .waitrequest(waitrequest),                //OUTPUT : TRANSACTION BUSY, ACTIVE LOW
+	        .mdc(mdc),                                //OUTPUT : MDIO Clock 
+	        .mdio_out(mdio_out),                      //OUTPUT : Outgoing MDIO DATA
+	        .mdio_in(mdio_in),                        //INPUT  : Incoming MDIO DATA       
+	        .mdio_oen(mdio_oen),                      //OUTPUT : MDIO Output Enable
+	        .rx_clk(rx_clk),                          //INPUT  : MAC RX CLK
+	        .tx_clk(tx_clk),                          //INPUT  : MAC TX CLK
+	        .mac_rx_clk(mac_rx_clk),                  //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk(mac_tx_clk),                  //OUTPUT : Av-ST Tx Clock
+            .rx_afull_clk(rx_afull_clk),              //INPUT  : AFull Status Clock
+	        .rx_afull_data(rx_afull_data),            //INPUT  : AFull Status Data
+	        .rx_afull_valid(rx_afull_valid),          //INPUT  : AFull Status Valid
+	        .rx_afull_channel(rx_afull_channel),      //INPUT  : AFull Status Channel
+            
+             // Channel 0 
+	        
+	        .rx_clk_0(rx_clk_0),                      //INPUT  : MAC RX CLK
+	        .tx_clk_0(tx_clk_0),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_0(gm_rx_d_0),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_0(gm_rx_dv_0),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_0(gm_rx_err_0),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_0(gm_tx_d_0),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_0(gm_tx_en_0),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_0(gm_tx_err_0),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_0(m_rx_crs_0),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_0(m_rx_col_0),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_0(m_rx_d_0),                      //INPUT  : MII RX DATA
+	        .m_rx_en_0(m_rx_en_0),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_0(m_rx_err_0),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_0(m_tx_d_0),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_0(m_tx_en_0),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_0(m_tx_err_0),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_0(rx_control_0),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_0(rgmii_in_0),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_0(tx_control_0),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_0(rgmii_out_0),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_0(eth_mode_0),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_0(ena_10_0),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_0(set_10_0),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_0(set_1000_0),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_0(mac_rx_clk_0),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_0(mac_tx_clk_0),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_0(data_rx_sop_0),            //OUTPUT : Start of Packet
+	        .data_rx_eop_0(data_rx_eop_0),            //OUTPUT : End of Packet
+	        .data_rx_data_0(data_rx_data_0),          //OUTPUT : Data from FIFO
+	        .data_rx_error_0(data_rx_error_0),        //OUTPUT : Receive packet error
+	        .data_rx_valid_0(data_rx_valid_0),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_0(data_rx_ready_0),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_0(pkt_class_data_0),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_0(pkt_class_valid_0),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_0(data_tx_error_0),        //INPUT  : Status
+	        .data_tx_data_0(data_tx_data_0),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_0(data_tx_valid_0),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_0(data_tx_sop_0),            //INPUT  : Start of Packet
+	        .data_tx_eop_0(data_tx_eop_0),            //INPUT  : End of Packet
+	        .data_tx_ready_0(data_tx_ready_0),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_0(tx_ff_uflow_0),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_0(tx_crc_fwd_0),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_0(xoff_gen_0),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_0(xon_gen_0),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_0(magic_sleep_n_0),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_0(magic_wakeup_0),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 1 
+	        
+	        .rx_clk_1(rx_clk_1),                      //INPUT  : MAC RX CLK
+	        .tx_clk_1(tx_clk_1),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_1(gm_rx_d_1),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_1(gm_rx_dv_1),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_1(gm_rx_err_1),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_1(gm_tx_d_1),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_1(gm_tx_en_1),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_1(gm_tx_err_1),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_1(m_rx_crs_1),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_1(m_rx_col_1),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_1(m_rx_d_1),                      //INPUT  : MII RX DATA
+	        .m_rx_en_1(m_rx_en_1),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_1(m_rx_err_1),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_1(m_tx_d_1),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_1(m_tx_en_1),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_1(m_tx_err_1),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_1(rx_control_1),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_1(rgmii_in_1),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_1(tx_control_1),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_1(rgmii_out_1),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_1(eth_mode_1),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_1(ena_10_1),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_1(set_10_1),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_1(set_1000_1),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_1(mac_rx_clk_1),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_1(mac_tx_clk_1),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_1(data_rx_sop_1),            //OUTPUT : Start of Packet
+	        .data_rx_eop_1(data_rx_eop_1),            //OUTPUT : End of Packet
+	        .data_rx_data_1(data_rx_data_1),          //OUTPUT : Data from FIFO
+	        .data_rx_error_1(data_rx_error_1),        //OUTPUT : Receive packet error
+	        .data_rx_valid_1(data_rx_valid_1),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_1(data_rx_ready_1),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_1(pkt_class_data_1),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_1(pkt_class_valid_1),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_1(data_tx_error_1),        //INPUT  : Status
+	        .data_tx_data_1(data_tx_data_1),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_1(data_tx_valid_1),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_1(data_tx_sop_1),            //INPUT  : Start of Packet
+	        .data_tx_eop_1(data_tx_eop_1),            //INPUT  : End of Packet
+	        .data_tx_ready_1(data_tx_ready_1),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_1(tx_ff_uflow_1),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_1(tx_crc_fwd_1),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_1(xoff_gen_1),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_1(xon_gen_1),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_1(magic_sleep_n_1),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_1(magic_wakeup_1),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 2 
+	        
+	        .rx_clk_2(rx_clk_2),                      //INPUT  : MAC RX CLK
+	        .tx_clk_2(tx_clk_2),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_2(gm_rx_d_2),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_2(gm_rx_dv_2),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_2(gm_rx_err_2),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_2(gm_tx_d_2),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_2(gm_tx_en_2),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_2(gm_tx_err_2),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_2(m_rx_crs_2),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_2(m_rx_col_2),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_2(m_rx_d_2),                      //INPUT  : MII RX DATA
+	        .m_rx_en_2(m_rx_en_2),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_2(m_rx_err_2),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_2(m_tx_d_2),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_2(m_tx_en_2),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_2(m_tx_err_2),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_2(rx_control_2),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_2(rgmii_in_2),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_2(tx_control_2),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_2(rgmii_out_2),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_2(eth_mode_2),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_2(ena_10_2),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_2(set_10_2),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_2(set_1000_2),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_2(mac_rx_clk_2),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_2(mac_tx_clk_2),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_2(data_rx_sop_2),            //OUTPUT : Start of Packet
+	        .data_rx_eop_2(data_rx_eop_2),            //OUTPUT : End of Packet
+	        .data_rx_data_2(data_rx_data_2),          //OUTPUT : Data from FIFO
+	        .data_rx_error_2(data_rx_error_2),        //OUTPUT : Receive packet error
+	        .data_rx_valid_2(data_rx_valid_2),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_2(data_rx_ready_2),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_2(pkt_class_data_2),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_2(pkt_class_valid_2),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_2(data_tx_error_2),        //INPUT  : Status
+	        .data_tx_data_2(data_tx_data_2),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_2(data_tx_valid_2),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_2(data_tx_sop_2),            //INPUT  : Start of Packet
+	        .data_tx_eop_2(data_tx_eop_2),            //INPUT  : End of Packet
+	        .data_tx_ready_2(data_tx_ready_2),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_2(tx_ff_uflow_2),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_2(tx_crc_fwd_2),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_2(xoff_gen_2),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_2(xon_gen_2),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_2(magic_sleep_n_2),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_2(magic_wakeup_2),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 3 
+	        
+	        .rx_clk_3(rx_clk_3),                      //INPUT  : MAC RX CLK
+	        .tx_clk_3(tx_clk_3),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_3(gm_rx_d_3),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_3(gm_rx_dv_3),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_3(gm_rx_err_3),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_3(gm_tx_d_3),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_3(gm_tx_en_3),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_3(gm_tx_err_3),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_3(m_rx_crs_3),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_3(m_rx_col_3),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_3(m_rx_d_3),                      //INPUT  : MII RX DATA
+	        .m_rx_en_3(m_rx_en_3),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_3(m_rx_err_3),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_3(m_tx_d_3),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_3(m_tx_en_3),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_3(m_tx_err_3),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_3(rx_control_3),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_3(rgmii_in_3),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_3(tx_control_3),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_3(rgmii_out_3),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_3(eth_mode_3),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_3(ena_10_3),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_3(set_10_3),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_3(set_1000_3),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_3(mac_rx_clk_3),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_3(mac_tx_clk_3),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_3(data_rx_sop_3),            //OUTPUT : Start of Packet
+	        .data_rx_eop_3(data_rx_eop_3),            //OUTPUT : End of Packet
+	        .data_rx_data_3(data_rx_data_3),          //OUTPUT : Data from FIFO
+	        .data_rx_error_3(data_rx_error_3),        //OUTPUT : Receive packet error
+	        .data_rx_valid_3(data_rx_valid_3),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_3(data_rx_ready_3),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_3(pkt_class_data_3),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_3(pkt_class_valid_3),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_3(data_tx_error_3),        //INPUT  : Status
+	        .data_tx_data_3(data_tx_data_3),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_3(data_tx_valid_3),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_3(data_tx_sop_3),            //INPUT  : Start of Packet
+	        .data_tx_eop_3(data_tx_eop_3),            //INPUT  : End of Packet
+	        .data_tx_ready_3(data_tx_ready_3),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_3(tx_ff_uflow_3),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_3(tx_crc_fwd_3),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_3(xoff_gen_3),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_3(xon_gen_3),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_3(magic_sleep_n_3),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_3(magic_wakeup_3),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 4 
+	        
+	        .rx_clk_4(rx_clk_4),                      //INPUT  : MAC RX CLK
+	        .tx_clk_4(tx_clk_4),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_4(gm_rx_d_4),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_4(gm_rx_dv_4),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_4(gm_rx_err_4),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_4(gm_tx_d_4),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_4(gm_tx_en_4),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_4(gm_tx_err_4),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_4(m_rx_crs_4),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_4(m_rx_col_4),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_4(m_rx_d_4),                      //INPUT  : MII RX DATA
+	        .m_rx_en_4(m_rx_en_4),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_4(m_rx_err_4),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_4(m_tx_d_4),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_4(m_tx_en_4),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_4(m_tx_err_4),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_4(rx_control_4),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_4(rgmii_in_4),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_4(tx_control_4),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_4(rgmii_out_4),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_4(eth_mode_4),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_4(ena_10_4),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_4(set_10_4),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_4(set_1000_4),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_4(mac_rx_clk_4),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_4(mac_tx_clk_4),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_4(data_rx_sop_4),            //OUTPUT : Start of Packet
+	        .data_rx_eop_4(data_rx_eop_4),            //OUTPUT : End of Packet
+	        .data_rx_data_4(data_rx_data_4),          //OUTPUT : Data from FIFO
+	        .data_rx_error_4(data_rx_error_4),        //OUTPUT : Receive packet error
+	        .data_rx_valid_4(data_rx_valid_4),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_4(data_rx_ready_4),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_4(pkt_class_data_4),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_4(pkt_class_valid_4),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_4(data_tx_error_4),        //INPUT  : Status
+	        .data_tx_data_4(data_tx_data_4),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_4(data_tx_valid_4),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_4(data_tx_sop_4),            //INPUT  : Start of Packet
+	        .data_tx_eop_4(data_tx_eop_4),            //INPUT  : End of Packet
+	        .data_tx_ready_4(data_tx_ready_4),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_4(tx_ff_uflow_4),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_4(tx_crc_fwd_4),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_4(xoff_gen_4),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_4(xon_gen_4),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_4(magic_sleep_n_4),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_4(magic_wakeup_4),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 5 
+	        
+	        .rx_clk_5(rx_clk_5),                      //INPUT  : MAC RX CLK
+	        .tx_clk_5(tx_clk_5),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_5(gm_rx_d_5),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_5(gm_rx_dv_5),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_5(gm_rx_err_5),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_5(gm_tx_d_5),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_5(gm_tx_en_5),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_5(gm_tx_err_5),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_5(m_rx_crs_5),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_5(m_rx_col_5),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_5(m_rx_d_5),                      //INPUT  : MII RX DATA
+	        .m_rx_en_5(m_rx_en_5),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_5(m_rx_err_5),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_5(m_tx_d_5),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_5(m_tx_en_5),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_5(m_tx_err_5),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_5(rx_control_5),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_5(rgmii_in_5),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_5(tx_control_5),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_5(rgmii_out_5),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_5(eth_mode_5),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_5(ena_10_5),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_5(set_10_5),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_5(set_1000_5),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_5(mac_rx_clk_5),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_5(mac_tx_clk_5),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_5(data_rx_sop_5),            //OUTPUT : Start of Packet
+	        .data_rx_eop_5(data_rx_eop_5),            //OUTPUT : End of Packet
+	        .data_rx_data_5(data_rx_data_5),          //OUTPUT : Data from FIFO
+	        .data_rx_error_5(data_rx_error_5),        //OUTPUT : Receive packet error
+	        .data_rx_valid_5(data_rx_valid_5),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_5(data_rx_ready_5),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_5(pkt_class_data_5),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_5(pkt_class_valid_5),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_5(data_tx_error_5),        //INPUT  : Status
+	        .data_tx_data_5(data_tx_data_5),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_5(data_tx_valid_5),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_5(data_tx_sop_5),            //INPUT  : Start of Packet
+	        .data_tx_eop_5(data_tx_eop_5),            //INPUT  : End of Packet
+	        .data_tx_ready_5(data_tx_ready_5),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_5(tx_ff_uflow_5),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_5(tx_crc_fwd_5),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_5(xoff_gen_5),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_5(xon_gen_5),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_5(magic_sleep_n_5),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_5(magic_wakeup_5),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 6 
+	        
+	        .rx_clk_6(rx_clk_6),                      //INPUT  : MAC RX CLK
+	        .tx_clk_6(tx_clk_6),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_6(gm_rx_d_6),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_6(gm_rx_dv_6),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_6(gm_rx_err_6),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_6(gm_tx_d_6),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_6(gm_tx_en_6),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_6(gm_tx_err_6),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_6(m_rx_crs_6),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_6(m_rx_col_6),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_6(m_rx_d_6),                      //INPUT  : MII RX DATA
+	        .m_rx_en_6(m_rx_en_6),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_6(m_rx_err_6),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_6(m_tx_d_6),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_6(m_tx_en_6),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_6(m_tx_err_6),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_6(rx_control_6),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_6(rgmii_in_6),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_6(tx_control_6),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_6(rgmii_out_6),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_6(eth_mode_6),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_6(ena_10_6),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_6(set_10_6),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_6(set_1000_6),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_6(mac_rx_clk_6),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_6(mac_tx_clk_6),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_6(data_rx_sop_6),            //OUTPUT : Start of Packet
+	        .data_rx_eop_6(data_rx_eop_6),            //OUTPUT : End of Packet
+	        .data_rx_data_6(data_rx_data_6),          //OUTPUT : Data from FIFO
+	        .data_rx_error_6(data_rx_error_6),        //OUTPUT : Receive packet error
+	        .data_rx_valid_6(data_rx_valid_6),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_6(data_rx_ready_6),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_6(pkt_class_data_6),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_6(pkt_class_valid_6),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_6(data_tx_error_6),        //INPUT  : Status
+	        .data_tx_data_6(data_tx_data_6),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_6(data_tx_valid_6),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_6(data_tx_sop_6),            //INPUT  : Start of Packet
+	        .data_tx_eop_6(data_tx_eop_6),            //INPUT  : End of Packet
+	        .data_tx_ready_6(data_tx_ready_6),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_6(tx_ff_uflow_6),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_6(tx_crc_fwd_6),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_6(xoff_gen_6),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_6(xon_gen_6),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_6(magic_sleep_n_6),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_6(magic_wakeup_6),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 7 
+	        
+	        .rx_clk_7(rx_clk_7),                      //INPUT  : MAC RX CLK
+	        .tx_clk_7(tx_clk_7),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_7(gm_rx_d_7),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_7(gm_rx_dv_7),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_7(gm_rx_err_7),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_7(gm_tx_d_7),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_7(gm_tx_en_7),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_7(gm_tx_err_7),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_7(m_rx_crs_7),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_7(m_rx_col_7),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_7(m_rx_d_7),                      //INPUT  : MII RX DATA
+	        .m_rx_en_7(m_rx_en_7),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_7(m_rx_err_7),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_7(m_tx_d_7),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_7(m_tx_en_7),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_7(m_tx_err_7),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_7(rx_control_7),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_7(rgmii_in_7),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_7(tx_control_7),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_7(rgmii_out_7),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_7(eth_mode_7),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_7(ena_10_7),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_7(set_10_7),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_7(set_1000_7),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_7(mac_rx_clk_7),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_7(mac_tx_clk_7),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_7(data_rx_sop_7),            //OUTPUT : Start of Packet
+	        .data_rx_eop_7(data_rx_eop_7),            //OUTPUT : End of Packet
+	        .data_rx_data_7(data_rx_data_7),          //OUTPUT : Data from FIFO
+	        .data_rx_error_7(data_rx_error_7),        //OUTPUT : Receive packet error
+	        .data_rx_valid_7(data_rx_valid_7),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_7(data_rx_ready_7),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_7(pkt_class_data_7),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_7(pkt_class_valid_7),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_7(data_tx_error_7),        //INPUT  : Status
+	        .data_tx_data_7(data_tx_data_7),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_7(data_tx_valid_7),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_7(data_tx_sop_7),            //INPUT  : Start of Packet
+	        .data_tx_eop_7(data_tx_eop_7),            //INPUT  : End of Packet
+	        .data_tx_ready_7(data_tx_ready_7),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_7(tx_ff_uflow_7),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_7(tx_crc_fwd_7),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_7(xoff_gen_7),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_7(xon_gen_7),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_7(magic_sleep_n_7),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_7(magic_wakeup_7),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 8 
+	        
+	        .rx_clk_8(rx_clk_8),                      //INPUT  : MAC RX CLK
+	        .tx_clk_8(tx_clk_8),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_8(gm_rx_d_8),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_8(gm_rx_dv_8),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_8(gm_rx_err_8),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_8(gm_tx_d_8),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_8(gm_tx_en_8),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_8(gm_tx_err_8),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_8(m_rx_crs_8),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_8(m_rx_col_8),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_8(m_rx_d_8),                      //INPUT  : MII RX DATA
+	        .m_rx_en_8(m_rx_en_8),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_8(m_rx_err_8),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_8(m_tx_d_8),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_8(m_tx_en_8),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_8(m_tx_err_8),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_8(rx_control_8),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_8(rgmii_in_8),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_8(tx_control_8),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_8(rgmii_out_8),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_8(eth_mode_8),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_8(ena_10_8),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_8(set_10_8),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_8(set_1000_8),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_8(mac_rx_clk_8),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_8(mac_tx_clk_8),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_8(data_rx_sop_8),            //OUTPUT : Start of Packet
+	        .data_rx_eop_8(data_rx_eop_8),            //OUTPUT : End of Packet
+	        .data_rx_data_8(data_rx_data_8),          //OUTPUT : Data from FIFO
+	        .data_rx_error_8(data_rx_error_8),        //OUTPUT : Receive packet error
+	        .data_rx_valid_8(data_rx_valid_8),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_8(data_rx_ready_8),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_8(pkt_class_data_8),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_8(pkt_class_valid_8),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_8(data_tx_error_8),        //INPUT  : Status
+	        .data_tx_data_8(data_tx_data_8),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_8(data_tx_valid_8),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_8(data_tx_sop_8),            //INPUT  : Start of Packet
+	        .data_tx_eop_8(data_tx_eop_8),            //INPUT  : End of Packet
+	        .data_tx_ready_8(data_tx_ready_8),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_8(tx_ff_uflow_8),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_8(tx_crc_fwd_8),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_8(xoff_gen_8),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_8(xon_gen_8),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_8(magic_sleep_n_8),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_8(magic_wakeup_8),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 9 
+	        
+	        .rx_clk_9(rx_clk_9),                      //INPUT  : MAC RX CLK
+	        .tx_clk_9(tx_clk_9),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_9(gm_rx_d_9),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_9(gm_rx_dv_9),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_9(gm_rx_err_9),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_9(gm_tx_d_9),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_9(gm_tx_en_9),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_9(gm_tx_err_9),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_9(m_rx_crs_9),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_9(m_rx_col_9),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_9(m_rx_d_9),                      //INPUT  : MII RX DATA
+	        .m_rx_en_9(m_rx_en_9),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_9(m_rx_err_9),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_9(m_tx_d_9),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_9(m_tx_en_9),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_9(m_tx_err_9),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_9(rx_control_9),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_9(rgmii_in_9),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_9(tx_control_9),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_9(rgmii_out_9),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_9(eth_mode_9),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_9(ena_10_9),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_9(set_10_9),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_9(set_1000_9),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_9(mac_rx_clk_9),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_9(mac_tx_clk_9),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_9(data_rx_sop_9),            //OUTPUT : Start of Packet
+	        .data_rx_eop_9(data_rx_eop_9),            //OUTPUT : End of Packet
+	        .data_rx_data_9(data_rx_data_9),          //OUTPUT : Data from FIFO
+	        .data_rx_error_9(data_rx_error_9),        //OUTPUT : Receive packet error
+	        .data_rx_valid_9(data_rx_valid_9),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_9(data_rx_ready_9),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_9(pkt_class_data_9),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_9(pkt_class_valid_9),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_9(data_tx_error_9),        //INPUT  : Status
+	        .data_tx_data_9(data_tx_data_9),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_9(data_tx_valid_9),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_9(data_tx_sop_9),            //INPUT  : Start of Packet
+	        .data_tx_eop_9(data_tx_eop_9),            //INPUT  : End of Packet
+	        .data_tx_ready_9(data_tx_ready_9),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_9(tx_ff_uflow_9),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_9(tx_crc_fwd_9),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_9(xoff_gen_9),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_9(xon_gen_9),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_9(magic_sleep_n_9),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_9(magic_wakeup_9),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 10 
+	        
+	        .rx_clk_10(rx_clk_10),                      //INPUT  : MAC RX CLK
+	        .tx_clk_10(tx_clk_10),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_10(gm_rx_d_10),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_10(gm_rx_dv_10),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_10(gm_rx_err_10),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_10(gm_tx_d_10),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_10(gm_tx_en_10),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_10(gm_tx_err_10),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_10(m_rx_crs_10),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_10(m_rx_col_10),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_10(m_rx_d_10),                      //INPUT  : MII RX DATA
+	        .m_rx_en_10(m_rx_en_10),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_10(m_rx_err_10),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_10(m_tx_d_10),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_10(m_tx_en_10),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_10(m_tx_err_10),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_10(rx_control_10),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_10(rgmii_in_10),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_10(tx_control_10),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_10(rgmii_out_10),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_10(eth_mode_10),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_10(ena_10_10),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_10(set_10_10),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_10(set_1000_10),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_10(mac_rx_clk_10),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_10(mac_tx_clk_10),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_10(data_rx_sop_10),            //OUTPUT : Start of Packet
+	        .data_rx_eop_10(data_rx_eop_10),            //OUTPUT : End of Packet
+	        .data_rx_data_10(data_rx_data_10),          //OUTPUT : Data from FIFO
+	        .data_rx_error_10(data_rx_error_10),        //OUTPUT : Receive packet error
+	        .data_rx_valid_10(data_rx_valid_10),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_10(data_rx_ready_10),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_10(pkt_class_data_10),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_10(pkt_class_valid_10),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_10(data_tx_error_10),        //INPUT  : Status
+	        .data_tx_data_10(data_tx_data_10),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_10(data_tx_valid_10),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_10(data_tx_sop_10),            //INPUT  : Start of Packet
+	        .data_tx_eop_10(data_tx_eop_10),            //INPUT  : End of Packet
+	        .data_tx_ready_10(data_tx_ready_10),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_10(tx_ff_uflow_10),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_10(tx_crc_fwd_10),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_10(xoff_gen_10),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_10(xon_gen_10),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_10(magic_sleep_n_10),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_10(magic_wakeup_10),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 11 
+	        
+	        .rx_clk_11(rx_clk_11),                      //INPUT  : MAC RX CLK
+	        .tx_clk_11(tx_clk_11),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_11(gm_rx_d_11),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_11(gm_rx_dv_11),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_11(gm_rx_err_11),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_11(gm_tx_d_11),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_11(gm_tx_en_11),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_11(gm_tx_err_11),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_11(m_rx_crs_11),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_11(m_rx_col_11),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_11(m_rx_d_11),                      //INPUT  : MII RX DATA
+	        .m_rx_en_11(m_rx_en_11),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_11(m_rx_err_11),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_11(m_tx_d_11),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_11(m_tx_en_11),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_11(m_tx_err_11),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_11(rx_control_11),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_11(rgmii_in_11),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_11(tx_control_11),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_11(rgmii_out_11),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_11(eth_mode_11),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_11(ena_10_11),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_11(set_10_11),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_11(set_1000_11),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_11(mac_rx_clk_11),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_11(mac_tx_clk_11),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_11(data_rx_sop_11),            //OUTPUT : Start of Packet
+	        .data_rx_eop_11(data_rx_eop_11),            //OUTPUT : End of Packet
+	        .data_rx_data_11(data_rx_data_11),          //OUTPUT : Data from FIFO
+	        .data_rx_error_11(data_rx_error_11),        //OUTPUT : Receive packet error
+	        .data_rx_valid_11(data_rx_valid_11),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_11(data_rx_ready_11),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_11(pkt_class_data_11),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_11(pkt_class_valid_11),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_11(data_tx_error_11),        //INPUT  : Status
+	        .data_tx_data_11(data_tx_data_11),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_11(data_tx_valid_11),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_11(data_tx_sop_11),            //INPUT  : Start of Packet
+	        .data_tx_eop_11(data_tx_eop_11),            //INPUT  : End of Packet
+	        .data_tx_ready_11(data_tx_ready_11),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_11(tx_ff_uflow_11),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_11(tx_crc_fwd_11),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_11(xoff_gen_11),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_11(xon_gen_11),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_11(magic_sleep_n_11),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_11(magic_wakeup_11),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 12 
+	        
+	        .rx_clk_12(rx_clk_12),                      //INPUT  : MAC RX CLK
+	        .tx_clk_12(tx_clk_12),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_12(gm_rx_d_12),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_12(gm_rx_dv_12),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_12(gm_rx_err_12),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_12(gm_tx_d_12),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_12(gm_tx_en_12),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_12(gm_tx_err_12),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_12(m_rx_crs_12),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_12(m_rx_col_12),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_12(m_rx_d_12),                      //INPUT  : MII RX DATA
+	        .m_rx_en_12(m_rx_en_12),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_12(m_rx_err_12),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_12(m_tx_d_12),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_12(m_tx_en_12),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_12(m_tx_err_12),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_12(rx_control_12),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_12(rgmii_in_12),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_12(tx_control_12),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_12(rgmii_out_12),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_12(eth_mode_12),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_12(ena_10_12),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_12(set_10_12),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_12(set_1000_12),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_12(mac_rx_clk_12),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_12(mac_tx_clk_12),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_12(data_rx_sop_12),            //OUTPUT : Start of Packet
+	        .data_rx_eop_12(data_rx_eop_12),            //OUTPUT : End of Packet
+	        .data_rx_data_12(data_rx_data_12),          //OUTPUT : Data from FIFO
+	        .data_rx_error_12(data_rx_error_12),        //OUTPUT : Receive packet error
+	        .data_rx_valid_12(data_rx_valid_12),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_12(data_rx_ready_12),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_12(pkt_class_data_12),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_12(pkt_class_valid_12),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_12(data_tx_error_12),        //INPUT  : Status
+	        .data_tx_data_12(data_tx_data_12),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_12(data_tx_valid_12),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_12(data_tx_sop_12),            //INPUT  : Start of Packet
+	        .data_tx_eop_12(data_tx_eop_12),            //INPUT  : End of Packet
+	        .data_tx_ready_12(data_tx_ready_12),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_12(tx_ff_uflow_12),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_12(tx_crc_fwd_12),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_12(xoff_gen_12),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_12(xon_gen_12),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_12(magic_sleep_n_12),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_12(magic_wakeup_12),          //OUTPUT : MAC WAKE-UP INDICATION	        
+
+             // Channel 13 
+	        
+	        .rx_clk_13(rx_clk_13),                      //INPUT  : MAC RX CLK
+	        .tx_clk_13(tx_clk_13),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_13(gm_rx_d_13),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_13(gm_rx_dv_13),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_13(gm_rx_err_13),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_13(gm_tx_d_13),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_13(gm_tx_en_13),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_13(gm_tx_err_13),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_13(m_rx_crs_13),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_13(m_rx_col_13),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_13(m_rx_d_13),                      //INPUT  : MII RX DATA
+	        .m_rx_en_13(m_rx_en_13),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_13(m_rx_err_13),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_13(m_tx_d_13),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_13(m_tx_en_13),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_13(m_tx_err_13),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_13(rx_control_13),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_13(rgmii_in_13),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_13(tx_control_13),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_13(rgmii_out_13),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_13(eth_mode_13),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_13(ena_10_13),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_13(set_10_13),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_13(set_1000_13),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_13(mac_rx_clk_13),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_13(mac_tx_clk_13),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_13(data_rx_sop_13),            //OUTPUT : Start of Packet
+	        .data_rx_eop_13(data_rx_eop_13),            //OUTPUT : End of Packet
+	        .data_rx_data_13(data_rx_data_13),          //OUTPUT : Data from FIFO
+	        .data_rx_error_13(data_rx_error_13),        //OUTPUT : Receive packet error
+	        .data_rx_valid_13(data_rx_valid_13),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_13(data_rx_ready_13),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_13(pkt_class_data_13),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_13(pkt_class_valid_13),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_13(data_tx_error_13),        //INPUT  : Status
+	        .data_tx_data_13(data_tx_data_13),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_13(data_tx_valid_13),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_13(data_tx_sop_13),            //INPUT  : Start of Packet
+	        .data_tx_eop_13(data_tx_eop_13),            //INPUT  : End of Packet
+	        .data_tx_ready_13(data_tx_ready_13),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_13(tx_ff_uflow_13),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_13(tx_crc_fwd_13),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_13(xoff_gen_13),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_13(xon_gen_13),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_13(magic_sleep_n_13),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_13(magic_wakeup_13),          //OUTPUT : MAC WAKE-UP INDICATION	        
+
+             // Channel 14 
+	        
+	        .rx_clk_14(rx_clk_14),                      //INPUT  : MAC RX CLK
+	        .tx_clk_14(tx_clk_14),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_14(gm_rx_d_14),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_14(gm_rx_dv_14),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_14(gm_rx_err_14),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_14(gm_tx_d_14),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_14(gm_tx_en_14),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_14(gm_tx_err_14),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_14(m_rx_crs_14),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_14(m_rx_col_14),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_14(m_rx_d_14),                      //INPUT  : MII RX DATA
+	        .m_rx_en_14(m_rx_en_14),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_14(m_rx_err_14),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_14(m_tx_d_14),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_14(m_tx_en_14),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_14(m_tx_err_14),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_14(rx_control_14),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_14(rgmii_in_14),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_14(tx_control_14),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_14(rgmii_out_14),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_14(eth_mode_14),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_14(ena_10_14),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_14(set_10_14),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_14(set_1000_14),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_14(mac_rx_clk_14),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_14(mac_tx_clk_14),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_14(data_rx_sop_14),            //OUTPUT : Start of Packet
+	        .data_rx_eop_14(data_rx_eop_14),            //OUTPUT : End of Packet
+	        .data_rx_data_14(data_rx_data_14),          //OUTPUT : Data from FIFO
+	        .data_rx_error_14(data_rx_error_14),        //OUTPUT : Receive packet error
+	        .data_rx_valid_14(data_rx_valid_14),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_14(data_rx_ready_14),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_14(pkt_class_data_14),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_14(pkt_class_valid_14),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_14(data_tx_error_14),        //INPUT  : Status
+	        .data_tx_data_14(data_tx_data_14),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_14(data_tx_valid_14),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_14(data_tx_sop_14),            //INPUT  : Start of Packet
+	        .data_tx_eop_14(data_tx_eop_14),            //INPUT  : End of Packet
+	        .data_tx_ready_14(data_tx_ready_14),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_14(tx_ff_uflow_14),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_14(tx_crc_fwd_14),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_14(xoff_gen_14),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_14(xon_gen_14),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_14(magic_sleep_n_14),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_14(magic_wakeup_14),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 15 
+	        
+	        .rx_clk_15(rx_clk_15),                      //INPUT  : MAC RX CLK
+	        .tx_clk_15(tx_clk_15),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_15(gm_rx_d_15),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_15(gm_rx_dv_15),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_15(gm_rx_err_15),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_15(gm_tx_d_15),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_15(gm_tx_en_15),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_15(gm_tx_err_15),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_15(m_rx_crs_15),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_15(m_rx_col_15),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_15(m_rx_d_15),                      //INPUT  : MII RX DATA
+	        .m_rx_en_15(m_rx_en_15),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_15(m_rx_err_15),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_15(m_tx_d_15),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_15(m_tx_en_15),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_15(m_tx_err_15),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_15(rx_control_15),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_15(rgmii_in_15),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_15(tx_control_15),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_15(rgmii_out_15),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_15(eth_mode_15),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_15(ena_10_15),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_15(set_10_15),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_15(set_1000_15),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_15(mac_rx_clk_15),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_15(mac_tx_clk_15),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_15(data_rx_sop_15),            //OUTPUT : Start of Packet
+	        .data_rx_eop_15(data_rx_eop_15),            //OUTPUT : End of Packet
+	        .data_rx_data_15(data_rx_data_15),          //OUTPUT : Data from FIFO
+	        .data_rx_error_15(data_rx_error_15),        //OUTPUT : Receive packet error
+	        .data_rx_valid_15(data_rx_valid_15),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_15(data_rx_ready_15),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_15(pkt_class_data_15),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_15(pkt_class_valid_15),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_15(data_tx_error_15),        //INPUT  : Status
+	        .data_tx_data_15(data_tx_data_15),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_15(data_tx_valid_15),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_15(data_tx_sop_15),            //INPUT  : Start of Packet
+	        .data_tx_eop_15(data_tx_eop_15),            //INPUT  : End of Packet
+	        .data_tx_ready_15(data_tx_ready_15),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_15(tx_ff_uflow_15),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_15(tx_crc_fwd_15),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_15(xoff_gen_15),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_15(xon_gen_15),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_15(magic_sleep_n_15),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_15(magic_wakeup_15),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 16 
+	        
+	        .rx_clk_16(rx_clk_16),                      //INPUT  : MAC RX CLK
+	        .tx_clk_16(tx_clk_16),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_16(gm_rx_d_16),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_16(gm_rx_dv_16),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_16(gm_rx_err_16),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_16(gm_tx_d_16),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_16(gm_tx_en_16),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_16(gm_tx_err_16),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_16(m_rx_crs_16),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_16(m_rx_col_16),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_16(m_rx_d_16),                      //INPUT  : MII RX DATA
+	        .m_rx_en_16(m_rx_en_16),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_16(m_rx_err_16),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_16(m_tx_d_16),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_16(m_tx_en_16),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_16(m_tx_err_16),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_16(rx_control_16),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_16(rgmii_in_16),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_16(tx_control_16),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_16(rgmii_out_16),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_16(eth_mode_16),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_16(ena_10_16),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_16(set_10_16),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_16(set_1000_16),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_16(mac_rx_clk_16),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_16(mac_tx_clk_16),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_16(data_rx_sop_16),            //OUTPUT : Start of Packet
+	        .data_rx_eop_16(data_rx_eop_16),            //OUTPUT : End of Packet
+	        .data_rx_data_16(data_rx_data_16),          //OUTPUT : Data from FIFO
+	        .data_rx_error_16(data_rx_error_16),        //OUTPUT : Receive packet error
+	        .data_rx_valid_16(data_rx_valid_16),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_16(data_rx_ready_16),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_16(pkt_class_data_16),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_16(pkt_class_valid_16),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_16(data_tx_error_16),        //INPUT  : Status
+	        .data_tx_data_16(data_tx_data_16),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_16(data_tx_valid_16),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_16(data_tx_sop_16),            //INPUT  : Start of Packet
+	        .data_tx_eop_16(data_tx_eop_16),            //INPUT  : End of Packet
+	        .data_tx_ready_16(data_tx_ready_16),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_16(tx_ff_uflow_16),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_16(tx_crc_fwd_16),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_16(xoff_gen_16),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_16(xon_gen_16),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_16(magic_sleep_n_16),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_16(magic_wakeup_16),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 17 
+	        
+	        .rx_clk_17(rx_clk_17),                      //INPUT  : MAC RX CLK
+	        .tx_clk_17(tx_clk_17),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_17(gm_rx_d_17),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_17(gm_rx_dv_17),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_17(gm_rx_err_17),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_17(gm_tx_d_17),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_17(gm_tx_en_17),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_17(gm_tx_err_17),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_17(m_rx_crs_17),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_17(m_rx_col_17),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_17(m_rx_d_17),                      //INPUT  : MII RX DATA
+	        .m_rx_en_17(m_rx_en_17),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_17(m_rx_err_17),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_17(m_tx_d_17),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_17(m_tx_en_17),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_17(m_tx_err_17),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_17(rx_control_17),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_17(rgmii_in_17),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_17(tx_control_17),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_17(rgmii_out_17),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_17(eth_mode_17),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_17(ena_10_17),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_17(set_10_17),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_17(set_1000_17),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_17(mac_rx_clk_17),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_17(mac_tx_clk_17),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_17(data_rx_sop_17),            //OUTPUT : Start of Packet
+	        .data_rx_eop_17(data_rx_eop_17),            //OUTPUT : End of Packet
+	        .data_rx_data_17(data_rx_data_17),          //OUTPUT : Data from FIFO
+	        .data_rx_error_17(data_rx_error_17),        //OUTPUT : Receive packet error
+	        .data_rx_valid_17(data_rx_valid_17),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_17(data_rx_ready_17),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_17(pkt_class_data_17),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_17(pkt_class_valid_17),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_17(data_tx_error_17),        //INPUT  : Status
+	        .data_tx_data_17(data_tx_data_17),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_17(data_tx_valid_17),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_17(data_tx_sop_17),            //INPUT  : Start of Packet
+	        .data_tx_eop_17(data_tx_eop_17),            //INPUT  : End of Packet
+	        .data_tx_ready_17(data_tx_ready_17),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_17(tx_ff_uflow_17),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_17(tx_crc_fwd_17),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_17(xoff_gen_17),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_17(xon_gen_17),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_17(magic_sleep_n_17),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_17(magic_wakeup_17),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 18 
+	        
+	        .rx_clk_18(rx_clk_18),                      //INPUT  : MAC RX CLK
+	        .tx_clk_18(tx_clk_18),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_18(gm_rx_d_18),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_18(gm_rx_dv_18),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_18(gm_rx_err_18),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_18(gm_tx_d_18),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_18(gm_tx_en_18),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_18(gm_tx_err_18),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_18(m_rx_crs_18),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_18(m_rx_col_18),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_18(m_rx_d_18),                      //INPUT  : MII RX DATA
+	        .m_rx_en_18(m_rx_en_18),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_18(m_rx_err_18),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_18(m_tx_d_18),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_18(m_tx_en_18),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_18(m_tx_err_18),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_18(rx_control_18),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_18(rgmii_in_18),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_18(tx_control_18),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_18(rgmii_out_18),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_18(eth_mode_18),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_18(ena_10_18),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_18(set_10_18),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_18(set_1000_18),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_18(mac_rx_clk_18),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_18(mac_tx_clk_18),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_18(data_rx_sop_18),            //OUTPUT : Start of Packet
+	        .data_rx_eop_18(data_rx_eop_18),            //OUTPUT : End of Packet
+	        .data_rx_data_18(data_rx_data_18),          //OUTPUT : Data from FIFO
+	        .data_rx_error_18(data_rx_error_18),        //OUTPUT : Receive packet error
+	        .data_rx_valid_18(data_rx_valid_18),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_18(data_rx_ready_18),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_18(pkt_class_data_18),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_18(pkt_class_valid_18),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_18(data_tx_error_18),        //INPUT  : Status
+	        .data_tx_data_18(data_tx_data_18),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_18(data_tx_valid_18),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_18(data_tx_sop_18),            //INPUT  : Start of Packet
+	        .data_tx_eop_18(data_tx_eop_18),            //INPUT  : End of Packet
+	        .data_tx_ready_18(data_tx_ready_18),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_18(tx_ff_uflow_18),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_18(tx_crc_fwd_18),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_18(xoff_gen_18),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_18(xon_gen_18),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_18(magic_sleep_n_18),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_18(magic_wakeup_18),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 19 
+	        
+	        .rx_clk_19(rx_clk_19),                      //INPUT  : MAC RX CLK
+	        .tx_clk_19(tx_clk_19),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_19(gm_rx_d_19),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_19(gm_rx_dv_19),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_19(gm_rx_err_19),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_19(gm_tx_d_19),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_19(gm_tx_en_19),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_19(gm_tx_err_19),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_19(m_rx_crs_19),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_19(m_rx_col_19),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_19(m_rx_d_19),                      //INPUT  : MII RX DATA
+	        .m_rx_en_19(m_rx_en_19),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_19(m_rx_err_19),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_19(m_tx_d_19),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_19(m_tx_en_19),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_19(m_tx_err_19),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_19(rx_control_19),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_19(rgmii_in_19),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_19(tx_control_19),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_19(rgmii_out_19),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_19(eth_mode_19),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_19(ena_10_19),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_19(set_10_19),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_19(set_1000_19),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_19(mac_rx_clk_19),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_19(mac_tx_clk_19),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_19(data_rx_sop_19),            //OUTPUT : Start of Packet
+	        .data_rx_eop_19(data_rx_eop_19),            //OUTPUT : End of Packet
+	        .data_rx_data_19(data_rx_data_19),          //OUTPUT : Data from FIFO
+	        .data_rx_error_19(data_rx_error_19),        //OUTPUT : Receive packet error
+	        .data_rx_valid_19(data_rx_valid_19),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_19(data_rx_ready_19),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_19(pkt_class_data_19),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_19(pkt_class_valid_19),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_19(data_tx_error_19),        //INPUT  : Status
+	        .data_tx_data_19(data_tx_data_19),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_19(data_tx_valid_19),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_19(data_tx_sop_19),            //INPUT  : Start of Packet
+	        .data_tx_eop_19(data_tx_eop_19),            //INPUT  : End of Packet
+	        .data_tx_ready_19(data_tx_ready_19),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_19(tx_ff_uflow_19),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_19(tx_crc_fwd_19),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_19(xoff_gen_19),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_19(xon_gen_19),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_19(magic_sleep_n_19),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_19(magic_wakeup_19),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 20 
+	        
+	        .rx_clk_20(rx_clk_20),                      //INPUT  : MAC RX CLK
+	        .tx_clk_20(tx_clk_20),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_20(gm_rx_d_20),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_20(gm_rx_dv_20),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_20(gm_rx_err_20),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_20(gm_tx_d_20),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_20(gm_tx_en_20),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_20(gm_tx_err_20),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_20(m_rx_crs_20),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_20(m_rx_col_20),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_20(m_rx_d_20),                      //INPUT  : MII RX DATA
+	        .m_rx_en_20(m_rx_en_20),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_20(m_rx_err_20),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_20(m_tx_d_20),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_20(m_tx_en_20),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_20(m_tx_err_20),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_20(rx_control_20),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_20(rgmii_in_20),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_20(tx_control_20),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_20(rgmii_out_20),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_20(eth_mode_20),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_20(ena_10_20),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_20(set_10_20),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_20(set_1000_20),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_20(mac_rx_clk_20),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_20(mac_tx_clk_20),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_20(data_rx_sop_20),            //OUTPUT : Start of Packet
+	        .data_rx_eop_20(data_rx_eop_20),            //OUTPUT : End of Packet
+	        .data_rx_data_20(data_rx_data_20),          //OUTPUT : Data from FIFO
+	        .data_rx_error_20(data_rx_error_20),        //OUTPUT : Receive packet error
+	        .data_rx_valid_20(data_rx_valid_20),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_20(data_rx_ready_20),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_20(pkt_class_data_20),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_20(pkt_class_valid_20),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_20(data_tx_error_20),        //INPUT  : Status
+	        .data_tx_data_20(data_tx_data_20),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_20(data_tx_valid_20),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_20(data_tx_sop_20),            //INPUT  : Start of Packet
+	        .data_tx_eop_20(data_tx_eop_20),            //INPUT  : End of Packet
+	        .data_tx_ready_20(data_tx_ready_20),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_20(tx_ff_uflow_20),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_20(tx_crc_fwd_20),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_20(xoff_gen_20),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_20(xon_gen_20),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_20(magic_sleep_n_20),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_20(magic_wakeup_20),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 21 
+	        
+	        .rx_clk_21(rx_clk_21),                      //INPUT  : MAC RX CLK
+	        .tx_clk_21(tx_clk_21),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_21(gm_rx_d_21),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_21(gm_rx_dv_21),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_21(gm_rx_err_21),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_21(gm_tx_d_21),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_21(gm_tx_en_21),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_21(gm_tx_err_21),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_21(m_rx_crs_21),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_21(m_rx_col_21),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_21(m_rx_d_21),                      //INPUT  : MII RX DATA
+	        .m_rx_en_21(m_rx_en_21),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_21(m_rx_err_21),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_21(m_tx_d_21),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_21(m_tx_en_21),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_21(m_tx_err_21),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_21(rx_control_21),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_21(rgmii_in_21),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_21(tx_control_21),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_21(rgmii_out_21),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_21(eth_mode_21),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_21(ena_10_21),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_21(set_10_21),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_21(set_1000_21),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_21(mac_rx_clk_21),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_21(mac_tx_clk_21),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_21(data_rx_sop_21),            //OUTPUT : Start of Packet
+	        .data_rx_eop_21(data_rx_eop_21),            //OUTPUT : End of Packet
+	        .data_rx_data_21(data_rx_data_21),          //OUTPUT : Data from FIFO
+	        .data_rx_error_21(data_rx_error_21),        //OUTPUT : Receive packet error
+	        .data_rx_valid_21(data_rx_valid_21),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_21(data_rx_ready_21),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_21(pkt_class_data_21),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_21(pkt_class_valid_21),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_21(data_tx_error_21),        //INPUT  : Status
+	        .data_tx_data_21(data_tx_data_21),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_21(data_tx_valid_21),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_21(data_tx_sop_21),            //INPUT  : Start of Packet
+	        .data_tx_eop_21(data_tx_eop_21),            //INPUT  : End of Packet
+	        .data_tx_ready_21(data_tx_ready_21),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_21(tx_ff_uflow_21),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_21(tx_crc_fwd_21),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_21(xoff_gen_21),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_21(xon_gen_21),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_21(magic_sleep_n_21),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_21(magic_wakeup_21),          //OUTPUT : MAC WAKE-UP INDICATION
+
+             // Channel 22 
+	        
+	        .rx_clk_22(rx_clk_22),                      //INPUT  : MAC RX CLK
+	        .tx_clk_22(tx_clk_22),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_22(gm_rx_d_22),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_22(gm_rx_dv_22),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_22(gm_rx_err_22),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_22(gm_tx_d_22),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_22(gm_tx_en_22),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_22(gm_tx_err_22),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_22(m_rx_crs_22),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_22(m_rx_col_22),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_22(m_rx_d_22),                      //INPUT  : MII RX DATA
+	        .m_rx_en_22(m_rx_en_22),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_22(m_rx_err_22),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_22(m_tx_d_22),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_22(m_tx_en_22),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_22(m_tx_err_22),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_22(rx_control_22),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_22(rgmii_in_22),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_22(tx_control_22),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_22(rgmii_out_22),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_22(eth_mode_22),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_22(ena_10_22),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_22(set_10_22),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_22(set_1000_22),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_22(mac_rx_clk_22),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_22(mac_tx_clk_22),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_22(data_rx_sop_22),            //OUTPUT : Start of Packet
+	        .data_rx_eop_22(data_rx_eop_22),            //OUTPUT : End of Packet
+	        .data_rx_data_22(data_rx_data_22),          //OUTPUT : Data from FIFO
+	        .data_rx_error_22(data_rx_error_22),        //OUTPUT : Receive packet error
+	        .data_rx_valid_22(data_rx_valid_22),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_22(data_rx_ready_22),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_22(pkt_class_data_22),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_22(pkt_class_valid_22),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_22(data_tx_error_22),        //INPUT  : Status
+	        .data_tx_data_22(data_tx_data_22),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_22(data_tx_valid_22),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_22(data_tx_sop_22),            //INPUT  : Start of Packet
+	        .data_tx_eop_22(data_tx_eop_22),            //INPUT  : End of Packet
+	        .data_tx_ready_22(data_tx_ready_22),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_22(tx_ff_uflow_22),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_22(tx_crc_fwd_22),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_22(xoff_gen_22),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_22(xon_gen_22),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_22(magic_sleep_n_22),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_22(magic_wakeup_22),          //OUTPUT : MAC WAKE-UP INDICATION	        	        	        	        	        	        
+	        	        	        
+	                     
+             // Channel 23 
+	        
+	        .rx_clk_23(rx_clk_23),                      //INPUT  : MAC RX CLK
+	        .tx_clk_23(tx_clk_23),                      //INPUT  : MAC TX CLK
+	        .gm_rx_d_23(gm_rx_d_23),                    //INPUT  : GMII RX DATA
+	        .gm_rx_dv_23(gm_rx_dv_23),                  //INPUT  : GMII RX VALID INDICATION
+	        .gm_rx_err_23(gm_rx_err_23),                //INPUT  : GMII RX ERROR INDICATION
+	        .gm_tx_d_23(gm_tx_d_23),                    //OUTPUT : GMII TX DATA
+	        .gm_tx_en_23(gm_tx_en_23),                  //OUTPUT : GMII TX VALID INDICATION
+	        .gm_tx_err_23(gm_tx_err_23),                //OUTPUT : GMII TX ERROR INDICATION
+	        .m_rx_crs_23(m_rx_crs_23),                  //INPUT  : MII RX CARRIER SENSE
+	        .m_rx_col_23(m_rx_col_23),                  //INPUT  : MII RX COLLISION
+	        .m_rx_d_23(m_rx_d_23),                      //INPUT  : MII RX DATA
+	        .m_rx_en_23(m_rx_en_23),                    //INPUT  : MII RX VALID INDICATION
+	        .m_rx_err_23(m_rx_err_23),                  //INPUT  : MII RX ERROR INDICATION
+	        .m_tx_d_23(m_tx_d_23),                      //OUTPUT : MII TX DATA
+	        .m_tx_en_23(m_tx_en_23),                    //OUTPUT : MII TX VALID INDICATION
+	        .m_tx_err_23(m_tx_err_23),                  //OUTPUT : MII TX ERROR INDICATION
+	        .rx_control_23(rx_control_23),              //INPUT  : RGMII RX CONTROL INDICATION
+	        .rgmii_in_23(rgmii_in_23),                  //INPUT  : RGMII RX DATA INDICATION
+	        .tx_control_23(tx_control_23),              //OUTPUT : RGMII TX CONTROL INDICATION
+	        .rgmii_out_23(rgmii_out_23),                //OUTPUT : RGMII TX DATA INDICATION
+	        .eth_mode_23(eth_mode_23),                  //OUTPUT : ETHERNET SPEED 1000MBPS INDICATION
+	        .ena_10_23(ena_10_23),                      //OUTPUT : SPEED 10 MBPS INDICATION
+	        .set_10_23(set_10_23),                      //INPUT  : SPEED 10 MBPS
+	        .set_1000_23(set_1000_23),                  //INPUT  : SPEED 1000 MBPS
+	        .mac_rx_clk_23(mac_rx_clk_23),              //OUTPUT : Av-ST Rx Clock
+            .mac_tx_clk_23(mac_tx_clk_23),              //OUTPUT : Av-ST Tx Clock
+	        .data_rx_sop_23(data_rx_sop_23),            //OUTPUT : Start of Packet
+	        .data_rx_eop_23(data_rx_eop_23),            //OUTPUT : End of Packet
+	        .data_rx_data_23(data_rx_data_23),          //OUTPUT : Data from FIFO
+	        .data_rx_error_23(data_rx_error_23),        //OUTPUT : Receive packet error
+	        .data_rx_valid_23(data_rx_valid_23),        //OUTPUT : Data Receive FIFO Valid
+	        .data_rx_ready_23(data_rx_ready_23),        //OUTPUT : Data Receive Ready
+	        .pkt_class_data_23(pkt_class_data_23),      //OUTPUT : Frame Type Indication
+	        .pkt_class_valid_23(pkt_class_valid_23),    //OUTPUT : Frame Type Indication Valid
+	        .data_tx_error_23(data_tx_error_23),        //INPUT  : Status
+	        .data_tx_data_23(data_tx_data_23),          //INPUT  : Data from FIFO transmit
+	        .data_tx_valid_23(data_tx_valid_23),        //INPUT  : Data FIFO transmit Empty
+	        .data_tx_sop_23(data_tx_sop_23),            //INPUT  : Start of Packet
+	        .data_tx_eop_23(data_tx_eop_23),            //INPUT  : End of Packet
+	        .data_tx_ready_23(data_tx_ready_23),        //OUTPUT : Data FIFO transmit Read Enable  
+	        .tx_ff_uflow_23(tx_ff_uflow_23),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+	        .tx_crc_fwd_23(tx_crc_fwd_23),              //INPUT  : Forward Current Frame with CRC from Application
+	        .xoff_gen_23(xoff_gen_23),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+	        .xon_gen_23(xon_gen_23),                    //INPUT  : XON PAUSE FRAME GENERATE
+	        .magic_sleep_n_23(magic_sleep_n_23),        //INPUT  : MAC SLEEP MODE CONTROL
+	        .magic_wakeup_23(magic_wakeup_23));         //OUTPUT : MAC WAKE-UP INDICATION
+	        
+	    defparam
+	        U_TOP_MULTI_MAC.USE_SYNC_RESET = USE_SYNC_RESET, 
+	        U_TOP_MULTI_MAC.RESET_LEVEL = RESET_LEVEL,
+	        U_TOP_MULTI_MAC.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK, 
+	        U_TOP_MULTI_MAC.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+	        U_TOP_MULTI_MAC.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+	        U_TOP_MULTI_MAC.ENA_HASH = ENA_HASH,
+	        U_TOP_MULTI_MAC.STAT_CNT_ENA = STAT_CNT_ENA,
+	        U_TOP_MULTI_MAC.CORE_VERSION = CORE_VERSION, 
+	        U_TOP_MULTI_MAC.CUST_VERSION = CUST_VERSION,
+	        U_TOP_MULTI_MAC.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+	        U_TOP_MULTI_MAC.ENABLE_MDIO = ENABLE_MDIO,
+	        U_TOP_MULTI_MAC.MDIO_CLK_DIV = MDIO_CLK_DIV,
+	        U_TOP_MULTI_MAC.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+	        U_TOP_MULTI_MAC.CRC32DWIDTH = CRC32DWIDTH,
+	        U_TOP_MULTI_MAC.CRC32GENDELAY = CRC32GENDELAY, 
+	        U_TOP_MULTI_MAC.CRC32CHECK16BIT = CRC32CHECK16BIT, 
+	        U_TOP_MULTI_MAC.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+	        U_TOP_MULTI_MAC.ENABLE_SHIFT16 = ENABLE_SHIFT16,   
+	        U_TOP_MULTI_MAC.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL,
+	        U_TOP_MULTI_MAC.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+	        U_TOP_MULTI_MAC.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+	        U_TOP_MULTI_MAC.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN,
+	        U_TOP_MULTI_MAC.ADDR_WIDTH = ADDR_WIDTH,
+	        U_TOP_MULTI_MAC.MAX_CHANNELS = MAX_CHANNELS,
+	        U_TOP_MULTI_MAC.CHANNEL_WIDTH = CHANNEL_WIDTH,
+	        U_TOP_MULTI_MAC.ENABLE_RX_FIFO_STATUS = ENABLE_RX_FIFO_STATUS,
+	        U_TOP_MULTI_MAC.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+	        U_TOP_MULTI_MAC.ENABLE_REG_SHARING = ENABLE_REG_SHARING,
+			U_TOP_MULTI_MAC.SYNCHRONIZER_DEPTH = SYNCHRONIZER_DEPTH,
+	        U_TOP_MULTI_MAC.ENABLE_CLK_SHARING = ENABLE_CLK_SHARING;    
+
+
+
+
+endmodule // module altera_tse_multi_mac
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs.v
new file mode 100644
index 0000000000..c2374cbdd1
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs.v
@@ -0,0 +1,2094 @@
+
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_multi_mac_pcs.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_multi_mac_pcs.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet - 10/100/1000 MAC
+//
+// Description : 
+//
+// Top Level Triple Speed Ethernet(10/100/1000) MAC with FIFOs, MII/GMII
+// interfaces, mdio module and register space (statistic, control and 
+// management)
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation  
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_multi_mac_pcs
+/* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */
+#(
+parameter USE_SYNC_RESET        = 0,                    //  Use Synchronized Reset Inputs
+parameter RESET_LEVEL           = 1'b 1 ,               //  Reset Active Level
+parameter ENABLE_GMII_LOOPBACK  = 1,                    //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1,                    //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter ENABLE_SUP_ADDR       = 1,                    //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1,                    //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1,                    //  STAT_CNT_ENA Enable Statistic Counters
+parameter MDIO_CLK_DIV          = 40 ,                  //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3,                //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ,                   //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 0,                    //  Enable the RGMII Interface
+parameter ENABLE_MDIO           = 1,                    //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1,                    //  Enable magic packet detection 
+parameter ENABLE_PADDING        = 1,                    //  Enable padding operation.
+parameter ENABLE_LGTH_CHECK     = 1,                    //  Enable frame length checking.
+parameter GBIT_ONLY             = 1,                    //  Enable Gigabit only operation.
+parameter MBIT_ONLY             = 1,                    //  Enable Megabit (10/100) only operation.
+parameter REDUCED_CONTROL       = 0,                    //  Reduced control for MAC LITE
+parameter CRC32DWIDTH           = 4'b 1000,             //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110,              //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0,                //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0,                 //  false: merge enable
+parameter ENABLE_SHIFT16        = 0,                    //  Enable byte stuffing at packet header 
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1,                 //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1,                 //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter PHY_IDENTIFIER        = 32'h 00000000,        //  PHY Identifier
+parameter DEV_VERSION           = 16'h 0001 ,           //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1,                    //  Enable SGMII logic for synthesis
+parameter ENABLE_CLK_SHARING    = 0,                    //  Option to share clock for multiple channels (Clocks are rate-matched).
+parameter ENABLE_REG_SHARING    = 0,                    //  Option to share register space. Uses certain hard-coded values from input.
+parameter ENABLE_EXTENDED_STAT_REG = 0,                 //  Enable a few extended statistic registers
+parameter MAX_CHANNELS          = 1,                    //  The number of channels in Multi-TSE component
+parameter ENABLE_RX_FIFO_STATUS = 1,                    //  Enable Receive FIFO Almost Full status interface
+parameter CHANNEL_WIDTH         = 1,                    //  The width of the channel interface
+parameter ENABLE_PKT_CLASS      = 1,                    //  Enable Packet Classification Av-ST Interface
+parameter SYNCHRONIZER_DEPTH 	= 3,		  	//  Number of synchronizer
+// Internal parameters
+parameter ADDR_WIDTH = (MAX_CHANNELS > 16)? 13 :
+                       (MAX_CHANNELS > 8)? 12 : 
+                       (MAX_CHANNELS > 4)? 11 : 
+                       (MAX_CHANNELS > 2)? 10 :  
+                       (MAX_CHANNELS > 1)? 9 : 8
+)
+
+
+// Port List
+(
+
+    // RESET / MAC REG IF / MDIO
+    input wire   reset,                      //  Asynchronous Reset - clk Domain
+    input wire   clk,                        //  25MHz Host Interface Clock
+    input wire   read,                       //  Register Read Strobe
+    input wire   write,                      //  Register Write Strobe
+    input wire   [ADDR_WIDTH-1:0] address,   //  Register Address
+    input wire   [31:0] writedata,           //  Write Data for Host Bus
+    output wire  [31:0] readdata,            //  Read Data to Host Bus
+    output wire  waitrequest,                //  Interface Busy
+    output wire  mdc,                        //  2.5MHz Inteface
+    input wire   mdio_in,                    //  MDIO Input
+    output wire  mdio_out,                   //  MDIO Output
+    output wire  mdio_oen,                   //  MDIO Output Enable
+    input wire   ref_clk,                    //  Reference Clock
+
+	// SHARED CLK SIGNALS
+    output wire  mac_rx_clk,                 //  Av-ST Receive Clock
+	output wire  mac_tx_clk,                 //  Av-ST Transmit Clock 
+
+	// SHARED RX STATUS
+    input wire   rx_afull_clk,                             //  Almost full clk
+    input wire   [1:0] rx_afull_data,                      //  Almost full data
+    input wire   rx_afull_valid,                           //  Almost full valid
+    input wire   [CHANNEL_WIDTH-1:0] rx_afull_channel,     //  Almost full channel
+
+
+    // CHANNEL 0
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_0,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_0,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_0,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_0,         //  Transmit TBI Interface
+    output wire  sd_loopback_0,            //  SERDES Loopback Enable
+    output wire  powerdown_0,              //  Powerdown Enable
+    output wire  led_crs_0,                //  Carrier Sense
+    output wire  led_link_0,               //  Valid Link 
+    output wire  led_col_0,                //  Collision Indication
+    output wire  led_an_0,                 //  Auto-Negotiation Status
+    output wire  led_char_err_0,           //  Character Error
+    output wire  led_disp_err_0,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_0,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_0,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_0,            //  Start of Packet
+    output wire  data_rx_eop_0,            //  End of Packet
+    output wire  [7:0] data_rx_data_0,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_0,    //  Receive packet error
+    output wire  data_rx_valid_0,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_0,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_0,   //  Frame Type Indication
+    output wire  pkt_class_valid_0,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_0,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_0,     //  Data from FIFO transmit
+    input wire   data_tx_valid_0,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_0,            //  Start of Packet
+    input wire   data_tx_eop_0,            //  END of Packet
+    output wire  data_tx_ready_0,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_0,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_0,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_0,               //  Xoff Pause frame generate 
+    input wire   xon_gen_0,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_0,          //  Enable Sleep Mode
+    output wire  magic_wakeup_0,           //  Wake Up Request
+
+
+    // CHANNEL 1
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_1,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_1,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_1,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_1,         //  Transmit TBI Interface
+    output wire  sd_loopback_1,            //  SERDES Loopback Enable
+    output wire  powerdown_1,              //  Powerdown Enable
+    output wire  led_crs_1,                //  Carrier Sense
+    output wire  led_link_1,               //  Valid Link 
+    output wire  led_col_1,                //  Collision Indication
+    output wire  led_an_1,                 //  Auto-Negotiation Status
+    output wire  led_char_err_1,           //  Character Error
+    output wire  led_disp_err_1,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_1,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_1,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_1,            //  Start of Packet
+    output wire  data_rx_eop_1,            //  End of Packet
+    output wire  [7:0] data_rx_data_1,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_1,    //  Receive packet error
+    output wire  data_rx_valid_1,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_1,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_1,   //  Frame Type Indication
+    output wire  pkt_class_valid_1,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_1,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_1,     //  Data from FIFO transmit
+    input wire   data_tx_valid_1,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_1,            //  Start of Packet
+    input wire   data_tx_eop_1,            //  END of Packet
+    output wire  data_tx_ready_1,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_1,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_1,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_1,               //  Xoff Pause frame generate 
+    input wire   xon_gen_1,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_1,          //  Enable Sleep Mode
+    output wire  magic_wakeup_1,           //  Wake Up Request
+
+
+    // CHANNEL 2
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_2,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_2,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_2,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_2,         //  Transmit TBI Interface
+    output wire  sd_loopback_2,            //  SERDES Loopback Enable
+    output wire  powerdown_2,              //  Powerdown Enable
+    output wire  led_crs_2,                //  Carrier Sense
+    output wire  led_link_2,               //  Valid Link 
+    output wire  led_col_2,                //  Collision Indication
+    output wire  led_an_2,                 //  Auto-Negotiation Status
+    output wire  led_char_err_2,           //  Character Error
+    output wire  led_disp_err_2,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_2,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_2,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_2,            //  Start of Packet
+    output wire  data_rx_eop_2,            //  End of Packet
+    output wire  [7:0] data_rx_data_2,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_2,    //  Receive packet error
+    output wire  data_rx_valid_2,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_2,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_2,   //  Frame Type Indication
+    output wire  pkt_class_valid_2,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_2,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_2,     //  Data from FIFO transmit
+    input wire   data_tx_valid_2,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_2,            //  Start of Packet
+    input wire   data_tx_eop_2,            //  END of Packet
+    output wire  data_tx_ready_2,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_2,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_2,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_2,               //  Xoff Pause frame generate 
+    input wire   xon_gen_2,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_2,          //  Enable Sleep Mode
+    output wire  magic_wakeup_2,           //  Wake Up Request
+
+
+    // CHANNEL 3
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_3,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_3,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_3,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_3,         //  Transmit TBI Interface
+    output wire  sd_loopback_3,            //  SERDES Loopback Enable
+    output wire  powerdown_3,              //  Powerdown Enable
+    output wire  led_crs_3,                //  Carrier Sense
+    output wire  led_link_3,               //  Valid Link 
+    output wire  led_col_3,                //  Collision Indication
+    output wire  led_an_3,                 //  Auto-Negotiation Status
+    output wire  led_char_err_3,           //  Character Error
+    output wire  led_disp_err_3,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_3,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_3,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_3,            //  Start of Packet
+    output wire  data_rx_eop_3,            //  End of Packet
+    output wire  [7:0] data_rx_data_3,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_3,    //  Receive packet error
+    output wire  data_rx_valid_3,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_3,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_3,   //  Frame Type Indication
+    output wire  pkt_class_valid_3,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_3,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_3,     //  Data from FIFO transmit
+    input wire   data_tx_valid_3,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_3,            //  Start of Packet
+    input wire   data_tx_eop_3,            //  END of Packet
+    output wire  data_tx_ready_3,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_3,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_3,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_3,               //  Xoff Pause frame generate 
+    input wire   xon_gen_3,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_3,          //  Enable Sleep Mode
+    output wire  magic_wakeup_3,           //  Wake Up Request
+
+
+    // CHANNEL 4
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_4,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_4,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_4,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_4,         //  Transmit TBI Interface
+    output wire  sd_loopback_4,            //  SERDES Loopback Enable
+    output wire  powerdown_4,              //  Powerdown Enable
+    output wire  led_crs_4,                //  Carrier Sense
+    output wire  led_link_4,               //  Valid Link 
+    output wire  led_col_4,                //  Collision Indication
+    output wire  led_an_4,                 //  Auto-Negotiation Status
+    output wire  led_char_err_4,           //  Character Error
+    output wire  led_disp_err_4,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_4,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_4,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_4,            //  Start of Packet
+    output wire  data_rx_eop_4,            //  End of Packet
+    output wire  [7:0] data_rx_data_4,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_4,    //  Receive packet error
+    output wire  data_rx_valid_4,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_4,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_4,   //  Frame Type Indication
+    output wire  pkt_class_valid_4,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_4,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_4,     //  Data from FIFO transmit
+    input wire   data_tx_valid_4,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_4,            //  Start of Packet
+    input wire   data_tx_eop_4,            //  END of Packet
+    output wire  data_tx_ready_4,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_4,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_4,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_4,               //  Xoff Pause frame generate 
+    input wire   xon_gen_4,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_4,          //  Enable Sleep Mode
+    output wire  magic_wakeup_4,           //  Wake Up Request
+
+
+    // CHANNEL 5
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_5,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_5,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_5,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_5,         //  Transmit TBI Interface
+    output wire  sd_loopback_5,            //  SERDES Loopback Enable
+    output wire  powerdown_5,              //  Powerdown Enable
+    output wire  led_crs_5,                //  Carrier Sense
+    output wire  led_link_5,               //  Valid Link 
+    output wire  led_col_5,                //  Collision Indication
+    output wire  led_an_5,                 //  Auto-Negotiation Status
+    output wire  led_char_err_5,           //  Character Error
+    output wire  led_disp_err_5,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_5,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_5,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_5,            //  Start of Packet
+    output wire  data_rx_eop_5,            //  End of Packet
+    output wire  [7:0] data_rx_data_5,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_5,    //  Receive packet error
+    output wire  data_rx_valid_5,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_5,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_5,   //  Frame Type Indication
+    output wire  pkt_class_valid_5,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_5,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_5,     //  Data from FIFO transmit
+    input wire   data_tx_valid_5,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_5,            //  Start of Packet
+    input wire   data_tx_eop_5,            //  END of Packet
+    output wire  data_tx_ready_5,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_5,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_5,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_5,               //  Xoff Pause frame generate 
+    input wire   xon_gen_5,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_5,          //  Enable Sleep Mode
+    output wire  magic_wakeup_5,           //  Wake Up Request
+
+
+    // CHANNEL 6
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_6,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_6,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_6,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_6,         //  Transmit TBI Interface
+    output wire  sd_loopback_6,            //  SERDES Loopback Enable
+    output wire  powerdown_6,              //  Powerdown Enable
+    output wire  led_crs_6,                //  Carrier Sense
+    output wire  led_link_6,               //  Valid Link 
+    output wire  led_col_6,                //  Collision Indication
+    output wire  led_an_6,                 //  Auto-Negotiation Status
+    output wire  led_char_err_6,           //  Character Error
+    output wire  led_disp_err_6,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_6,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_6,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_6,            //  Start of Packet
+    output wire  data_rx_eop_6,            //  End of Packet
+    output wire  [7:0] data_rx_data_6,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_6,    //  Receive packet error
+    output wire  data_rx_valid_6,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_6,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_6,   //  Frame Type Indication
+    output wire  pkt_class_valid_6,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_6,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_6,     //  Data from FIFO transmit
+    input wire   data_tx_valid_6,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_6,            //  Start of Packet
+    input wire   data_tx_eop_6,            //  END of Packet
+    output wire  data_tx_ready_6,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_6,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_6,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_6,               //  Xoff Pause frame generate 
+    input wire   xon_gen_6,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_6,          //  Enable Sleep Mode
+    output wire  magic_wakeup_6,           //  Wake Up Request
+
+
+    // CHANNEL 7
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_7,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_7,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_7,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_7,         //  Transmit TBI Interface
+    output wire  sd_loopback_7,            //  SERDES Loopback Enable
+    output wire  powerdown_7,              //  Powerdown Enable
+    output wire  led_crs_7,                //  Carrier Sense
+    output wire  led_link_7,               //  Valid Link 
+    output wire  led_col_7,                //  Collision Indication
+    output wire  led_an_7,                 //  Auto-Negotiation Status
+    output wire  led_char_err_7,           //  Character Error
+    output wire  led_disp_err_7,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_7,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_7,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_7,            //  Start of Packet
+    output wire  data_rx_eop_7,            //  End of Packet
+    output wire  [7:0] data_rx_data_7,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_7,    //  Receive packet error
+    output wire  data_rx_valid_7,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_7,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_7,   //  Frame Type Indication
+    output wire  pkt_class_valid_7,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_7,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_7,     //  Data from FIFO transmit
+    input wire   data_tx_valid_7,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_7,            //  Start of Packet
+    input wire   data_tx_eop_7,            //  END of Packet
+    output wire  data_tx_ready_7,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_7,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_7,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_7,               //  Xoff Pause frame generate 
+    input wire   xon_gen_7,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_7,          //  Enable Sleep Mode
+    output wire  magic_wakeup_7,           //  Wake Up Request
+
+
+    // CHANNEL 8
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_8,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_8,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_8,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_8,         //  Transmit TBI Interface
+    output wire  sd_loopback_8,            //  SERDES Loopback Enable
+    output wire  powerdown_8,              //  Powerdown Enable
+    output wire  led_crs_8,                //  Carrier Sense
+    output wire  led_link_8,               //  Valid Link 
+    output wire  led_col_8,                //  Collision Indication
+    output wire  led_an_8,                 //  Auto-Negotiation Status
+    output wire  led_char_err_8,           //  Character Error
+    output wire  led_disp_err_8,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_8,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_8,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_8,            //  Start of Packet
+    output wire  data_rx_eop_8,            //  End of Packet
+    output wire  [7:0] data_rx_data_8,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_8,    //  Receive packet error
+    output wire  data_rx_valid_8,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_8,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_8,   //  Frame Type Indication
+    output wire  pkt_class_valid_8,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_8,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_8,     //  Data from FIFO transmit
+    input wire   data_tx_valid_8,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_8,            //  Start of Packet
+    input wire   data_tx_eop_8,            //  END of Packet
+    output wire  data_tx_ready_8,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_8,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_8,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_8,               //  Xoff Pause frame generate 
+    input wire   xon_gen_8,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_8,          //  Enable Sleep Mode
+    output wire  magic_wakeup_8,           //  Wake Up Request
+
+
+    // CHANNEL 9
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_9,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_9,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_9,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_9,         //  Transmit TBI Interface
+    output wire  sd_loopback_9,            //  SERDES Loopback Enable
+    output wire  powerdown_9,              //  Powerdown Enable
+    output wire  led_crs_9,                //  Carrier Sense
+    output wire  led_link_9,               //  Valid Link 
+    output wire  led_col_9,                //  Collision Indication
+    output wire  led_an_9,                 //  Auto-Negotiation Status
+    output wire  led_char_err_9,           //  Character Error
+    output wire  led_disp_err_9,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_9,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_9,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_9,            //  Start of Packet
+    output wire  data_rx_eop_9,            //  End of Packet
+    output wire  [7:0] data_rx_data_9,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_9,    //  Receive packet error
+    output wire  data_rx_valid_9,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_9,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_9,   //  Frame Type Indication
+    output wire  pkt_class_valid_9,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_9,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_9,     //  Data from FIFO transmit
+    input wire   data_tx_valid_9,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_9,            //  Start of Packet
+    input wire   data_tx_eop_9,            //  END of Packet
+    output wire  data_tx_ready_9,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_9,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_9,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_9,               //  Xoff Pause frame generate 
+    input wire   xon_gen_9,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_9,          //  Enable Sleep Mode
+    output wire  magic_wakeup_9,           //  Wake Up Request
+
+
+    // CHANNEL 10
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_10,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_10,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_10,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_10,         //  Transmit TBI Interface
+    output wire  sd_loopback_10,            //  SERDES Loopback Enable
+    output wire  powerdown_10,              //  Powerdown Enable
+    output wire  led_crs_10,                //  Carrier Sense
+    output wire  led_link_10,               //  Valid Link 
+    output wire  led_col_10,                //  Collision Indication
+    output wire  led_an_10,                 //  Auto-Negotiation Status
+    output wire  led_char_err_10,           //  Character Error
+    output wire  led_disp_err_10,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_10,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_10,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_10,            //  Start of Packet
+    output wire  data_rx_eop_10,            //  End of Packet
+    output wire  [7:0] data_rx_data_10,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_10,    //  Receive packet error
+    output wire  data_rx_valid_10,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_10,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_10,   //  Frame Type Indication
+    output wire  pkt_class_valid_10,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_10,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_10,     //  Data from FIFO transmit
+    input wire   data_tx_valid_10,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_10,            //  Start of Packet
+    input wire   data_tx_eop_10,            //  END of Packet
+    output wire  data_tx_ready_10,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_10,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_10,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_10,               //  Xoff Pause frame generate 
+    input wire   xon_gen_10,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_10,          //  Enable Sleep Mode
+    output wire  magic_wakeup_10,           //  Wake Up Request
+
+
+    // CHANNEL 11
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_11,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_11,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_11,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_11,         //  Transmit TBI Interface
+    output wire  sd_loopback_11,            //  SERDES Loopback Enable
+    output wire  powerdown_11,              //  Powerdown Enable
+    output wire  led_crs_11,                //  Carrier Sense
+    output wire  led_link_11,               //  Valid Link 
+    output wire  led_col_11,                //  Collision Indication
+    output wire  led_an_11,                 //  Auto-Negotiation Status
+    output wire  led_char_err_11,           //  Character Error
+    output wire  led_disp_err_11,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_11,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_11,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_11,            //  Start of Packet
+    output wire  data_rx_eop_11,            //  End of Packet
+    output wire  [7:0] data_rx_data_11,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_11,    //  Receive packet error
+    output wire  data_rx_valid_11,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_11,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_11,   //  Frame Type Indication
+    output wire  pkt_class_valid_11,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_11,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_11,     //  Data from FIFO transmit
+    input wire   data_tx_valid_11,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_11,            //  Start of Packet
+    input wire   data_tx_eop_11,            //  END of Packet
+    output wire  data_tx_ready_11,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_11,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_11,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_11,               //  Xoff Pause frame generate 
+    input wire   xon_gen_11,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_11,          //  Enable Sleep Mode
+    output wire  magic_wakeup_11,           //  Wake Up Request
+
+
+    // CHANNEL 12
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_12,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_12,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_12,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_12,         //  Transmit TBI Interface
+    output wire  sd_loopback_12,            //  SERDES Loopback Enable
+    output wire  powerdown_12,              //  Powerdown Enable
+    output wire  led_crs_12,                //  Carrier Sense
+    output wire  led_link_12,               //  Valid Link 
+    output wire  led_col_12,                //  Collision Indication
+    output wire  led_an_12,                 //  Auto-Negotiation Status
+    output wire  led_char_err_12,           //  Character Error
+    output wire  led_disp_err_12,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_12,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_12,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_12,            //  Start of Packet
+    output wire  data_rx_eop_12,            //  End of Packet
+    output wire  [7:0] data_rx_data_12,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_12,    //  Receive packet error
+    output wire  data_rx_valid_12,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_12,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_12,   //  Frame Type Indication
+    output wire  pkt_class_valid_12,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_12,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_12,     //  Data from FIFO transmit
+    input wire   data_tx_valid_12,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_12,            //  Start of Packet
+    input wire   data_tx_eop_12,            //  END of Packet
+    output wire  data_tx_ready_12,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_12,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_12,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_12,               //  Xoff Pause frame generate 
+    input wire   xon_gen_12,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_12,          //  Enable Sleep Mode
+    output wire  magic_wakeup_12,           //  Wake Up Request
+
+
+    // CHANNEL 13
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_13,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_13,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_13,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_13,         //  Transmit TBI Interface
+    output wire  sd_loopback_13,            //  SERDES Loopback Enable
+    output wire  powerdown_13,              //  Powerdown Enable
+    output wire  led_crs_13,                //  Carrier Sense
+    output wire  led_link_13,               //  Valid Link 
+    output wire  led_col_13,                //  Collision Indication
+    output wire  led_an_13,                 //  Auto-Negotiation Status
+    output wire  led_char_err_13,           //  Character Error
+    output wire  led_disp_err_13,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_13,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_13,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_13,            //  Start of Packet
+    output wire  data_rx_eop_13,            //  End of Packet
+    output wire  [7:0] data_rx_data_13,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_13,    //  Receive packet error
+    output wire  data_rx_valid_13,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_13,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_13,   //  Frame Type Indication
+    output wire  pkt_class_valid_13,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_13,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_13,     //  Data from FIFO transmit
+    input wire   data_tx_valid_13,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_13,            //  Start of Packet
+    input wire   data_tx_eop_13,            //  END of Packet
+    output wire  data_tx_ready_13,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_13,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_13,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_13,               //  Xoff Pause frame generate 
+    input wire   xon_gen_13,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_13,          //  Enable Sleep Mode
+    output wire  magic_wakeup_13,           //  Wake Up Request
+
+
+    // CHANNEL 14
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_14,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_14,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_14,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_14,         //  Transmit TBI Interface
+    output wire  sd_loopback_14,            //  SERDES Loopback Enable
+    output wire  powerdown_14,              //  Powerdown Enable
+    output wire  led_crs_14,                //  Carrier Sense
+    output wire  led_link_14,               //  Valid Link 
+    output wire  led_col_14,                //  Collision Indication
+    output wire  led_an_14,                 //  Auto-Negotiation Status
+    output wire  led_char_err_14,           //  Character Error
+    output wire  led_disp_err_14,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_14,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_14,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_14,            //  Start of Packet
+    output wire  data_rx_eop_14,            //  End of Packet
+    output wire  [7:0] data_rx_data_14,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_14,    //  Receive packet error
+    output wire  data_rx_valid_14,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_14,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_14,   //  Frame Type Indication
+    output wire  pkt_class_valid_14,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_14,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_14,     //  Data from FIFO transmit
+    input wire   data_tx_valid_14,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_14,            //  Start of Packet
+    input wire   data_tx_eop_14,            //  END of Packet
+    output wire  data_tx_ready_14,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_14,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_14,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_14,               //  Xoff Pause frame generate 
+    input wire   xon_gen_14,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_14,          //  Enable Sleep Mode
+    output wire  magic_wakeup_14,           //  Wake Up Request
+
+
+    // CHANNEL 15
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_15,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_15,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_15,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_15,         //  Transmit TBI Interface
+    output wire  sd_loopback_15,            //  SERDES Loopback Enable
+    output wire  powerdown_15,              //  Powerdown Enable
+    output wire  led_crs_15,                //  Carrier Sense
+    output wire  led_link_15,               //  Valid Link 
+    output wire  led_col_15,                //  Collision Indication
+    output wire  led_an_15,                 //  Auto-Negotiation Status
+    output wire  led_char_err_15,           //  Character Error
+    output wire  led_disp_err_15,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_15,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_15,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_15,            //  Start of Packet
+    output wire  data_rx_eop_15,            //  End of Packet
+    output wire  [7:0] data_rx_data_15,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_15,    //  Receive packet error
+    output wire  data_rx_valid_15,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_15,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_15,   //  Frame Type Indication
+    output wire  pkt_class_valid_15,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_15,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_15,     //  Data from FIFO transmit
+    input wire   data_tx_valid_15,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_15,            //  Start of Packet
+    input wire   data_tx_eop_15,            //  END of Packet
+    output wire  data_tx_ready_15,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_15,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_15,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_15,               //  Xoff Pause frame generate 
+    input wire   xon_gen_15,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_15,          //  Enable Sleep Mode
+    output wire  magic_wakeup_15,           //  Wake Up Request
+
+
+    // CHANNEL 16
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_16,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_16,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_16,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_16,         //  Transmit TBI Interface
+    output wire  sd_loopback_16,            //  SERDES Loopback Enable
+    output wire  powerdown_16,              //  Powerdown Enable
+    output wire  led_crs_16,                //  Carrier Sense
+    output wire  led_link_16,               //  Valid Link 
+    output wire  led_col_16,                //  Collision Indication
+    output wire  led_an_16,                 //  Auto-Negotiation Status
+    output wire  led_char_err_16,           //  Character Error
+    output wire  led_disp_err_16,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_16,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_16,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_16,            //  Start of Packet
+    output wire  data_rx_eop_16,            //  End of Packet
+    output wire  [7:0] data_rx_data_16,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_16,    //  Receive packet error
+    output wire  data_rx_valid_16,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_16,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_16,   //  Frame Type Indication
+    output wire  pkt_class_valid_16,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_16,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_16,     //  Data from FIFO transmit
+    input wire   data_tx_valid_16,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_16,            //  Start of Packet
+    input wire   data_tx_eop_16,            //  END of Packet
+    output wire  data_tx_ready_16,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_16,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_16,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_16,               //  Xoff Pause frame generate 
+    input wire   xon_gen_16,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_16,          //  Enable Sleep Mode
+    output wire  magic_wakeup_16,           //  Wake Up Request
+
+
+    // CHANNEL 17
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_17,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_17,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_17,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_17,         //  Transmit TBI Interface
+    output wire  sd_loopback_17,            //  SERDES Loopback Enable
+    output wire  powerdown_17,              //  Powerdown Enable
+    output wire  led_crs_17,                //  Carrier Sense
+    output wire  led_link_17,               //  Valid Link 
+    output wire  led_col_17,                //  Collision Indication
+    output wire  led_an_17,                 //  Auto-Negotiation Status
+    output wire  led_char_err_17,           //  Character Error
+    output wire  led_disp_err_17,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_17,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_17,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_17,            //  Start of Packet
+    output wire  data_rx_eop_17,            //  End of Packet
+    output wire  [7:0] data_rx_data_17,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_17,    //  Receive packet error
+    output wire  data_rx_valid_17,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_17,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_17,   //  Frame Type Indication
+    output wire  pkt_class_valid_17,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_17,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_17,     //  Data from FIFO transmit
+    input wire   data_tx_valid_17,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_17,            //  Start of Packet
+    input wire   data_tx_eop_17,            //  END of Packet
+    output wire  data_tx_ready_17,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_17,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_17,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_17,               //  Xoff Pause frame generate 
+    input wire   xon_gen_17,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_17,          //  Enable Sleep Mode
+    output wire  magic_wakeup_17,           //  Wake Up Request
+
+
+    // CHANNEL 18
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_18,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_18,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_18,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_18,         //  Transmit TBI Interface
+    output wire  sd_loopback_18,            //  SERDES Loopback Enable
+    output wire  powerdown_18,              //  Powerdown Enable
+    output wire  led_crs_18,                //  Carrier Sense
+    output wire  led_link_18,               //  Valid Link 
+    output wire  led_col_18,                //  Collision Indication
+    output wire  led_an_18,                 //  Auto-Negotiation Status
+    output wire  led_char_err_18,           //  Character Error
+    output wire  led_disp_err_18,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_18,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_18,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_18,            //  Start of Packet
+    output wire  data_rx_eop_18,            //  End of Packet
+    output wire  [7:0] data_rx_data_18,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_18,    //  Receive packet error
+    output wire  data_rx_valid_18,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_18,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_18,   //  Frame Type Indication
+    output wire  pkt_class_valid_18,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_18,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_18,     //  Data from FIFO transmit
+    input wire   data_tx_valid_18,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_18,            //  Start of Packet
+    input wire   data_tx_eop_18,            //  END of Packet
+    output wire  data_tx_ready_18,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_18,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_18,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_18,               //  Xoff Pause frame generate 
+    input wire   xon_gen_18,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_18,          //  Enable Sleep Mode
+    output wire  magic_wakeup_18,           //  Wake Up Request
+
+
+    // CHANNEL 19
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_19,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_19,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_19,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_19,         //  Transmit TBI Interface
+    output wire  sd_loopback_19,            //  SERDES Loopback Enable
+    output wire  powerdown_19,              //  Powerdown Enable
+    output wire  led_crs_19,                //  Carrier Sense
+    output wire  led_link_19,               //  Valid Link 
+    output wire  led_col_19,                //  Collision Indication
+    output wire  led_an_19,                 //  Auto-Negotiation Status
+    output wire  led_char_err_19,           //  Character Error
+    output wire  led_disp_err_19,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_19,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_19,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_19,            //  Start of Packet
+    output wire  data_rx_eop_19,            //  End of Packet
+    output wire  [7:0] data_rx_data_19,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_19,    //  Receive packet error
+    output wire  data_rx_valid_19,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_19,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_19,   //  Frame Type Indication
+    output wire  pkt_class_valid_19,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_19,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_19,     //  Data from FIFO transmit
+    input wire   data_tx_valid_19,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_19,            //  Start of Packet
+    input wire   data_tx_eop_19,            //  END of Packet
+    output wire  data_tx_ready_19,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_19,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_19,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_19,               //  Xoff Pause frame generate 
+    input wire   xon_gen_19,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_19,          //  Enable Sleep Mode
+    output wire  magic_wakeup_19,           //  Wake Up Request
+
+
+    // CHANNEL 20
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_20,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_20,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_20,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_20,         //  Transmit TBI Interface
+    output wire  sd_loopback_20,            //  SERDES Loopback Enable
+    output wire  powerdown_20,              //  Powerdown Enable
+    output wire  led_crs_20,                //  Carrier Sense
+    output wire  led_link_20,               //  Valid Link 
+    output wire  led_col_20,                //  Collision Indication
+    output wire  led_an_20,                 //  Auto-Negotiation Status
+    output wire  led_char_err_20,           //  Character Error
+    output wire  led_disp_err_20,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_20,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_20,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_20,            //  Start of Packet
+    output wire  data_rx_eop_20,            //  End of Packet
+    output wire  [7:0] data_rx_data_20,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_20,    //  Receive packet error
+    output wire  data_rx_valid_20,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_20,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_20,   //  Frame Type Indication
+    output wire  pkt_class_valid_20,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_20,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_20,     //  Data from FIFO transmit
+    input wire   data_tx_valid_20,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_20,            //  Start of Packet
+    input wire   data_tx_eop_20,            //  END of Packet
+    output wire  data_tx_ready_20,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_20,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_20,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_20,               //  Xoff Pause frame generate 
+    input wire   xon_gen_20,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_20,          //  Enable Sleep Mode
+    output wire  magic_wakeup_20,           //  Wake Up Request
+
+
+    // CHANNEL 21
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_21,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_21,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_21,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_21,         //  Transmit TBI Interface
+    output wire  sd_loopback_21,            //  SERDES Loopback Enable
+    output wire  powerdown_21,              //  Powerdown Enable
+    output wire  led_crs_21,                //  Carrier Sense
+    output wire  led_link_21,               //  Valid Link 
+    output wire  led_col_21,                //  Collision Indication
+    output wire  led_an_21,                 //  Auto-Negotiation Status
+    output wire  led_char_err_21,           //  Character Error
+    output wire  led_disp_err_21,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_21,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_21,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_21,            //  Start of Packet
+    output wire  data_rx_eop_21,            //  End of Packet
+    output wire  [7:0] data_rx_data_21,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_21,    //  Receive packet error
+    output wire  data_rx_valid_21,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_21,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_21,   //  Frame Type Indication
+    output wire  pkt_class_valid_21,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_21,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_21,     //  Data from FIFO transmit
+    input wire   data_tx_valid_21,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_21,            //  Start of Packet
+    input wire   data_tx_eop_21,            //  END of Packet
+    output wire  data_tx_ready_21,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_21,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_21,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_21,               //  Xoff Pause frame generate 
+    input wire   xon_gen_21,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_21,          //  Enable Sleep Mode
+    output wire  magic_wakeup_21,           //  Wake Up Request
+
+
+    // CHANNEL 22
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_22,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_22,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_22,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_22,         //  Transmit TBI Interface
+    output wire  sd_loopback_22,            //  SERDES Loopback Enable
+    output wire  powerdown_22,              //  Powerdown Enable
+    output wire  led_crs_22,                //  Carrier Sense
+    output wire  led_link_22,               //  Valid Link 
+    output wire  led_col_22,                //  Collision Indication
+    output wire  led_an_22,                 //  Auto-Negotiation Status
+    output wire  led_char_err_22,           //  Character Error
+    output wire  led_disp_err_22,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_22,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_22,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_22,            //  Start of Packet
+    output wire  data_rx_eop_22,            //  End of Packet
+    output wire  [7:0] data_rx_data_22,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_22,    //  Receive packet error
+    output wire  data_rx_valid_22,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_22,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_22,   //  Frame Type Indication
+    output wire  pkt_class_valid_22,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_22,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_22,     //  Data from FIFO transmit
+    input wire   data_tx_valid_22,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_22,            //  Start of Packet
+    input wire   data_tx_eop_22,            //  END of Packet
+    output wire  data_tx_ready_22,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_22,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_22,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_22,               //  Xoff Pause frame generate 
+    input wire   xon_gen_22,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_22,          //  Enable Sleep Mode
+    output wire  magic_wakeup_22,           //  Wake Up Request
+
+
+    // CHANNEL 23
+
+    // PCS SIGNALS TO PHY
+    input wire   tbi_rx_clk_23,             //  125MHz Recoved Clock
+    input wire   tbi_tx_clk_23,             //  125MHz Transmit Clock
+    input wire   [9:0] tbi_rx_d_23,         //  Non Aligned 10-Bit Characters
+    output wire  [9:0] tbi_tx_d_23,         //  Transmit TBI Interface
+    output wire  sd_loopback_23,            //  SERDES Loopback Enable
+    output wire  powerdown_23,              //  Powerdown Enable
+    output wire  led_crs_23,                //  Carrier Sense
+    output wire  led_link_23,               //  Valid Link 
+    output wire  led_col_23,                //  Collision Indication
+    output wire  led_an_23,                 //  Auto-Negotiation Status
+    output wire  led_char_err_23,           //  Character Error
+    output wire  led_disp_err_23,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_23,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_23,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_23,            //  Start of Packet
+    output wire  data_rx_eop_23,            //  End of Packet
+    output wire  [7:0] data_rx_data_23,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_23,    //  Receive packet error
+    output wire  data_rx_valid_23,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_23,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_23,   //  Frame Type Indication
+    output wire  pkt_class_valid_23,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_23,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_23,     //  Data from FIFO transmit
+    input wire   data_tx_valid_23,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_23,            //  Start of Packet
+    input wire   data_tx_eop_23,            //  END of Packet
+    output wire  data_tx_ready_23,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_23,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_23,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_23,               //  Xoff Pause frame generate 
+    input wire   xon_gen_23,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_23,          //  Enable Sleep Mode
+    output wire  magic_wakeup_23);          //  Wake Up Request
+
+
+    // Component instantiation
+
+    altera_tse_top_multi_mac_pcs U_MULTI_MAC_PCS(
+
+        .reset(reset),                            //INPUT  : ASYNCHRONOUS RESET - clk DOMAIN
+        .clk(clk),                                //INPUT  : CLOCK
+        .read(read),                              //INPUT  : REGISTER READ TRANSACTION
+        .write(write),                            //INPUT  : REGISTER WRITE TRANSACTION
+        .ref_clk(ref_clk),                        //INPUT  : REFERENCE CLOCK
+        .address(address),                        //INPUT  : REGISTER ADDRESS
+        .writedata(writedata),                    //INPUT  : REGISTER WRITE DATA
+        .readdata(readdata),                      //OUTPUT : REGISTER READ DATA
+        .waitrequest(waitrequest),                //OUTPUT : TRANSACTION BUSY, ACTIVE LOW
+        .mdc(mdc),                                //OUTPUT : MDIO Clock 
+        .mdio_out(mdio_out),                      //OUTPUT : Outgoing MDIO DATA
+        .mdio_in(mdio_in),                        //INPUT  : Incoming MDIO DATA       
+        .mdio_oen(mdio_oen),                      //OUTPUT : MDIO Output Enable
+        .mac_rx_clk(mac_rx_clk),                  //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk(mac_tx_clk),                  //OUTPUT : Av-ST Tx Clock
+	    .rx_afull_clk(rx_afull_clk),              //INPUT  : AFull Status Clock
+	    .rx_afull_data(rx_afull_data),            //INPUT  : AFull Status Data
+	    .rx_afull_valid(rx_afull_valid),          //INPUT  : AFull Status Valid
+	    .rx_afull_channel(rx_afull_channel),      //INPUT  : AFull Status Channel
+
+         // Channel 0 
+            
+        .tbi_rx_clk_0(tbi_rx_clk_0),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_0(tbi_tx_clk_0),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_0(tbi_rx_d_0),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_0(tbi_tx_d_0),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_0(sd_loopback_0),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_0(powerdown_0),                //OUTPUT : Powerdown Enable
+        .led_col_0(led_col_0),                    //OUTPUT : Collision Indication
+        .led_an_0(led_an_0),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_0(led_char_err_0),          //OUTPUT : Character error
+        .led_disp_err_0(led_disp_err_0),          //OUTPUT : Disparity error
+        .led_crs_0(led_crs_0),                    //OUTPUT : Carrier sense
+        .led_link_0(led_link_0),                  //OUTPUT : Valid link    
+        .mac_rx_clk_0(mac_rx_clk_0),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_0(mac_tx_clk_0),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_0(data_rx_sop_0),            //OUTPUT : Start of Packet
+        .data_rx_eop_0(data_rx_eop_0),            //OUTPUT : End of Packet
+        .data_rx_data_0(data_rx_data_0),          //OUTPUT : Data from FIFO
+        .data_rx_error_0(data_rx_error_0),        //OUTPUT : Receive packet error
+        .data_rx_valid_0(data_rx_valid_0),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_0(data_rx_ready_0),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_0(pkt_class_data_0),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_0(pkt_class_valid_0),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_0(data_tx_error_0),        //INPUT  : Status
+        .data_tx_data_0(data_tx_data_0),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_0(data_tx_valid_0),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_0(data_tx_sop_0),            //INPUT  : Start of Packet
+        .data_tx_eop_0(data_tx_eop_0),            //INPUT  : End of Packet
+        .data_tx_ready_0(data_tx_ready_0),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_0(tx_ff_uflow_0),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_0(tx_crc_fwd_0),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_0(xoff_gen_0),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_0(xon_gen_0),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_0(magic_sleep_n_0),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_0(magic_wakeup_0),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 1 
+            
+        .tbi_rx_clk_1(tbi_rx_clk_1),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_1(tbi_tx_clk_1),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_1(tbi_rx_d_1),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_1(tbi_tx_d_1),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_1(sd_loopback_1),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_1(powerdown_1),                //OUTPUT : Powerdown Enable
+        .led_col_1(led_col_1),                    //OUTPUT : Collision Indication
+        .led_an_1(led_an_1),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_1(led_char_err_1),          //OUTPUT : Character error
+        .led_disp_err_1(led_disp_err_1),          //OUTPUT : Disparity error
+        .led_crs_1(led_crs_1),                    //OUTPUT : Carrier sense
+        .led_link_1(led_link_1),                  //OUTPUT : Valid link    
+        .mac_rx_clk_1(mac_rx_clk_1),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_1(mac_tx_clk_1),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_1(data_rx_sop_1),            //OUTPUT : Start of Packet
+        .data_rx_eop_1(data_rx_eop_1),            //OUTPUT : End of Packet
+        .data_rx_data_1(data_rx_data_1),          //OUTPUT : Data from FIFO
+        .data_rx_error_1(data_rx_error_1),        //OUTPUT : Receive packet error
+        .data_rx_valid_1(data_rx_valid_1),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_1(data_rx_ready_1),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_1(pkt_class_data_1),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_1(pkt_class_valid_1),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_1(data_tx_error_1),        //INPUT  : Status
+        .data_tx_data_1(data_tx_data_1),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_1(data_tx_valid_1),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_1(data_tx_sop_1),            //INPUT  : Start of Packet
+        .data_tx_eop_1(data_tx_eop_1),            //INPUT  : End of Packet
+        .data_tx_ready_1(data_tx_ready_1),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_1(tx_ff_uflow_1),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_1(tx_crc_fwd_1),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_1(xoff_gen_1),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_1(xon_gen_1),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_1(magic_sleep_n_1),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_1(magic_wakeup_1),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 2 
+            
+        .tbi_rx_clk_2(tbi_rx_clk_2),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_2(tbi_tx_clk_2),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_2(tbi_rx_d_2),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_2(tbi_tx_d_2),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_2(sd_loopback_2),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_2(powerdown_2),                //OUTPUT : Powerdown Enable
+        .led_col_2(led_col_2),                    //OUTPUT : Collision Indication
+        .led_an_2(led_an_2),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_2(led_char_err_2),          //OUTPUT : Character error
+        .led_disp_err_2(led_disp_err_2),          //OUTPUT : Disparity error
+        .led_crs_2(led_crs_2),                    //OUTPUT : Carrier sense
+        .led_link_2(led_link_2),                  //OUTPUT : Valid link    
+        .mac_rx_clk_2(mac_rx_clk_2),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_2(mac_tx_clk_2),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_2(data_rx_sop_2),            //OUTPUT : Start of Packet
+        .data_rx_eop_2(data_rx_eop_2),            //OUTPUT : End of Packet
+        .data_rx_data_2(data_rx_data_2),          //OUTPUT : Data from FIFO
+        .data_rx_error_2(data_rx_error_2),        //OUTPUT : Receive packet error
+        .data_rx_valid_2(data_rx_valid_2),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_2(data_rx_ready_2),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_2(pkt_class_data_2),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_2(pkt_class_valid_2),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_2(data_tx_error_2),        //INPUT  : Status
+        .data_tx_data_2(data_tx_data_2),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_2(data_tx_valid_2),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_2(data_tx_sop_2),            //INPUT  : Start of Packet
+        .data_tx_eop_2(data_tx_eop_2),            //INPUT  : End of Packet
+        .data_tx_ready_2(data_tx_ready_2),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_2(tx_ff_uflow_2),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_2(tx_crc_fwd_2),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_2(xoff_gen_2),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_2(xon_gen_2),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_2(magic_sleep_n_2),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_2(magic_wakeup_2),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 3 
+            
+        .tbi_rx_clk_3(tbi_rx_clk_3),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_3(tbi_tx_clk_3),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_3(tbi_rx_d_3),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_3(tbi_tx_d_3),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_3(sd_loopback_3),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_3(powerdown_3),                //OUTPUT : Powerdown Enable
+        .led_col_3(led_col_3),                    //OUTPUT : Collision Indication
+        .led_an_3(led_an_3),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_3(led_char_err_3),          //OUTPUT : Character error
+        .led_disp_err_3(led_disp_err_3),          //OUTPUT : Disparity error
+        .led_crs_3(led_crs_3),                    //OUTPUT : Carrier sense
+        .led_link_3(led_link_3),                  //OUTPUT : Valid link    
+        .mac_rx_clk_3(mac_rx_clk_3),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_3(mac_tx_clk_3),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_3(data_rx_sop_3),            //OUTPUT : Start of Packet
+        .data_rx_eop_3(data_rx_eop_3),            //OUTPUT : End of Packet
+        .data_rx_data_3(data_rx_data_3),          //OUTPUT : Data from FIFO
+        .data_rx_error_3(data_rx_error_3),        //OUTPUT : Receive packet error
+        .data_rx_valid_3(data_rx_valid_3),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_3(data_rx_ready_3),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_3(pkt_class_data_3),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_3(pkt_class_valid_3),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_3(data_tx_error_3),        //INPUT  : Status
+        .data_tx_data_3(data_tx_data_3),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_3(data_tx_valid_3),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_3(data_tx_sop_3),            //INPUT  : Start of Packet
+        .data_tx_eop_3(data_tx_eop_3),            //INPUT  : End of Packet
+        .data_tx_ready_3(data_tx_ready_3),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_3(tx_ff_uflow_3),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_3(tx_crc_fwd_3),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_3(xoff_gen_3),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_3(xon_gen_3),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_3(magic_sleep_n_3),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_3(magic_wakeup_3),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 4 
+            
+        .tbi_rx_clk_4(tbi_rx_clk_4),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_4(tbi_tx_clk_4),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_4(tbi_rx_d_4),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_4(tbi_tx_d_4),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_4(sd_loopback_4),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_4(powerdown_4),                //OUTPUT : Powerdown Enable
+        .led_col_4(led_col_4),                    //OUTPUT : Collision Indication
+        .led_an_4(led_an_4),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_4(led_char_err_4),          //OUTPUT : Character error
+        .led_disp_err_4(led_disp_err_4),          //OUTPUT : Disparity error
+        .led_crs_4(led_crs_4),                    //OUTPUT : Carrier sense
+        .led_link_4(led_link_4),                  //OUTPUT : Valid link    
+        .mac_rx_clk_4(mac_rx_clk_4),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_4(mac_tx_clk_4),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_4(data_rx_sop_4),            //OUTPUT : Start of Packet
+        .data_rx_eop_4(data_rx_eop_4),            //OUTPUT : End of Packet
+        .data_rx_data_4(data_rx_data_4),          //OUTPUT : Data from FIFO
+        .data_rx_error_4(data_rx_error_4),        //OUTPUT : Receive packet error
+        .data_rx_valid_4(data_rx_valid_4),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_4(data_rx_ready_4),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_4(pkt_class_data_4),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_4(pkt_class_valid_4),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_4(data_tx_error_4),        //INPUT  : Status
+        .data_tx_data_4(data_tx_data_4),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_4(data_tx_valid_4),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_4(data_tx_sop_4),            //INPUT  : Start of Packet
+        .data_tx_eop_4(data_tx_eop_4),            //INPUT  : End of Packet
+        .data_tx_ready_4(data_tx_ready_4),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_4(tx_ff_uflow_4),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_4(tx_crc_fwd_4),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_4(xoff_gen_4),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_4(xon_gen_4),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_4(magic_sleep_n_4),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_4(magic_wakeup_4),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 5 
+            
+        .tbi_rx_clk_5(tbi_rx_clk_5),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_5(tbi_tx_clk_5),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_5(tbi_rx_d_5),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_5(tbi_tx_d_5),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_5(sd_loopback_5),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_5(powerdown_5),                //OUTPUT : Powerdown Enable
+        .led_col_5(led_col_5),                    //OUTPUT : Collision Indication
+        .led_an_5(led_an_5),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_5(led_char_err_5),          //OUTPUT : Character error
+        .led_disp_err_5(led_disp_err_5),          //OUTPUT : Disparity error
+        .led_crs_5(led_crs_5),                    //OUTPUT : Carrier sense
+        .led_link_5(led_link_5),                  //OUTPUT : Valid link    
+        .mac_rx_clk_5(mac_rx_clk_5),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_5(mac_tx_clk_5),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_5(data_rx_sop_5),            //OUTPUT : Start of Packet
+        .data_rx_eop_5(data_rx_eop_5),            //OUTPUT : End of Packet
+        .data_rx_data_5(data_rx_data_5),          //OUTPUT : Data from FIFO
+        .data_rx_error_5(data_rx_error_5),        //OUTPUT : Receive packet error
+        .data_rx_valid_5(data_rx_valid_5),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_5(data_rx_ready_5),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_5(pkt_class_data_5),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_5(pkt_class_valid_5),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_5(data_tx_error_5),        //INPUT  : Status
+        .data_tx_data_5(data_tx_data_5),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_5(data_tx_valid_5),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_5(data_tx_sop_5),            //INPUT  : Start of Packet
+        .data_tx_eop_5(data_tx_eop_5),            //INPUT  : End of Packet
+        .data_tx_ready_5(data_tx_ready_5),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_5(tx_ff_uflow_5),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_5(tx_crc_fwd_5),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_5(xoff_gen_5),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_5(xon_gen_5),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_5(magic_sleep_n_5),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_5(magic_wakeup_5),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 6 
+            
+        .tbi_rx_clk_6(tbi_rx_clk_6),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_6(tbi_tx_clk_6),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_6(tbi_rx_d_6),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_6(tbi_tx_d_6),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_6(sd_loopback_6),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_6(powerdown_6),                //OUTPUT : Powerdown Enable
+        .led_col_6(led_col_6),                    //OUTPUT : Collision Indication
+        .led_an_6(led_an_6),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_6(led_char_err_6),          //OUTPUT : Character error
+        .led_disp_err_6(led_disp_err_6),          //OUTPUT : Disparity error
+        .led_crs_6(led_crs_6),                    //OUTPUT : Carrier sense
+        .led_link_6(led_link_6),                  //OUTPUT : Valid link    
+        .mac_rx_clk_6(mac_rx_clk_6),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_6(mac_tx_clk_6),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_6(data_rx_sop_6),            //OUTPUT : Start of Packet
+        .data_rx_eop_6(data_rx_eop_6),            //OUTPUT : End of Packet
+        .data_rx_data_6(data_rx_data_6),          //OUTPUT : Data from FIFO
+        .data_rx_error_6(data_rx_error_6),        //OUTPUT : Receive packet error
+        .data_rx_valid_6(data_rx_valid_6),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_6(data_rx_ready_6),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_6(pkt_class_data_6),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_6(pkt_class_valid_6),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_6(data_tx_error_6),        //INPUT  : Status
+        .data_tx_data_6(data_tx_data_6),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_6(data_tx_valid_6),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_6(data_tx_sop_6),            //INPUT  : Start of Packet
+        .data_tx_eop_6(data_tx_eop_6),            //INPUT  : End of Packet
+        .data_tx_ready_6(data_tx_ready_6),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_6(tx_ff_uflow_6),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_6(tx_crc_fwd_6),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_6(xoff_gen_6),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_6(xon_gen_6),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_6(magic_sleep_n_6),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_6(magic_wakeup_6),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 7 
+            
+        .tbi_rx_clk_7(tbi_rx_clk_7),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_7(tbi_tx_clk_7),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_7(tbi_rx_d_7),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_7(tbi_tx_d_7),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_7(sd_loopback_7),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_7(powerdown_7),                //OUTPUT : Powerdown Enable
+        .led_col_7(led_col_7),                    //OUTPUT : Collision Indication
+        .led_an_7(led_an_7),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_7(led_char_err_7),          //OUTPUT : Character error
+        .led_disp_err_7(led_disp_err_7),          //OUTPUT : Disparity error
+        .led_crs_7(led_crs_7),                    //OUTPUT : Carrier sense
+        .led_link_7(led_link_7),                  //OUTPUT : Valid link    
+        .mac_rx_clk_7(mac_rx_clk_7),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_7(mac_tx_clk_7),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_7(data_rx_sop_7),            //OUTPUT : Start of Packet
+        .data_rx_eop_7(data_rx_eop_7),            //OUTPUT : End of Packet
+        .data_rx_data_7(data_rx_data_7),          //OUTPUT : Data from FIFO
+        .data_rx_error_7(data_rx_error_7),        //OUTPUT : Receive packet error
+        .data_rx_valid_7(data_rx_valid_7),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_7(data_rx_ready_7),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_7(pkt_class_data_7),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_7(pkt_class_valid_7),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_7(data_tx_error_7),        //INPUT  : Status
+        .data_tx_data_7(data_tx_data_7),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_7(data_tx_valid_7),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_7(data_tx_sop_7),            //INPUT  : Start of Packet
+        .data_tx_eop_7(data_tx_eop_7),            //INPUT  : End of Packet
+        .data_tx_ready_7(data_tx_ready_7),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_7(tx_ff_uflow_7),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_7(tx_crc_fwd_7),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_7(xoff_gen_7),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_7(xon_gen_7),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_7(magic_sleep_n_7),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_7(magic_wakeup_7),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 8 
+            
+        .tbi_rx_clk_8(tbi_rx_clk_8),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_8(tbi_tx_clk_8),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_8(tbi_rx_d_8),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_8(tbi_tx_d_8),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_8(sd_loopback_8),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_8(powerdown_8),                //OUTPUT : Powerdown Enable
+        .led_col_8(led_col_8),                    //OUTPUT : Collision Indication
+        .led_an_8(led_an_8),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_8(led_char_err_8),          //OUTPUT : Character error
+        .led_disp_err_8(led_disp_err_8),          //OUTPUT : Disparity error
+        .led_crs_8(led_crs_8),                    //OUTPUT : Carrier sense
+        .led_link_8(led_link_8),                  //OUTPUT : Valid link    
+        .mac_rx_clk_8(mac_rx_clk_8),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_8(mac_tx_clk_8),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_8(data_rx_sop_8),            //OUTPUT : Start of Packet
+        .data_rx_eop_8(data_rx_eop_8),            //OUTPUT : End of Packet
+        .data_rx_data_8(data_rx_data_8),          //OUTPUT : Data from FIFO
+        .data_rx_error_8(data_rx_error_8),        //OUTPUT : Receive packet error
+        .data_rx_valid_8(data_rx_valid_8),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_8(data_rx_ready_8),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_8(pkt_class_data_8),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_8(pkt_class_valid_8),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_8(data_tx_error_8),        //INPUT  : Status
+        .data_tx_data_8(data_tx_data_8),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_8(data_tx_valid_8),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_8(data_tx_sop_8),            //INPUT  : Start of Packet
+        .data_tx_eop_8(data_tx_eop_8),            //INPUT  : End of Packet
+        .data_tx_ready_8(data_tx_ready_8),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_8(tx_ff_uflow_8),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_8(tx_crc_fwd_8),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_8(xoff_gen_8),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_8(xon_gen_8),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_8(magic_sleep_n_8),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_8(magic_wakeup_8),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 9 
+            
+        .tbi_rx_clk_9(tbi_rx_clk_9),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_9(tbi_tx_clk_9),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_9(tbi_rx_d_9),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_9(tbi_tx_d_9),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_9(sd_loopback_9),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_9(powerdown_9),                //OUTPUT : Powerdown Enable
+        .led_col_9(led_col_9),                    //OUTPUT : Collision Indication
+        .led_an_9(led_an_9),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_9(led_char_err_9),          //OUTPUT : Character error
+        .led_disp_err_9(led_disp_err_9),          //OUTPUT : Disparity error
+        .led_crs_9(led_crs_9),                    //OUTPUT : Carrier sense
+        .led_link_9(led_link_9),                  //OUTPUT : Valid link    
+        .mac_rx_clk_9(mac_rx_clk_9),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_9(mac_tx_clk_9),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_9(data_rx_sop_9),            //OUTPUT : Start of Packet
+        .data_rx_eop_9(data_rx_eop_9),            //OUTPUT : End of Packet
+        .data_rx_data_9(data_rx_data_9),          //OUTPUT : Data from FIFO
+        .data_rx_error_9(data_rx_error_9),        //OUTPUT : Receive packet error
+        .data_rx_valid_9(data_rx_valid_9),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_9(data_rx_ready_9),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_9(pkt_class_data_9),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_9(pkt_class_valid_9),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_9(data_tx_error_9),        //INPUT  : Status
+        .data_tx_data_9(data_tx_data_9),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_9(data_tx_valid_9),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_9(data_tx_sop_9),            //INPUT  : Start of Packet
+        .data_tx_eop_9(data_tx_eop_9),            //INPUT  : End of Packet
+        .data_tx_ready_9(data_tx_ready_9),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_9(tx_ff_uflow_9),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_9(tx_crc_fwd_9),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_9(xoff_gen_9),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_9(xon_gen_9),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_9(magic_sleep_n_9),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_9(magic_wakeup_9),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 10 
+            
+        .tbi_rx_clk_10(tbi_rx_clk_10),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_10(tbi_tx_clk_10),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_10(tbi_rx_d_10),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_10(tbi_tx_d_10),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_10(sd_loopback_10),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_10(powerdown_10),                //OUTPUT : Powerdown Enable
+        .led_col_10(led_col_10),                    //OUTPUT : Collision Indication
+        .led_an_10(led_an_10),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_10(led_char_err_10),          //OUTPUT : Character error
+        .led_disp_err_10(led_disp_err_10),          //OUTPUT : Disparity error
+        .led_crs_10(led_crs_10),                    //OUTPUT : Carrier sense
+        .led_link_10(led_link_10),                  //OUTPUT : Valid link    
+        .mac_rx_clk_10(mac_rx_clk_10),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_10(mac_tx_clk_10),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_10(data_rx_sop_10),            //OUTPUT : Start of Packet
+        .data_rx_eop_10(data_rx_eop_10),            //OUTPUT : End of Packet
+        .data_rx_data_10(data_rx_data_10),          //OUTPUT : Data from FIFO
+        .data_rx_error_10(data_rx_error_10),        //OUTPUT : Receive packet error
+        .data_rx_valid_10(data_rx_valid_10),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_10(data_rx_ready_10),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_10(pkt_class_data_10),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_10(pkt_class_valid_10),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_10(data_tx_error_10),        //INPUT  : Status
+        .data_tx_data_10(data_tx_data_10),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_10(data_tx_valid_10),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_10(data_tx_sop_10),            //INPUT  : Start of Packet
+        .data_tx_eop_10(data_tx_eop_10),            //INPUT  : End of Packet
+        .data_tx_ready_10(data_tx_ready_10),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_10(tx_ff_uflow_10),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_10(tx_crc_fwd_10),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_10(xoff_gen_10),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_10(xon_gen_10),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_10(magic_sleep_n_10),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_10(magic_wakeup_10),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 11 
+            
+        .tbi_rx_clk_11(tbi_rx_clk_11),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_11(tbi_tx_clk_11),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_11(tbi_rx_d_11),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_11(tbi_tx_d_11),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_11(sd_loopback_11),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_11(powerdown_11),                //OUTPUT : Powerdown Enable
+        .led_col_11(led_col_11),                    //OUTPUT : Collision Indication
+        .led_an_11(led_an_11),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_11(led_char_err_11),          //OUTPUT : Character error
+        .led_disp_err_11(led_disp_err_11),          //OUTPUT : Disparity error
+        .led_crs_11(led_crs_11),                    //OUTPUT : Carrier sense
+        .led_link_11(led_link_11),                  //OUTPUT : Valid link    
+        .mac_rx_clk_11(mac_rx_clk_11),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_11(mac_tx_clk_11),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_11(data_rx_sop_11),            //OUTPUT : Start of Packet
+        .data_rx_eop_11(data_rx_eop_11),            //OUTPUT : End of Packet
+        .data_rx_data_11(data_rx_data_11),          //OUTPUT : Data from FIFO
+        .data_rx_error_11(data_rx_error_11),        //OUTPUT : Receive packet error
+        .data_rx_valid_11(data_rx_valid_11),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_11(data_rx_ready_11),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_11(pkt_class_data_11),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_11(pkt_class_valid_11),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_11(data_tx_error_11),        //INPUT  : Status
+        .data_tx_data_11(data_tx_data_11),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_11(data_tx_valid_11),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_11(data_tx_sop_11),            //INPUT  : Start of Packet
+        .data_tx_eop_11(data_tx_eop_11),            //INPUT  : End of Packet
+        .data_tx_ready_11(data_tx_ready_11),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_11(tx_ff_uflow_11),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_11(tx_crc_fwd_11),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_11(xoff_gen_11),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_11(xon_gen_11),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_11(magic_sleep_n_11),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_11(magic_wakeup_11),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 12 
+            
+        .tbi_rx_clk_12(tbi_rx_clk_12),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_12(tbi_tx_clk_12),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_12(tbi_rx_d_12),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_12(tbi_tx_d_12),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_12(sd_loopback_12),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_12(powerdown_12),                //OUTPUT : Powerdown Enable
+        .led_col_12(led_col_12),                    //OUTPUT : Collision Indication
+        .led_an_12(led_an_12),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_12(led_char_err_12),          //OUTPUT : Character error
+        .led_disp_err_12(led_disp_err_12),          //OUTPUT : Disparity error
+        .led_crs_12(led_crs_12),                    //OUTPUT : Carrier sense
+        .led_link_12(led_link_12),                  //OUTPUT : Valid link    
+        .mac_rx_clk_12(mac_rx_clk_12),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_12(mac_tx_clk_12),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_12(data_rx_sop_12),            //OUTPUT : Start of Packet
+        .data_rx_eop_12(data_rx_eop_12),            //OUTPUT : End of Packet
+        .data_rx_data_12(data_rx_data_12),          //OUTPUT : Data from FIFO
+        .data_rx_error_12(data_rx_error_12),        //OUTPUT : Receive packet error
+        .data_rx_valid_12(data_rx_valid_12),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_12(data_rx_ready_12),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_12(pkt_class_data_12),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_12(pkt_class_valid_12),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_12(data_tx_error_12),        //INPUT  : Status
+        .data_tx_data_12(data_tx_data_12),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_12(data_tx_valid_12),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_12(data_tx_sop_12),            //INPUT  : Start of Packet
+        .data_tx_eop_12(data_tx_eop_12),            //INPUT  : End of Packet
+        .data_tx_ready_12(data_tx_ready_12),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_12(tx_ff_uflow_12),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_12(tx_crc_fwd_12),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_12(xoff_gen_12),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_12(xon_gen_12),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_12(magic_sleep_n_12),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_12(magic_wakeup_12),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 13 
+            
+        .tbi_rx_clk_13(tbi_rx_clk_13),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_13(tbi_tx_clk_13),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_13(tbi_rx_d_13),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_13(tbi_tx_d_13),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_13(sd_loopback_13),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_13(powerdown_13),                //OUTPUT : Powerdown Enable
+        .led_col_13(led_col_13),                    //OUTPUT : Collision Indication
+        .led_an_13(led_an_13),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_13(led_char_err_13),          //OUTPUT : Character error
+        .led_disp_err_13(led_disp_err_13),          //OUTPUT : Disparity error
+        .led_crs_13(led_crs_13),                    //OUTPUT : Carrier sense
+        .led_link_13(led_link_13),                  //OUTPUT : Valid link    
+        .mac_rx_clk_13(mac_rx_clk_13),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_13(mac_tx_clk_13),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_13(data_rx_sop_13),            //OUTPUT : Start of Packet
+        .data_rx_eop_13(data_rx_eop_13),            //OUTPUT : End of Packet
+        .data_rx_data_13(data_rx_data_13),          //OUTPUT : Data from FIFO
+        .data_rx_error_13(data_rx_error_13),        //OUTPUT : Receive packet error
+        .data_rx_valid_13(data_rx_valid_13),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_13(data_rx_ready_13),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_13(pkt_class_data_13),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_13(pkt_class_valid_13),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_13(data_tx_error_13),        //INPUT  : Status
+        .data_tx_data_13(data_tx_data_13),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_13(data_tx_valid_13),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_13(data_tx_sop_13),            //INPUT  : Start of Packet
+        .data_tx_eop_13(data_tx_eop_13),            //INPUT  : End of Packet
+        .data_tx_ready_13(data_tx_ready_13),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_13(tx_ff_uflow_13),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_13(tx_crc_fwd_13),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_13(xoff_gen_13),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_13(xon_gen_13),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_13(magic_sleep_n_13),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_13(magic_wakeup_13),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 14 
+            
+        .tbi_rx_clk_14(tbi_rx_clk_14),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_14(tbi_tx_clk_14),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_14(tbi_rx_d_14),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_14(tbi_tx_d_14),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_14(sd_loopback_14),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_14(powerdown_14),                //OUTPUT : Powerdown Enable
+        .led_col_14(led_col_14),                    //OUTPUT : Collision Indication
+        .led_an_14(led_an_14),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_14(led_char_err_14),          //OUTPUT : Character error
+        .led_disp_err_14(led_disp_err_14),          //OUTPUT : Disparity error
+        .led_crs_14(led_crs_14),                    //OUTPUT : Carrier sense
+        .led_link_14(led_link_14),                  //OUTPUT : Valid link    
+        .mac_rx_clk_14(mac_rx_clk_14),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_14(mac_tx_clk_14),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_14(data_rx_sop_14),            //OUTPUT : Start of Packet
+        .data_rx_eop_14(data_rx_eop_14),            //OUTPUT : End of Packet
+        .data_rx_data_14(data_rx_data_14),          //OUTPUT : Data from FIFO
+        .data_rx_error_14(data_rx_error_14),        //OUTPUT : Receive packet error
+        .data_rx_valid_14(data_rx_valid_14),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_14(data_rx_ready_14),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_14(pkt_class_data_14),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_14(pkt_class_valid_14),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_14(data_tx_error_14),        //INPUT  : Status
+        .data_tx_data_14(data_tx_data_14),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_14(data_tx_valid_14),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_14(data_tx_sop_14),            //INPUT  : Start of Packet
+        .data_tx_eop_14(data_tx_eop_14),            //INPUT  : End of Packet
+        .data_tx_ready_14(data_tx_ready_14),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_14(tx_ff_uflow_14),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_14(tx_crc_fwd_14),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_14(xoff_gen_14),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_14(xon_gen_14),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_14(magic_sleep_n_14),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_14(magic_wakeup_14),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 15 
+            
+        .tbi_rx_clk_15(tbi_rx_clk_15),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_15(tbi_tx_clk_15),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_15(tbi_rx_d_15),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_15(tbi_tx_d_15),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_15(sd_loopback_15),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_15(powerdown_15),                //OUTPUT : Powerdown Enable
+        .led_col_15(led_col_15),                    //OUTPUT : Collision Indication
+        .led_an_15(led_an_15),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_15(led_char_err_15),          //OUTPUT : Character error
+        .led_disp_err_15(led_disp_err_15),          //OUTPUT : Disparity error
+        .led_crs_15(led_crs_15),                    //OUTPUT : Carrier sense
+        .led_link_15(led_link_15),                  //OUTPUT : Valid link    
+        .mac_rx_clk_15(mac_rx_clk_15),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_15(mac_tx_clk_15),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_15(data_rx_sop_15),            //OUTPUT : Start of Packet
+        .data_rx_eop_15(data_rx_eop_15),            //OUTPUT : End of Packet
+        .data_rx_data_15(data_rx_data_15),          //OUTPUT : Data from FIFO
+        .data_rx_error_15(data_rx_error_15),        //OUTPUT : Receive packet error
+        .data_rx_valid_15(data_rx_valid_15),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_15(data_rx_ready_15),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_15(pkt_class_data_15),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_15(pkt_class_valid_15),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_15(data_tx_error_15),        //INPUT  : Status
+        .data_tx_data_15(data_tx_data_15),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_15(data_tx_valid_15),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_15(data_tx_sop_15),            //INPUT  : Start of Packet
+        .data_tx_eop_15(data_tx_eop_15),            //INPUT  : End of Packet
+        .data_tx_ready_15(data_tx_ready_15),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_15(tx_ff_uflow_15),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_15(tx_crc_fwd_15),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_15(xoff_gen_15),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_15(xon_gen_15),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_15(magic_sleep_n_15),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_15(magic_wakeup_15),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 16 
+            
+        .tbi_rx_clk_16(tbi_rx_clk_16),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_16(tbi_tx_clk_16),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_16(tbi_rx_d_16),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_16(tbi_tx_d_16),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_16(sd_loopback_16),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_16(powerdown_16),                //OUTPUT : Powerdown Enable
+        .led_col_16(led_col_16),                    //OUTPUT : Collision Indication
+        .led_an_16(led_an_16),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_16(led_char_err_16),          //OUTPUT : Character error
+        .led_disp_err_16(led_disp_err_16),          //OUTPUT : Disparity error
+        .led_crs_16(led_crs_16),                    //OUTPUT : Carrier sense
+        .led_link_16(led_link_16),                  //OUTPUT : Valid link    
+        .mac_rx_clk_16(mac_rx_clk_16),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_16(mac_tx_clk_16),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_16(data_rx_sop_16),            //OUTPUT : Start of Packet
+        .data_rx_eop_16(data_rx_eop_16),            //OUTPUT : End of Packet
+        .data_rx_data_16(data_rx_data_16),          //OUTPUT : Data from FIFO
+        .data_rx_error_16(data_rx_error_16),        //OUTPUT : Receive packet error
+        .data_rx_valid_16(data_rx_valid_16),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_16(data_rx_ready_16),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_16(pkt_class_data_16),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_16(pkt_class_valid_16),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_16(data_tx_error_16),        //INPUT  : Status
+        .data_tx_data_16(data_tx_data_16),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_16(data_tx_valid_16),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_16(data_tx_sop_16),            //INPUT  : Start of Packet
+        .data_tx_eop_16(data_tx_eop_16),            //INPUT  : End of Packet
+        .data_tx_ready_16(data_tx_ready_16),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_16(tx_ff_uflow_16),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_16(tx_crc_fwd_16),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_16(xoff_gen_16),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_16(xon_gen_16),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_16(magic_sleep_n_16),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_16(magic_wakeup_16),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 17 
+            
+        .tbi_rx_clk_17(tbi_rx_clk_17),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_17(tbi_tx_clk_17),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_17(tbi_rx_d_17),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_17(tbi_tx_d_17),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_17(sd_loopback_17),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_17(powerdown_17),                //OUTPUT : Powerdown Enable
+        .led_col_17(led_col_17),                    //OUTPUT : Collision Indication
+        .led_an_17(led_an_17),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_17(led_char_err_17),          //OUTPUT : Character error
+        .led_disp_err_17(led_disp_err_17),          //OUTPUT : Disparity error
+        .led_crs_17(led_crs_17),                    //OUTPUT : Carrier sense
+        .led_link_17(led_link_17),                  //OUTPUT : Valid link    
+        .mac_rx_clk_17(mac_rx_clk_17),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_17(mac_tx_clk_17),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_17(data_rx_sop_17),            //OUTPUT : Start of Packet
+        .data_rx_eop_17(data_rx_eop_17),            //OUTPUT : End of Packet
+        .data_rx_data_17(data_rx_data_17),          //OUTPUT : Data from FIFO
+        .data_rx_error_17(data_rx_error_17),        //OUTPUT : Receive packet error
+        .data_rx_valid_17(data_rx_valid_17),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_17(data_rx_ready_17),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_17(pkt_class_data_17),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_17(pkt_class_valid_17),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_17(data_tx_error_17),        //INPUT  : Status
+        .data_tx_data_17(data_tx_data_17),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_17(data_tx_valid_17),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_17(data_tx_sop_17),            //INPUT  : Start of Packet
+        .data_tx_eop_17(data_tx_eop_17),            //INPUT  : End of Packet
+        .data_tx_ready_17(data_tx_ready_17),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_17(tx_ff_uflow_17),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_17(tx_crc_fwd_17),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_17(xoff_gen_17),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_17(xon_gen_17),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_17(magic_sleep_n_17),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_17(magic_wakeup_17),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 18 
+            
+        .tbi_rx_clk_18(tbi_rx_clk_18),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_18(tbi_tx_clk_18),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_18(tbi_rx_d_18),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_18(tbi_tx_d_18),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_18(sd_loopback_18),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_18(powerdown_18),                //OUTPUT : Powerdown Enable
+        .led_col_18(led_col_18),                    //OUTPUT : Collision Indication
+        .led_an_18(led_an_18),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_18(led_char_err_18),          //OUTPUT : Character error
+        .led_disp_err_18(led_disp_err_18),          //OUTPUT : Disparity error
+        .led_crs_18(led_crs_18),                    //OUTPUT : Carrier sense
+        .led_link_18(led_link_18),                  //OUTPUT : Valid link    
+        .mac_rx_clk_18(mac_rx_clk_18),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_18(mac_tx_clk_18),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_18(data_rx_sop_18),            //OUTPUT : Start of Packet
+        .data_rx_eop_18(data_rx_eop_18),            //OUTPUT : End of Packet
+        .data_rx_data_18(data_rx_data_18),          //OUTPUT : Data from FIFO
+        .data_rx_error_18(data_rx_error_18),        //OUTPUT : Receive packet error
+        .data_rx_valid_18(data_rx_valid_18),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_18(data_rx_ready_18),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_18(pkt_class_data_18),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_18(pkt_class_valid_18),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_18(data_tx_error_18),        //INPUT  : Status
+        .data_tx_data_18(data_tx_data_18),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_18(data_tx_valid_18),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_18(data_tx_sop_18),            //INPUT  : Start of Packet
+        .data_tx_eop_18(data_tx_eop_18),            //INPUT  : End of Packet
+        .data_tx_ready_18(data_tx_ready_18),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_18(tx_ff_uflow_18),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_18(tx_crc_fwd_18),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_18(xoff_gen_18),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_18(xon_gen_18),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_18(magic_sleep_n_18),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_18(magic_wakeup_18),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 19 
+            
+        .tbi_rx_clk_19(tbi_rx_clk_19),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_19(tbi_tx_clk_19),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_19(tbi_rx_d_19),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_19(tbi_tx_d_19),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_19(sd_loopback_19),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_19(powerdown_19),                //OUTPUT : Powerdown Enable
+        .led_col_19(led_col_19),                    //OUTPUT : Collision Indication
+        .led_an_19(led_an_19),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_19(led_char_err_19),          //OUTPUT : Character error
+        .led_disp_err_19(led_disp_err_19),          //OUTPUT : Disparity error
+        .led_crs_19(led_crs_19),                    //OUTPUT : Carrier sense
+        .led_link_19(led_link_19),                  //OUTPUT : Valid link    
+        .mac_rx_clk_19(mac_rx_clk_19),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_19(mac_tx_clk_19),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_19(data_rx_sop_19),            //OUTPUT : Start of Packet
+        .data_rx_eop_19(data_rx_eop_19),            //OUTPUT : End of Packet
+        .data_rx_data_19(data_rx_data_19),          //OUTPUT : Data from FIFO
+        .data_rx_error_19(data_rx_error_19),        //OUTPUT : Receive packet error
+        .data_rx_valid_19(data_rx_valid_19),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_19(data_rx_ready_19),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_19(pkt_class_data_19),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_19(pkt_class_valid_19),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_19(data_tx_error_19),        //INPUT  : Status
+        .data_tx_data_19(data_tx_data_19),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_19(data_tx_valid_19),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_19(data_tx_sop_19),            //INPUT  : Start of Packet
+        .data_tx_eop_19(data_tx_eop_19),            //INPUT  : End of Packet
+        .data_tx_ready_19(data_tx_ready_19),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_19(tx_ff_uflow_19),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_19(tx_crc_fwd_19),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_19(xoff_gen_19),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_19(xon_gen_19),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_19(magic_sleep_n_19),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_19(magic_wakeup_19),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 20 
+            
+        .tbi_rx_clk_20(tbi_rx_clk_20),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_20(tbi_tx_clk_20),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_20(tbi_rx_d_20),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_20(tbi_tx_d_20),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_20(sd_loopback_20),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_20(powerdown_20),                //OUTPUT : Powerdown Enable
+        .led_col_20(led_col_20),                    //OUTPUT : Collision Indication
+        .led_an_20(led_an_20),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_20(led_char_err_20),          //OUTPUT : Character error
+        .led_disp_err_20(led_disp_err_20),          //OUTPUT : Disparity error
+        .led_crs_20(led_crs_20),                    //OUTPUT : Carrier sense
+        .led_link_20(led_link_20),                  //OUTPUT : Valid link    
+        .mac_rx_clk_20(mac_rx_clk_20),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_20(mac_tx_clk_20),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_20(data_rx_sop_20),            //OUTPUT : Start of Packet
+        .data_rx_eop_20(data_rx_eop_20),            //OUTPUT : End of Packet
+        .data_rx_data_20(data_rx_data_20),          //OUTPUT : Data from FIFO
+        .data_rx_error_20(data_rx_error_20),        //OUTPUT : Receive packet error
+        .data_rx_valid_20(data_rx_valid_20),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_20(data_rx_ready_20),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_20(pkt_class_data_20),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_20(pkt_class_valid_20),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_20(data_tx_error_20),        //INPUT  : Status
+        .data_tx_data_20(data_tx_data_20),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_20(data_tx_valid_20),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_20(data_tx_sop_20),            //INPUT  : Start of Packet
+        .data_tx_eop_20(data_tx_eop_20),            //INPUT  : End of Packet
+        .data_tx_ready_20(data_tx_ready_20),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_20(tx_ff_uflow_20),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_20(tx_crc_fwd_20),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_20(xoff_gen_20),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_20(xon_gen_20),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_20(magic_sleep_n_20),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_20(magic_wakeup_20),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 21 
+            
+        .tbi_rx_clk_21(tbi_rx_clk_21),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_21(tbi_tx_clk_21),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_21(tbi_rx_d_21),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_21(tbi_tx_d_21),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_21(sd_loopback_21),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_21(powerdown_21),                //OUTPUT : Powerdown Enable
+        .led_col_21(led_col_21),                    //OUTPUT : Collision Indication
+        .led_an_21(led_an_21),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_21(led_char_err_21),          //OUTPUT : Character error
+        .led_disp_err_21(led_disp_err_21),          //OUTPUT : Disparity error
+        .led_crs_21(led_crs_21),                    //OUTPUT : Carrier sense
+        .led_link_21(led_link_21),                  //OUTPUT : Valid link    
+        .mac_rx_clk_21(mac_rx_clk_21),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_21(mac_tx_clk_21),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_21(data_rx_sop_21),            //OUTPUT : Start of Packet
+        .data_rx_eop_21(data_rx_eop_21),            //OUTPUT : End of Packet
+        .data_rx_data_21(data_rx_data_21),          //OUTPUT : Data from FIFO
+        .data_rx_error_21(data_rx_error_21),        //OUTPUT : Receive packet error
+        .data_rx_valid_21(data_rx_valid_21),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_21(data_rx_ready_21),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_21(pkt_class_data_21),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_21(pkt_class_valid_21),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_21(data_tx_error_21),        //INPUT  : Status
+        .data_tx_data_21(data_tx_data_21),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_21(data_tx_valid_21),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_21(data_tx_sop_21),            //INPUT  : Start of Packet
+        .data_tx_eop_21(data_tx_eop_21),            //INPUT  : End of Packet
+        .data_tx_ready_21(data_tx_ready_21),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_21(tx_ff_uflow_21),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_21(tx_crc_fwd_21),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_21(xoff_gen_21),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_21(xon_gen_21),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_21(magic_sleep_n_21),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_21(magic_wakeup_21),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 22 
+            
+        .tbi_rx_clk_22(tbi_rx_clk_22),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_22(tbi_tx_clk_22),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_22(tbi_rx_d_22),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_22(tbi_tx_d_22),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_22(sd_loopback_22),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_22(powerdown_22),                //OUTPUT : Powerdown Enable
+        .led_col_22(led_col_22),                    //OUTPUT : Collision Indication
+        .led_an_22(led_an_22),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_22(led_char_err_22),          //OUTPUT : Character error
+        .led_disp_err_22(led_disp_err_22),          //OUTPUT : Disparity error
+        .led_crs_22(led_crs_22),                    //OUTPUT : Carrier sense
+        .led_link_22(led_link_22),                  //OUTPUT : Valid link    
+        .mac_rx_clk_22(mac_rx_clk_22),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_22(mac_tx_clk_22),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_22(data_rx_sop_22),            //OUTPUT : Start of Packet
+        .data_rx_eop_22(data_rx_eop_22),            //OUTPUT : End of Packet
+        .data_rx_data_22(data_rx_data_22),          //OUTPUT : Data from FIFO
+        .data_rx_error_22(data_rx_error_22),        //OUTPUT : Receive packet error
+        .data_rx_valid_22(data_rx_valid_22),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_22(data_rx_ready_22),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_22(pkt_class_data_22),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_22(pkt_class_valid_22),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_22(data_tx_error_22),        //INPUT  : Status
+        .data_tx_data_22(data_tx_data_22),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_22(data_tx_valid_22),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_22(data_tx_sop_22),            //INPUT  : Start of Packet
+        .data_tx_eop_22(data_tx_eop_22),            //INPUT  : End of Packet
+        .data_tx_ready_22(data_tx_ready_22),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_22(tx_ff_uflow_22),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_22(tx_crc_fwd_22),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_22(xoff_gen_22),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_22(xon_gen_22),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_22(magic_sleep_n_22),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_22(magic_wakeup_22),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 23 
+            
+        .tbi_rx_clk_23(tbi_rx_clk_23),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_23(tbi_tx_clk_23),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_23(tbi_rx_d_23),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_23(tbi_tx_d_23),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_23(sd_loopback_23),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_23(powerdown_23),                //OUTPUT : Powerdown Enable
+        .led_col_23(led_col_23),                    //OUTPUT : Collision Indication
+        .led_an_23(led_an_23),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_23(led_char_err_23),          //OUTPUT : Character error
+        .led_disp_err_23(led_disp_err_23),          //OUTPUT : Disparity error
+        .led_crs_23(led_crs_23),                    //OUTPUT : Carrier sense
+        .led_link_23(led_link_23),                  //OUTPUT : Valid link    
+        .mac_rx_clk_23(mac_rx_clk_23),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_23(mac_tx_clk_23),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_23(data_rx_sop_23),            //OUTPUT : Start of Packet
+        .data_rx_eop_23(data_rx_eop_23),            //OUTPUT : End of Packet
+        .data_rx_data_23(data_rx_data_23),          //OUTPUT : Data from FIFO
+        .data_rx_error_23(data_rx_error_23),        //OUTPUT : Receive packet error
+        .data_rx_valid_23(data_rx_valid_23),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_23(data_rx_ready_23),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_23(pkt_class_data_23),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_23(pkt_class_valid_23),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_23(data_tx_error_23),        //INPUT  : Status
+        .data_tx_data_23(data_tx_data_23),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_23(data_tx_valid_23),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_23(data_tx_sop_23),            //INPUT  : Start of Packet
+        .data_tx_eop_23(data_tx_eop_23),            //INPUT  : End of Packet
+        .data_tx_ready_23(data_tx_ready_23),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_23(tx_ff_uflow_23),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_23(tx_crc_fwd_23),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_23(xoff_gen_23),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_23(xon_gen_23),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_23(magic_sleep_n_23),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_23(magic_wakeup_23));         //OUTPUT : MAC WAKE-UP INDICATION
+
+    defparam
+        U_MULTI_MAC_PCS.USE_SYNC_RESET = USE_SYNC_RESET, 
+        U_MULTI_MAC_PCS.RESET_LEVEL = RESET_LEVEL,
+        U_MULTI_MAC_PCS.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK, 
+        U_MULTI_MAC_PCS.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        U_MULTI_MAC_PCS.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        U_MULTI_MAC_PCS.ENA_HASH = ENA_HASH,
+        U_MULTI_MAC_PCS.STAT_CNT_ENA = STAT_CNT_ENA,
+        U_MULTI_MAC_PCS.CORE_VERSION = CORE_VERSION, 
+        U_MULTI_MAC_PCS.CUST_VERSION = CUST_VERSION,
+        U_MULTI_MAC_PCS.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        U_MULTI_MAC_PCS.ENABLE_MDIO = ENABLE_MDIO,
+        U_MULTI_MAC_PCS.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        U_MULTI_MAC_PCS.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        U_MULTI_MAC_PCS.ENABLE_PADDING = ENABLE_PADDING,
+        U_MULTI_MAC_PCS.ENABLE_LGTH_CHECK = ENABLE_LGTH_CHECK,
+        U_MULTI_MAC_PCS.GBIT_ONLY = GBIT_ONLY,
+        U_MULTI_MAC_PCS.MBIT_ONLY = MBIT_ONLY,
+        U_MULTI_MAC_PCS.REDUCED_CONTROL = REDUCED_CONTROL,
+        U_MULTI_MAC_PCS.CRC32DWIDTH = CRC32DWIDTH,
+        U_MULTI_MAC_PCS.CRC32GENDELAY = CRC32GENDELAY, 
+        U_MULTI_MAC_PCS.CRC32CHECK16BIT = CRC32CHECK16BIT, 
+        U_MULTI_MAC_PCS.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        U_MULTI_MAC_PCS.ENABLE_SHIFT16 = ENABLE_SHIFT16,   
+        U_MULTI_MAC_PCS.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        U_MULTI_MAC_PCS.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN,
+        U_MULTI_MAC_PCS.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        U_MULTI_MAC_PCS.DEV_VERSION = DEV_VERSION,
+        U_MULTI_MAC_PCS.ENABLE_SGMII = ENABLE_SGMII,
+        U_MULTI_MAC_PCS.MAX_CHANNELS = MAX_CHANNELS,
+        U_MULTI_MAC_PCS.CHANNEL_WIDTH = CHANNEL_WIDTH,
+	    U_MULTI_MAC_PCS.ENABLE_RX_FIFO_STATUS = ENABLE_RX_FIFO_STATUS,
+	    U_MULTI_MAC_PCS.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        U_MULTI_MAC_PCS.ENABLE_CLK_SHARING = ENABLE_CLK_SHARING,
+        U_MULTI_MAC_PCS.ENABLE_REG_SHARING = ENABLE_REG_SHARING;
+
+
+ endmodule // module altera_tse_multi_mac_pcs
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma.v
new file mode 100644
index 0000000000..aa446484af
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma.v
@@ -0,0 +1,6122 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_multi_mac_pcs_pma.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_multi_mac_pcs_pma.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet - 10/100/1000 MAC
+//
+// Description : 
+//
+// Top Level Triple Speed Ethernet(10/100/1000) MAC with MII/GMII
+// interfaces, mdio module and register space (statistic, control and 
+// management)
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation  
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_multi_mac_pcs_pma
+/* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */
+#(
+parameter USE_SYNC_RESET        = 0,                    //  Use Synchronized Reset Inputs
+parameter RESET_LEVEL           = 1'b 1 ,               //  Reset Active Level
+parameter ENABLE_GMII_LOOPBACK  = 1,                    //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1,                    //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter ENABLE_SUP_ADDR       = 1,                    //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1,                    //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1,                    //  STAT_CNT_ENA Enable Statistic Counters
+parameter MDIO_CLK_DIV          = 40 ,                  //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3,                //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ,                   //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 0,                    //  Enable the RGMII Interface
+parameter ENABLE_MDIO           = 1,                    //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1,                    //  Enable magic packet detection 
+parameter ENABLE_PADDING        = 1,                    //  Enable padding operation.
+parameter ENABLE_LGTH_CHECK     = 1,                    //  Enable frame length checking.
+parameter GBIT_ONLY             = 1,                    //  Enable Gigabit only operation.
+parameter MBIT_ONLY             = 1,                    //  Enable Megabit (10/100) only operation.
+parameter REDUCED_CONTROL       = 0,                    //  Reduced control for MAC LITE
+parameter CRC32DWIDTH           = 4'b 1000,             //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110,              //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0,                //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0,                 //  false: merge enable
+parameter ENABLE_SHIFT16        = 0,                    //  Enable byte stuffing at packet header 
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1,                 //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1,                 //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter PHY_IDENTIFIER        = 32'h 00000000,        //  PHY Identifier
+parameter DEV_VERSION           = 16'h 0001 ,           //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1,                    //  Enable SGMII logic for synthesis
+parameter ENABLE_CLK_SHARING    = 0,                    //  Option to share clock for multiple channels (Clocks are rate-matched).
+parameter ENABLE_REG_SHARING    = 0,                    //  Option to share register space. Uses certain hard-coded values from input.
+parameter ENABLE_EXTENDED_STAT_REG = 0,                 //  Enable a few extended statistic registers
+parameter MAX_CHANNELS          = 1,                    //  The number of channels in Multi-TSE component
+parameter ENABLE_PKT_CLASS      = 1,                    //  Enable Packet Classification Av-ST Interface
+parameter ENABLE_RX_FIFO_STATUS = 1,                    //  Enable Receive FIFO Almost Full status interface
+parameter CHANNEL_WIDTH         = 1,                    //  The width of the channel interface
+parameter EXPORT_PWRDN          = 1'b0,                 //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX",            //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b1,                 //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS I/O
+parameter ENABLE_ALT_RECONFIG   = 0,                    //  Option to have the Alt_Reconfig ports exposed
+parameter SYNCHRONIZER_DEPTH 	= 3,	  	        //  Number of synchronizer
+// Internal parameters
+parameter ADDR_WIDTH = (MAX_CHANNELS > 16)? 13 :
+                       (MAX_CHANNELS > 8)? 12 : 
+                       (MAX_CHANNELS > 4)? 11 : 
+                       (MAX_CHANNELS > 2)? 10 :  
+                       (MAX_CHANNELS > 1)? 9 : 8
+)
+
+
+// Port List
+(
+
+    // RESET / MAC REG IF / MDIO
+    input wire   reset,                      //  Asynchronous Reset - clk Domain
+    input wire   clk,                        //  25MHz Host Interface Clock
+    input wire   read,                       //  Register Read Strobe
+    input wire   write,                      //  Register Write Strobe
+    input wire   [ADDR_WIDTH-1:0] address,   //  Register Address
+    input wire   [31:0] writedata,           //  Write Data for Host Bus
+    output wire  [31:0] readdata,            //  Read Data to Host Bus
+    output wire  waitrequest,                //  Interface Busy
+    output wire  mdc,                        //  2.5MHz Inteface
+    input wire   mdio_in,                    //  MDIO Input
+    output wire  mdio_out,                   //  MDIO Output
+    output wire  mdio_oen,                   //  MDIO Output Enable
+
+    // DEVICE SPECIFIC SIGNALS
+    input wire   gxb_cal_blk_clk,            //  GXB Calibration Clock
+    input wire   ref_clk,                    //  Rference Clock
+
+	// SHARED CLK SIGNALS
+    output wire  mac_rx_clk,                 //  Av-ST Receive Clock
+	output wire  mac_tx_clk,                 //  Av-ST Transmit Clock 
+
+	// SHARED RX STATUS
+	input wire   rx_afull_clk,                             //  Almost full clock
+    input wire   [1:0] rx_afull_data,                      //  Almost full data
+    input wire   rx_afull_valid,                           //  Almost full valid
+    input wire   [CHANNEL_WIDTH-1:0] rx_afull_channel,     //  Almost full channel
+
+
+    // CHANNEL 0
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_0,                    //  Differential Receive Data 
+    output wire  txp_0,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_0,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_0,          //  Powerdown Enable from PCS
+    output wire  led_crs_0,                //  Carrier Sense
+    output wire  led_link_0,               //  Valid Link 
+    output wire  led_col_0,                //  Collision Indication
+    output wire  led_an_0,                 //  Auto-Negotiation Status
+    output wire  led_char_err_0,           //  Character Error
+    output wire  led_disp_err_0,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_0,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_0,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_0,            //  Start of Packet
+    output wire  data_rx_eop_0,            //  End of Packet
+    output wire  [7:0] data_rx_data_0,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_0,    //  Receive packet error
+    output wire  data_rx_valid_0,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_0,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_0,   //  Frame Type Indication
+    output wire  pkt_class_valid_0,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_0,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_0,     //  Data from FIFO transmit
+    input wire   data_tx_valid_0,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_0,            //  Start of Packet
+    input wire   data_tx_eop_0,            //  END of Packet
+    output wire  data_tx_ready_0,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_0,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_0,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_0,               //  Xoff Pause frame generate 
+    input wire   xon_gen_0,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_0,          //  Enable Sleep Mode
+    output wire  magic_wakeup_0,           //  Wake Up Request
+
+
+    // CHANNEL 1
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_1,                    //  Differential Receive Data 
+    output wire  txp_1,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_1,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_1,          //  Powerdown Enable from PCS
+    output wire  led_crs_1,                //  Carrier Sense
+    output wire  led_link_1,               //  Valid Link 
+    output wire  led_col_1,                //  Collision Indication
+    output wire  led_an_1,                 //  Auto-Negotiation Status
+    output wire  led_char_err_1,           //  Character Error
+    output wire  led_disp_err_1,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_1,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_1,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_1,            //  Start of Packet
+    output wire  data_rx_eop_1,            //  End of Packet
+    output wire  [7:0] data_rx_data_1,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_1,    //  Receive packet error
+    output wire  data_rx_valid_1,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_1,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_1,   //  Frame Type Indication
+    output wire  pkt_class_valid_1,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_1,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_1,     //  Data from FIFO transmit
+    input wire   data_tx_valid_1,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_1,            //  Start of Packet
+    input wire   data_tx_eop_1,            //  END of Packet
+    output wire  data_tx_ready_1,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_1,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_1,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_1,               //  Xoff Pause frame generate 
+    input wire   xon_gen_1,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_1,          //  Enable Sleep Mode
+    output wire  magic_wakeup_1,           //  Wake Up Request
+
+
+    // CHANNEL 2
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_2,                    //  Differential Receive Data 
+    output wire  txp_2,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_2,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_2,          //  Powerdown Enable from PCS
+    output wire  led_crs_2,                //  Carrier Sense
+    output wire  led_link_2,               //  Valid Link 
+    output wire  led_col_2,                //  Collision Indication
+    output wire  led_an_2,                 //  Auto-Negotiation Status
+    output wire  led_char_err_2,           //  Character Error
+    output wire  led_disp_err_2,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_2,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_2,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_2,            //  Start of Packet
+    output wire  data_rx_eop_2,            //  End of Packet
+    output wire  [7:0] data_rx_data_2,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_2,    //  Receive packet error
+    output wire  data_rx_valid_2,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_2,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_2,   //  Frame Type Indication
+    output wire  pkt_class_valid_2,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_2,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_2,     //  Data from FIFO transmit
+    input wire   data_tx_valid_2,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_2,            //  Start of Packet
+    input wire   data_tx_eop_2,            //  END of Packet
+    output wire  data_tx_ready_2,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_2,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_2,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_2,               //  Xoff Pause frame generate 
+    input wire   xon_gen_2,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_2,          //  Enable Sleep Mode
+    output wire  magic_wakeup_2,           //  Wake Up Request
+
+
+    // CHANNEL 3
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_3,                    //  Differential Receive Data 
+    output wire  txp_3,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_3,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_3,          //  Powerdown Enable from PCS
+    output wire  led_crs_3,                //  Carrier Sense
+    output wire  led_link_3,               //  Valid Link 
+    output wire  led_col_3,                //  Collision Indication
+    output wire  led_an_3,                 //  Auto-Negotiation Status
+    output wire  led_char_err_3,           //  Character Error
+    output wire  led_disp_err_3,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_3,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_3,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_3,            //  Start of Packet
+    output wire  data_rx_eop_3,            //  End of Packet
+    output wire  [7:0] data_rx_data_3,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_3,    //  Receive packet error
+    output wire  data_rx_valid_3,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_3,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_3,   //  Frame Type Indication
+    output wire  pkt_class_valid_3,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_3,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_3,     //  Data from FIFO transmit
+    input wire   data_tx_valid_3,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_3,            //  Start of Packet
+    input wire   data_tx_eop_3,            //  END of Packet
+    output wire  data_tx_ready_3,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_3,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_3,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_3,               //  Xoff Pause frame generate 
+    input wire   xon_gen_3,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_3,          //  Enable Sleep Mode
+    output wire  magic_wakeup_3,           //  Wake Up Request
+
+
+    // CHANNEL 4
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_4,                    //  Differential Receive Data 
+    output wire  txp_4,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_4,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_4,          //  Powerdown Enable from PCS
+    output wire  led_crs_4,                //  Carrier Sense
+    output wire  led_link_4,               //  Valid Link 
+    output wire  led_col_4,                //  Collision Indication
+    output wire  led_an_4,                 //  Auto-Negotiation Status
+    output wire  led_char_err_4,           //  Character Error
+    output wire  led_disp_err_4,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_4,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_4,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_4,            //  Start of Packet
+    output wire  data_rx_eop_4,            //  End of Packet
+    output wire  [7:0] data_rx_data_4,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_4,    //  Receive packet error
+    output wire  data_rx_valid_4,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_4,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_4,   //  Frame Type Indication
+    output wire  pkt_class_valid_4,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_4,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_4,     //  Data from FIFO transmit
+    input wire   data_tx_valid_4,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_4,            //  Start of Packet
+    input wire   data_tx_eop_4,            //  END of Packet
+    output wire  data_tx_ready_4,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_4,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_4,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_4,               //  Xoff Pause frame generate 
+    input wire   xon_gen_4,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_4,          //  Enable Sleep Mode
+    output wire  magic_wakeup_4,           //  Wake Up Request
+
+
+    // CHANNEL 5
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_5,                    //  Differential Receive Data 
+    output wire  txp_5,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_5,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_5,          //  Powerdown Enable from PCS
+    output wire  led_crs_5,                //  Carrier Sense
+    output wire  led_link_5,               //  Valid Link 
+    output wire  led_col_5,                //  Collision Indication
+    output wire  led_an_5,                 //  Auto-Negotiation Status
+    output wire  led_char_err_5,           //  Character Error
+    output wire  led_disp_err_5,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_5,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_5,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_5,            //  Start of Packet
+    output wire  data_rx_eop_5,            //  End of Packet
+    output wire  [7:0] data_rx_data_5,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_5,    //  Receive packet error
+    output wire  data_rx_valid_5,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_5,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_5,   //  Frame Type Indication
+    output wire  pkt_class_valid_5,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_5,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_5,     //  Data from FIFO transmit
+    input wire   data_tx_valid_5,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_5,            //  Start of Packet
+    input wire   data_tx_eop_5,            //  END of Packet
+    output wire  data_tx_ready_5,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_5,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_5,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_5,               //  Xoff Pause frame generate 
+    input wire   xon_gen_5,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_5,          //  Enable Sleep Mode
+    output wire  magic_wakeup_5,           //  Wake Up Request
+
+
+    // CHANNEL 6
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_6,                    //  Differential Receive Data 
+    output wire  txp_6,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_6,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_6,          //  Powerdown Enable from PCS
+    output wire  led_crs_6,                //  Carrier Sense
+    output wire  led_link_6,               //  Valid Link 
+    output wire  led_col_6,                //  Collision Indication
+    output wire  led_an_6,                 //  Auto-Negotiation Status
+    output wire  led_char_err_6,           //  Character Error
+    output wire  led_disp_err_6,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_6,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_6,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_6,            //  Start of Packet
+    output wire  data_rx_eop_6,            //  End of Packet
+    output wire  [7:0] data_rx_data_6,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_6,    //  Receive packet error
+    output wire  data_rx_valid_6,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_6,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_6,   //  Frame Type Indication
+    output wire  pkt_class_valid_6,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_6,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_6,     //  Data from FIFO transmit
+    input wire   data_tx_valid_6,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_6,            //  Start of Packet
+    input wire   data_tx_eop_6,            //  END of Packet
+    output wire  data_tx_ready_6,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_6,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_6,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_6,               //  Xoff Pause frame generate 
+    input wire   xon_gen_6,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_6,          //  Enable Sleep Mode
+    output wire  magic_wakeup_6,           //  Wake Up Request
+
+
+    // CHANNEL 7
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_7,                    //  Differential Receive Data 
+    output wire  txp_7,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_7,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_7,          //  Powerdown Enable from PCS
+    output wire  led_crs_7,                //  Carrier Sense
+    output wire  led_link_7,               //  Valid Link 
+    output wire  led_col_7,                //  Collision Indication
+    output wire  led_an_7,                 //  Auto-Negotiation Status
+    output wire  led_char_err_7,           //  Character Error
+    output wire  led_disp_err_7,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_7,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_7,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_7,            //  Start of Packet
+    output wire  data_rx_eop_7,            //  End of Packet
+    output wire  [7:0] data_rx_data_7,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_7,    //  Receive packet error
+    output wire  data_rx_valid_7,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_7,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_7,   //  Frame Type Indication
+    output wire  pkt_class_valid_7,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_7,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_7,     //  Data from FIFO transmit
+    input wire   data_tx_valid_7,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_7,            //  Start of Packet
+    input wire   data_tx_eop_7,            //  END of Packet
+    output wire  data_tx_ready_7,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_7,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_7,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_7,               //  Xoff Pause frame generate 
+    input wire   xon_gen_7,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_7,          //  Enable Sleep Mode
+    output wire  magic_wakeup_7,           //  Wake Up Request
+
+
+    // CHANNEL 8
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_8,                    //  Differential Receive Data 
+    output wire  txp_8,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_8,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_8,          //  Powerdown Enable from PCS
+    output wire  led_crs_8,                //  Carrier Sense
+    output wire  led_link_8,               //  Valid Link 
+    output wire  led_col_8,                //  Collision Indication
+    output wire  led_an_8,                 //  Auto-Negotiation Status
+    output wire  led_char_err_8,           //  Character Error
+    output wire  led_disp_err_8,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_8,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_8,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_8,            //  Start of Packet
+    output wire  data_rx_eop_8,            //  End of Packet
+    output wire  [7:0] data_rx_data_8,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_8,    //  Receive packet error
+    output wire  data_rx_valid_8,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_8,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_8,   //  Frame Type Indication
+    output wire  pkt_class_valid_8,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_8,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_8,     //  Data from FIFO transmit
+    input wire   data_tx_valid_8,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_8,            //  Start of Packet
+    input wire   data_tx_eop_8,            //  END of Packet
+    output wire  data_tx_ready_8,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_8,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_8,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_8,               //  Xoff Pause frame generate 
+    input wire   xon_gen_8,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_8,          //  Enable Sleep Mode
+    output wire  magic_wakeup_8,           //  Wake Up Request
+
+
+    // CHANNEL 9
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_9,                    //  Differential Receive Data 
+    output wire  txp_9,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_9,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_9,          //  Powerdown Enable from PCS
+    output wire  led_crs_9,                //  Carrier Sense
+    output wire  led_link_9,               //  Valid Link 
+    output wire  led_col_9,                //  Collision Indication
+    output wire  led_an_9,                 //  Auto-Negotiation Status
+    output wire  led_char_err_9,           //  Character Error
+    output wire  led_disp_err_9,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_9,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_9,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_9,            //  Start of Packet
+    output wire  data_rx_eop_9,            //  End of Packet
+    output wire  [7:0] data_rx_data_9,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_9,    //  Receive packet error
+    output wire  data_rx_valid_9,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_9,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_9,   //  Frame Type Indication
+    output wire  pkt_class_valid_9,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_9,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_9,     //  Data from FIFO transmit
+    input wire   data_tx_valid_9,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_9,            //  Start of Packet
+    input wire   data_tx_eop_9,            //  END of Packet
+    output wire  data_tx_ready_9,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_9,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_9,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_9,               //  Xoff Pause frame generate 
+    input wire   xon_gen_9,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_9,          //  Enable Sleep Mode
+    output wire  magic_wakeup_9,           //  Wake Up Request
+
+
+    // CHANNEL 10
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_10,                    //  Differential Receive Data 
+    output wire  txp_10,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_10,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_10,          //  Powerdown Enable from PCS
+    output wire  led_crs_10,                //  Carrier Sense
+    output wire  led_link_10,               //  Valid Link 
+    output wire  led_col_10,                //  Collision Indication
+    output wire  led_an_10,                 //  Auto-Negotiation Status
+    output wire  led_char_err_10,           //  Character Error
+    output wire  led_disp_err_10,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_10,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_10,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_10,            //  Start of Packet
+    output wire  data_rx_eop_10,            //  End of Packet
+    output wire  [7:0] data_rx_data_10,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_10,    //  Receive packet error
+    output wire  data_rx_valid_10,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_10,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_10,   //  Frame Type Indication
+    output wire  pkt_class_valid_10,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_10,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_10,     //  Data from FIFO transmit
+    input wire   data_tx_valid_10,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_10,            //  Start of Packet
+    input wire   data_tx_eop_10,            //  END of Packet
+    output wire  data_tx_ready_10,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_10,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_10,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_10,               //  Xoff Pause frame generate 
+    input wire   xon_gen_10,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_10,          //  Enable Sleep Mode
+    output wire  magic_wakeup_10,           //  Wake Up Request
+
+
+    // CHANNEL 11
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_11,                    //  Differential Receive Data 
+    output wire  txp_11,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_11,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_11,          //  Powerdown Enable from PCS
+    output wire  led_crs_11,                //  Carrier Sense
+    output wire  led_link_11,               //  Valid Link 
+    output wire  led_col_11,                //  Collision Indication
+    output wire  led_an_11,                 //  Auto-Negotiation Status
+    output wire  led_char_err_11,           //  Character Error
+    output wire  led_disp_err_11,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_11,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_11,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_11,            //  Start of Packet
+    output wire  data_rx_eop_11,            //  End of Packet
+    output wire  [7:0] data_rx_data_11,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_11,    //  Receive packet error
+    output wire  data_rx_valid_11,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_11,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_11,   //  Frame Type Indication
+    output wire  pkt_class_valid_11,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_11,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_11,     //  Data from FIFO transmit
+    input wire   data_tx_valid_11,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_11,            //  Start of Packet
+    input wire   data_tx_eop_11,            //  END of Packet
+    output wire  data_tx_ready_11,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_11,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_11,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_11,               //  Xoff Pause frame generate 
+    input wire   xon_gen_11,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_11,          //  Enable Sleep Mode
+    output wire  magic_wakeup_11,           //  Wake Up Request
+
+
+    // CHANNEL 12
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_12,                    //  Differential Receive Data 
+    output wire  txp_12,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_12,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_12,          //  Powerdown Enable from PCS
+    output wire  led_crs_12,                //  Carrier Sense
+    output wire  led_link_12,               //  Valid Link 
+    output wire  led_col_12,                //  Collision Indication
+    output wire  led_an_12,                 //  Auto-Negotiation Status
+    output wire  led_char_err_12,           //  Character Error
+    output wire  led_disp_err_12,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_12,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_12,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_12,            //  Start of Packet
+    output wire  data_rx_eop_12,            //  End of Packet
+    output wire  [7:0] data_rx_data_12,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_12,    //  Receive packet error
+    output wire  data_rx_valid_12,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_12,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_12,   //  Frame Type Indication
+    output wire  pkt_class_valid_12,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_12,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_12,     //  Data from FIFO transmit
+    input wire   data_tx_valid_12,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_12,            //  Start of Packet
+    input wire   data_tx_eop_12,            //  END of Packet
+    output wire  data_tx_ready_12,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_12,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_12,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_12,               //  Xoff Pause frame generate 
+    input wire   xon_gen_12,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_12,          //  Enable Sleep Mode
+    output wire  magic_wakeup_12,           //  Wake Up Request
+
+
+    // CHANNEL 13
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_13,                    //  Differential Receive Data 
+    output wire  txp_13,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_13,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_13,          //  Powerdown Enable from PCS
+    output wire  led_crs_13,                //  Carrier Sense
+    output wire  led_link_13,               //  Valid Link 
+    output wire  led_col_13,                //  Collision Indication
+    output wire  led_an_13,                 //  Auto-Negotiation Status
+    output wire  led_char_err_13,           //  Character Error
+    output wire  led_disp_err_13,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_13,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_13,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_13,            //  Start of Packet
+    output wire  data_rx_eop_13,            //  End of Packet
+    output wire  [7:0] data_rx_data_13,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_13,    //  Receive packet error
+    output wire  data_rx_valid_13,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_13,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_13,   //  Frame Type Indication
+    output wire  pkt_class_valid_13,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_13,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_13,     //  Data from FIFO transmit
+    input wire   data_tx_valid_13,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_13,            //  Start of Packet
+    input wire   data_tx_eop_13,            //  END of Packet
+    output wire  data_tx_ready_13,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_13,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_13,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_13,               //  Xoff Pause frame generate 
+    input wire   xon_gen_13,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_13,          //  Enable Sleep Mode
+    output wire  magic_wakeup_13,           //  Wake Up Request
+
+
+    // CHANNEL 14
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_14,                    //  Differential Receive Data 
+    output wire  txp_14,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_14,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_14,          //  Powerdown Enable from PCS
+    output wire  led_crs_14,                //  Carrier Sense
+    output wire  led_link_14,               //  Valid Link 
+    output wire  led_col_14,                //  Collision Indication
+    output wire  led_an_14,                 //  Auto-Negotiation Status
+    output wire  led_char_err_14,           //  Character Error
+    output wire  led_disp_err_14,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_14,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_14,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_14,            //  Start of Packet
+    output wire  data_rx_eop_14,            //  End of Packet
+    output wire  [7:0] data_rx_data_14,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_14,    //  Receive packet error
+    output wire  data_rx_valid_14,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_14,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_14,   //  Frame Type Indication
+    output wire  pkt_class_valid_14,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_14,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_14,     //  Data from FIFO transmit
+    input wire   data_tx_valid_14,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_14,            //  Start of Packet
+    input wire   data_tx_eop_14,            //  END of Packet
+    output wire  data_tx_ready_14,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_14,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_14,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_14,               //  Xoff Pause frame generate 
+    input wire   xon_gen_14,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_14,          //  Enable Sleep Mode
+    output wire  magic_wakeup_14,           //  Wake Up Request
+
+
+    // CHANNEL 15
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_15,                    //  Differential Receive Data 
+    output wire  txp_15,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_15,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_15,          //  Powerdown Enable from PCS
+    output wire  led_crs_15,                //  Carrier Sense
+    output wire  led_link_15,               //  Valid Link 
+    output wire  led_col_15,                //  Collision Indication
+    output wire  led_an_15,                 //  Auto-Negotiation Status
+    output wire  led_char_err_15,           //  Character Error
+    output wire  led_disp_err_15,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_15,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_15,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_15,            //  Start of Packet
+    output wire  data_rx_eop_15,            //  End of Packet
+    output wire  [7:0] data_rx_data_15,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_15,    //  Receive packet error
+    output wire  data_rx_valid_15,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_15,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_15,   //  Frame Type Indication
+    output wire  pkt_class_valid_15,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_15,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_15,     //  Data from FIFO transmit
+    input wire   data_tx_valid_15,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_15,            //  Start of Packet
+    input wire   data_tx_eop_15,            //  END of Packet
+    output wire  data_tx_ready_15,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_15,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_15,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_15,               //  Xoff Pause frame generate 
+    input wire   xon_gen_15,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_15,          //  Enable Sleep Mode
+    output wire  magic_wakeup_15,           //  Wake Up Request
+
+
+    // CHANNEL 16
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_16,                    //  Differential Receive Data 
+    output wire  txp_16,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_16,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_16,          //  Powerdown Enable from PCS
+    output wire  led_crs_16,                //  Carrier Sense
+    output wire  led_link_16,               //  Valid Link 
+    output wire  led_col_16,                //  Collision Indication
+    output wire  led_an_16,                 //  Auto-Negotiation Status
+    output wire  led_char_err_16,           //  Character Error
+    output wire  led_disp_err_16,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_16,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_16,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_16,            //  Start of Packet
+    output wire  data_rx_eop_16,            //  End of Packet
+    output wire  [7:0] data_rx_data_16,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_16,    //  Receive packet error
+    output wire  data_rx_valid_16,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_16,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_16,   //  Frame Type Indication
+    output wire  pkt_class_valid_16,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_16,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_16,     //  Data from FIFO transmit
+    input wire   data_tx_valid_16,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_16,            //  Start of Packet
+    input wire   data_tx_eop_16,            //  END of Packet
+    output wire  data_tx_ready_16,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_16,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_16,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_16,               //  Xoff Pause frame generate 
+    input wire   xon_gen_16,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_16,          //  Enable Sleep Mode
+    output wire  magic_wakeup_16,           //  Wake Up Request
+
+
+    // CHANNEL 17
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_17,                    //  Differential Receive Data 
+    output wire  txp_17,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_17,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_17,          //  Powerdown Enable from PCS
+    output wire  led_crs_17,                //  Carrier Sense
+    output wire  led_link_17,               //  Valid Link 
+    output wire  led_col_17,                //  Collision Indication
+    output wire  led_an_17,                 //  Auto-Negotiation Status
+    output wire  led_char_err_17,           //  Character Error
+    output wire  led_disp_err_17,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_17,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_17,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_17,            //  Start of Packet
+    output wire  data_rx_eop_17,            //  End of Packet
+    output wire  [7:0] data_rx_data_17,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_17,    //  Receive packet error
+    output wire  data_rx_valid_17,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_17,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_17,   //  Frame Type Indication
+    output wire  pkt_class_valid_17,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_17,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_17,     //  Data from FIFO transmit
+    input wire   data_tx_valid_17,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_17,            //  Start of Packet
+    input wire   data_tx_eop_17,            //  END of Packet
+    output wire  data_tx_ready_17,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_17,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_17,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_17,               //  Xoff Pause frame generate 
+    input wire   xon_gen_17,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_17,          //  Enable Sleep Mode
+    output wire  magic_wakeup_17,           //  Wake Up Request
+
+
+    // CHANNEL 18
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_18,                    //  Differential Receive Data 
+    output wire  txp_18,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_18,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_18,          //  Powerdown Enable from PCS
+    output wire  led_crs_18,                //  Carrier Sense
+    output wire  led_link_18,               //  Valid Link 
+    output wire  led_col_18,                //  Collision Indication
+    output wire  led_an_18,                 //  Auto-Negotiation Status
+    output wire  led_char_err_18,           //  Character Error
+    output wire  led_disp_err_18,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_18,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_18,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_18,            //  Start of Packet
+    output wire  data_rx_eop_18,            //  End of Packet
+    output wire  [7:0] data_rx_data_18,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_18,    //  Receive packet error
+    output wire  data_rx_valid_18,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_18,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_18,   //  Frame Type Indication
+    output wire  pkt_class_valid_18,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_18,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_18,     //  Data from FIFO transmit
+    input wire   data_tx_valid_18,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_18,            //  Start of Packet
+    input wire   data_tx_eop_18,            //  END of Packet
+    output wire  data_tx_ready_18,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_18,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_18,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_18,               //  Xoff Pause frame generate 
+    input wire   xon_gen_18,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_18,          //  Enable Sleep Mode
+    output wire  magic_wakeup_18,           //  Wake Up Request
+
+
+    // CHANNEL 19
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_19,                    //  Differential Receive Data 
+    output wire  txp_19,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_19,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_19,          //  Powerdown Enable from PCS
+    output wire  led_crs_19,                //  Carrier Sense
+    output wire  led_link_19,               //  Valid Link 
+    output wire  led_col_19,                //  Collision Indication
+    output wire  led_an_19,                 //  Auto-Negotiation Status
+    output wire  led_char_err_19,           //  Character Error
+    output wire  led_disp_err_19,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_19,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_19,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_19,            //  Start of Packet
+    output wire  data_rx_eop_19,            //  End of Packet
+    output wire  [7:0] data_rx_data_19,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_19,    //  Receive packet error
+    output wire  data_rx_valid_19,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_19,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_19,   //  Frame Type Indication
+    output wire  pkt_class_valid_19,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_19,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_19,     //  Data from FIFO transmit
+    input wire   data_tx_valid_19,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_19,            //  Start of Packet
+    input wire   data_tx_eop_19,            //  END of Packet
+    output wire  data_tx_ready_19,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_19,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_19,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_19,               //  Xoff Pause frame generate 
+    input wire   xon_gen_19,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_19,          //  Enable Sleep Mode
+    output wire  magic_wakeup_19,           //  Wake Up Request
+
+
+    // CHANNEL 20
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_20,                    //  Differential Receive Data 
+    output wire  txp_20,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_20,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_20,          //  Powerdown Enable from PCS
+    output wire  led_crs_20,                //  Carrier Sense
+    output wire  led_link_20,               //  Valid Link 
+    output wire  led_col_20,                //  Collision Indication
+    output wire  led_an_20,                 //  Auto-Negotiation Status
+    output wire  led_char_err_20,           //  Character Error
+    output wire  led_disp_err_20,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_20,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_20,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_20,            //  Start of Packet
+    output wire  data_rx_eop_20,            //  End of Packet
+    output wire  [7:0] data_rx_data_20,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_20,    //  Receive packet error
+    output wire  data_rx_valid_20,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_20,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_20,   //  Frame Type Indication
+    output wire  pkt_class_valid_20,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_20,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_20,     //  Data from FIFO transmit
+    input wire   data_tx_valid_20,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_20,            //  Start of Packet
+    input wire   data_tx_eop_20,            //  END of Packet
+    output wire  data_tx_ready_20,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_20,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_20,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_20,               //  Xoff Pause frame generate 
+    input wire   xon_gen_20,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_20,          //  Enable Sleep Mode
+    output wire  magic_wakeup_20,           //  Wake Up Request
+
+
+    // CHANNEL 21
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_21,                    //  Differential Receive Data 
+    output wire  txp_21,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_21,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_21,          //  Powerdown Enable from PCS
+    output wire  led_crs_21,                //  Carrier Sense
+    output wire  led_link_21,               //  Valid Link 
+    output wire  led_col_21,                //  Collision Indication
+    output wire  led_an_21,                 //  Auto-Negotiation Status
+    output wire  led_char_err_21,           //  Character Error
+    output wire  led_disp_err_21,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_21,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_21,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_21,            //  Start of Packet
+    output wire  data_rx_eop_21,            //  End of Packet
+    output wire  [7:0] data_rx_data_21,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_21,    //  Receive packet error
+    output wire  data_rx_valid_21,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_21,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_21,   //  Frame Type Indication
+    output wire  pkt_class_valid_21,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_21,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_21,     //  Data from FIFO transmit
+    input wire   data_tx_valid_21,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_21,            //  Start of Packet
+    input wire   data_tx_eop_21,            //  END of Packet
+    output wire  data_tx_ready_21,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_21,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_21,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_21,               //  Xoff Pause frame generate 
+    input wire   xon_gen_21,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_21,          //  Enable Sleep Mode
+    output wire  magic_wakeup_21,           //  Wake Up Request
+
+
+    // CHANNEL 22
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_22,                    //  Differential Receive Data 
+    output wire  txp_22,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_22,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_22,          //  Powerdown Enable from PCS
+    output wire  led_crs_22,                //  Carrier Sense
+    output wire  led_link_22,               //  Valid Link 
+    output wire  led_col_22,                //  Collision Indication
+    output wire  led_an_22,                 //  Auto-Negotiation Status
+    output wire  led_char_err_22,           //  Character Error
+    output wire  led_disp_err_22,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_22,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_22,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_22,            //  Start of Packet
+    output wire  data_rx_eop_22,            //  End of Packet
+    output wire  [7:0] data_rx_data_22,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_22,    //  Receive packet error
+    output wire  data_rx_valid_22,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_22,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_22,   //  Frame Type Indication
+    output wire  pkt_class_valid_22,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_22,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_22,     //  Data from FIFO transmit
+    input wire   data_tx_valid_22,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_22,            //  Start of Packet
+    input wire   data_tx_eop_22,            //  END of Packet
+    output wire  data_tx_ready_22,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_22,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_22,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_22,               //  Xoff Pause frame generate 
+    input wire   xon_gen_22,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_22,          //  Enable Sleep Mode
+    output wire  magic_wakeup_22,           //  Wake Up Request
+
+
+    // CHANNEL 23
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_23,                    //  Differential Receive Data 
+    output wire  txp_23,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_23,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_23,          //  Powerdown Enable from PCS
+    output wire  led_crs_23,                //  Carrier Sense
+    output wire  led_link_23,               //  Valid Link 
+    output wire  led_col_23,                //  Collision Indication
+    output wire  led_an_23,                 //  Auto-Negotiation Status
+    output wire  led_char_err_23,           //  Character Error
+    output wire  led_disp_err_23,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_23,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_23,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_23,            //  Start of Packet
+    output wire  data_rx_eop_23,            //  End of Packet
+    output wire  [7:0] data_rx_data_23,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_23,    //  Receive packet error
+    output wire  data_rx_valid_23,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_23,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_23,   //  Frame Type Indication
+    output wire  pkt_class_valid_23,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_23,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_23,     //  Data from FIFO transmit
+    input wire   data_tx_valid_23,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_23,            //  Start of Packet
+    input wire   data_tx_eop_23,            //  END of Packet
+    output wire  data_tx_ready_23,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_23,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_23,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_23,               //  Xoff Pause frame generate 
+    input wire   xon_gen_23,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_23,          //  Enable Sleep Mode
+    output wire  magic_wakeup_23);          //  Wake Up Request
+
+
+wire    MAC_PCS_reset;
+wire    [23:0] pcs_pwrdn_out_sig;
+wire    [23:0] gxb_pwrdn_in_sig;
+
+reg     pma_digital_rst0;
+reg     pma_digital_rst1;
+reg     pma_digital_rst2;
+wire    [9:0] tbi_rx_d_lvds_0;
+reg     [9:0] tbi_rx_d_flip_0;
+reg     [9:0] tbi_tx_d_flip_0;
+wire    [9:0] tbi_rx_d_0;
+wire    [9:0] tbi_tx_d_0;
+wire    [9:0] tbi_rx_d_lvds_1;
+reg     [9:0] tbi_rx_d_flip_1;
+reg     [9:0] tbi_tx_d_flip_1;
+wire    [9:0] tbi_rx_d_1;
+wire    [9:0] tbi_tx_d_1;
+wire    [9:0] tbi_rx_d_lvds_2;
+reg     [9:0] tbi_rx_d_flip_2;
+reg     [9:0] tbi_tx_d_flip_2;
+wire    [9:0] tbi_rx_d_2;
+wire    [9:0] tbi_tx_d_2;
+wire    [9:0] tbi_rx_d_lvds_3;
+reg     [9:0] tbi_rx_d_flip_3;
+reg     [9:0] tbi_tx_d_flip_3;
+wire    [9:0] tbi_rx_d_3;
+wire    [9:0] tbi_tx_d_3;
+wire    [9:0] tbi_rx_d_lvds_4;
+reg     [9:0] tbi_rx_d_flip_4;
+reg     [9:0] tbi_tx_d_flip_4;
+wire    [9:0] tbi_rx_d_4;
+wire    [9:0] tbi_tx_d_4;
+wire    [9:0] tbi_rx_d_lvds_5;
+reg     [9:0] tbi_rx_d_flip_5;
+reg     [9:0] tbi_tx_d_flip_5;
+wire    [9:0] tbi_rx_d_5;
+wire    [9:0] tbi_tx_d_5;
+wire    [9:0] tbi_rx_d_lvds_6;
+reg     [9:0] tbi_rx_d_flip_6;
+reg     [9:0] tbi_tx_d_flip_6;
+wire    [9:0] tbi_rx_d_6;
+wire    [9:0] tbi_tx_d_6;
+wire    [9:0] tbi_rx_d_lvds_7;
+reg     [9:0] tbi_rx_d_flip_7;
+reg     [9:0] tbi_tx_d_flip_7;
+wire    [9:0] tbi_rx_d_7;
+wire    [9:0] tbi_tx_d_7;
+wire    [9:0] tbi_rx_d_lvds_8;
+reg     [9:0] tbi_rx_d_flip_8;
+reg     [9:0] tbi_tx_d_flip_8;
+wire    [9:0] tbi_rx_d_8;
+wire    [9:0] tbi_tx_d_8;
+wire    [9:0] tbi_rx_d_lvds_9;
+reg     [9:0] tbi_rx_d_flip_9;
+reg     [9:0] tbi_tx_d_flip_9;
+wire    [9:0] tbi_rx_d_9;
+wire    [9:0] tbi_tx_d_9;
+wire    [9:0] tbi_rx_d_lvds_10;
+reg     [9:0] tbi_rx_d_flip_10;
+reg     [9:0] tbi_tx_d_flip_10;
+wire    [9:0] tbi_rx_d_10;
+wire    [9:0] tbi_tx_d_10;
+wire    [9:0] tbi_rx_d_lvds_11;
+reg     [9:0] tbi_rx_d_flip_11;
+reg     [9:0] tbi_tx_d_flip_11;
+wire    [9:0] tbi_rx_d_11;
+wire    [9:0] tbi_tx_d_11;
+wire    [9:0] tbi_rx_d_lvds_12;
+reg     [9:0] tbi_rx_d_flip_12;
+reg     [9:0] tbi_tx_d_flip_12;
+wire    [9:0] tbi_rx_d_12;
+wire    [9:0] tbi_tx_d_12;
+wire    [9:0] tbi_rx_d_lvds_13;
+reg     [9:0] tbi_rx_d_flip_13;
+reg     [9:0] tbi_tx_d_flip_13;
+wire    [9:0] tbi_rx_d_13;
+wire    [9:0] tbi_tx_d_13;
+wire    [9:0] tbi_rx_d_lvds_14;
+reg     [9:0] tbi_rx_d_flip_14;
+reg     [9:0] tbi_tx_d_flip_14;
+wire    [9:0] tbi_rx_d_14;
+wire    [9:0] tbi_tx_d_14;
+wire    [9:0] tbi_rx_d_lvds_15;
+reg     [9:0] tbi_rx_d_flip_15;
+reg     [9:0] tbi_tx_d_flip_15;
+wire    [9:0] tbi_rx_d_15;
+wire    [9:0] tbi_tx_d_15;
+wire    [9:0] tbi_rx_d_lvds_16;
+reg     [9:0] tbi_rx_d_flip_16;
+reg     [9:0] tbi_tx_d_flip_16;
+wire    [9:0] tbi_rx_d_16;
+wire    [9:0] tbi_tx_d_16;
+wire    [9:0] tbi_rx_d_lvds_17;
+reg     [9:0] tbi_rx_d_flip_17;
+reg     [9:0] tbi_tx_d_flip_17;
+wire    [9:0] tbi_rx_d_17;
+wire    [9:0] tbi_tx_d_17;
+wire    [9:0] tbi_rx_d_lvds_18;
+reg     [9:0] tbi_rx_d_flip_18;
+reg     [9:0] tbi_tx_d_flip_18;
+wire    [9:0] tbi_rx_d_18;
+wire    [9:0] tbi_tx_d_18;
+wire    [9:0] tbi_rx_d_lvds_19;
+reg     [9:0] tbi_rx_d_flip_19;
+reg     [9:0] tbi_tx_d_flip_19;
+wire    [9:0] tbi_rx_d_19;
+wire    [9:0] tbi_tx_d_19;
+wire    [9:0] tbi_rx_d_lvds_20;
+reg     [9:0] tbi_rx_d_flip_20;
+reg     [9:0] tbi_tx_d_flip_20;
+wire    [9:0] tbi_rx_d_20;
+wire    [9:0] tbi_tx_d_20;
+wire    [9:0] tbi_rx_d_lvds_21;
+reg     [9:0] tbi_rx_d_flip_21;
+reg     [9:0] tbi_tx_d_flip_21;
+wire    [9:0] tbi_rx_d_21;
+wire    [9:0] tbi_tx_d_21;
+wire    [9:0] tbi_rx_d_lvds_22;
+reg     [9:0] tbi_rx_d_flip_22;
+reg     [9:0] tbi_tx_d_flip_22;
+wire    [9:0] tbi_rx_d_22;
+wire    [9:0] tbi_tx_d_22;
+wire    [9:0] tbi_rx_d_lvds_23;
+reg     [9:0] tbi_rx_d_flip_23;
+reg     [9:0] tbi_tx_d_flip_23;
+wire    [9:0] tbi_rx_d_23;
+wire    [9:0] tbi_tx_d_23;
+
+wire    sd_loopback_0;
+wire    sd_loopback_1;
+wire    sd_loopback_2;
+wire    sd_loopback_3;
+wire    sd_loopback_4;
+wire    sd_loopback_5;
+wire    sd_loopback_6;
+wire    sd_loopback_7;
+wire    sd_loopback_8;
+wire    sd_loopback_9;
+wire    sd_loopback_10;
+wire    sd_loopback_11;
+wire    sd_loopback_12;
+wire    sd_loopback_13;
+wire    sd_loopback_14;
+wire    sd_loopback_15;
+wire    sd_loopback_16;
+wire    sd_loopback_17;
+wire    sd_loopback_18;
+wire    sd_loopback_19;
+wire    sd_loopback_20;
+wire    sd_loopback_21;
+wire    sd_loopback_22;
+wire    sd_loopback_23;
+
+wire    tbi_rx_clk_0;
+wire    tbi_rx_clk_1;
+wire    tbi_rx_clk_2;
+wire    tbi_rx_clk_3;
+wire    tbi_rx_clk_4;
+wire    tbi_rx_clk_5;
+wire    tbi_rx_clk_6;
+wire    tbi_rx_clk_7;
+wire    tbi_rx_clk_8;
+wire    tbi_rx_clk_9;
+wire    tbi_rx_clk_10;
+wire    tbi_rx_clk_11;
+wire    tbi_rx_clk_12;
+wire    tbi_rx_clk_13;
+wire    tbi_rx_clk_14;
+wire    tbi_rx_clk_15;
+wire    tbi_rx_clk_16;
+wire    tbi_rx_clk_17;
+wire    tbi_rx_clk_18;
+wire    tbi_rx_clk_19;
+wire    tbi_rx_clk_20;
+wire    tbi_rx_clk_21;
+wire    tbi_rx_clk_22;
+wire    tbi_rx_clk_23;
+
+wire    tbi_tx_clk_0;
+wire    tbi_tx_clk_1;
+wire    tbi_tx_clk_2;
+wire    tbi_tx_clk_3;
+wire    tbi_tx_clk_4;
+wire    tbi_tx_clk_5;
+wire    tbi_tx_clk_6;
+wire    tbi_tx_clk_7;
+wire    tbi_tx_clk_8;
+wire    tbi_tx_clk_9;
+wire    tbi_tx_clk_10;
+wire    tbi_tx_clk_11;
+wire    tbi_tx_clk_12;
+wire    tbi_tx_clk_13;
+wire    tbi_tx_clk_14;
+wire    tbi_tx_clk_15;
+wire    tbi_tx_clk_16;
+wire    tbi_tx_clk_17;
+wire    tbi_tx_clk_18;
+wire    tbi_tx_clk_19;
+wire    tbi_tx_clk_20;
+wire    tbi_tx_clk_21;
+wire    tbi_tx_clk_22;
+wire    tbi_tx_clk_23;
+
+
+    // Reset logic used to reset the PMA blocks
+    // ----------------------------------------
+    always @(posedge clk or posedge reset)
+      begin
+        if (reset == 1)
+          begin
+            pma_digital_rst0 <= reset;
+            pma_digital_rst1 <= reset;
+            pma_digital_rst2 <= reset;
+          end
+        else 
+          begin
+            pma_digital_rst0 <= reset;
+            pma_digital_rst1 <= pma_digital_rst0;
+            pma_digital_rst2 <= pma_digital_rst1;
+          end
+      end
+
+
+    //  Assign the digital reset of the PMA to the MAC_PCS logic
+    //  --------------------------------------------------------
+    assign MAC_PCS_reset = pma_digital_rst2;
+
+
+    // Instantiation of the MAC_PCS core that connects to a PMA
+    // --------------------------------------------------------
+
+    altera_tse_top_multi_mac_pcs U_MULTI_MAC_PCS(
+
+        .reset(reset),                            //INPUT  : ASYNCHRONOUS RESET - clk DOMAIN
+        .clk(clk),                                //INPUT  : CLOCK
+        .read(read),                              //INPUT  : REGISTER READ TRANSACTION
+        .ref_clk(ref_clk),                        //INPUT  : REFERENCE CLOCK 
+        .write(write),                            //INPUT  : REGISTER WRITE TRANSACTION
+        .address(address),                        //INPUT  : REGISTER ADDRESS
+        .writedata(writedata),                    //INPUT  : REGISTER WRITE DATA
+        .readdata(readdata),                      //OUTPUT : REGISTER READ DATA
+        .waitrequest(waitrequest),                //OUTPUT : TRANSACTION BUSY, ACTIVE LOW
+        .mdc(mdc),                                //OUTPUT : MDIO Clock 
+        .mdio_out(mdio_out),                      //OUTPUT : Outgoing MDIO DATA
+        .mdio_in(mdio_in),                        //INPUT  : Incoming MDIO DATA       
+        .mdio_oen(mdio_oen),                      //OUTPUT : MDIO Output Enable
+        .mac_rx_clk(mac_rx_clk),                  //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk(mac_tx_clk),                  //OUTPUT : Av-ST Tx Clock
+        .rx_afull_clk(rx_afull_clk),              //INPUT  : AFull Status Clock
+	    .rx_afull_data(rx_afull_data),            //INPUT  : AFull Status Data
+	    .rx_afull_valid(rx_afull_valid),          //INPUT  : AFull Status Valid
+	    .rx_afull_channel(rx_afull_channel),      //INPUT  : AFull Status Channel
+
+         // Channel 0 
+            
+        .tbi_rx_clk_0(tbi_rx_clk_0),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_0(tbi_tx_clk_0),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_0(tbi_rx_d_0),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_0(tbi_tx_d_0),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_0(sd_loopback_0),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_0(pcs_pwrdn_out_sig[0]),       //OUTPUT : Powerdown Enable
+        .led_col_0(led_col_0),                    //OUTPUT : Collision Indication
+        .led_an_0(led_an_0),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_0(led_char_err_0),          //OUTPUT : Character error
+        .led_disp_err_0(led_disp_err_0),          //OUTPUT : Disparity error
+        .led_crs_0(led_crs_0),                    //OUTPUT : Carrier sense
+        .led_link_0(led_link_0),                  //OUTPUT : Valid link    
+        .mac_rx_clk_0(mac_rx_clk_0),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_0(mac_tx_clk_0),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_0(data_rx_sop_0),            //OUTPUT : Start of Packet
+        .data_rx_eop_0(data_rx_eop_0),            //OUTPUT : End of Packet
+        .data_rx_data_0(data_rx_data_0),          //OUTPUT : Data from FIFO
+        .data_rx_error_0(data_rx_error_0),        //OUTPUT : Receive packet error
+        .data_rx_valid_0(data_rx_valid_0),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_0(data_rx_ready_0),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_0(pkt_class_data_0),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_0(pkt_class_valid_0),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_0(data_tx_error_0),        //INPUT  : Status
+        .data_tx_data_0(data_tx_data_0),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_0(data_tx_valid_0),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_0(data_tx_sop_0),            //INPUT  : Start of Packet
+        .data_tx_eop_0(data_tx_eop_0),            //INPUT  : End of Packet
+        .data_tx_ready_0(data_tx_ready_0),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_0(tx_ff_uflow_0),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_0(tx_crc_fwd_0),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_0(xoff_gen_0),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_0(xon_gen_0),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_0(magic_sleep_n_0),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_0(magic_wakeup_0),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 1 
+            
+        .tbi_rx_clk_1(tbi_rx_clk_1),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_1(tbi_tx_clk_1),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_1(tbi_rx_d_1),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_1(tbi_tx_d_1),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_1(sd_loopback_1),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_1(pcs_pwrdn_out_sig[1]),       //OUTPUT : Powerdown Enable
+        .led_col_1(led_col_1),                    //OUTPUT : Collision Indication
+        .led_an_1(led_an_1),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_1(led_char_err_1),          //OUTPUT : Character error
+        .led_disp_err_1(led_disp_err_1),          //OUTPUT : Disparity error
+        .led_crs_1(led_crs_1),                    //OUTPUT : Carrier sense
+        .led_link_1(led_link_1),                  //OUTPUT : Valid link    
+        .mac_rx_clk_1(mac_rx_clk_1),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_1(mac_tx_clk_1),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_1(data_rx_sop_1),            //OUTPUT : Start of Packet
+        .data_rx_eop_1(data_rx_eop_1),            //OUTPUT : End of Packet
+        .data_rx_data_1(data_rx_data_1),          //OUTPUT : Data from FIFO
+        .data_rx_error_1(data_rx_error_1),        //OUTPUT : Receive packet error
+        .data_rx_valid_1(data_rx_valid_1),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_1(data_rx_ready_1),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_1(pkt_class_data_1),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_1(pkt_class_valid_1),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_1(data_tx_error_1),        //INPUT  : Status
+        .data_tx_data_1(data_tx_data_1),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_1(data_tx_valid_1),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_1(data_tx_sop_1),            //INPUT  : Start of Packet
+        .data_tx_eop_1(data_tx_eop_1),            //INPUT  : End of Packet
+        .data_tx_ready_1(data_tx_ready_1),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_1(tx_ff_uflow_1),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_1(tx_crc_fwd_1),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_1(xoff_gen_1),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_1(xon_gen_1),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_1(magic_sleep_n_1),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_1(magic_wakeup_1),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 2 
+            
+        .tbi_rx_clk_2(tbi_rx_clk_2),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_2(tbi_tx_clk_2),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_2(tbi_rx_d_2),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_2(tbi_tx_d_2),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_2(sd_loopback_2),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_2(pcs_pwrdn_out_sig[2]),       //OUTPUT : Powerdown Enable
+        .led_col_2(led_col_2),                    //OUTPUT : Collision Indication
+        .led_an_2(led_an_2),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_2(led_char_err_2),          //OUTPUT : Character error
+        .led_disp_err_2(led_disp_err_2),          //OUTPUT : Disparity error
+        .led_crs_2(led_crs_2),                    //OUTPUT : Carrier sense
+        .led_link_2(led_link_2),                  //OUTPUT : Valid link    
+        .mac_rx_clk_2(mac_rx_clk_2),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_2(mac_tx_clk_2),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_2(data_rx_sop_2),            //OUTPUT : Start of Packet
+        .data_rx_eop_2(data_rx_eop_2),            //OUTPUT : End of Packet
+        .data_rx_data_2(data_rx_data_2),          //OUTPUT : Data from FIFO
+        .data_rx_error_2(data_rx_error_2),        //OUTPUT : Receive packet error
+        .data_rx_valid_2(data_rx_valid_2),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_2(data_rx_ready_2),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_2(pkt_class_data_2),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_2(pkt_class_valid_2),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_2(data_tx_error_2),        //INPUT  : Status
+        .data_tx_data_2(data_tx_data_2),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_2(data_tx_valid_2),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_2(data_tx_sop_2),            //INPUT  : Start of Packet
+        .data_tx_eop_2(data_tx_eop_2),            //INPUT  : End of Packet
+        .data_tx_ready_2(data_tx_ready_2),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_2(tx_ff_uflow_2),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_2(tx_crc_fwd_2),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_2(xoff_gen_2),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_2(xon_gen_2),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_2(magic_sleep_n_2),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_2(magic_wakeup_2),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 3 
+            
+        .tbi_rx_clk_3(tbi_rx_clk_3),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_3(tbi_tx_clk_3),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_3(tbi_rx_d_3),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_3(tbi_tx_d_3),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_3(sd_loopback_3),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_3(pcs_pwrdn_out_sig[3]),       //OUTPUT : Powerdown Enable
+        .led_col_3(led_col_3),                    //OUTPUT : Collision Indication
+        .led_an_3(led_an_3),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_3(led_char_err_3),          //OUTPUT : Character error
+        .led_disp_err_3(led_disp_err_3),          //OUTPUT : Disparity error
+        .led_crs_3(led_crs_3),                    //OUTPUT : Carrier sense
+        .led_link_3(led_link_3),                  //OUTPUT : Valid link    
+        .mac_rx_clk_3(mac_rx_clk_3),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_3(mac_tx_clk_3),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_3(data_rx_sop_3),            //OUTPUT : Start of Packet
+        .data_rx_eop_3(data_rx_eop_3),            //OUTPUT : End of Packet
+        .data_rx_data_3(data_rx_data_3),          //OUTPUT : Data from FIFO
+        .data_rx_error_3(data_rx_error_3),        //OUTPUT : Receive packet error
+        .data_rx_valid_3(data_rx_valid_3),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_3(data_rx_ready_3),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_3(pkt_class_data_3),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_3(pkt_class_valid_3),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_3(data_tx_error_3),        //INPUT  : Status
+        .data_tx_data_3(data_tx_data_3),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_3(data_tx_valid_3),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_3(data_tx_sop_3),            //INPUT  : Start of Packet
+        .data_tx_eop_3(data_tx_eop_3),            //INPUT  : End of Packet
+        .data_tx_ready_3(data_tx_ready_3),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_3(tx_ff_uflow_3),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_3(tx_crc_fwd_3),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_3(xoff_gen_3),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_3(xon_gen_3),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_3(magic_sleep_n_3),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_3(magic_wakeup_3),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 4 
+            
+        .tbi_rx_clk_4(tbi_rx_clk_4),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_4(tbi_tx_clk_4),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_4(tbi_rx_d_4),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_4(tbi_tx_d_4),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_4(sd_loopback_4),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_4(pcs_pwrdn_out_sig[4]),       //OUTPUT : Powerdown Enable
+        .led_col_4(led_col_4),                    //OUTPUT : Collision Indication
+        .led_an_4(led_an_4),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_4(led_char_err_4),          //OUTPUT : Character error
+        .led_disp_err_4(led_disp_err_4),          //OUTPUT : Disparity error
+        .led_crs_4(led_crs_4),                    //OUTPUT : Carrier sense
+        .led_link_4(led_link_4),                  //OUTPUT : Valid link    
+        .mac_rx_clk_4(mac_rx_clk_4),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_4(mac_tx_clk_4),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_4(data_rx_sop_4),            //OUTPUT : Start of Packet
+        .data_rx_eop_4(data_rx_eop_4),            //OUTPUT : End of Packet
+        .data_rx_data_4(data_rx_data_4),          //OUTPUT : Data from FIFO
+        .data_rx_error_4(data_rx_error_4),        //OUTPUT : Receive packet error
+        .data_rx_valid_4(data_rx_valid_4),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_4(data_rx_ready_4),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_4(pkt_class_data_4),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_4(pkt_class_valid_4),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_4(data_tx_error_4),        //INPUT  : Status
+        .data_tx_data_4(data_tx_data_4),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_4(data_tx_valid_4),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_4(data_tx_sop_4),            //INPUT  : Start of Packet
+        .data_tx_eop_4(data_tx_eop_4),            //INPUT  : End of Packet
+        .data_tx_ready_4(data_tx_ready_4),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_4(tx_ff_uflow_4),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_4(tx_crc_fwd_4),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_4(xoff_gen_4),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_4(xon_gen_4),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_4(magic_sleep_n_4),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_4(magic_wakeup_4),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 5 
+            
+        .tbi_rx_clk_5(tbi_rx_clk_5),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_5(tbi_tx_clk_5),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_5(tbi_rx_d_5),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_5(tbi_tx_d_5),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_5(sd_loopback_5),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_5(pcs_pwrdn_out_sig[5]),       //OUTPUT : Powerdown Enable
+        .led_col_5(led_col_5),                    //OUTPUT : Collision Indication
+        .led_an_5(led_an_5),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_5(led_char_err_5),          //OUTPUT : Character error
+        .led_disp_err_5(led_disp_err_5),          //OUTPUT : Disparity error
+        .led_crs_5(led_crs_5),                    //OUTPUT : Carrier sense
+        .led_link_5(led_link_5),                  //OUTPUT : Valid link    
+        .mac_rx_clk_5(mac_rx_clk_5),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_5(mac_tx_clk_5),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_5(data_rx_sop_5),            //OUTPUT : Start of Packet
+        .data_rx_eop_5(data_rx_eop_5),            //OUTPUT : End of Packet
+        .data_rx_data_5(data_rx_data_5),          //OUTPUT : Data from FIFO
+        .data_rx_error_5(data_rx_error_5),        //OUTPUT : Receive packet error
+        .data_rx_valid_5(data_rx_valid_5),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_5(data_rx_ready_5),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_5(pkt_class_data_5),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_5(pkt_class_valid_5),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_5(data_tx_error_5),        //INPUT  : Status
+        .data_tx_data_5(data_tx_data_5),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_5(data_tx_valid_5),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_5(data_tx_sop_5),            //INPUT  : Start of Packet
+        .data_tx_eop_5(data_tx_eop_5),            //INPUT  : End of Packet
+        .data_tx_ready_5(data_tx_ready_5),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_5(tx_ff_uflow_5),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_5(tx_crc_fwd_5),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_5(xoff_gen_5),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_5(xon_gen_5),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_5(magic_sleep_n_5),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_5(magic_wakeup_5),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 6 
+            
+        .tbi_rx_clk_6(tbi_rx_clk_6),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_6(tbi_tx_clk_6),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_6(tbi_rx_d_6),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_6(tbi_tx_d_6),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_6(sd_loopback_6),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_6(pcs_pwrdn_out_sig[6]),       //OUTPUT : Powerdown Enable
+        .led_col_6(led_col_6),                    //OUTPUT : Collision Indication
+        .led_an_6(led_an_6),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_6(led_char_err_6),          //OUTPUT : Character error
+        .led_disp_err_6(led_disp_err_6),          //OUTPUT : Disparity error
+        .led_crs_6(led_crs_6),                    //OUTPUT : Carrier sense
+        .led_link_6(led_link_6),                  //OUTPUT : Valid link    
+        .mac_rx_clk_6(mac_rx_clk_6),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_6(mac_tx_clk_6),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_6(data_rx_sop_6),            //OUTPUT : Start of Packet
+        .data_rx_eop_6(data_rx_eop_6),            //OUTPUT : End of Packet
+        .data_rx_data_6(data_rx_data_6),          //OUTPUT : Data from FIFO
+        .data_rx_error_6(data_rx_error_6),        //OUTPUT : Receive packet error
+        .data_rx_valid_6(data_rx_valid_6),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_6(data_rx_ready_6),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_6(pkt_class_data_6),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_6(pkt_class_valid_6),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_6(data_tx_error_6),        //INPUT  : Status
+        .data_tx_data_6(data_tx_data_6),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_6(data_tx_valid_6),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_6(data_tx_sop_6),            //INPUT  : Start of Packet
+        .data_tx_eop_6(data_tx_eop_6),            //INPUT  : End of Packet
+        .data_tx_ready_6(data_tx_ready_6),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_6(tx_ff_uflow_6),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_6(tx_crc_fwd_6),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_6(xoff_gen_6),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_6(xon_gen_6),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_6(magic_sleep_n_6),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_6(magic_wakeup_6),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 7 
+            
+        .tbi_rx_clk_7(tbi_rx_clk_7),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_7(tbi_tx_clk_7),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_7(tbi_rx_d_7),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_7(tbi_tx_d_7),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_7(sd_loopback_7),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_7(pcs_pwrdn_out_sig[7]),       //OUTPUT : Powerdown Enable
+        .led_col_7(led_col_7),                    //OUTPUT : Collision Indication
+        .led_an_7(led_an_7),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_7(led_char_err_7),          //OUTPUT : Character error
+        .led_disp_err_7(led_disp_err_7),          //OUTPUT : Disparity error
+        .led_crs_7(led_crs_7),                    //OUTPUT : Carrier sense
+        .led_link_7(led_link_7),                  //OUTPUT : Valid link    
+        .mac_rx_clk_7(mac_rx_clk_7),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_7(mac_tx_clk_7),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_7(data_rx_sop_7),            //OUTPUT : Start of Packet
+        .data_rx_eop_7(data_rx_eop_7),            //OUTPUT : End of Packet
+        .data_rx_data_7(data_rx_data_7),          //OUTPUT : Data from FIFO
+        .data_rx_error_7(data_rx_error_7),        //OUTPUT : Receive packet error
+        .data_rx_valid_7(data_rx_valid_7),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_7(data_rx_ready_7),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_7(pkt_class_data_7),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_7(pkt_class_valid_7),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_7(data_tx_error_7),        //INPUT  : Status
+        .data_tx_data_7(data_tx_data_7),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_7(data_tx_valid_7),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_7(data_tx_sop_7),            //INPUT  : Start of Packet
+        .data_tx_eop_7(data_tx_eop_7),            //INPUT  : End of Packet
+        .data_tx_ready_7(data_tx_ready_7),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_7(tx_ff_uflow_7),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_7(tx_crc_fwd_7),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_7(xoff_gen_7),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_7(xon_gen_7),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_7(magic_sleep_n_7),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_7(magic_wakeup_7),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 8 
+            
+        .tbi_rx_clk_8(tbi_rx_clk_8),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_8(tbi_tx_clk_8),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_8(tbi_rx_d_8),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_8(tbi_tx_d_8),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_8(sd_loopback_8),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_8(pcs_pwrdn_out_sig[8]),       //OUTPUT : Powerdown Enable
+        .led_col_8(led_col_8),                    //OUTPUT : Collision Indication
+        .led_an_8(led_an_8),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_8(led_char_err_8),          //OUTPUT : Character error
+        .led_disp_err_8(led_disp_err_8),          //OUTPUT : Disparity error
+        .led_crs_8(led_crs_8),                    //OUTPUT : Carrier sense
+        .led_link_8(led_link_8),                  //OUTPUT : Valid link    
+        .mac_rx_clk_8(mac_rx_clk_8),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_8(mac_tx_clk_8),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_8(data_rx_sop_8),            //OUTPUT : Start of Packet
+        .data_rx_eop_8(data_rx_eop_8),            //OUTPUT : End of Packet
+        .data_rx_data_8(data_rx_data_8),          //OUTPUT : Data from FIFO
+        .data_rx_error_8(data_rx_error_8),        //OUTPUT : Receive packet error
+        .data_rx_valid_8(data_rx_valid_8),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_8(data_rx_ready_8),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_8(pkt_class_data_8),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_8(pkt_class_valid_8),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_8(data_tx_error_8),        //INPUT  : Status
+        .data_tx_data_8(data_tx_data_8),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_8(data_tx_valid_8),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_8(data_tx_sop_8),            //INPUT  : Start of Packet
+        .data_tx_eop_8(data_tx_eop_8),            //INPUT  : End of Packet
+        .data_tx_ready_8(data_tx_ready_8),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_8(tx_ff_uflow_8),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_8(tx_crc_fwd_8),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_8(xoff_gen_8),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_8(xon_gen_8),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_8(magic_sleep_n_8),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_8(magic_wakeup_8),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 9 
+            
+        .tbi_rx_clk_9(tbi_rx_clk_9),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_9(tbi_tx_clk_9),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_9(tbi_rx_d_9),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_9(tbi_tx_d_9),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_9(sd_loopback_9),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_9(pcs_pwrdn_out_sig[9]),       //OUTPUT : Powerdown Enable
+        .led_col_9(led_col_9),                    //OUTPUT : Collision Indication
+        .led_an_9(led_an_9),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_9(led_char_err_9),          //OUTPUT : Character error
+        .led_disp_err_9(led_disp_err_9),          //OUTPUT : Disparity error
+        .led_crs_9(led_crs_9),                    //OUTPUT : Carrier sense
+        .led_link_9(led_link_9),                  //OUTPUT : Valid link    
+        .mac_rx_clk_9(mac_rx_clk_9),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_9(mac_tx_clk_9),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_9(data_rx_sop_9),            //OUTPUT : Start of Packet
+        .data_rx_eop_9(data_rx_eop_9),            //OUTPUT : End of Packet
+        .data_rx_data_9(data_rx_data_9),          //OUTPUT : Data from FIFO
+        .data_rx_error_9(data_rx_error_9),        //OUTPUT : Receive packet error
+        .data_rx_valid_9(data_rx_valid_9),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_9(data_rx_ready_9),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_9(pkt_class_data_9),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_9(pkt_class_valid_9),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_9(data_tx_error_9),        //INPUT  : Status
+        .data_tx_data_9(data_tx_data_9),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_9(data_tx_valid_9),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_9(data_tx_sop_9),            //INPUT  : Start of Packet
+        .data_tx_eop_9(data_tx_eop_9),            //INPUT  : End of Packet
+        .data_tx_ready_9(data_tx_ready_9),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_9(tx_ff_uflow_9),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_9(tx_crc_fwd_9),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_9(xoff_gen_9),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_9(xon_gen_9),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_9(magic_sleep_n_9),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_9(magic_wakeup_9),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 10 
+            
+        .tbi_rx_clk_10(tbi_rx_clk_10),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_10(tbi_tx_clk_10),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_10(tbi_rx_d_10),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_10(tbi_tx_d_10),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_10(sd_loopback_10),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_10(pcs_pwrdn_out_sig[10]),       //OUTPUT : Powerdown Enable
+        .led_col_10(led_col_10),                    //OUTPUT : Collision Indication
+        .led_an_10(led_an_10),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_10(led_char_err_10),          //OUTPUT : Character error
+        .led_disp_err_10(led_disp_err_10),          //OUTPUT : Disparity error
+        .led_crs_10(led_crs_10),                    //OUTPUT : Carrier sense
+        .led_link_10(led_link_10),                  //OUTPUT : Valid link    
+        .mac_rx_clk_10(mac_rx_clk_10),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_10(mac_tx_clk_10),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_10(data_rx_sop_10),            //OUTPUT : Start of Packet
+        .data_rx_eop_10(data_rx_eop_10),            //OUTPUT : End of Packet
+        .data_rx_data_10(data_rx_data_10),          //OUTPUT : Data from FIFO
+        .data_rx_error_10(data_rx_error_10),        //OUTPUT : Receive packet error
+        .data_rx_valid_10(data_rx_valid_10),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_10(data_rx_ready_10),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_10(pkt_class_data_10),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_10(pkt_class_valid_10),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_10(data_tx_error_10),        //INPUT  : Status
+        .data_tx_data_10(data_tx_data_10),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_10(data_tx_valid_10),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_10(data_tx_sop_10),            //INPUT  : Start of Packet
+        .data_tx_eop_10(data_tx_eop_10),            //INPUT  : End of Packet
+        .data_tx_ready_10(data_tx_ready_10),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_10(tx_ff_uflow_10),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_10(tx_crc_fwd_10),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_10(xoff_gen_10),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_10(xon_gen_10),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_10(magic_sleep_n_10),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_10(magic_wakeup_10),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 11 
+            
+        .tbi_rx_clk_11(tbi_rx_clk_11),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_11(tbi_tx_clk_11),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_11(tbi_rx_d_11),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_11(tbi_tx_d_11),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_11(sd_loopback_11),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_11(pcs_pwrdn_out_sig[11]),       //OUTPUT : Powerdown Enable
+        .led_col_11(led_col_11),                    //OUTPUT : Collision Indication
+        .led_an_11(led_an_11),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_11(led_char_err_11),          //OUTPUT : Character error
+        .led_disp_err_11(led_disp_err_11),          //OUTPUT : Disparity error
+        .led_crs_11(led_crs_11),                    //OUTPUT : Carrier sense
+        .led_link_11(led_link_11),                  //OUTPUT : Valid link    
+        .mac_rx_clk_11(mac_rx_clk_11),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_11(mac_tx_clk_11),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_11(data_rx_sop_11),            //OUTPUT : Start of Packet
+        .data_rx_eop_11(data_rx_eop_11),            //OUTPUT : End of Packet
+        .data_rx_data_11(data_rx_data_11),          //OUTPUT : Data from FIFO
+        .data_rx_error_11(data_rx_error_11),        //OUTPUT : Receive packet error
+        .data_rx_valid_11(data_rx_valid_11),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_11(data_rx_ready_11),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_11(pkt_class_data_11),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_11(pkt_class_valid_11),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_11(data_tx_error_11),        //INPUT  : Status
+        .data_tx_data_11(data_tx_data_11),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_11(data_tx_valid_11),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_11(data_tx_sop_11),            //INPUT  : Start of Packet
+        .data_tx_eop_11(data_tx_eop_11),            //INPUT  : End of Packet
+        .data_tx_ready_11(data_tx_ready_11),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_11(tx_ff_uflow_11),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_11(tx_crc_fwd_11),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_11(xoff_gen_11),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_11(xon_gen_11),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_11(magic_sleep_n_11),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_11(magic_wakeup_11),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 12 
+            
+        .tbi_rx_clk_12(tbi_rx_clk_12),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_12(tbi_tx_clk_12),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_12(tbi_rx_d_12),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_12(tbi_tx_d_12),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_12(sd_loopback_12),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_12(pcs_pwrdn_out_sig[12]),       //OUTPUT : Powerdown Enable
+        .led_col_12(led_col_12),                    //OUTPUT : Collision Indication
+        .led_an_12(led_an_12),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_12(led_char_err_12),          //OUTPUT : Character error
+        .led_disp_err_12(led_disp_err_12),          //OUTPUT : Disparity error
+        .led_crs_12(led_crs_12),                    //OUTPUT : Carrier sense
+        .led_link_12(led_link_12),                  //OUTPUT : Valid link    
+        .mac_rx_clk_12(mac_rx_clk_12),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_12(mac_tx_clk_12),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_12(data_rx_sop_12),            //OUTPUT : Start of Packet
+        .data_rx_eop_12(data_rx_eop_12),            //OUTPUT : End of Packet
+        .data_rx_data_12(data_rx_data_12),          //OUTPUT : Data from FIFO
+        .data_rx_error_12(data_rx_error_12),        //OUTPUT : Receive packet error
+        .data_rx_valid_12(data_rx_valid_12),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_12(data_rx_ready_12),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_12(pkt_class_data_12),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_12(pkt_class_valid_12),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_12(data_tx_error_12),        //INPUT  : Status
+        .data_tx_data_12(data_tx_data_12),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_12(data_tx_valid_12),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_12(data_tx_sop_12),            //INPUT  : Start of Packet
+        .data_tx_eop_12(data_tx_eop_12),            //INPUT  : End of Packet
+        .data_tx_ready_12(data_tx_ready_12),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_12(tx_ff_uflow_12),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_12(tx_crc_fwd_12),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_12(xoff_gen_12),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_12(xon_gen_12),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_12(magic_sleep_n_12),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_12(magic_wakeup_12),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 13 
+            
+        .tbi_rx_clk_13(tbi_rx_clk_13),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_13(tbi_tx_clk_13),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_13(tbi_rx_d_13),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_13(tbi_tx_d_13),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_13(sd_loopback_13),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_13(pcs_pwrdn_out_sig[13]),       //OUTPUT : Powerdown Enable
+        .led_col_13(led_col_13),                    //OUTPUT : Collision Indication
+        .led_an_13(led_an_13),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_13(led_char_err_13),          //OUTPUT : Character error
+        .led_disp_err_13(led_disp_err_13),          //OUTPUT : Disparity error
+        .led_crs_13(led_crs_13),                    //OUTPUT : Carrier sense
+        .led_link_13(led_link_13),                  //OUTPUT : Valid link    
+        .mac_rx_clk_13(mac_rx_clk_13),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_13(mac_tx_clk_13),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_13(data_rx_sop_13),            //OUTPUT : Start of Packet
+        .data_rx_eop_13(data_rx_eop_13),            //OUTPUT : End of Packet
+        .data_rx_data_13(data_rx_data_13),          //OUTPUT : Data from FIFO
+        .data_rx_error_13(data_rx_error_13),        //OUTPUT : Receive packet error
+        .data_rx_valid_13(data_rx_valid_13),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_13(data_rx_ready_13),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_13(pkt_class_data_13),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_13(pkt_class_valid_13),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_13(data_tx_error_13),        //INPUT  : Status
+        .data_tx_data_13(data_tx_data_13),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_13(data_tx_valid_13),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_13(data_tx_sop_13),            //INPUT  : Start of Packet
+        .data_tx_eop_13(data_tx_eop_13),            //INPUT  : End of Packet
+        .data_tx_ready_13(data_tx_ready_13),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_13(tx_ff_uflow_13),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_13(tx_crc_fwd_13),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_13(xoff_gen_13),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_13(xon_gen_13),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_13(magic_sleep_n_13),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_13(magic_wakeup_13),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 14 
+            
+        .tbi_rx_clk_14(tbi_rx_clk_14),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_14(tbi_tx_clk_14),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_14(tbi_rx_d_14),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_14(tbi_tx_d_14),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_14(sd_loopback_14),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_14(pcs_pwrdn_out_sig[14]),       //OUTPUT : Powerdown Enable
+        .led_col_14(led_col_14),                    //OUTPUT : Collision Indication
+        .led_an_14(led_an_14),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_14(led_char_err_14),          //OUTPUT : Character error
+        .led_disp_err_14(led_disp_err_14),          //OUTPUT : Disparity error
+        .led_crs_14(led_crs_14),                    //OUTPUT : Carrier sense
+        .led_link_14(led_link_14),                  //OUTPUT : Valid link    
+        .mac_rx_clk_14(mac_rx_clk_14),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_14(mac_tx_clk_14),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_14(data_rx_sop_14),            //OUTPUT : Start of Packet
+        .data_rx_eop_14(data_rx_eop_14),            //OUTPUT : End of Packet
+        .data_rx_data_14(data_rx_data_14),          //OUTPUT : Data from FIFO
+        .data_rx_error_14(data_rx_error_14),        //OUTPUT : Receive packet error
+        .data_rx_valid_14(data_rx_valid_14),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_14(data_rx_ready_14),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_14(pkt_class_data_14),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_14(pkt_class_valid_14),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_14(data_tx_error_14),        //INPUT  : Status
+        .data_tx_data_14(data_tx_data_14),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_14(data_tx_valid_14),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_14(data_tx_sop_14),            //INPUT  : Start of Packet
+        .data_tx_eop_14(data_tx_eop_14),            //INPUT  : End of Packet
+        .data_tx_ready_14(data_tx_ready_14),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_14(tx_ff_uflow_14),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_14(tx_crc_fwd_14),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_14(xoff_gen_14),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_14(xon_gen_14),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_14(magic_sleep_n_14),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_14(magic_wakeup_14),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 15 
+            
+        .tbi_rx_clk_15(tbi_rx_clk_15),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_15(tbi_tx_clk_15),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_15(tbi_rx_d_15),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_15(tbi_tx_d_15),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_15(sd_loopback_15),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_15(pcs_pwrdn_out_sig[15]),       //OUTPUT : Powerdown Enable
+        .led_col_15(led_col_15),                    //OUTPUT : Collision Indication
+        .led_an_15(led_an_15),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_15(led_char_err_15),          //OUTPUT : Character error
+        .led_disp_err_15(led_disp_err_15),          //OUTPUT : Disparity error
+        .led_crs_15(led_crs_15),                    //OUTPUT : Carrier sense
+        .led_link_15(led_link_15),                  //OUTPUT : Valid link    
+        .mac_rx_clk_15(mac_rx_clk_15),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_15(mac_tx_clk_15),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_15(data_rx_sop_15),            //OUTPUT : Start of Packet
+        .data_rx_eop_15(data_rx_eop_15),            //OUTPUT : End of Packet
+        .data_rx_data_15(data_rx_data_15),          //OUTPUT : Data from FIFO
+        .data_rx_error_15(data_rx_error_15),        //OUTPUT : Receive packet error
+        .data_rx_valid_15(data_rx_valid_15),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_15(data_rx_ready_15),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_15(pkt_class_data_15),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_15(pkt_class_valid_15),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_15(data_tx_error_15),        //INPUT  : Status
+        .data_tx_data_15(data_tx_data_15),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_15(data_tx_valid_15),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_15(data_tx_sop_15),            //INPUT  : Start of Packet
+        .data_tx_eop_15(data_tx_eop_15),            //INPUT  : End of Packet
+        .data_tx_ready_15(data_tx_ready_15),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_15(tx_ff_uflow_15),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_15(tx_crc_fwd_15),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_15(xoff_gen_15),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_15(xon_gen_15),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_15(magic_sleep_n_15),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_15(magic_wakeup_15),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 16 
+            
+        .tbi_rx_clk_16(tbi_rx_clk_16),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_16(tbi_tx_clk_16),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_16(tbi_rx_d_16),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_16(tbi_tx_d_16),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_16(sd_loopback_16),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_16(pcs_pwrdn_out_sig[16]),       //OUTPUT : Powerdown Enable
+        .led_col_16(led_col_16),                    //OUTPUT : Collision Indication
+        .led_an_16(led_an_16),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_16(led_char_err_16),          //OUTPUT : Character error
+        .led_disp_err_16(led_disp_err_16),          //OUTPUT : Disparity error
+        .led_crs_16(led_crs_16),                    //OUTPUT : Carrier sense
+        .led_link_16(led_link_16),                  //OUTPUT : Valid link    
+        .mac_rx_clk_16(mac_rx_clk_16),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_16(mac_tx_clk_16),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_16(data_rx_sop_16),            //OUTPUT : Start of Packet
+        .data_rx_eop_16(data_rx_eop_16),            //OUTPUT : End of Packet
+        .data_rx_data_16(data_rx_data_16),          //OUTPUT : Data from FIFO
+        .data_rx_error_16(data_rx_error_16),        //OUTPUT : Receive packet error
+        .data_rx_valid_16(data_rx_valid_16),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_16(data_rx_ready_16),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_16(pkt_class_data_16),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_16(pkt_class_valid_16),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_16(data_tx_error_16),        //INPUT  : Status
+        .data_tx_data_16(data_tx_data_16),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_16(data_tx_valid_16),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_16(data_tx_sop_16),            //INPUT  : Start of Packet
+        .data_tx_eop_16(data_tx_eop_16),            //INPUT  : End of Packet
+        .data_tx_ready_16(data_tx_ready_16),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_16(tx_ff_uflow_16),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_16(tx_crc_fwd_16),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_16(xoff_gen_16),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_16(xon_gen_16),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_16(magic_sleep_n_16),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_16(magic_wakeup_16),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 17 
+            
+        .tbi_rx_clk_17(tbi_rx_clk_17),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_17(tbi_tx_clk_17),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_17(tbi_rx_d_17),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_17(tbi_tx_d_17),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_17(sd_loopback_17),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_17(pcs_pwrdn_out_sig[17]),       //OUTPUT : Powerdown Enable
+        .led_col_17(led_col_17),                    //OUTPUT : Collision Indication
+        .led_an_17(led_an_17),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_17(led_char_err_17),          //OUTPUT : Character error
+        .led_disp_err_17(led_disp_err_17),          //OUTPUT : Disparity error
+        .led_crs_17(led_crs_17),                    //OUTPUT : Carrier sense
+        .led_link_17(led_link_17),                  //OUTPUT : Valid link    
+        .mac_rx_clk_17(mac_rx_clk_17),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_17(mac_tx_clk_17),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_17(data_rx_sop_17),            //OUTPUT : Start of Packet
+        .data_rx_eop_17(data_rx_eop_17),            //OUTPUT : End of Packet
+        .data_rx_data_17(data_rx_data_17),          //OUTPUT : Data from FIFO
+        .data_rx_error_17(data_rx_error_17),        //OUTPUT : Receive packet error
+        .data_rx_valid_17(data_rx_valid_17),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_17(data_rx_ready_17),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_17(pkt_class_data_17),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_17(pkt_class_valid_17),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_17(data_tx_error_17),        //INPUT  : Status
+        .data_tx_data_17(data_tx_data_17),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_17(data_tx_valid_17),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_17(data_tx_sop_17),            //INPUT  : Start of Packet
+        .data_tx_eop_17(data_tx_eop_17),            //INPUT  : End of Packet
+        .data_tx_ready_17(data_tx_ready_17),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_17(tx_ff_uflow_17),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_17(tx_crc_fwd_17),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_17(xoff_gen_17),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_17(xon_gen_17),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_17(magic_sleep_n_17),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_17(magic_wakeup_17),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 18 
+            
+        .tbi_rx_clk_18(tbi_rx_clk_18),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_18(tbi_tx_clk_18),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_18(tbi_rx_d_18),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_18(tbi_tx_d_18),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_18(sd_loopback_18),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_18(pcs_pwrdn_out_sig[18]),       //OUTPUT : Powerdown Enable
+        .led_col_18(led_col_18),                    //OUTPUT : Collision Indication
+        .led_an_18(led_an_18),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_18(led_char_err_18),          //OUTPUT : Character error
+        .led_disp_err_18(led_disp_err_18),          //OUTPUT : Disparity error
+        .led_crs_18(led_crs_18),                    //OUTPUT : Carrier sense
+        .led_link_18(led_link_18),                  //OUTPUT : Valid link    
+        .mac_rx_clk_18(mac_rx_clk_18),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_18(mac_tx_clk_18),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_18(data_rx_sop_18),            //OUTPUT : Start of Packet
+        .data_rx_eop_18(data_rx_eop_18),            //OUTPUT : End of Packet
+        .data_rx_data_18(data_rx_data_18),          //OUTPUT : Data from FIFO
+        .data_rx_error_18(data_rx_error_18),        //OUTPUT : Receive packet error
+        .data_rx_valid_18(data_rx_valid_18),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_18(data_rx_ready_18),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_18(pkt_class_data_18),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_18(pkt_class_valid_18),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_18(data_tx_error_18),        //INPUT  : Status
+        .data_tx_data_18(data_tx_data_18),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_18(data_tx_valid_18),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_18(data_tx_sop_18),            //INPUT  : Start of Packet
+        .data_tx_eop_18(data_tx_eop_18),            //INPUT  : End of Packet
+        .data_tx_ready_18(data_tx_ready_18),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_18(tx_ff_uflow_18),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_18(tx_crc_fwd_18),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_18(xoff_gen_18),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_18(xon_gen_18),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_18(magic_sleep_n_18),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_18(magic_wakeup_18),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 19 
+            
+        .tbi_rx_clk_19(tbi_rx_clk_19),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_19(tbi_tx_clk_19),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_19(tbi_rx_d_19),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_19(tbi_tx_d_19),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_19(sd_loopback_19),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_19(pcs_pwrdn_out_sig[19]),       //OUTPUT : Powerdown Enable
+        .led_col_19(led_col_19),                    //OUTPUT : Collision Indication
+        .led_an_19(led_an_19),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_19(led_char_err_19),          //OUTPUT : Character error
+        .led_disp_err_19(led_disp_err_19),          //OUTPUT : Disparity error
+        .led_crs_19(led_crs_19),                    //OUTPUT : Carrier sense
+        .led_link_19(led_link_19),                  //OUTPUT : Valid link    
+        .mac_rx_clk_19(mac_rx_clk_19),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_19(mac_tx_clk_19),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_19(data_rx_sop_19),            //OUTPUT : Start of Packet
+        .data_rx_eop_19(data_rx_eop_19),            //OUTPUT : End of Packet
+        .data_rx_data_19(data_rx_data_19),          //OUTPUT : Data from FIFO
+        .data_rx_error_19(data_rx_error_19),        //OUTPUT : Receive packet error
+        .data_rx_valid_19(data_rx_valid_19),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_19(data_rx_ready_19),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_19(pkt_class_data_19),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_19(pkt_class_valid_19),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_19(data_tx_error_19),        //INPUT  : Status
+        .data_tx_data_19(data_tx_data_19),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_19(data_tx_valid_19),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_19(data_tx_sop_19),            //INPUT  : Start of Packet
+        .data_tx_eop_19(data_tx_eop_19),            //INPUT  : End of Packet
+        .data_tx_ready_19(data_tx_ready_19),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_19(tx_ff_uflow_19),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_19(tx_crc_fwd_19),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_19(xoff_gen_19),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_19(xon_gen_19),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_19(magic_sleep_n_19),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_19(magic_wakeup_19),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 20 
+            
+        .tbi_rx_clk_20(tbi_rx_clk_20),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_20(tbi_tx_clk_20),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_20(tbi_rx_d_20),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_20(tbi_tx_d_20),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_20(sd_loopback_20),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_20(pcs_pwrdn_out_sig[20]),       //OUTPUT : Powerdown Enable
+        .led_col_20(led_col_20),                    //OUTPUT : Collision Indication
+        .led_an_20(led_an_20),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_20(led_char_err_20),          //OUTPUT : Character error
+        .led_disp_err_20(led_disp_err_20),          //OUTPUT : Disparity error
+        .led_crs_20(led_crs_20),                    //OUTPUT : Carrier sense
+        .led_link_20(led_link_20),                  //OUTPUT : Valid link    
+        .mac_rx_clk_20(mac_rx_clk_20),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_20(mac_tx_clk_20),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_20(data_rx_sop_20),            //OUTPUT : Start of Packet
+        .data_rx_eop_20(data_rx_eop_20),            //OUTPUT : End of Packet
+        .data_rx_data_20(data_rx_data_20),          //OUTPUT : Data from FIFO
+        .data_rx_error_20(data_rx_error_20),        //OUTPUT : Receive packet error
+        .data_rx_valid_20(data_rx_valid_20),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_20(data_rx_ready_20),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_20(pkt_class_data_20),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_20(pkt_class_valid_20),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_20(data_tx_error_20),        //INPUT  : Status
+        .data_tx_data_20(data_tx_data_20),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_20(data_tx_valid_20),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_20(data_tx_sop_20),            //INPUT  : Start of Packet
+        .data_tx_eop_20(data_tx_eop_20),            //INPUT  : End of Packet
+        .data_tx_ready_20(data_tx_ready_20),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_20(tx_ff_uflow_20),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_20(tx_crc_fwd_20),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_20(xoff_gen_20),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_20(xon_gen_20),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_20(magic_sleep_n_20),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_20(magic_wakeup_20),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 21 
+            
+        .tbi_rx_clk_21(tbi_rx_clk_21),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_21(tbi_tx_clk_21),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_21(tbi_rx_d_21),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_21(tbi_tx_d_21),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_21(sd_loopback_21),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_21(pcs_pwrdn_out_sig[21]),       //OUTPUT : Powerdown Enable
+        .led_col_21(led_col_21),                    //OUTPUT : Collision Indication
+        .led_an_21(led_an_21),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_21(led_char_err_21),          //OUTPUT : Character error
+        .led_disp_err_21(led_disp_err_21),          //OUTPUT : Disparity error
+        .led_crs_21(led_crs_21),                    //OUTPUT : Carrier sense
+        .led_link_21(led_link_21),                  //OUTPUT : Valid link    
+        .mac_rx_clk_21(mac_rx_clk_21),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_21(mac_tx_clk_21),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_21(data_rx_sop_21),            //OUTPUT : Start of Packet
+        .data_rx_eop_21(data_rx_eop_21),            //OUTPUT : End of Packet
+        .data_rx_data_21(data_rx_data_21),          //OUTPUT : Data from FIFO
+        .data_rx_error_21(data_rx_error_21),        //OUTPUT : Receive packet error
+        .data_rx_valid_21(data_rx_valid_21),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_21(data_rx_ready_21),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_21(pkt_class_data_21),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_21(pkt_class_valid_21),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_21(data_tx_error_21),        //INPUT  : Status
+        .data_tx_data_21(data_tx_data_21),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_21(data_tx_valid_21),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_21(data_tx_sop_21),            //INPUT  : Start of Packet
+        .data_tx_eop_21(data_tx_eop_21),            //INPUT  : End of Packet
+        .data_tx_ready_21(data_tx_ready_21),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_21(tx_ff_uflow_21),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_21(tx_crc_fwd_21),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_21(xoff_gen_21),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_21(xon_gen_21),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_21(magic_sleep_n_21),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_21(magic_wakeup_21),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 22 
+            
+        .tbi_rx_clk_22(tbi_rx_clk_22),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_22(tbi_tx_clk_22),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_22(tbi_rx_d_22),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_22(tbi_tx_d_22),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_22(sd_loopback_22),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_22(pcs_pwrdn_out_sig[22]),       //OUTPUT : Powerdown Enable
+        .led_col_22(led_col_22),                    //OUTPUT : Collision Indication
+        .led_an_22(led_an_22),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_22(led_char_err_22),          //OUTPUT : Character error
+        .led_disp_err_22(led_disp_err_22),          //OUTPUT : Disparity error
+        .led_crs_22(led_crs_22),                    //OUTPUT : Carrier sense
+        .led_link_22(led_link_22),                  //OUTPUT : Valid link    
+        .mac_rx_clk_22(mac_rx_clk_22),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_22(mac_tx_clk_22),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_22(data_rx_sop_22),            //OUTPUT : Start of Packet
+        .data_rx_eop_22(data_rx_eop_22),            //OUTPUT : End of Packet
+        .data_rx_data_22(data_rx_data_22),          //OUTPUT : Data from FIFO
+        .data_rx_error_22(data_rx_error_22),        //OUTPUT : Receive packet error
+        .data_rx_valid_22(data_rx_valid_22),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_22(data_rx_ready_22),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_22(pkt_class_data_22),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_22(pkt_class_valid_22),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_22(data_tx_error_22),        //INPUT  : Status
+        .data_tx_data_22(data_tx_data_22),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_22(data_tx_valid_22),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_22(data_tx_sop_22),            //INPUT  : Start of Packet
+        .data_tx_eop_22(data_tx_eop_22),            //INPUT  : End of Packet
+        .data_tx_ready_22(data_tx_ready_22),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_22(tx_ff_uflow_22),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_22(tx_crc_fwd_22),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_22(xoff_gen_22),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_22(xon_gen_22),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_22(magic_sleep_n_22),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_22(magic_wakeup_22),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 23 
+            
+        .tbi_rx_clk_23(tbi_rx_clk_23),              //INPUT  : Receive TBI Clock
+        .tbi_tx_clk_23(tbi_tx_clk_23),              //INPUT  : Transmit TBI Clock
+        .tbi_rx_d_23(tbi_rx_d_23),                  //INPUT  : Receive TBI Interface
+        .tbi_tx_d_23(tbi_tx_d_23),                  //OUTPUT : Transmit TBI Interface
+        .sd_loopback_23(sd_loopback_23),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_23(pcs_pwrdn_out_sig[23]),       //OUTPUT : Powerdown Enable
+        .led_col_23(led_col_23),                    //OUTPUT : Collision Indication
+        .led_an_23(led_an_23),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_23(led_char_err_23),          //OUTPUT : Character error
+        .led_disp_err_23(led_disp_err_23),          //OUTPUT : Disparity error
+        .led_crs_23(led_crs_23),                    //OUTPUT : Carrier sense
+        .led_link_23(led_link_23),                  //OUTPUT : Valid link    
+        .mac_rx_clk_23(mac_rx_clk_23),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_23(mac_tx_clk_23),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_23(data_rx_sop_23),            //OUTPUT : Start of Packet
+        .data_rx_eop_23(data_rx_eop_23),            //OUTPUT : End of Packet
+        .data_rx_data_23(data_rx_data_23),          //OUTPUT : Data from FIFO
+        .data_rx_error_23(data_rx_error_23),        //OUTPUT : Receive packet error
+        .data_rx_valid_23(data_rx_valid_23),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_23(data_rx_ready_23),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_23(pkt_class_data_23),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_23(pkt_class_valid_23),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_23(data_tx_error_23),        //INPUT  : Status
+        .data_tx_data_23(data_tx_data_23),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_23(data_tx_valid_23),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_23(data_tx_sop_23),            //INPUT  : Start of Packet
+        .data_tx_eop_23(data_tx_eop_23),            //INPUT  : End of Packet
+        .data_tx_ready_23(data_tx_ready_23),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_23(tx_ff_uflow_23),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_23(tx_crc_fwd_23),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_23(xoff_gen_23),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_23(xon_gen_23),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_23(magic_sleep_n_23),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_23(magic_wakeup_23));         //OUTPUT : MAC WAKE-UP INDICATION
+
+    defparam
+        U_MULTI_MAC_PCS.USE_SYNC_RESET = USE_SYNC_RESET, 
+        U_MULTI_MAC_PCS.RESET_LEVEL = RESET_LEVEL,
+        U_MULTI_MAC_PCS.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK, 
+        U_MULTI_MAC_PCS.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        U_MULTI_MAC_PCS.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        U_MULTI_MAC_PCS.ENA_HASH = ENA_HASH,
+        U_MULTI_MAC_PCS.STAT_CNT_ENA = STAT_CNT_ENA,
+        U_MULTI_MAC_PCS.CORE_VERSION = CORE_VERSION, 
+        U_MULTI_MAC_PCS.CUST_VERSION = CUST_VERSION,
+        U_MULTI_MAC_PCS.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        U_MULTI_MAC_PCS.ENABLE_MDIO = ENABLE_MDIO,
+        U_MULTI_MAC_PCS.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        U_MULTI_MAC_PCS.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        U_MULTI_MAC_PCS.ENABLE_PADDING = ENABLE_PADDING,
+        U_MULTI_MAC_PCS.ENABLE_LGTH_CHECK = ENABLE_LGTH_CHECK,
+        U_MULTI_MAC_PCS.GBIT_ONLY = GBIT_ONLY,
+        U_MULTI_MAC_PCS.MBIT_ONLY = MBIT_ONLY,
+        U_MULTI_MAC_PCS.REDUCED_CONTROL = REDUCED_CONTROL,
+        U_MULTI_MAC_PCS.CRC32DWIDTH = CRC32DWIDTH,
+        U_MULTI_MAC_PCS.CRC32GENDELAY = CRC32GENDELAY, 
+        U_MULTI_MAC_PCS.CRC32CHECK16BIT = CRC32CHECK16BIT, 
+        U_MULTI_MAC_PCS.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        U_MULTI_MAC_PCS.ENABLE_SHIFT16 = ENABLE_SHIFT16,   
+        U_MULTI_MAC_PCS.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        U_MULTI_MAC_PCS.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN,
+        U_MULTI_MAC_PCS.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        U_MULTI_MAC_PCS.DEV_VERSION = DEV_VERSION,
+        U_MULTI_MAC_PCS.ENABLE_SGMII = ENABLE_SGMII,
+        U_MULTI_MAC_PCS.MAX_CHANNELS = MAX_CHANNELS,
+        U_MULTI_MAC_PCS.CHANNEL_WIDTH = CHANNEL_WIDTH,
+	    U_MULTI_MAC_PCS.ENABLE_RX_FIFO_STATUS = ENABLE_RX_FIFO_STATUS,
+	    U_MULTI_MAC_PCS.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        U_MULTI_MAC_PCS.ENABLE_CLK_SHARING = ENABLE_CLK_SHARING,    
+        U_MULTI_MAC_PCS.ENABLE_REG_SHARING = ENABLE_REG_SHARING;    
+
+
+
+// #######################################################################
+// ###############       CHANNEL 0 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 0)
+    begin          
+        assign gxb_pwrdn_in_sig[0] = gxb_pwrdn_in_0;
+        assign pcs_pwrdn_out_0 = pcs_pwrdn_out_sig[0];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[0] = pcs_pwrdn_out_sig[0];
+		assign pcs_pwrdn_out_0 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 0)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_0
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[0]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_0),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_0),
+        .rx_dataout (tbi_rx_d_0),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_0),
+        .tx_clkout (tbi_tx_clk_0),
+        .tx_datain (tbi_tx_d_0),
+        .tx_dataout (txp_0),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 0)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_0
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[0]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_0),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_0),
+        .rx_dataout (tbi_rx_d_0),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_0),
+        .tx_clkout (tbi_tx_clk_0),
+        .tx_datain (tbi_tx_d_0),
+        .tx_dataout (txp_0),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 0)
+    begin          
+
+    assign tbi_tx_clk_0 = ref_clk;
+    assign tbi_rx_d_0 = tbi_rx_d_flip_0;
+
+    always @(posedge tbi_rx_clk_0 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_0 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_0[0] <= tbi_rx_d_lvds_0[9];
+            tbi_rx_d_flip_0[1] <= tbi_rx_d_lvds_0[8];
+            tbi_rx_d_flip_0[2] <= tbi_rx_d_lvds_0[7];
+            tbi_rx_d_flip_0[3] <= tbi_rx_d_lvds_0[6];
+            tbi_rx_d_flip_0[4] <= tbi_rx_d_lvds_0[5];
+            tbi_rx_d_flip_0[5] <= tbi_rx_d_lvds_0[4];
+            tbi_rx_d_flip_0[6] <= tbi_rx_d_lvds_0[3];
+            tbi_rx_d_flip_0[7] <= tbi_rx_d_lvds_0[2];
+            tbi_rx_d_flip_0[8] <= tbi_rx_d_lvds_0[1];
+            tbi_rx_d_flip_0[9] <= tbi_rx_d_lvds_0[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_0 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_0[0] <= tbi_tx_d_0[9];
+            tbi_tx_d_flip_0[1] <= tbi_tx_d_0[8];
+            tbi_tx_d_flip_0[2] <= tbi_tx_d_0[7];
+            tbi_tx_d_flip_0[3] <= tbi_tx_d_0[6];
+            tbi_tx_d_flip_0[4] <= tbi_tx_d_0[5];
+            tbi_tx_d_flip_0[5] <= tbi_tx_d_0[4];
+            tbi_tx_d_flip_0[6] <= tbi_tx_d_0[3];
+            tbi_tx_d_flip_0[7] <= tbi_tx_d_0[2];
+            tbi_tx_d_flip_0[8] <= tbi_tx_d_0[1];
+            tbi_tx_d_flip_0[9] <= tbi_tx_d_0[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_0
+     (
+         .rx_divfwdclk (tbi_rx_clk_0),
+         .rx_in (rxp_0),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_0),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_0
+    (
+        .tx_in (tbi_tx_d_flip_0),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_0)
+    );
+
+    end    
+else
+    begin
+    assign txp_0 = 1'b0;
+    assign tbi_rx_clk_0 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 1 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 1)
+    begin          
+        assign gxb_pwrdn_in_sig[1] = gxb_pwrdn_in_1;
+        assign pcs_pwrdn_out_1 = pcs_pwrdn_out_sig[1];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[1] = pcs_pwrdn_out_sig[1];
+		assign pcs_pwrdn_out_1 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 1)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_1
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[1]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_1),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_1),
+        .rx_dataout (tbi_rx_d_1),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_1),
+        .tx_clkout (tbi_tx_clk_1),
+        .tx_datain (tbi_tx_d_1),
+        .tx_dataout (txp_1),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 1)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_1
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[1]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_1),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_1),
+        .rx_dataout (tbi_rx_d_1),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_1),
+        .tx_clkout (tbi_tx_clk_1),
+        .tx_datain (tbi_tx_d_1),
+        .tx_dataout (txp_1),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 1)
+    begin          
+
+    assign tbi_tx_clk_1 = ref_clk;
+    assign tbi_rx_d_1 = tbi_rx_d_flip_1;
+
+    always @(posedge tbi_rx_clk_1 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_1 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_1[0] <= tbi_rx_d_lvds_1[9];
+            tbi_rx_d_flip_1[1] <= tbi_rx_d_lvds_1[8];
+            tbi_rx_d_flip_1[2] <= tbi_rx_d_lvds_1[7];
+            tbi_rx_d_flip_1[3] <= tbi_rx_d_lvds_1[6];
+            tbi_rx_d_flip_1[4] <= tbi_rx_d_lvds_1[5];
+            tbi_rx_d_flip_1[5] <= tbi_rx_d_lvds_1[4];
+            tbi_rx_d_flip_1[6] <= tbi_rx_d_lvds_1[3];
+            tbi_rx_d_flip_1[7] <= tbi_rx_d_lvds_1[2];
+            tbi_rx_d_flip_1[8] <= tbi_rx_d_lvds_1[1];
+            tbi_rx_d_flip_1[9] <= tbi_rx_d_lvds_1[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_1 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_1[0] <= tbi_tx_d_1[9];
+            tbi_tx_d_flip_1[1] <= tbi_tx_d_1[8];
+            tbi_tx_d_flip_1[2] <= tbi_tx_d_1[7];
+            tbi_tx_d_flip_1[3] <= tbi_tx_d_1[6];
+            tbi_tx_d_flip_1[4] <= tbi_tx_d_1[5];
+            tbi_tx_d_flip_1[5] <= tbi_tx_d_1[4];
+            tbi_tx_d_flip_1[6] <= tbi_tx_d_1[3];
+            tbi_tx_d_flip_1[7] <= tbi_tx_d_1[2];
+            tbi_tx_d_flip_1[8] <= tbi_tx_d_1[1];
+            tbi_tx_d_flip_1[9] <= tbi_tx_d_1[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_1
+     (
+         .rx_divfwdclk (tbi_rx_clk_1),
+         .rx_in (rxp_1),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_1),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_1
+    (
+        .tx_in (tbi_tx_d_flip_1),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_1)
+    );
+
+    end    
+else
+    begin
+    assign txp_1 = 1'b0;
+    assign tbi_rx_clk_1 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 2 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 2)
+    begin          
+        assign gxb_pwrdn_in_sig[2] = gxb_pwrdn_in_2;
+        assign pcs_pwrdn_out_2 = pcs_pwrdn_out_sig[2];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[2] = pcs_pwrdn_out_sig[2];
+		assign pcs_pwrdn_out_2 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 2)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_2
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[2]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_2),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_2),
+        .rx_dataout (tbi_rx_d_2),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_2),
+        .tx_clkout (tbi_tx_clk_2),
+        .tx_datain (tbi_tx_d_2),
+        .tx_dataout (txp_2),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 2)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_2
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[2]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_2),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_2),
+        .rx_dataout (tbi_rx_d_2),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_2),
+        .tx_clkout (tbi_tx_clk_2),
+        .tx_datain (tbi_tx_d_2),
+        .tx_dataout (txp_2),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 2)
+    begin          
+
+    assign tbi_tx_clk_2 = ref_clk;
+    assign tbi_rx_d_2 = tbi_rx_d_flip_2;
+
+    always @(posedge tbi_rx_clk_2 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_2 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_2[0] <= tbi_rx_d_lvds_2[9];
+            tbi_rx_d_flip_2[1] <= tbi_rx_d_lvds_2[8];
+            tbi_rx_d_flip_2[2] <= tbi_rx_d_lvds_2[7];
+            tbi_rx_d_flip_2[3] <= tbi_rx_d_lvds_2[6];
+            tbi_rx_d_flip_2[4] <= tbi_rx_d_lvds_2[5];
+            tbi_rx_d_flip_2[5] <= tbi_rx_d_lvds_2[4];
+            tbi_rx_d_flip_2[6] <= tbi_rx_d_lvds_2[3];
+            tbi_rx_d_flip_2[7] <= tbi_rx_d_lvds_2[2];
+            tbi_rx_d_flip_2[8] <= tbi_rx_d_lvds_2[1];
+            tbi_rx_d_flip_2[9] <= tbi_rx_d_lvds_2[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_2 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_2[0] <= tbi_tx_d_2[9];
+            tbi_tx_d_flip_2[1] <= tbi_tx_d_2[8];
+            tbi_tx_d_flip_2[2] <= tbi_tx_d_2[7];
+            tbi_tx_d_flip_2[3] <= tbi_tx_d_2[6];
+            tbi_tx_d_flip_2[4] <= tbi_tx_d_2[5];
+            tbi_tx_d_flip_2[5] <= tbi_tx_d_2[4];
+            tbi_tx_d_flip_2[6] <= tbi_tx_d_2[3];
+            tbi_tx_d_flip_2[7] <= tbi_tx_d_2[2];
+            tbi_tx_d_flip_2[8] <= tbi_tx_d_2[1];
+            tbi_tx_d_flip_2[9] <= tbi_tx_d_2[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_2
+     (
+         .rx_divfwdclk (tbi_rx_clk_2),
+         .rx_in (rxp_2),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_2),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_2
+    (
+        .tx_in (tbi_tx_d_flip_2),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_2)
+    );
+
+    end    
+else
+    begin
+    assign txp_2 = 1'b0;
+    assign tbi_rx_clk_2 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 3 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 3)
+    begin          
+        assign gxb_pwrdn_in_sig[3] = gxb_pwrdn_in_3;
+        assign pcs_pwrdn_out_3 = pcs_pwrdn_out_sig[3];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[3] = pcs_pwrdn_out_sig[3];
+		assign pcs_pwrdn_out_3 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 3)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_3
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[3]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_3),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_3),
+        .rx_dataout (tbi_rx_d_3),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_3),
+        .tx_clkout (tbi_tx_clk_3),
+        .tx_datain (tbi_tx_d_3),
+        .tx_dataout (txp_3),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 3)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_3
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[3]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_3),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_3),
+        .rx_dataout (tbi_rx_d_3),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_3),
+        .tx_clkout (tbi_tx_clk_3),
+        .tx_datain (tbi_tx_d_3),
+        .tx_dataout (txp_3),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 3)
+    begin          
+
+    assign tbi_tx_clk_3 = ref_clk;
+    assign tbi_rx_d_3 = tbi_rx_d_flip_3;
+
+    always @(posedge tbi_rx_clk_3 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_3 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_3[0] <= tbi_rx_d_lvds_3[9];
+            tbi_rx_d_flip_3[1] <= tbi_rx_d_lvds_3[8];
+            tbi_rx_d_flip_3[2] <= tbi_rx_d_lvds_3[7];
+            tbi_rx_d_flip_3[3] <= tbi_rx_d_lvds_3[6];
+            tbi_rx_d_flip_3[4] <= tbi_rx_d_lvds_3[5];
+            tbi_rx_d_flip_3[5] <= tbi_rx_d_lvds_3[4];
+            tbi_rx_d_flip_3[6] <= tbi_rx_d_lvds_3[3];
+            tbi_rx_d_flip_3[7] <= tbi_rx_d_lvds_3[2];
+            tbi_rx_d_flip_3[8] <= tbi_rx_d_lvds_3[1];
+            tbi_rx_d_flip_3[9] <= tbi_rx_d_lvds_3[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_3 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_3[0] <= tbi_tx_d_3[9];
+            tbi_tx_d_flip_3[1] <= tbi_tx_d_3[8];
+            tbi_tx_d_flip_3[2] <= tbi_tx_d_3[7];
+            tbi_tx_d_flip_3[3] <= tbi_tx_d_3[6];
+            tbi_tx_d_flip_3[4] <= tbi_tx_d_3[5];
+            tbi_tx_d_flip_3[5] <= tbi_tx_d_3[4];
+            tbi_tx_d_flip_3[6] <= tbi_tx_d_3[3];
+            tbi_tx_d_flip_3[7] <= tbi_tx_d_3[2];
+            tbi_tx_d_flip_3[8] <= tbi_tx_d_3[1];
+            tbi_tx_d_flip_3[9] <= tbi_tx_d_3[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_3
+     (
+         .rx_divfwdclk (tbi_rx_clk_3),
+         .rx_in (rxp_3),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_3),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_3
+    (
+        .tx_in (tbi_tx_d_flip_3),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_3)
+    );
+
+    end    
+else
+    begin
+    assign txp_3 = 1'b0;
+    assign tbi_rx_clk_3 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 4 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 4)
+    begin          
+        assign gxb_pwrdn_in_sig[4] = gxb_pwrdn_in_4;
+        assign pcs_pwrdn_out_4 = pcs_pwrdn_out_sig[4];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[4] = pcs_pwrdn_out_sig[4];
+		assign pcs_pwrdn_out_4 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 4)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_4
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[4]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_4),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_4),
+        .rx_dataout (tbi_rx_d_4),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_4),
+        .tx_clkout (tbi_tx_clk_4),
+        .tx_datain (tbi_tx_d_4),
+        .tx_dataout (txp_4),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 4)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_4
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[4]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_4),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_4),
+        .rx_dataout (tbi_rx_d_4),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_4),
+        .tx_clkout (tbi_tx_clk_4),
+        .tx_datain (tbi_tx_d_4),
+        .tx_dataout (txp_4),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 4)
+    begin          
+
+    assign tbi_tx_clk_4 = ref_clk;
+    assign tbi_rx_d_4 = tbi_rx_d_flip_4;
+
+    always @(posedge tbi_rx_clk_4 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_4 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_4[0] <= tbi_rx_d_lvds_4[9];
+            tbi_rx_d_flip_4[1] <= tbi_rx_d_lvds_4[8];
+            tbi_rx_d_flip_4[2] <= tbi_rx_d_lvds_4[7];
+            tbi_rx_d_flip_4[3] <= tbi_rx_d_lvds_4[6];
+            tbi_rx_d_flip_4[4] <= tbi_rx_d_lvds_4[5];
+            tbi_rx_d_flip_4[5] <= tbi_rx_d_lvds_4[4];
+            tbi_rx_d_flip_4[6] <= tbi_rx_d_lvds_4[3];
+            tbi_rx_d_flip_4[7] <= tbi_rx_d_lvds_4[2];
+            tbi_rx_d_flip_4[8] <= tbi_rx_d_lvds_4[1];
+            tbi_rx_d_flip_4[9] <= tbi_rx_d_lvds_4[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_4 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_4[0] <= tbi_tx_d_4[9];
+            tbi_tx_d_flip_4[1] <= tbi_tx_d_4[8];
+            tbi_tx_d_flip_4[2] <= tbi_tx_d_4[7];
+            tbi_tx_d_flip_4[3] <= tbi_tx_d_4[6];
+            tbi_tx_d_flip_4[4] <= tbi_tx_d_4[5];
+            tbi_tx_d_flip_4[5] <= tbi_tx_d_4[4];
+            tbi_tx_d_flip_4[6] <= tbi_tx_d_4[3];
+            tbi_tx_d_flip_4[7] <= tbi_tx_d_4[2];
+            tbi_tx_d_flip_4[8] <= tbi_tx_d_4[1];
+            tbi_tx_d_flip_4[9] <= tbi_tx_d_4[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_4
+     (
+         .rx_divfwdclk (tbi_rx_clk_4),
+         .rx_in (rxp_4),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_4),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_4
+    (
+        .tx_in (tbi_tx_d_flip_4),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_4)
+    );
+
+    end    
+else
+    begin
+    assign txp_4 = 1'b0;
+    assign tbi_rx_clk_4 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 5 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 5)
+    begin          
+        assign gxb_pwrdn_in_sig[5] = gxb_pwrdn_in_5;
+        assign pcs_pwrdn_out_5 = pcs_pwrdn_out_sig[5];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[5] = pcs_pwrdn_out_sig[5];
+		assign pcs_pwrdn_out_5 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 5)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_5
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[5]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_5),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_5),
+        .rx_dataout (tbi_rx_d_5),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_5),
+        .tx_clkout (tbi_tx_clk_5),
+        .tx_datain (tbi_tx_d_5),
+        .tx_dataout (txp_5),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 5)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_5
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[5]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_5),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_5),
+        .rx_dataout (tbi_rx_d_5),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_5),
+        .tx_clkout (tbi_tx_clk_5),
+        .tx_datain (tbi_tx_d_5),
+        .tx_dataout (txp_5),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 5)
+    begin          
+
+    assign tbi_tx_clk_5 = ref_clk;
+    assign tbi_rx_d_5 = tbi_rx_d_flip_5;
+
+    always @(posedge tbi_rx_clk_5 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_5 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_5[0] <= tbi_rx_d_lvds_5[9];
+            tbi_rx_d_flip_5[1] <= tbi_rx_d_lvds_5[8];
+            tbi_rx_d_flip_5[2] <= tbi_rx_d_lvds_5[7];
+            tbi_rx_d_flip_5[3] <= tbi_rx_d_lvds_5[6];
+            tbi_rx_d_flip_5[4] <= tbi_rx_d_lvds_5[5];
+            tbi_rx_d_flip_5[5] <= tbi_rx_d_lvds_5[4];
+            tbi_rx_d_flip_5[6] <= tbi_rx_d_lvds_5[3];
+            tbi_rx_d_flip_5[7] <= tbi_rx_d_lvds_5[2];
+            tbi_rx_d_flip_5[8] <= tbi_rx_d_lvds_5[1];
+            tbi_rx_d_flip_5[9] <= tbi_rx_d_lvds_5[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_5 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_5[0] <= tbi_tx_d_5[9];
+            tbi_tx_d_flip_5[1] <= tbi_tx_d_5[8];
+            tbi_tx_d_flip_5[2] <= tbi_tx_d_5[7];
+            tbi_tx_d_flip_5[3] <= tbi_tx_d_5[6];
+            tbi_tx_d_flip_5[4] <= tbi_tx_d_5[5];
+            tbi_tx_d_flip_5[5] <= tbi_tx_d_5[4];
+            tbi_tx_d_flip_5[6] <= tbi_tx_d_5[3];
+            tbi_tx_d_flip_5[7] <= tbi_tx_d_5[2];
+            tbi_tx_d_flip_5[8] <= tbi_tx_d_5[1];
+            tbi_tx_d_flip_5[9] <= tbi_tx_d_5[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_5
+     (
+         .rx_divfwdclk (tbi_rx_clk_5),
+         .rx_in (rxp_5),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_5),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_5
+    (
+        .tx_in (tbi_tx_d_flip_5),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_5)
+    );
+
+    end    
+else
+    begin
+    assign txp_5 = 1'b0;
+    assign tbi_rx_clk_5 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 6 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 6)
+    begin          
+        assign gxb_pwrdn_in_sig[6] = gxb_pwrdn_in_6;
+        assign pcs_pwrdn_out_6 = pcs_pwrdn_out_sig[6];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[6] = pcs_pwrdn_out_sig[6];
+		assign pcs_pwrdn_out_6 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 6)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_6
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[6]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_6),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_6),
+        .rx_dataout (tbi_rx_d_6),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_6),
+        .tx_clkout (tbi_tx_clk_6),
+        .tx_datain (tbi_tx_d_6),
+        .tx_dataout (txp_6),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 6)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_6
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[6]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_6),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_6),
+        .rx_dataout (tbi_rx_d_6),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_6),
+        .tx_clkout (tbi_tx_clk_6),
+        .tx_datain (tbi_tx_d_6),
+        .tx_dataout (txp_6),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 6)
+    begin          
+
+    assign tbi_tx_clk_6 = ref_clk;
+    assign tbi_rx_d_6 = tbi_rx_d_flip_6;
+
+    always @(posedge tbi_rx_clk_6 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_6 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_6[0] <= tbi_rx_d_lvds_6[9];
+            tbi_rx_d_flip_6[1] <= tbi_rx_d_lvds_6[8];
+            tbi_rx_d_flip_6[2] <= tbi_rx_d_lvds_6[7];
+            tbi_rx_d_flip_6[3] <= tbi_rx_d_lvds_6[6];
+            tbi_rx_d_flip_6[4] <= tbi_rx_d_lvds_6[5];
+            tbi_rx_d_flip_6[5] <= tbi_rx_d_lvds_6[4];
+            tbi_rx_d_flip_6[6] <= tbi_rx_d_lvds_6[3];
+            tbi_rx_d_flip_6[7] <= tbi_rx_d_lvds_6[2];
+            tbi_rx_d_flip_6[8] <= tbi_rx_d_lvds_6[1];
+            tbi_rx_d_flip_6[9] <= tbi_rx_d_lvds_6[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_6 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_6[0] <= tbi_tx_d_6[9];
+            tbi_tx_d_flip_6[1] <= tbi_tx_d_6[8];
+            tbi_tx_d_flip_6[2] <= tbi_tx_d_6[7];
+            tbi_tx_d_flip_6[3] <= tbi_tx_d_6[6];
+            tbi_tx_d_flip_6[4] <= tbi_tx_d_6[5];
+            tbi_tx_d_flip_6[5] <= tbi_tx_d_6[4];
+            tbi_tx_d_flip_6[6] <= tbi_tx_d_6[3];
+            tbi_tx_d_flip_6[7] <= tbi_tx_d_6[2];
+            tbi_tx_d_flip_6[8] <= tbi_tx_d_6[1];
+            tbi_tx_d_flip_6[9] <= tbi_tx_d_6[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_6
+     (
+         .rx_divfwdclk (tbi_rx_clk_6),
+         .rx_in (rxp_6),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_6),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_6
+    (
+        .tx_in (tbi_tx_d_flip_6),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_6)
+    );
+
+    end    
+else
+    begin
+    assign txp_6 = 1'b0;
+    assign tbi_rx_clk_6 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 7 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 7)
+    begin          
+        assign gxb_pwrdn_in_sig[7] = gxb_pwrdn_in_7;
+        assign pcs_pwrdn_out_7 = pcs_pwrdn_out_sig[7];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[7] = pcs_pwrdn_out_sig[7];
+		assign pcs_pwrdn_out_7 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 7)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_7
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[7]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_7),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_7),
+        .rx_dataout (tbi_rx_d_7),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_7),
+        .tx_clkout (tbi_tx_clk_7),
+        .tx_datain (tbi_tx_d_7),
+        .tx_dataout (txp_7),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 7)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_7
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[7]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_7),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_7),
+        .rx_dataout (tbi_rx_d_7),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_7),
+        .tx_clkout (tbi_tx_clk_7),
+        .tx_datain (tbi_tx_d_7),
+        .tx_dataout (txp_7),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 7)
+    begin          
+
+    assign tbi_tx_clk_7 = ref_clk;
+    assign tbi_rx_d_7 = tbi_rx_d_flip_7;
+
+    always @(posedge tbi_rx_clk_7 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_7 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_7[0] <= tbi_rx_d_lvds_7[9];
+            tbi_rx_d_flip_7[1] <= tbi_rx_d_lvds_7[8];
+            tbi_rx_d_flip_7[2] <= tbi_rx_d_lvds_7[7];
+            tbi_rx_d_flip_7[3] <= tbi_rx_d_lvds_7[6];
+            tbi_rx_d_flip_7[4] <= tbi_rx_d_lvds_7[5];
+            tbi_rx_d_flip_7[5] <= tbi_rx_d_lvds_7[4];
+            tbi_rx_d_flip_7[6] <= tbi_rx_d_lvds_7[3];
+            tbi_rx_d_flip_7[7] <= tbi_rx_d_lvds_7[2];
+            tbi_rx_d_flip_7[8] <= tbi_rx_d_lvds_7[1];
+            tbi_rx_d_flip_7[9] <= tbi_rx_d_lvds_7[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_7 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_7[0] <= tbi_tx_d_7[9];
+            tbi_tx_d_flip_7[1] <= tbi_tx_d_7[8];
+            tbi_tx_d_flip_7[2] <= tbi_tx_d_7[7];
+            tbi_tx_d_flip_7[3] <= tbi_tx_d_7[6];
+            tbi_tx_d_flip_7[4] <= tbi_tx_d_7[5];
+            tbi_tx_d_flip_7[5] <= tbi_tx_d_7[4];
+            tbi_tx_d_flip_7[6] <= tbi_tx_d_7[3];
+            tbi_tx_d_flip_7[7] <= tbi_tx_d_7[2];
+            tbi_tx_d_flip_7[8] <= tbi_tx_d_7[1];
+            tbi_tx_d_flip_7[9] <= tbi_tx_d_7[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_7
+     (
+         .rx_divfwdclk (tbi_rx_clk_7),
+         .rx_in (rxp_7),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_7),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_7
+    (
+        .tx_in (tbi_tx_d_flip_7),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_7)
+    );
+
+    end 
+else
+    begin
+    assign txp_7 = 1'b0;
+    assign tbi_rx_clk_7 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 8 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 8)
+    begin          
+        assign gxb_pwrdn_in_sig[8] = gxb_pwrdn_in_8;
+        assign pcs_pwrdn_out_8 = pcs_pwrdn_out_sig[8];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[8] = pcs_pwrdn_out_sig[8];
+		assign pcs_pwrdn_out_8 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 8)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_8
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[8]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_8),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_8),
+        .rx_dataout (tbi_rx_d_8),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_8),
+        .tx_clkout (tbi_tx_clk_8),
+        .tx_datain (tbi_tx_d_8),
+        .tx_dataout (txp_8),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 8)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_8
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[8]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_8),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_8),
+        .rx_dataout (tbi_rx_d_8),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_8),
+        .tx_clkout (tbi_tx_clk_8),
+        .tx_datain (tbi_tx_d_8),
+        .tx_dataout (txp_8),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 8)
+    begin          
+
+    assign tbi_tx_clk_8 = ref_clk;
+    assign tbi_rx_d_8 = tbi_rx_d_flip_8;
+
+    always @(posedge tbi_rx_clk_8 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_8 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_8[0] <= tbi_rx_d_lvds_8[9];
+            tbi_rx_d_flip_8[1] <= tbi_rx_d_lvds_8[8];
+            tbi_rx_d_flip_8[2] <= tbi_rx_d_lvds_8[7];
+            tbi_rx_d_flip_8[3] <= tbi_rx_d_lvds_8[6];
+            tbi_rx_d_flip_8[4] <= tbi_rx_d_lvds_8[5];
+            tbi_rx_d_flip_8[5] <= tbi_rx_d_lvds_8[4];
+            tbi_rx_d_flip_8[6] <= tbi_rx_d_lvds_8[3];
+            tbi_rx_d_flip_8[7] <= tbi_rx_d_lvds_8[2];
+            tbi_rx_d_flip_8[8] <= tbi_rx_d_lvds_8[1];
+            tbi_rx_d_flip_8[9] <= tbi_rx_d_lvds_8[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_8 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_8[0] <= tbi_tx_d_8[9];
+            tbi_tx_d_flip_8[1] <= tbi_tx_d_8[8];
+            tbi_tx_d_flip_8[2] <= tbi_tx_d_8[7];
+            tbi_tx_d_flip_8[3] <= tbi_tx_d_8[6];
+            tbi_tx_d_flip_8[4] <= tbi_tx_d_8[5];
+            tbi_tx_d_flip_8[5] <= tbi_tx_d_8[4];
+            tbi_tx_d_flip_8[6] <= tbi_tx_d_8[3];
+            tbi_tx_d_flip_8[7] <= tbi_tx_d_8[2];
+            tbi_tx_d_flip_8[8] <= tbi_tx_d_8[1];
+            tbi_tx_d_flip_8[9] <= tbi_tx_d_8[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_8
+     (
+         .rx_divfwdclk (tbi_rx_clk_8),
+         .rx_in (rxp_8),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_8),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_8
+    (
+        .tx_in (tbi_tx_d_flip_8),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_8)
+    );
+
+    end   
+else
+    begin
+    assign txp_8 = 1'b0;
+    assign tbi_rx_clk_8 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 9 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 9)
+    begin          
+        assign gxb_pwrdn_in_sig[9] = gxb_pwrdn_in_9;
+        assign pcs_pwrdn_out_9 = pcs_pwrdn_out_sig[9];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[9] = pcs_pwrdn_out_sig[9];
+		assign pcs_pwrdn_out_9 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 9)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_9
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[9]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_9),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_9),
+        .rx_dataout (tbi_rx_d_9),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_9),
+        .tx_clkout (tbi_tx_clk_9),
+        .tx_datain (tbi_tx_d_9),
+        .tx_dataout (txp_9),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 9)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_9
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[9]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_9),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_9),
+        .rx_dataout (tbi_rx_d_9),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_9),
+        .tx_clkout (tbi_tx_clk_9),
+        .tx_datain (tbi_tx_d_9),
+        .tx_dataout (txp_9),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 9)
+    begin          
+
+    assign tbi_tx_clk_9 = ref_clk;
+    assign tbi_rx_d_9 = tbi_rx_d_flip_9;
+
+    always @(posedge tbi_rx_clk_9 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_9 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_9[0] <= tbi_rx_d_lvds_9[9];
+            tbi_rx_d_flip_9[1] <= tbi_rx_d_lvds_9[8];
+            tbi_rx_d_flip_9[2] <= tbi_rx_d_lvds_9[7];
+            tbi_rx_d_flip_9[3] <= tbi_rx_d_lvds_9[6];
+            tbi_rx_d_flip_9[4] <= tbi_rx_d_lvds_9[5];
+            tbi_rx_d_flip_9[5] <= tbi_rx_d_lvds_9[4];
+            tbi_rx_d_flip_9[6] <= tbi_rx_d_lvds_9[3];
+            tbi_rx_d_flip_9[7] <= tbi_rx_d_lvds_9[2];
+            tbi_rx_d_flip_9[8] <= tbi_rx_d_lvds_9[1];
+            tbi_rx_d_flip_9[9] <= tbi_rx_d_lvds_9[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_9 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_9[0] <= tbi_tx_d_9[9];
+            tbi_tx_d_flip_9[1] <= tbi_tx_d_9[8];
+            tbi_tx_d_flip_9[2] <= tbi_tx_d_9[7];
+            tbi_tx_d_flip_9[3] <= tbi_tx_d_9[6];
+            tbi_tx_d_flip_9[4] <= tbi_tx_d_9[5];
+            tbi_tx_d_flip_9[5] <= tbi_tx_d_9[4];
+            tbi_tx_d_flip_9[6] <= tbi_tx_d_9[3];
+            tbi_tx_d_flip_9[7] <= tbi_tx_d_9[2];
+            tbi_tx_d_flip_9[8] <= tbi_tx_d_9[1];
+            tbi_tx_d_flip_9[9] <= tbi_tx_d_9[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_9
+     (
+         .rx_divfwdclk (tbi_rx_clk_9),
+         .rx_in (rxp_9),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_9),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_9
+    (
+        .tx_in (tbi_tx_d_flip_9),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_9)
+    );
+
+    end  
+else
+    begin
+    assign txp_9 = 1'b0;
+    assign tbi_rx_clk_9 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 10 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 10)
+    begin          
+        assign gxb_pwrdn_in_sig[10] = gxb_pwrdn_in_10;
+        assign pcs_pwrdn_out_10 = pcs_pwrdn_out_sig[10];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[10] = pcs_pwrdn_out_sig[10];
+		assign pcs_pwrdn_out_10 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 10)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_10
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[10]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_10),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_10),
+        .rx_dataout (tbi_rx_d_10),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_10),
+        .tx_clkout (tbi_tx_clk_10),
+        .tx_datain (tbi_tx_d_10),
+        .tx_dataout (txp_10),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 10)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_10
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[10]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_10),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_10),
+        .rx_dataout (tbi_rx_d_10),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_10),
+        .tx_clkout (tbi_tx_clk_10),
+        .tx_datain (tbi_tx_d_10),
+        .tx_dataout (txp_10),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 10)
+    begin          
+
+    assign tbi_tx_clk_10 = ref_clk;
+    assign tbi_rx_d_10 = tbi_rx_d_flip_10;
+
+    always @(posedge tbi_rx_clk_10 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_10 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_10[0] <= tbi_rx_d_lvds_10[9];
+            tbi_rx_d_flip_10[1] <= tbi_rx_d_lvds_10[8];
+            tbi_rx_d_flip_10[2] <= tbi_rx_d_lvds_10[7];
+            tbi_rx_d_flip_10[3] <= tbi_rx_d_lvds_10[6];
+            tbi_rx_d_flip_10[4] <= tbi_rx_d_lvds_10[5];
+            tbi_rx_d_flip_10[5] <= tbi_rx_d_lvds_10[4];
+            tbi_rx_d_flip_10[6] <= tbi_rx_d_lvds_10[3];
+            tbi_rx_d_flip_10[7] <= tbi_rx_d_lvds_10[2];
+            tbi_rx_d_flip_10[8] <= tbi_rx_d_lvds_10[1];
+            tbi_rx_d_flip_10[9] <= tbi_rx_d_lvds_10[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_10 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_10[0] <= tbi_tx_d_10[9];
+            tbi_tx_d_flip_10[1] <= tbi_tx_d_10[8];
+            tbi_tx_d_flip_10[2] <= tbi_tx_d_10[7];
+            tbi_tx_d_flip_10[3] <= tbi_tx_d_10[6];
+            tbi_tx_d_flip_10[4] <= tbi_tx_d_10[5];
+            tbi_tx_d_flip_10[5] <= tbi_tx_d_10[4];
+            tbi_tx_d_flip_10[6] <= tbi_tx_d_10[3];
+            tbi_tx_d_flip_10[7] <= tbi_tx_d_10[2];
+            tbi_tx_d_flip_10[8] <= tbi_tx_d_10[1];
+            tbi_tx_d_flip_10[9] <= tbi_tx_d_10[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_10
+     (
+         .rx_divfwdclk (tbi_rx_clk_10),
+         .rx_in (rxp_10),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_10),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_10
+    (
+        .tx_in (tbi_tx_d_flip_10),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_10)
+    );
+
+    end    
+else
+    begin
+    assign txp_10 = 1'b0;
+    assign tbi_rx_clk_10 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 11 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 11)
+    begin          
+        assign gxb_pwrdn_in_sig[11] = gxb_pwrdn_in_11;
+        assign pcs_pwrdn_out_11 = pcs_pwrdn_out_sig[11];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[11] = pcs_pwrdn_out_sig[11];
+		assign pcs_pwrdn_out_11 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 11)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_11
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[11]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_11),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_11),
+        .rx_dataout (tbi_rx_d_11),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_11),
+        .tx_clkout (tbi_tx_clk_11),
+        .tx_datain (tbi_tx_d_11),
+        .tx_dataout (txp_11),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 11)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_11
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[11]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_11),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_11),
+        .rx_dataout (tbi_rx_d_11),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_11),
+        .tx_clkout (tbi_tx_clk_11),
+        .tx_datain (tbi_tx_d_11),
+        .tx_dataout (txp_11),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 11)
+    begin          
+
+    assign tbi_tx_clk_11 = ref_clk;
+    assign tbi_rx_d_11 = tbi_rx_d_flip_11;
+
+    always @(posedge tbi_rx_clk_11 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_11 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_11[0] <= tbi_rx_d_lvds_11[9];
+            tbi_rx_d_flip_11[1] <= tbi_rx_d_lvds_11[8];
+            tbi_rx_d_flip_11[2] <= tbi_rx_d_lvds_11[7];
+            tbi_rx_d_flip_11[3] <= tbi_rx_d_lvds_11[6];
+            tbi_rx_d_flip_11[4] <= tbi_rx_d_lvds_11[5];
+            tbi_rx_d_flip_11[5] <= tbi_rx_d_lvds_11[4];
+            tbi_rx_d_flip_11[6] <= tbi_rx_d_lvds_11[3];
+            tbi_rx_d_flip_11[7] <= tbi_rx_d_lvds_11[2];
+            tbi_rx_d_flip_11[8] <= tbi_rx_d_lvds_11[1];
+            tbi_rx_d_flip_11[9] <= tbi_rx_d_lvds_11[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_11 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_11[0] <= tbi_tx_d_11[9];
+            tbi_tx_d_flip_11[1] <= tbi_tx_d_11[8];
+            tbi_tx_d_flip_11[2] <= tbi_tx_d_11[7];
+            tbi_tx_d_flip_11[3] <= tbi_tx_d_11[6];
+            tbi_tx_d_flip_11[4] <= tbi_tx_d_11[5];
+            tbi_tx_d_flip_11[5] <= tbi_tx_d_11[4];
+            tbi_tx_d_flip_11[6] <= tbi_tx_d_11[3];
+            tbi_tx_d_flip_11[7] <= tbi_tx_d_11[2];
+            tbi_tx_d_flip_11[8] <= tbi_tx_d_11[1];
+            tbi_tx_d_flip_11[9] <= tbi_tx_d_11[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_11
+     (
+         .rx_divfwdclk (tbi_rx_clk_11),
+         .rx_in (rxp_11),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_11),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_11
+    (
+        .tx_in (tbi_tx_d_flip_11),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_11)
+    );
+
+    end    
+else
+    begin
+    assign txp_11 = 1'b0;
+    assign tbi_rx_clk_11 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 12 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 12)
+    begin          
+        assign gxb_pwrdn_in_sig[12] = gxb_pwrdn_in_12;
+        assign pcs_pwrdn_out_12 = pcs_pwrdn_out_sig[12];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[12] = pcs_pwrdn_out_sig[12];
+		assign pcs_pwrdn_out_12 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 12)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_12
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[12]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_12),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_12),
+        .rx_dataout (tbi_rx_d_12),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_12),
+        .tx_clkout (tbi_tx_clk_12),
+        .tx_datain (tbi_tx_d_12),
+        .tx_dataout (txp_12),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 12)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_12
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[12]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_12),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_12),
+        .rx_dataout (tbi_rx_d_12),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_12),
+        .tx_clkout (tbi_tx_clk_12),
+        .tx_datain (tbi_tx_d_12),
+        .tx_dataout (txp_12),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 12)
+    begin          
+
+    assign tbi_tx_clk_12 = ref_clk;
+    assign tbi_rx_d_12 = tbi_rx_d_flip_12;
+
+    always @(posedge tbi_rx_clk_12 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_12 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_12[0] <= tbi_rx_d_lvds_12[9];
+            tbi_rx_d_flip_12[1] <= tbi_rx_d_lvds_12[8];
+            tbi_rx_d_flip_12[2] <= tbi_rx_d_lvds_12[7];
+            tbi_rx_d_flip_12[3] <= tbi_rx_d_lvds_12[6];
+            tbi_rx_d_flip_12[4] <= tbi_rx_d_lvds_12[5];
+            tbi_rx_d_flip_12[5] <= tbi_rx_d_lvds_12[4];
+            tbi_rx_d_flip_12[6] <= tbi_rx_d_lvds_12[3];
+            tbi_rx_d_flip_12[7] <= tbi_rx_d_lvds_12[2];
+            tbi_rx_d_flip_12[8] <= tbi_rx_d_lvds_12[1];
+            tbi_rx_d_flip_12[9] <= tbi_rx_d_lvds_12[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_12 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_12[0] <= tbi_tx_d_12[9];
+            tbi_tx_d_flip_12[1] <= tbi_tx_d_12[8];
+            tbi_tx_d_flip_12[2] <= tbi_tx_d_12[7];
+            tbi_tx_d_flip_12[3] <= tbi_tx_d_12[6];
+            tbi_tx_d_flip_12[4] <= tbi_tx_d_12[5];
+            tbi_tx_d_flip_12[5] <= tbi_tx_d_12[4];
+            tbi_tx_d_flip_12[6] <= tbi_tx_d_12[3];
+            tbi_tx_d_flip_12[7] <= tbi_tx_d_12[2];
+            tbi_tx_d_flip_12[8] <= tbi_tx_d_12[1];
+            tbi_tx_d_flip_12[9] <= tbi_tx_d_12[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_12
+     (
+         .rx_divfwdclk (tbi_rx_clk_12),
+         .rx_in (rxp_12),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_12),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_12
+    (
+        .tx_in (tbi_tx_d_flip_12),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_12)
+    );
+
+    end   
+else
+    begin
+    assign txp_12 = 1'b0;
+    assign tbi_rx_clk_12 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 13 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 13)
+    begin          
+        assign gxb_pwrdn_in_sig[13] = gxb_pwrdn_in_13;
+        assign pcs_pwrdn_out_13 = pcs_pwrdn_out_sig[13];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[13] = pcs_pwrdn_out_sig[13];
+		assign pcs_pwrdn_out_13 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 13)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_13
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[13]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_13),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_13),
+        .rx_dataout (tbi_rx_d_13),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_13),
+        .tx_clkout (tbi_tx_clk_13),
+        .tx_datain (tbi_tx_d_13),
+        .tx_dataout (txp_13),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 13)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_13
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[13]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_13),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_13),
+        .rx_dataout (tbi_rx_d_13),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_13),
+        .tx_clkout (tbi_tx_clk_13),
+        .tx_datain (tbi_tx_d_13),
+        .tx_dataout (txp_13),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 13)
+    begin          
+
+    assign tbi_tx_clk_13 = ref_clk;
+    assign tbi_rx_d_13 = tbi_rx_d_flip_13;
+
+    always @(posedge tbi_rx_clk_13 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_13 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_13[0] <= tbi_rx_d_lvds_13[9];
+            tbi_rx_d_flip_13[1] <= tbi_rx_d_lvds_13[8];
+            tbi_rx_d_flip_13[2] <= tbi_rx_d_lvds_13[7];
+            tbi_rx_d_flip_13[3] <= tbi_rx_d_lvds_13[6];
+            tbi_rx_d_flip_13[4] <= tbi_rx_d_lvds_13[5];
+            tbi_rx_d_flip_13[5] <= tbi_rx_d_lvds_13[4];
+            tbi_rx_d_flip_13[6] <= tbi_rx_d_lvds_13[3];
+            tbi_rx_d_flip_13[7] <= tbi_rx_d_lvds_13[2];
+            tbi_rx_d_flip_13[8] <= tbi_rx_d_lvds_13[1];
+            tbi_rx_d_flip_13[9] <= tbi_rx_d_lvds_13[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_13 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_13[0] <= tbi_tx_d_13[9];
+            tbi_tx_d_flip_13[1] <= tbi_tx_d_13[8];
+            tbi_tx_d_flip_13[2] <= tbi_tx_d_13[7];
+            tbi_tx_d_flip_13[3] <= tbi_tx_d_13[6];
+            tbi_tx_d_flip_13[4] <= tbi_tx_d_13[5];
+            tbi_tx_d_flip_13[5] <= tbi_tx_d_13[4];
+            tbi_tx_d_flip_13[6] <= tbi_tx_d_13[3];
+            tbi_tx_d_flip_13[7] <= tbi_tx_d_13[2];
+            tbi_tx_d_flip_13[8] <= tbi_tx_d_13[1];
+            tbi_tx_d_flip_13[9] <= tbi_tx_d_13[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_13
+     (
+         .rx_divfwdclk (tbi_rx_clk_13),
+         .rx_in (rxp_13),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_13),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_13
+    (
+        .tx_in (tbi_tx_d_flip_13),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_13)
+    );
+
+    end    
+else
+    begin
+    assign txp_13 = 1'b0;
+    assign tbi_rx_clk_13 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 14 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 14)
+    begin          
+        assign gxb_pwrdn_in_sig[14] = gxb_pwrdn_in_14;
+        assign pcs_pwrdn_out_14 = pcs_pwrdn_out_sig[14];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[14] = pcs_pwrdn_out_sig[14];
+		assign pcs_pwrdn_out_14 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 14)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_14
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[14]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_14),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_14),
+        .rx_dataout (tbi_rx_d_14),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_14),
+        .tx_clkout (tbi_tx_clk_14),
+        .tx_datain (tbi_tx_d_14),
+        .tx_dataout (txp_14),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 14)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_14
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[14]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_14),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_14),
+        .rx_dataout (tbi_rx_d_14),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_14),
+        .tx_clkout (tbi_tx_clk_14),
+        .tx_datain (tbi_tx_d_14),
+        .tx_dataout (txp_14),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 14)
+    begin          
+
+    assign tbi_tx_clk_14 = ref_clk;
+    assign tbi_rx_d_14 = tbi_rx_d_flip_14;
+
+    always @(posedge tbi_rx_clk_14 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_14 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_14[0] <= tbi_rx_d_lvds_14[9];
+            tbi_rx_d_flip_14[1] <= tbi_rx_d_lvds_14[8];
+            tbi_rx_d_flip_14[2] <= tbi_rx_d_lvds_14[7];
+            tbi_rx_d_flip_14[3] <= tbi_rx_d_lvds_14[6];
+            tbi_rx_d_flip_14[4] <= tbi_rx_d_lvds_14[5];
+            tbi_rx_d_flip_14[5] <= tbi_rx_d_lvds_14[4];
+            tbi_rx_d_flip_14[6] <= tbi_rx_d_lvds_14[3];
+            tbi_rx_d_flip_14[7] <= tbi_rx_d_lvds_14[2];
+            tbi_rx_d_flip_14[8] <= tbi_rx_d_lvds_14[1];
+            tbi_rx_d_flip_14[9] <= tbi_rx_d_lvds_14[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_14 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_14[0] <= tbi_tx_d_14[9];
+            tbi_tx_d_flip_14[1] <= tbi_tx_d_14[8];
+            tbi_tx_d_flip_14[2] <= tbi_tx_d_14[7];
+            tbi_tx_d_flip_14[3] <= tbi_tx_d_14[6];
+            tbi_tx_d_flip_14[4] <= tbi_tx_d_14[5];
+            tbi_tx_d_flip_14[5] <= tbi_tx_d_14[4];
+            tbi_tx_d_flip_14[6] <= tbi_tx_d_14[3];
+            tbi_tx_d_flip_14[7] <= tbi_tx_d_14[2];
+            tbi_tx_d_flip_14[8] <= tbi_tx_d_14[1];
+            tbi_tx_d_flip_14[9] <= tbi_tx_d_14[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_14
+     (
+         .rx_divfwdclk (tbi_rx_clk_14),
+         .rx_in (rxp_14),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_14),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_14
+    (
+        .tx_in (tbi_tx_d_flip_14),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_14)
+    );
+
+    end
+else
+    begin
+    assign txp_14 = 1'b0;
+    assign tbi_rx_clk_14 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 15 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 15)
+    begin          
+        assign gxb_pwrdn_in_sig[15] = gxb_pwrdn_in_15;
+        assign pcs_pwrdn_out_15 = pcs_pwrdn_out_sig[15];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[15] = pcs_pwrdn_out_sig[15];
+		assign pcs_pwrdn_out_15 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 15)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_15
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[15]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_15),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_15),
+        .rx_dataout (tbi_rx_d_15),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_15),
+        .tx_clkout (tbi_tx_clk_15),
+        .tx_datain (tbi_tx_d_15),
+        .tx_dataout (txp_15),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 15)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_15
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[15]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_15),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_15),
+        .rx_dataout (tbi_rx_d_15),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_15),
+        .tx_clkout (tbi_tx_clk_15),
+        .tx_datain (tbi_tx_d_15),
+        .tx_dataout (txp_15),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 15)
+    begin          
+
+    assign tbi_tx_clk_15 = ref_clk;
+    assign tbi_rx_d_15 = tbi_rx_d_flip_15;
+
+    always @(posedge tbi_rx_clk_15 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_15 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_15[0] <= tbi_rx_d_lvds_15[9];
+            tbi_rx_d_flip_15[1] <= tbi_rx_d_lvds_15[8];
+            tbi_rx_d_flip_15[2] <= tbi_rx_d_lvds_15[7];
+            tbi_rx_d_flip_15[3] <= tbi_rx_d_lvds_15[6];
+            tbi_rx_d_flip_15[4] <= tbi_rx_d_lvds_15[5];
+            tbi_rx_d_flip_15[5] <= tbi_rx_d_lvds_15[4];
+            tbi_rx_d_flip_15[6] <= tbi_rx_d_lvds_15[3];
+            tbi_rx_d_flip_15[7] <= tbi_rx_d_lvds_15[2];
+            tbi_rx_d_flip_15[8] <= tbi_rx_d_lvds_15[1];
+            tbi_rx_d_flip_15[9] <= tbi_rx_d_lvds_15[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_15 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_15[0] <= tbi_tx_d_15[9];
+            tbi_tx_d_flip_15[1] <= tbi_tx_d_15[8];
+            tbi_tx_d_flip_15[2] <= tbi_tx_d_15[7];
+            tbi_tx_d_flip_15[3] <= tbi_tx_d_15[6];
+            tbi_tx_d_flip_15[4] <= tbi_tx_d_15[5];
+            tbi_tx_d_flip_15[5] <= tbi_tx_d_15[4];
+            tbi_tx_d_flip_15[6] <= tbi_tx_d_15[3];
+            tbi_tx_d_flip_15[7] <= tbi_tx_d_15[2];
+            tbi_tx_d_flip_15[8] <= tbi_tx_d_15[1];
+            tbi_tx_d_flip_15[9] <= tbi_tx_d_15[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_15
+     (
+         .rx_divfwdclk (tbi_rx_clk_15),
+         .rx_in (rxp_15),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_15),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_15
+    (
+        .tx_in (tbi_tx_d_flip_15),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_15)
+    );
+
+    end 
+else
+    begin
+    assign txp_15 = 1'b0;
+    assign tbi_rx_clk_15 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 16 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 16)
+    begin          
+        assign gxb_pwrdn_in_sig[16] = gxb_pwrdn_in_16;
+        assign pcs_pwrdn_out_16 = pcs_pwrdn_out_sig[16];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[16] = pcs_pwrdn_out_sig[16];
+		assign pcs_pwrdn_out_16 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 16)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_16
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[16]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_16),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_16),
+        .rx_dataout (tbi_rx_d_16),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_16),
+        .tx_clkout (tbi_tx_clk_16),
+        .tx_datain (tbi_tx_d_16),
+        .tx_dataout (txp_16),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 16)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_16
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[16]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_16),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_16),
+        .rx_dataout (tbi_rx_d_16),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_16),
+        .tx_clkout (tbi_tx_clk_16),
+        .tx_datain (tbi_tx_d_16),
+        .tx_dataout (txp_16),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 16)
+    begin          
+
+    assign tbi_tx_clk_16 = ref_clk;
+    assign tbi_rx_d_16 = tbi_rx_d_flip_16;
+
+    always @(posedge tbi_rx_clk_16 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_16 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_16[0] <= tbi_rx_d_lvds_16[9];
+            tbi_rx_d_flip_16[1] <= tbi_rx_d_lvds_16[8];
+            tbi_rx_d_flip_16[2] <= tbi_rx_d_lvds_16[7];
+            tbi_rx_d_flip_16[3] <= tbi_rx_d_lvds_16[6];
+            tbi_rx_d_flip_16[4] <= tbi_rx_d_lvds_16[5];
+            tbi_rx_d_flip_16[5] <= tbi_rx_d_lvds_16[4];
+            tbi_rx_d_flip_16[6] <= tbi_rx_d_lvds_16[3];
+            tbi_rx_d_flip_16[7] <= tbi_rx_d_lvds_16[2];
+            tbi_rx_d_flip_16[8] <= tbi_rx_d_lvds_16[1];
+            tbi_rx_d_flip_16[9] <= tbi_rx_d_lvds_16[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_16 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_16[0] <= tbi_tx_d_16[9];
+            tbi_tx_d_flip_16[1] <= tbi_tx_d_16[8];
+            tbi_tx_d_flip_16[2] <= tbi_tx_d_16[7];
+            tbi_tx_d_flip_16[3] <= tbi_tx_d_16[6];
+            tbi_tx_d_flip_16[4] <= tbi_tx_d_16[5];
+            tbi_tx_d_flip_16[5] <= tbi_tx_d_16[4];
+            tbi_tx_d_flip_16[6] <= tbi_tx_d_16[3];
+            tbi_tx_d_flip_16[7] <= tbi_tx_d_16[2];
+            tbi_tx_d_flip_16[8] <= tbi_tx_d_16[1];
+            tbi_tx_d_flip_16[9] <= tbi_tx_d_16[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_16
+     (
+         .rx_divfwdclk (tbi_rx_clk_16),
+         .rx_in (rxp_16),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_16),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_16
+    (
+        .tx_in (tbi_tx_d_flip_16),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_16)
+    );
+
+    end 
+else
+    begin
+    assign txp_16 = 1'b0;
+    assign tbi_rx_clk_16 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 17 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 17)
+    begin          
+        assign gxb_pwrdn_in_sig[17] = gxb_pwrdn_in_17;
+        assign pcs_pwrdn_out_17 = pcs_pwrdn_out_sig[17];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[17] = pcs_pwrdn_out_sig[17];
+		assign pcs_pwrdn_out_17 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 17)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_17
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[17]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_17),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_17),
+        .rx_dataout (tbi_rx_d_17),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_17),
+        .tx_clkout (tbi_tx_clk_17),
+        .tx_datain (tbi_tx_d_17),
+        .tx_dataout (txp_17),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 17)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_17
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[17]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_17),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_17),
+        .rx_dataout (tbi_rx_d_17),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_17),
+        .tx_clkout (tbi_tx_clk_17),
+        .tx_datain (tbi_tx_d_17),
+        .tx_dataout (txp_17),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 17)
+    begin          
+
+    assign tbi_tx_clk_17 = ref_clk;
+    assign tbi_rx_d_17 = tbi_rx_d_flip_17;
+
+    always @(posedge tbi_rx_clk_17 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_17 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_17[0] <= tbi_rx_d_lvds_17[9];
+            tbi_rx_d_flip_17[1] <= tbi_rx_d_lvds_17[8];
+            tbi_rx_d_flip_17[2] <= tbi_rx_d_lvds_17[7];
+            tbi_rx_d_flip_17[3] <= tbi_rx_d_lvds_17[6];
+            tbi_rx_d_flip_17[4] <= tbi_rx_d_lvds_17[5];
+            tbi_rx_d_flip_17[5] <= tbi_rx_d_lvds_17[4];
+            tbi_rx_d_flip_17[6] <= tbi_rx_d_lvds_17[3];
+            tbi_rx_d_flip_17[7] <= tbi_rx_d_lvds_17[2];
+            tbi_rx_d_flip_17[8] <= tbi_rx_d_lvds_17[1];
+            tbi_rx_d_flip_17[9] <= tbi_rx_d_lvds_17[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_17 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_17[0] <= tbi_tx_d_17[9];
+            tbi_tx_d_flip_17[1] <= tbi_tx_d_17[8];
+            tbi_tx_d_flip_17[2] <= tbi_tx_d_17[7];
+            tbi_tx_d_flip_17[3] <= tbi_tx_d_17[6];
+            tbi_tx_d_flip_17[4] <= tbi_tx_d_17[5];
+            tbi_tx_d_flip_17[5] <= tbi_tx_d_17[4];
+            tbi_tx_d_flip_17[6] <= tbi_tx_d_17[3];
+            tbi_tx_d_flip_17[7] <= tbi_tx_d_17[2];
+            tbi_tx_d_flip_17[8] <= tbi_tx_d_17[1];
+            tbi_tx_d_flip_17[9] <= tbi_tx_d_17[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_17
+     (
+         .rx_divfwdclk (tbi_rx_clk_17),
+         .rx_in (rxp_17),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_17),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_17
+    (
+        .tx_in (tbi_tx_d_flip_17),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_17)
+    );
+
+    end  
+else
+    begin
+    assign txp_17 = 1'b0;
+    assign tbi_rx_clk_17 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 18 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 18)
+    begin          
+        assign gxb_pwrdn_in_sig[18] = gxb_pwrdn_in_18;
+        assign pcs_pwrdn_out_18 = pcs_pwrdn_out_sig[18];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[18] = pcs_pwrdn_out_sig[18];
+		assign pcs_pwrdn_out_18 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 18)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_18
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[18]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_18),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_18),
+        .rx_dataout (tbi_rx_d_18),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_18),
+        .tx_clkout (tbi_tx_clk_18),
+        .tx_datain (tbi_tx_d_18),
+        .tx_dataout (txp_18),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 18)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_18
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[18]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_18),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_18),
+        .rx_dataout (tbi_rx_d_18),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_18),
+        .tx_clkout (tbi_tx_clk_18),
+        .tx_datain (tbi_tx_d_18),
+        .tx_dataout (txp_18),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 18)
+    begin          
+
+    assign tbi_tx_clk_18 = ref_clk;
+    assign tbi_rx_d_18 = tbi_rx_d_flip_18;
+
+    always @(posedge tbi_rx_clk_18 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_18 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_18[0] <= tbi_rx_d_lvds_18[9];
+            tbi_rx_d_flip_18[1] <= tbi_rx_d_lvds_18[8];
+            tbi_rx_d_flip_18[2] <= tbi_rx_d_lvds_18[7];
+            tbi_rx_d_flip_18[3] <= tbi_rx_d_lvds_18[6];
+            tbi_rx_d_flip_18[4] <= tbi_rx_d_lvds_18[5];
+            tbi_rx_d_flip_18[5] <= tbi_rx_d_lvds_18[4];
+            tbi_rx_d_flip_18[6] <= tbi_rx_d_lvds_18[3];
+            tbi_rx_d_flip_18[7] <= tbi_rx_d_lvds_18[2];
+            tbi_rx_d_flip_18[8] <= tbi_rx_d_lvds_18[1];
+            tbi_rx_d_flip_18[9] <= tbi_rx_d_lvds_18[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_18 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_18[0] <= tbi_tx_d_18[9];
+            tbi_tx_d_flip_18[1] <= tbi_tx_d_18[8];
+            tbi_tx_d_flip_18[2] <= tbi_tx_d_18[7];
+            tbi_tx_d_flip_18[3] <= tbi_tx_d_18[6];
+            tbi_tx_d_flip_18[4] <= tbi_tx_d_18[5];
+            tbi_tx_d_flip_18[5] <= tbi_tx_d_18[4];
+            tbi_tx_d_flip_18[6] <= tbi_tx_d_18[3];
+            tbi_tx_d_flip_18[7] <= tbi_tx_d_18[2];
+            tbi_tx_d_flip_18[8] <= tbi_tx_d_18[1];
+            tbi_tx_d_flip_18[9] <= tbi_tx_d_18[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_18
+     (
+         .rx_divfwdclk (tbi_rx_clk_18),
+         .rx_in (rxp_18),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_18),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_18
+    (
+        .tx_in (tbi_tx_d_flip_18),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_18)
+    );
+
+    end  
+else
+    begin
+    assign txp_18 = 1'b0;
+    assign tbi_rx_clk_18 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 19 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 19)
+    begin          
+        assign gxb_pwrdn_in_sig[19] = gxb_pwrdn_in_19;
+        assign pcs_pwrdn_out_19 = pcs_pwrdn_out_sig[19];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[19] = pcs_pwrdn_out_sig[19];
+		assign pcs_pwrdn_out_19 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 19)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_19
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[19]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_19),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_19),
+        .rx_dataout (tbi_rx_d_19),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_19),
+        .tx_clkout (tbi_tx_clk_19),
+        .tx_datain (tbi_tx_d_19),
+        .tx_dataout (txp_19),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 19)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_19
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[19]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_19),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_19),
+        .rx_dataout (tbi_rx_d_19),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_19),
+        .tx_clkout (tbi_tx_clk_19),
+        .tx_datain (tbi_tx_d_19),
+        .tx_dataout (txp_19),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 19)
+    begin          
+
+    assign tbi_tx_clk_19 = ref_clk;
+    assign tbi_rx_d_19 = tbi_rx_d_flip_19;
+
+    always @(posedge tbi_rx_clk_19 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_19 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_19[0] <= tbi_rx_d_lvds_19[9];
+            tbi_rx_d_flip_19[1] <= tbi_rx_d_lvds_19[8];
+            tbi_rx_d_flip_19[2] <= tbi_rx_d_lvds_19[7];
+            tbi_rx_d_flip_19[3] <= tbi_rx_d_lvds_19[6];
+            tbi_rx_d_flip_19[4] <= tbi_rx_d_lvds_19[5];
+            tbi_rx_d_flip_19[5] <= tbi_rx_d_lvds_19[4];
+            tbi_rx_d_flip_19[6] <= tbi_rx_d_lvds_19[3];
+            tbi_rx_d_flip_19[7] <= tbi_rx_d_lvds_19[2];
+            tbi_rx_d_flip_19[8] <= tbi_rx_d_lvds_19[1];
+            tbi_rx_d_flip_19[9] <= tbi_rx_d_lvds_19[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_19 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_19[0] <= tbi_tx_d_19[9];
+            tbi_tx_d_flip_19[1] <= tbi_tx_d_19[8];
+            tbi_tx_d_flip_19[2] <= tbi_tx_d_19[7];
+            tbi_tx_d_flip_19[3] <= tbi_tx_d_19[6];
+            tbi_tx_d_flip_19[4] <= tbi_tx_d_19[5];
+            tbi_tx_d_flip_19[5] <= tbi_tx_d_19[4];
+            tbi_tx_d_flip_19[6] <= tbi_tx_d_19[3];
+            tbi_tx_d_flip_19[7] <= tbi_tx_d_19[2];
+            tbi_tx_d_flip_19[8] <= tbi_tx_d_19[1];
+            tbi_tx_d_flip_19[9] <= tbi_tx_d_19[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_19
+     (
+         .rx_divfwdclk (tbi_rx_clk_19),
+         .rx_in (rxp_19),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_19),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_19
+    (
+        .tx_in (tbi_tx_d_flip_19),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_19)
+    );
+
+    end 
+else
+    begin
+    assign txp_19 = 1'b0;
+    assign tbi_rx_clk_19 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 20 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 20)
+    begin          
+        assign gxb_pwrdn_in_sig[20] = gxb_pwrdn_in_20;
+        assign pcs_pwrdn_out_20 = pcs_pwrdn_out_sig[20];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[20] = pcs_pwrdn_out_sig[20];
+		assign pcs_pwrdn_out_20 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 20)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_20
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[20]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_20),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_20),
+        .rx_dataout (tbi_rx_d_20),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_20),
+        .tx_clkout (tbi_tx_clk_20),
+        .tx_datain (tbi_tx_d_20),
+        .tx_dataout (txp_20),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 20)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_20
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[20]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_20),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_20),
+        .rx_dataout (tbi_rx_d_20),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_20),
+        .tx_clkout (tbi_tx_clk_20),
+        .tx_datain (tbi_tx_d_20),
+        .tx_dataout (txp_20),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 20)
+    begin          
+
+    assign tbi_tx_clk_20 = ref_clk;
+    assign tbi_rx_d_20 = tbi_rx_d_flip_20;
+
+    always @(posedge tbi_rx_clk_20 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_20 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_20[0] <= tbi_rx_d_lvds_20[9];
+            tbi_rx_d_flip_20[1] <= tbi_rx_d_lvds_20[8];
+            tbi_rx_d_flip_20[2] <= tbi_rx_d_lvds_20[7];
+            tbi_rx_d_flip_20[3] <= tbi_rx_d_lvds_20[6];
+            tbi_rx_d_flip_20[4] <= tbi_rx_d_lvds_20[5];
+            tbi_rx_d_flip_20[5] <= tbi_rx_d_lvds_20[4];
+            tbi_rx_d_flip_20[6] <= tbi_rx_d_lvds_20[3];
+            tbi_rx_d_flip_20[7] <= tbi_rx_d_lvds_20[2];
+            tbi_rx_d_flip_20[8] <= tbi_rx_d_lvds_20[1];
+            tbi_rx_d_flip_20[9] <= tbi_rx_d_lvds_20[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_20 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_20[0] <= tbi_tx_d_20[9];
+            tbi_tx_d_flip_20[1] <= tbi_tx_d_20[8];
+            tbi_tx_d_flip_20[2] <= tbi_tx_d_20[7];
+            tbi_tx_d_flip_20[3] <= tbi_tx_d_20[6];
+            tbi_tx_d_flip_20[4] <= tbi_tx_d_20[5];
+            tbi_tx_d_flip_20[5] <= tbi_tx_d_20[4];
+            tbi_tx_d_flip_20[6] <= tbi_tx_d_20[3];
+            tbi_tx_d_flip_20[7] <= tbi_tx_d_20[2];
+            tbi_tx_d_flip_20[8] <= tbi_tx_d_20[1];
+            tbi_tx_d_flip_20[9] <= tbi_tx_d_20[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_20
+     (
+         .rx_divfwdclk (tbi_rx_clk_20),
+         .rx_in (rxp_20),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_20),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_20
+    (
+        .tx_in (tbi_tx_d_flip_20),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_20)
+    );
+
+    end 
+else
+    begin
+    assign txp_20 = 1'b0;
+    assign tbi_rx_clk_20 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 21 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 21)
+    begin          
+        assign gxb_pwrdn_in_sig[21] = gxb_pwrdn_in_21;
+        assign pcs_pwrdn_out_21 = pcs_pwrdn_out_sig[21];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[21] = pcs_pwrdn_out_sig[21];
+		assign pcs_pwrdn_out_21 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 21)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_21
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[21]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_21),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_21),
+        .rx_dataout (tbi_rx_d_21),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_21),
+        .tx_clkout (tbi_tx_clk_21),
+        .tx_datain (tbi_tx_d_21),
+        .tx_dataout (txp_21),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 21)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_21
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[21]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_21),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_21),
+        .rx_dataout (tbi_rx_d_21),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_21),
+        .tx_clkout (tbi_tx_clk_21),
+        .tx_datain (tbi_tx_d_21),
+        .tx_dataout (txp_21),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 21)
+    begin          
+
+    assign tbi_tx_clk_21 = ref_clk;
+    assign tbi_rx_d_21 = tbi_rx_d_flip_21;
+
+    always @(posedge tbi_rx_clk_21 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_21 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_21[0] <= tbi_rx_d_lvds_21[9];
+            tbi_rx_d_flip_21[1] <= tbi_rx_d_lvds_21[8];
+            tbi_rx_d_flip_21[2] <= tbi_rx_d_lvds_21[7];
+            tbi_rx_d_flip_21[3] <= tbi_rx_d_lvds_21[6];
+            tbi_rx_d_flip_21[4] <= tbi_rx_d_lvds_21[5];
+            tbi_rx_d_flip_21[5] <= tbi_rx_d_lvds_21[4];
+            tbi_rx_d_flip_21[6] <= tbi_rx_d_lvds_21[3];
+            tbi_rx_d_flip_21[7] <= tbi_rx_d_lvds_21[2];
+            tbi_rx_d_flip_21[8] <= tbi_rx_d_lvds_21[1];
+            tbi_rx_d_flip_21[9] <= tbi_rx_d_lvds_21[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_21 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_21[0] <= tbi_tx_d_21[9];
+            tbi_tx_d_flip_21[1] <= tbi_tx_d_21[8];
+            tbi_tx_d_flip_21[2] <= tbi_tx_d_21[7];
+            tbi_tx_d_flip_21[3] <= tbi_tx_d_21[6];
+            tbi_tx_d_flip_21[4] <= tbi_tx_d_21[5];
+            tbi_tx_d_flip_21[5] <= tbi_tx_d_21[4];
+            tbi_tx_d_flip_21[6] <= tbi_tx_d_21[3];
+            tbi_tx_d_flip_21[7] <= tbi_tx_d_21[2];
+            tbi_tx_d_flip_21[8] <= tbi_tx_d_21[1];
+            tbi_tx_d_flip_21[9] <= tbi_tx_d_21[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_21
+     (
+         .rx_divfwdclk (tbi_rx_clk_21),
+         .rx_in (rxp_21),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_21),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_21
+    (
+        .tx_in (tbi_tx_d_flip_21),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_21)
+    );
+
+    end  
+else
+    begin
+    assign txp_21 = 1'b0;
+    assign tbi_rx_clk_21 = 1'b0;	
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 22 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 22)
+    begin          
+        assign gxb_pwrdn_in_sig[22] = gxb_pwrdn_in_22;
+        assign pcs_pwrdn_out_22 = pcs_pwrdn_out_sig[22];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[22] = pcs_pwrdn_out_sig[22];
+		assign pcs_pwrdn_out_22 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 22)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_22
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[22]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_22),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_22),
+        .rx_dataout (tbi_rx_d_22),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_22),
+        .tx_clkout (tbi_tx_clk_22),
+        .tx_datain (tbi_tx_d_22),
+        .tx_dataout (txp_22),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 22)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_22
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[22]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_22),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_22),
+        .rx_dataout (tbi_rx_d_22),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_22),
+        .tx_clkout (tbi_tx_clk_22),
+        .tx_datain (tbi_tx_d_22),
+        .tx_dataout (txp_22),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 22)
+    begin          
+
+    assign tbi_tx_clk_22 = ref_clk;
+    assign tbi_rx_d_22 = tbi_rx_d_flip_22;
+
+    always @(posedge tbi_rx_clk_22 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_22 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_22[0] <= tbi_rx_d_lvds_22[9];
+            tbi_rx_d_flip_22[1] <= tbi_rx_d_lvds_22[8];
+            tbi_rx_d_flip_22[2] <= tbi_rx_d_lvds_22[7];
+            tbi_rx_d_flip_22[3] <= tbi_rx_d_lvds_22[6];
+            tbi_rx_d_flip_22[4] <= tbi_rx_d_lvds_22[5];
+            tbi_rx_d_flip_22[5] <= tbi_rx_d_lvds_22[4];
+            tbi_rx_d_flip_22[6] <= tbi_rx_d_lvds_22[3];
+            tbi_rx_d_flip_22[7] <= tbi_rx_d_lvds_22[2];
+            tbi_rx_d_flip_22[8] <= tbi_rx_d_lvds_22[1];
+            tbi_rx_d_flip_22[9] <= tbi_rx_d_lvds_22[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_22 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_22[0] <= tbi_tx_d_22[9];
+            tbi_tx_d_flip_22[1] <= tbi_tx_d_22[8];
+            tbi_tx_d_flip_22[2] <= tbi_tx_d_22[7];
+            tbi_tx_d_flip_22[3] <= tbi_tx_d_22[6];
+            tbi_tx_d_flip_22[4] <= tbi_tx_d_22[5];
+            tbi_tx_d_flip_22[5] <= tbi_tx_d_22[4];
+            tbi_tx_d_flip_22[6] <= tbi_tx_d_22[3];
+            tbi_tx_d_flip_22[7] <= tbi_tx_d_22[2];
+            tbi_tx_d_flip_22[8] <= tbi_tx_d_22[1];
+            tbi_tx_d_flip_22[9] <= tbi_tx_d_22[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_22
+     (
+         .rx_divfwdclk (tbi_rx_clk_22),
+         .rx_in (rxp_22),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_22),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_22
+    (
+        .tx_in (tbi_tx_d_flip_22),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_22)
+    );
+
+    end    
+else
+    begin
+    assign txp_22 = 1'b0;
+    assign tbi_rx_clk_22 = 1'b0;	
+    end  
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 23 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 23)
+    begin          
+        assign gxb_pwrdn_in_sig[23] = gxb_pwrdn_in_23;
+        assign pcs_pwrdn_out_23 = pcs_pwrdn_out_sig[23];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[23] = pcs_pwrdn_out_sig[23];
+		assign pcs_pwrdn_out_23 = 1'b0;
+    end      
+endgenerate
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX devices
+// ---------------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0 && MAX_CHANNELS > 23)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic_23
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[23]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_23),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_23),
+        .rx_dataout (tbi_rx_d_23),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_23),
+        .tx_clkout (tbi_tx_clk_23),
+        .tx_datain (tbi_tx_d_23),
+        .tx_dataout (txp_23),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX" && MAX_CHANNELS > 23)
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx_23
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig[23]),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset),
+        .rx_clkout (tbi_rx_clk_23),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp_23),
+        .rx_dataout (tbi_rx_d_23),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback_23),
+        .tx_clkout (tbi_tx_clk_23),
+        .tx_datain (tbi_tx_d_23),
+        .tx_dataout (txp_23),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1 && MAX_CHANNELS > 23)
+    begin          
+
+    assign tbi_tx_clk_23 = ref_clk;
+    assign tbi_rx_d_23 = tbi_rx_d_flip_23;
+
+    always @(posedge tbi_rx_clk_23 or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_rx_d_flip_23 <= 0;
+        else 
+            begin
+            tbi_rx_d_flip_23[0] <= tbi_rx_d_lvds_23[9];
+            tbi_rx_d_flip_23[1] <= tbi_rx_d_lvds_23[8];
+            tbi_rx_d_flip_23[2] <= tbi_rx_d_lvds_23[7];
+            tbi_rx_d_flip_23[3] <= tbi_rx_d_lvds_23[6];
+            tbi_rx_d_flip_23[4] <= tbi_rx_d_lvds_23[5];
+            tbi_rx_d_flip_23[5] <= tbi_rx_d_lvds_23[4];
+            tbi_rx_d_flip_23[6] <= tbi_rx_d_lvds_23[3];
+            tbi_rx_d_flip_23[7] <= tbi_rx_d_lvds_23[2];
+            tbi_rx_d_flip_23[8] <= tbi_rx_d_lvds_23[1];
+            tbi_rx_d_flip_23[9] <= tbi_rx_d_lvds_23[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset)
+        begin
+        if (reset == 1)
+            tbi_tx_d_flip_23 <= 0;
+        else 
+            begin
+            tbi_tx_d_flip_23[0] <= tbi_tx_d_23[9];
+            tbi_tx_d_flip_23[1] <= tbi_tx_d_23[8];
+            tbi_tx_d_flip_23[2] <= tbi_tx_d_23[7];
+            tbi_tx_d_flip_23[3] <= tbi_tx_d_23[6];
+            tbi_tx_d_flip_23[4] <= tbi_tx_d_23[5];
+            tbi_tx_d_flip_23[5] <= tbi_tx_d_23[4];
+            tbi_tx_d_flip_23[6] <= tbi_tx_d_23[3];
+            tbi_tx_d_flip_23[7] <= tbi_tx_d_23[2];
+            tbi_tx_d_flip_23[8] <= tbi_tx_d_23[1];
+            tbi_tx_d_flip_23[9] <= tbi_tx_d_23[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx_23
+     (
+         .rx_divfwdclk (tbi_rx_clk_23),
+         .rx_in (rxp_23),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds_23),
+         .rx_outclock (),
+         .rx_reset (reset)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx_23
+    (
+        .tx_in (tbi_tx_d_flip_23),
+        .tx_inclock (ref_clk),
+        .tx_out (txp_23)
+    );
+
+    end 
+else
+    begin
+    assign txp_23 = 1'b0;
+    assign tbi_rx_clk_23 = 1'b0;	
+    end      
+endgenerate
+
+
+
+endmodule // module altera_tse_multi_mac_pcs_pma
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige.v
new file mode 100644
index 0000000000..f32eeb44ff
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_multi_mac_pcs_pma_gige.v
@@ -0,0 +1,5136 @@
+
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_multi_mac_pcs_pma_gige.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_multi_mac_pcs_pma_gige.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet - 10/100/1000 MAC
+//
+// Description : 
+//
+// Top Level Triple Speed Ethernet(10/100/1000) MAC with MII/GMII
+// interfaces, mdio module and register space (statistic, control and 
+// management)
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation  
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_multi_mac_pcs_pma_gige
+/* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */
+#(
+parameter USE_SYNC_RESET        = 0,                    //  Use Synchronized Reset Inputs
+parameter RESET_LEVEL           = 1'b 1 ,               //  Reset Active Level
+parameter ENABLE_GMII_LOOPBACK  = 1,                    //  GMII_LOOPBACK_ENA : Enable GMII Loopback Logic 
+parameter ENABLE_HD_LOGIC       = 1,                    //  HD_LOGIC_ENA : Enable Half Duplex Logic
+parameter ENABLE_SUP_ADDR       = 1,                    //  SUP_ADDR_ENA : Enable Supplemental Addresses
+parameter ENA_HASH              = 1,                    //  ENA_HASH Enable Hash Table 
+parameter STAT_CNT_ENA          = 1,                    //  STAT_CNT_ENA Enable Statistic Counters
+parameter MDIO_CLK_DIV          = 40 ,                  //  Host Clock Division - MDC Generation
+parameter CORE_VERSION          = 16'h3,                //  ALTERA Core Version
+parameter CUST_VERSION          = 1 ,                   //  Customer Core Version
+parameter REDUCED_INTERFACE_ENA = 0,                    //  Enable the RGMII Interface
+parameter ENABLE_MDIO           = 1,                    //  Enable the MDIO Interface
+parameter ENABLE_MAGIC_DETECT   = 1,                    //  Enable magic packet detection 
+parameter ENABLE_PADDING        = 1,                    //  Enable padding operation.
+parameter ENABLE_LGTH_CHECK     = 1,                    //  Enable frame length checking.
+parameter GBIT_ONLY             = 1,                    //  Enable Gigabit only operation.
+parameter MBIT_ONLY             = 1,                    //  Enable Megabit (10/100) only operation.
+parameter REDUCED_CONTROL       = 0,                    //  Reduced control for MAC LITE
+parameter CRC32DWIDTH           = 4'b 1000,             //  input data width (informal, not for change)
+parameter CRC32GENDELAY         = 3'b 110,              //  when the data from the generator is valid
+parameter CRC32CHECK16BIT       = 1'b 0,                //  1 compare two times 16 bit of the CRC (adds one pipeline step) 
+parameter CRC32S1L2_EXTERN      = 1'b0,                 //  false: merge enable
+parameter ENABLE_SHIFT16        = 0,                    //  Enable byte stuffing at packet header 
+parameter ENABLE_MAC_FLOW_CTRL  = 1'b1,                 //  Option to enable flow control 
+parameter ENABLE_MAC_TXADDR_SET = 1'b1,                 //  Option to enable MAC address insertion onto 'to-be-transmitted' Ethernet frames on MAC TX data path
+parameter ENABLE_MAC_RX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC RX data path
+parameter ENABLE_MAC_TX_VLAN    = 1'b1,                 //  Option to enable VLAN tagged Ethernet frames on MAC TX data path
+parameter PHY_IDENTIFIER        = 32'h 00000000,        //  PHY Identifier
+parameter DEV_VERSION           = 16'h 0001 ,           //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1,                    //  Enable SGMII logic for synthesis
+parameter ENABLE_CLK_SHARING    = 1,                    //  Option to share clock for multiple channels (Clocks are rate-matched).
+parameter ENABLE_REG_SHARING    = 0,                    //  Option to share register space. Uses certain hard-coded values from input.
+parameter ENABLE_EXTENDED_STAT_REG = 0,                 //  Enable a few extended statistic registers
+parameter MAX_CHANNELS          = 1,                    //  The number of channels in Multi-TSE component
+parameter ENABLE_PKT_CLASS      = 1,                    //  Enable Packet Classification Av-ST Interface
+parameter ENABLE_RX_FIFO_STATUS = 1,                    //  Enable Receive FIFO Almost Full status interface
+parameter CHANNEL_WIDTH         = 1,                    //  The width of the channel interface
+parameter EXPORT_PWRDN          = 1'b0,                 //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX",            //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b0,                 //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS IO
+parameter ENABLE_ALT_RECONFIG   = 0,                    //  Option to expose the altreconfig ports
+parameter SYNCHRONIZER_DEPTH 	= 3,	  	        //  Number of synchronizer
+// Internal parameters
+parameter STARTING_CHANNEL_NUMBER = 0,
+parameter ADDR_WIDTH = (MAX_CHANNELS > 16)? 13 :
+                       (MAX_CHANNELS > 8)? 12 : 
+                       (MAX_CHANNELS > 4)? 11 : 
+                       (MAX_CHANNELS > 2)? 10 :  
+                       (MAX_CHANNELS > 1)? 9 : 8
+)
+
+
+// Port List
+(
+
+    // RESET / MAC REG IF / MDIO
+    input wire   reset,                      //  Asynchronous Reset - clk Domain
+    input wire   clk,                        //  25MHz Host Interface Clock
+    input wire   read,                       //  Register Read Strobe
+    input wire   write,                      //  Register Write Strobe
+    input wire   [ADDR_WIDTH-1:0] address,   //  Register Address
+    input wire   [31:0] writedata,           //  Write Data for Host Bus
+    output wire  [31:0] readdata,            //  Read Data to Host Bus
+    output wire  waitrequest,                //  Interface Busy
+    output wire  mdc,                        //  2.5MHz Inteface
+    input wire   mdio_in,                    //  MDIO Input
+    output wire  mdio_out,                   //  MDIO Output
+    output wire  mdio_oen,                   //  MDIO Output Enable
+
+    // DEVICE SPECIFIC SIGNALS
+    input wire   gxb_cal_blk_clk,            //  GXB Calibration Clock
+    input wire   ref_clk,                    //  Rference Clock
+
+	// SHARED CLK SIGNALS
+    output wire  mac_rx_clk,                 //  Av-ST Receive Clock
+    output wire  mac_tx_clk,                 //  Av-ST Transmit Clock 
+
+	// SHARED RX STATUS
+    input wire   rx_afull_clk,                             //  Almost full clk
+    input wire   [1:0] rx_afull_data,                      //  Almost full data
+    input wire   rx_afull_valid,                           //  Almost full valid
+    input wire   [CHANNEL_WIDTH-1:0] rx_afull_channel,     //  Almost full channel
+
+
+    // CHANNEL 0
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_0,                    //  Differential Receive Data 
+    output wire  txp_0,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_0,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_0,          //  Powerdown Enable from PCS
+    output wire  led_crs_0,                //  Carrier Sense
+    output wire  led_link_0,               //  Valid Link 
+    output wire  led_col_0,                //  Collision Indication
+    output wire  led_an_0,                 //  Auto-Negotiation Status
+    output wire  led_char_err_0,           //  Character Error
+    output wire  led_disp_err_0,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_0,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_0,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_0,            //  Start of Packet
+    output wire  data_rx_eop_0,            //  End of Packet
+    output wire  [7:0] data_rx_data_0,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_0,    //  Receive packet error
+    output wire  data_rx_valid_0,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_0,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_0,   //  Frame Type Indication
+    output wire  pkt_class_valid_0,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_0,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_0,     //  Data from FIFO transmit
+    input wire   data_tx_valid_0,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_0,            //  Start of Packet
+    input wire   data_tx_eop_0,            //  END of Packet
+    output wire  data_tx_ready_0,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_0,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_0,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_0,               //  Xoff Pause frame generate 
+    input wire   xon_gen_0,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_0,          //  Enable Sleep Mode
+    output wire  magic_wakeup_0,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_0,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_0,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_0,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 1
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_1,                    //  Differential Receive Data 
+    output wire  txp_1,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_1,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_1,          //  Powerdown Enable from PCS
+    output wire  led_crs_1,                //  Carrier Sense
+    output wire  led_link_1,               //  Valid Link 
+    output wire  led_col_1,                //  Collision Indication
+    output wire  led_an_1,                 //  Auto-Negotiation Status
+    output wire  led_char_err_1,           //  Character Error
+    output wire  led_disp_err_1,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_1,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_1,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_1,            //  Start of Packet
+    output wire  data_rx_eop_1,            //  End of Packet
+    output wire  [7:0] data_rx_data_1,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_1,    //  Receive packet error
+    output wire  data_rx_valid_1,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_1,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_1,   //  Frame Type Indication
+    output wire  pkt_class_valid_1,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_1,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_1,     //  Data from FIFO transmit
+    input wire   data_tx_valid_1,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_1,            //  Start of Packet
+    input wire   data_tx_eop_1,            //  END of Packet
+    output wire  data_tx_ready_1,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_1,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_1,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_1,               //  Xoff Pause frame generate 
+    input wire   xon_gen_1,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_1,          //  Enable Sleep Mode
+    output wire  magic_wakeup_1,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_1,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_1,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_1,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 2
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_2,                    //  Differential Receive Data 
+    output wire  txp_2,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_2,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_2,          //  Powerdown Enable from PCS
+    output wire  led_crs_2,                //  Carrier Sense
+    output wire  led_link_2,               //  Valid Link 
+    output wire  led_col_2,                //  Collision Indication
+    output wire  led_an_2,                 //  Auto-Negotiation Status
+    output wire  led_char_err_2,           //  Character Error
+    output wire  led_disp_err_2,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_2,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_2,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_2,            //  Start of Packet
+    output wire  data_rx_eop_2,            //  End of Packet
+    output wire  [7:0] data_rx_data_2,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_2,    //  Receive packet error
+    output wire  data_rx_valid_2,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_2,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_2,   //  Frame Type Indication
+    output wire  pkt_class_valid_2,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_2,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_2,     //  Data from FIFO transmit
+    input wire   data_tx_valid_2,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_2,            //  Start of Packet
+    input wire   data_tx_eop_2,            //  END of Packet
+    output wire  data_tx_ready_2,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_2,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_2,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_2,               //  Xoff Pause frame generate 
+    input wire   xon_gen_2,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_2,          //  Enable Sleep Mode
+    output wire  magic_wakeup_2,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_2,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_2,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_2,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 3
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_3,                    //  Differential Receive Data 
+    output wire  txp_3,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_3,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_3,          //  Powerdown Enable from PCS
+    output wire  led_crs_3,                //  Carrier Sense
+    output wire  led_link_3,               //  Valid Link 
+    output wire  led_col_3,                //  Collision Indication
+    output wire  led_an_3,                 //  Auto-Negotiation Status
+    output wire  led_char_err_3,           //  Character Error
+    output wire  led_disp_err_3,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_3,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_3,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_3,            //  Start of Packet
+    output wire  data_rx_eop_3,            //  End of Packet
+    output wire  [7:0] data_rx_data_3,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_3,    //  Receive packet error
+    output wire  data_rx_valid_3,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_3,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_3,   //  Frame Type Indication
+    output wire  pkt_class_valid_3,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_3,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_3,     //  Data from FIFO transmit
+    input wire   data_tx_valid_3,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_3,            //  Start of Packet
+    input wire   data_tx_eop_3,            //  END of Packet
+    output wire  data_tx_ready_3,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_3,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_3,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_3,               //  Xoff Pause frame generate 
+    input wire   xon_gen_3,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_3,          //  Enable Sleep Mode
+    output wire  magic_wakeup_3,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_3,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_3,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_3,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 4
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_4,                    //  Differential Receive Data 
+    output wire  txp_4,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_4,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_4,          //  Powerdown Enable from PCS
+    output wire  led_crs_4,                //  Carrier Sense
+    output wire  led_link_4,               //  Valid Link 
+    output wire  led_col_4,                //  Collision Indication
+    output wire  led_an_4,                 //  Auto-Negotiation Status
+    output wire  led_char_err_4,           //  Character Error
+    output wire  led_disp_err_4,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_4,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_4,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_4,            //  Start of Packet
+    output wire  data_rx_eop_4,            //  End of Packet
+    output wire  [7:0] data_rx_data_4,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_4,    //  Receive packet error
+    output wire  data_rx_valid_4,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_4,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_4,   //  Frame Type Indication
+    output wire  pkt_class_valid_4,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_4,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_4,     //  Data from FIFO transmit
+    input wire   data_tx_valid_4,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_4,            //  Start of Packet
+    input wire   data_tx_eop_4,            //  END of Packet
+    output wire  data_tx_ready_4,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_4,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_4,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_4,               //  Xoff Pause frame generate 
+    input wire   xon_gen_4,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_4,          //  Enable Sleep Mode
+    output wire  magic_wakeup_4,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_4,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_4,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_4,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 5
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_5,                    //  Differential Receive Data 
+    output wire  txp_5,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_5,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_5,          //  Powerdown Enable from PCS
+    output wire  led_crs_5,                //  Carrier Sense
+    output wire  led_link_5,               //  Valid Link 
+    output wire  led_col_5,                //  Collision Indication
+    output wire  led_an_5,                 //  Auto-Negotiation Status
+    output wire  led_char_err_5,           //  Character Error
+    output wire  led_disp_err_5,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_5,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_5,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_5,            //  Start of Packet
+    output wire  data_rx_eop_5,            //  End of Packet
+    output wire  [7:0] data_rx_data_5,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_5,    //  Receive packet error
+    output wire  data_rx_valid_5,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_5,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_5,   //  Frame Type Indication
+    output wire  pkt_class_valid_5,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_5,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_5,     //  Data from FIFO transmit
+    input wire   data_tx_valid_5,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_5,            //  Start of Packet
+    input wire   data_tx_eop_5,            //  END of Packet
+    output wire  data_tx_ready_5,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_5,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_5,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_5,               //  Xoff Pause frame generate 
+    input wire   xon_gen_5,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_5,          //  Enable Sleep Mode
+    output wire  magic_wakeup_5,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_5,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_5,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_5,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 6
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_6,                    //  Differential Receive Data 
+    output wire  txp_6,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_6,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_6,          //  Powerdown Enable from PCS
+    output wire  led_crs_6,                //  Carrier Sense
+    output wire  led_link_6,               //  Valid Link 
+    output wire  led_col_6,                //  Collision Indication
+    output wire  led_an_6,                 //  Auto-Negotiation Status
+    output wire  led_char_err_6,           //  Character Error
+    output wire  led_disp_err_6,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_6,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_6,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_6,            //  Start of Packet
+    output wire  data_rx_eop_6,            //  End of Packet
+    output wire  [7:0] data_rx_data_6,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_6,    //  Receive packet error
+    output wire  data_rx_valid_6,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_6,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_6,   //  Frame Type Indication
+    output wire  pkt_class_valid_6,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_6,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_6,     //  Data from FIFO transmit
+    input wire   data_tx_valid_6,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_6,            //  Start of Packet
+    input wire   data_tx_eop_6,            //  END of Packet
+    output wire  data_tx_ready_6,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_6,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_6,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_6,               //  Xoff Pause frame generate 
+    input wire   xon_gen_6,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_6,          //  Enable Sleep Mode
+    output wire  magic_wakeup_6,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_6,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_6,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_6,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 7
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_7,                    //  Differential Receive Data 
+    output wire  txp_7,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_7,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_7,          //  Powerdown Enable from PCS
+    output wire  led_crs_7,                //  Carrier Sense
+    output wire  led_link_7,               //  Valid Link 
+    output wire  led_col_7,                //  Collision Indication
+    output wire  led_an_7,                 //  Auto-Negotiation Status
+    output wire  led_char_err_7,           //  Character Error
+    output wire  led_disp_err_7,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_7,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_7,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_7,            //  Start of Packet
+    output wire  data_rx_eop_7,            //  End of Packet
+    output wire  [7:0] data_rx_data_7,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_7,    //  Receive packet error
+    output wire  data_rx_valid_7,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_7,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_7,   //  Frame Type Indication
+    output wire  pkt_class_valid_7,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_7,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_7,     //  Data from FIFO transmit
+    input wire   data_tx_valid_7,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_7,            //  Start of Packet
+    input wire   data_tx_eop_7,            //  END of Packet
+    output wire  data_tx_ready_7,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_7,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_7,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_7,               //  Xoff Pause frame generate 
+    input wire   xon_gen_7,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_7,          //  Enable Sleep Mode
+    output wire  magic_wakeup_7,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_7,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_7,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_7,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 8
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_8,                    //  Differential Receive Data 
+    output wire  txp_8,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_8,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_8,          //  Powerdown Enable from PCS
+    output wire  led_crs_8,                //  Carrier Sense
+    output wire  led_link_8,               //  Valid Link 
+    output wire  led_col_8,                //  Collision Indication
+    output wire  led_an_8,                 //  Auto-Negotiation Status
+    output wire  led_char_err_8,           //  Character Error
+    output wire  led_disp_err_8,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_8,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_8,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_8,            //  Start of Packet
+    output wire  data_rx_eop_8,            //  End of Packet
+    output wire  [7:0] data_rx_data_8,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_8,    //  Receive packet error
+    output wire  data_rx_valid_8,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_8,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_8,   //  Frame Type Indication
+    output wire  pkt_class_valid_8,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_8,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_8,     //  Data from FIFO transmit
+    input wire   data_tx_valid_8,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_8,            //  Start of Packet
+    input wire   data_tx_eop_8,            //  END of Packet
+    output wire  data_tx_ready_8,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_8,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_8,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_8,               //  Xoff Pause frame generate 
+    input wire   xon_gen_8,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_8,          //  Enable Sleep Mode
+    output wire  magic_wakeup_8,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_8,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_8,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_8,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 9
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_9,                    //  Differential Receive Data 
+    output wire  txp_9,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_9,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_9,          //  Powerdown Enable from PCS
+    output wire  led_crs_9,                //  Carrier Sense
+    output wire  led_link_9,               //  Valid Link 
+    output wire  led_col_9,                //  Collision Indication
+    output wire  led_an_9,                 //  Auto-Negotiation Status
+    output wire  led_char_err_9,           //  Character Error
+    output wire  led_disp_err_9,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_9,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_9,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_9,            //  Start of Packet
+    output wire  data_rx_eop_9,            //  End of Packet
+    output wire  [7:0] data_rx_data_9,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_9,    //  Receive packet error
+    output wire  data_rx_valid_9,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_9,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_9,   //  Frame Type Indication
+    output wire  pkt_class_valid_9,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_9,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_9,     //  Data from FIFO transmit
+    input wire   data_tx_valid_9,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_9,            //  Start of Packet
+    input wire   data_tx_eop_9,            //  END of Packet
+    output wire  data_tx_ready_9,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_9,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_9,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_9,               //  Xoff Pause frame generate 
+    input wire   xon_gen_9,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_9,          //  Enable Sleep Mode
+    output wire  magic_wakeup_9,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_9,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_9,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_9,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 10
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_10,                    //  Differential Receive Data 
+    output wire  txp_10,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_10,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_10,          //  Powerdown Enable from PCS
+    output wire  led_crs_10,                //  Carrier Sense
+    output wire  led_link_10,               //  Valid Link 
+    output wire  led_col_10,                //  Collision Indication
+    output wire  led_an_10,                 //  Auto-Negotiation Status
+    output wire  led_char_err_10,           //  Character Error
+    output wire  led_disp_err_10,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_10,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_10,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_10,            //  Start of Packet
+    output wire  data_rx_eop_10,            //  End of Packet
+    output wire  [7:0] data_rx_data_10,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_10,    //  Receive packet error
+    output wire  data_rx_valid_10,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_10,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_10,   //  Frame Type Indication
+    output wire  pkt_class_valid_10,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_10,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_10,     //  Data from FIFO transmit
+    input wire   data_tx_valid_10,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_10,            //  Start of Packet
+    input wire   data_tx_eop_10,            //  END of Packet
+    output wire  data_tx_ready_10,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_10,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_10,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_10,               //  Xoff Pause frame generate 
+    input wire   xon_gen_10,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_10,          //  Enable Sleep Mode
+    output wire  magic_wakeup_10,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_10,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_10,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_10,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 11
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_11,                    //  Differential Receive Data 
+    output wire  txp_11,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_11,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_11,          //  Powerdown Enable from PCS
+    output wire  led_crs_11,                //  Carrier Sense
+    output wire  led_link_11,               //  Valid Link 
+    output wire  led_col_11,                //  Collision Indication
+    output wire  led_an_11,                 //  Auto-Negotiation Status
+    output wire  led_char_err_11,           //  Character Error
+    output wire  led_disp_err_11,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_11,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_11,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_11,            //  Start of Packet
+    output wire  data_rx_eop_11,            //  End of Packet
+    output wire  [7:0] data_rx_data_11,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_11,    //  Receive packet error
+    output wire  data_rx_valid_11,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_11,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_11,   //  Frame Type Indication
+    output wire  pkt_class_valid_11,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_11,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_11,     //  Data from FIFO transmit
+    input wire   data_tx_valid_11,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_11,            //  Start of Packet
+    input wire   data_tx_eop_11,            //  END of Packet
+    output wire  data_tx_ready_11,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_11,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_11,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_11,               //  Xoff Pause frame generate 
+    input wire   xon_gen_11,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_11,          //  Enable Sleep Mode
+    output wire  magic_wakeup_11,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_11,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_11,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_11,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 12
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_12,                    //  Differential Receive Data 
+    output wire  txp_12,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_12,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_12,          //  Powerdown Enable from PCS
+    output wire  led_crs_12,                //  Carrier Sense
+    output wire  led_link_12,               //  Valid Link 
+    output wire  led_col_12,                //  Collision Indication
+    output wire  led_an_12,                 //  Auto-Negotiation Status
+    output wire  led_char_err_12,           //  Character Error
+    output wire  led_disp_err_12,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_12,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_12,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_12,            //  Start of Packet
+    output wire  data_rx_eop_12,            //  End of Packet
+    output wire  [7:0] data_rx_data_12,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_12,    //  Receive packet error
+    output wire  data_rx_valid_12,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_12,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_12,   //  Frame Type Indication
+    output wire  pkt_class_valid_12,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_12,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_12,     //  Data from FIFO transmit
+    input wire   data_tx_valid_12,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_12,            //  Start of Packet
+    input wire   data_tx_eop_12,            //  END of Packet
+    output wire  data_tx_ready_12,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_12,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_12,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_12,               //  Xoff Pause frame generate 
+    input wire   xon_gen_12,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_12,          //  Enable Sleep Mode
+    output wire  magic_wakeup_12,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_12,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_12,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_12,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 13
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_13,                    //  Differential Receive Data 
+    output wire  txp_13,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_13,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_13,          //  Powerdown Enable from PCS
+    output wire  led_crs_13,                //  Carrier Sense
+    output wire  led_link_13,               //  Valid Link 
+    output wire  led_col_13,                //  Collision Indication
+    output wire  led_an_13,                 //  Auto-Negotiation Status
+    output wire  led_char_err_13,           //  Character Error
+    output wire  led_disp_err_13,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_13,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_13,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_13,            //  Start of Packet
+    output wire  data_rx_eop_13,            //  End of Packet
+    output wire  [7:0] data_rx_data_13,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_13,    //  Receive packet error
+    output wire  data_rx_valid_13,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_13,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_13,   //  Frame Type Indication
+    output wire  pkt_class_valid_13,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_13,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_13,     //  Data from FIFO transmit
+    input wire   data_tx_valid_13,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_13,            //  Start of Packet
+    input wire   data_tx_eop_13,            //  END of Packet
+    output wire  data_tx_ready_13,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_13,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_13,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_13,               //  Xoff Pause frame generate 
+    input wire   xon_gen_13,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_13,          //  Enable Sleep Mode
+    output wire  magic_wakeup_13,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_13,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_13,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_13,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 14
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_14,                    //  Differential Receive Data 
+    output wire  txp_14,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_14,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_14,          //  Powerdown Enable from PCS
+    output wire  led_crs_14,                //  Carrier Sense
+    output wire  led_link_14,               //  Valid Link 
+    output wire  led_col_14,                //  Collision Indication
+    output wire  led_an_14,                 //  Auto-Negotiation Status
+    output wire  led_char_err_14,           //  Character Error
+    output wire  led_disp_err_14,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_14,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_14,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_14,            //  Start of Packet
+    output wire  data_rx_eop_14,            //  End of Packet
+    output wire  [7:0] data_rx_data_14,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_14,    //  Receive packet error
+    output wire  data_rx_valid_14,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_14,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_14,   //  Frame Type Indication
+    output wire  pkt_class_valid_14,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_14,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_14,     //  Data from FIFO transmit
+    input wire   data_tx_valid_14,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_14,            //  Start of Packet
+    input wire   data_tx_eop_14,            //  END of Packet
+    output wire  data_tx_ready_14,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_14,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_14,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_14,               //  Xoff Pause frame generate 
+    input wire   xon_gen_14,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_14,          //  Enable Sleep Mode
+    output wire  magic_wakeup_14,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_14,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_14,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_14,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 15
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_15,                    //  Differential Receive Data 
+    output wire  txp_15,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_15,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_15,          //  Powerdown Enable from PCS
+    output wire  led_crs_15,                //  Carrier Sense
+    output wire  led_link_15,               //  Valid Link 
+    output wire  led_col_15,                //  Collision Indication
+    output wire  led_an_15,                 //  Auto-Negotiation Status
+    output wire  led_char_err_15,           //  Character Error
+    output wire  led_disp_err_15,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_15,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_15,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_15,            //  Start of Packet
+    output wire  data_rx_eop_15,            //  End of Packet
+    output wire  [7:0] data_rx_data_15,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_15,    //  Receive packet error
+    output wire  data_rx_valid_15,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_15,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_15,   //  Frame Type Indication
+    output wire  pkt_class_valid_15,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_15,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_15,     //  Data from FIFO transmit
+    input wire   data_tx_valid_15,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_15,            //  Start of Packet
+    input wire   data_tx_eop_15,            //  END of Packet
+    output wire  data_tx_ready_15,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_15,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_15,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_15,               //  Xoff Pause frame generate 
+    input wire   xon_gen_15,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_15,          //  Enable Sleep Mode
+    output wire  magic_wakeup_15,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_15,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_15,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_15,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 16
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_16,                    //  Differential Receive Data 
+    output wire  txp_16,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_16,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_16,          //  Powerdown Enable from PCS
+    output wire  led_crs_16,                //  Carrier Sense
+    output wire  led_link_16,               //  Valid Link 
+    output wire  led_col_16,                //  Collision Indication
+    output wire  led_an_16,                 //  Auto-Negotiation Status
+    output wire  led_char_err_16,           //  Character Error
+    output wire  led_disp_err_16,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_16,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_16,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_16,            //  Start of Packet
+    output wire  data_rx_eop_16,            //  End of Packet
+    output wire  [7:0] data_rx_data_16,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_16,    //  Receive packet error
+    output wire  data_rx_valid_16,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_16,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_16,   //  Frame Type Indication
+    output wire  pkt_class_valid_16,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_16,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_16,     //  Data from FIFO transmit
+    input wire   data_tx_valid_16,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_16,            //  Start of Packet
+    input wire   data_tx_eop_16,            //  END of Packet
+    output wire  data_tx_ready_16,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_16,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_16,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_16,               //  Xoff Pause frame generate 
+    input wire   xon_gen_16,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_16,          //  Enable Sleep Mode
+    output wire  magic_wakeup_16,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_16,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_16,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_16,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 17
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_17,                    //  Differential Receive Data 
+    output wire  txp_17,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_17,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_17,          //  Powerdown Enable from PCS
+    output wire  led_crs_17,                //  Carrier Sense
+    output wire  led_link_17,               //  Valid Link 
+    output wire  led_col_17,                //  Collision Indication
+    output wire  led_an_17,                 //  Auto-Negotiation Status
+    output wire  led_char_err_17,           //  Character Error
+    output wire  led_disp_err_17,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_17,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_17,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_17,            //  Start of Packet
+    output wire  data_rx_eop_17,            //  End of Packet
+    output wire  [7:0] data_rx_data_17,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_17,    //  Receive packet error
+    output wire  data_rx_valid_17,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_17,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_17,   //  Frame Type Indication
+    output wire  pkt_class_valid_17,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_17,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_17,     //  Data from FIFO transmit
+    input wire   data_tx_valid_17,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_17,            //  Start of Packet
+    input wire   data_tx_eop_17,            //  END of Packet
+    output wire  data_tx_ready_17,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_17,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_17,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_17,               //  Xoff Pause frame generate 
+    input wire   xon_gen_17,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_17,          //  Enable Sleep Mode
+    output wire  magic_wakeup_17,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_17,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_17,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_17,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 18
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_18,                    //  Differential Receive Data 
+    output wire  txp_18,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_18,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_18,          //  Powerdown Enable from PCS
+    output wire  led_crs_18,                //  Carrier Sense
+    output wire  led_link_18,               //  Valid Link 
+    output wire  led_col_18,                //  Collision Indication
+    output wire  led_an_18,                 //  Auto-Negotiation Status
+    output wire  led_char_err_18,           //  Character Error
+    output wire  led_disp_err_18,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_18,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_18,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_18,            //  Start of Packet
+    output wire  data_rx_eop_18,            //  End of Packet
+    output wire  [7:0] data_rx_data_18,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_18,    //  Receive packet error
+    output wire  data_rx_valid_18,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_18,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_18,   //  Frame Type Indication
+    output wire  pkt_class_valid_18,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_18,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_18,     //  Data from FIFO transmit
+    input wire   data_tx_valid_18,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_18,            //  Start of Packet
+    input wire   data_tx_eop_18,            //  END of Packet
+    output wire  data_tx_ready_18,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_18,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_18,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_18,               //  Xoff Pause frame generate 
+    input wire   xon_gen_18,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_18,          //  Enable Sleep Mode
+    output wire  magic_wakeup_18,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_18,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_18,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_18,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 19
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_19,                    //  Differential Receive Data 
+    output wire  txp_19,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_19,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_19,          //  Powerdown Enable from PCS
+    output wire  led_crs_19,                //  Carrier Sense
+    output wire  led_link_19,               //  Valid Link 
+    output wire  led_col_19,                //  Collision Indication
+    output wire  led_an_19,                 //  Auto-Negotiation Status
+    output wire  led_char_err_19,           //  Character Error
+    output wire  led_disp_err_19,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_19,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_19,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_19,            //  Start of Packet
+    output wire  data_rx_eop_19,            //  End of Packet
+    output wire  [7:0] data_rx_data_19,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_19,    //  Receive packet error
+    output wire  data_rx_valid_19,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_19,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_19,   //  Frame Type Indication
+    output wire  pkt_class_valid_19,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_19,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_19,     //  Data from FIFO transmit
+    input wire   data_tx_valid_19,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_19,            //  Start of Packet
+    input wire   data_tx_eop_19,            //  END of Packet
+    output wire  data_tx_ready_19,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_19,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_19,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_19,               //  Xoff Pause frame generate 
+    input wire   xon_gen_19,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_19,          //  Enable Sleep Mode
+    output wire  magic_wakeup_19,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_19,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_19,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_19,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 20
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_20,                    //  Differential Receive Data 
+    output wire  txp_20,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_20,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_20,          //  Powerdown Enable from PCS
+    output wire  led_crs_20,                //  Carrier Sense
+    output wire  led_link_20,               //  Valid Link 
+    output wire  led_col_20,                //  Collision Indication
+    output wire  led_an_20,                 //  Auto-Negotiation Status
+    output wire  led_char_err_20,           //  Character Error
+    output wire  led_disp_err_20,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_20,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_20,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_20,            //  Start of Packet
+    output wire  data_rx_eop_20,            //  End of Packet
+    output wire  [7:0] data_rx_data_20,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_20,    //  Receive packet error
+    output wire  data_rx_valid_20,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_20,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_20,   //  Frame Type Indication
+    output wire  pkt_class_valid_20,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_20,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_20,     //  Data from FIFO transmit
+    input wire   data_tx_valid_20,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_20,            //  Start of Packet
+    input wire   data_tx_eop_20,            //  END of Packet
+    output wire  data_tx_ready_20,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_20,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_20,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_20,               //  Xoff Pause frame generate 
+    input wire   xon_gen_20,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_20,          //  Enable Sleep Mode
+    output wire  magic_wakeup_20,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_20,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_20,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_20,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 21
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_21,                    //  Differential Receive Data 
+    output wire  txp_21,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_21,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_21,          //  Powerdown Enable from PCS
+    output wire  led_crs_21,                //  Carrier Sense
+    output wire  led_link_21,               //  Valid Link 
+    output wire  led_col_21,                //  Collision Indication
+    output wire  led_an_21,                 //  Auto-Negotiation Status
+    output wire  led_char_err_21,           //  Character Error
+    output wire  led_disp_err_21,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_21,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_21,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_21,            //  Start of Packet
+    output wire  data_rx_eop_21,            //  End of Packet
+    output wire  [7:0] data_rx_data_21,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_21,    //  Receive packet error
+    output wire  data_rx_valid_21,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_21,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_21,   //  Frame Type Indication
+    output wire  pkt_class_valid_21,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_21,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_21,     //  Data from FIFO transmit
+    input wire   data_tx_valid_21,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_21,            //  Start of Packet
+    input wire   data_tx_eop_21,            //  END of Packet
+    output wire  data_tx_ready_21,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_21,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_21,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_21,               //  Xoff Pause frame generate 
+    input wire   xon_gen_21,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_21,          //  Enable Sleep Mode
+    output wire  magic_wakeup_21,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_21,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_21,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_21,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 22
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_22,                    //  Differential Receive Data 
+    output wire  txp_22,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_22,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_22,          //  Powerdown Enable from PCS
+    output wire  led_crs_22,                //  Carrier Sense
+    output wire  led_link_22,               //  Valid Link 
+    output wire  led_col_22,                //  Collision Indication
+    output wire  led_an_22,                 //  Auto-Negotiation Status
+    output wire  led_char_err_22,           //  Character Error
+    output wire  led_disp_err_22,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_22,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_22,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_22,            //  Start of Packet
+    output wire  data_rx_eop_22,            //  End of Packet
+    output wire  [7:0] data_rx_data_22,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_22,    //  Receive packet error
+    output wire  data_rx_valid_22,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_22,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_22,   //  Frame Type Indication
+    output wire  pkt_class_valid_22,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_22,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_22,     //  Data from FIFO transmit
+    input wire   data_tx_valid_22,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_22,            //  Start of Packet
+    input wire   data_tx_eop_22,            //  END of Packet
+    output wire  data_tx_ready_22,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_22,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_22,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_22,               //  Xoff Pause frame generate 
+    input wire   xon_gen_22,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_22,          //  Enable Sleep Mode
+    output wire  magic_wakeup_22,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_22,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_22,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_22,  //  Signals from the gxb block to the reconfig block
+
+
+    // CHANNEL 23
+
+    // PCS SIGNALS TO PHY
+    input wire   rxp_23,                    //  Differential Receive Data 
+    output wire  txp_23,                    //  Differential Transmit Data 
+    input wire   gxb_pwrdn_in_23,           //  Powerdown signal to GXB
+    output wire  pcs_pwrdn_out_23,          //  Powerdown Enable from PCS
+    output wire  led_crs_23,                //  Carrier Sense
+    output wire  led_link_23,               //  Valid Link 
+    output wire  led_col_23,                //  Collision Indication
+    output wire  led_an_23,                 //  Auto-Negotiation Status
+    output wire  led_char_err_23,           //  Character Error
+    output wire  led_disp_err_23,           //  Disparity Error
+
+    // AV-ST TX & RX
+    output wire  mac_rx_clk_23,             //  Av-ST Receive Clock
+    output wire  mac_tx_clk_23,             //  Av-ST Transmit Clock   
+    output wire  data_rx_sop_23,            //  Start of Packet
+    output wire  data_rx_eop_23,            //  End of Packet
+    output wire  [7:0] data_rx_data_23,     //  Data from FIFO
+    output wire  [4:0] data_rx_error_23,    //  Receive packet error
+    output wire  data_rx_valid_23,          //  Data Receive FIFO Valid
+    input wire   data_rx_ready_23,          //  Data Receive Ready
+    output wire  [4:0] pkt_class_data_23,   //  Frame Type Indication
+    output wire  pkt_class_valid_23,        //  Frame Type Indication Valid 
+    input wire   data_tx_error_23,          //  STATUS FIFO (Tx frame Error from Apps)
+    input wire   [7:0] data_tx_data_23,     //  Data from FIFO transmit
+    input wire   data_tx_valid_23,          //  Data FIFO transmit Empty
+    input wire   data_tx_sop_23,            //  Start of Packet
+    input wire   data_tx_eop_23,            //  END of Packet
+    output wire  data_tx_ready_23,          //  Data FIFO transmit Read Enable 	
+
+    // STAND_ALONE CONDUITS 
+    output wire  tx_ff_uflow_23,            //  TX FIFO underflow occured (Synchronous with tx_clk)
+    input wire   tx_crc_fwd_23,             //  Forward Current Frame with CRC from Application
+    input wire   xoff_gen_23,               //  Xoff Pause frame generate 
+    input wire   xon_gen_23,                //  Xon Pause frame generate 
+    input wire   magic_sleep_n_23,          //  Enable Sleep Mode
+    output wire  magic_wakeup_23,           //  Wake Up Request
+
+    // RECONFIG BLOCK SIGNALS
+    input wire   reconfig_clk_23,             //  Clock for reconfiguration block
+    input wire   [3:0] reconfig_togxb_23,     //  Signals from the reconfig block to the GXB block
+    output wire  [16:0] reconfig_fromgxb_23); //  Signals from the gxb block to the reconfig block
+
+
+wire    MAC_PCS_reset;
+wire    [23:0] pcs_pwrdn_out_sig;
+wire    [23:0] gxb_pwrdn_in_sig;
+wire    gige_pma_reset;
+wire    [23:0] led_char_err_gx;
+wire    [23:0] link_status;
+//wire    [23:0] pcs_clk;
+wire    pcs_clk_c0;
+wire    pcs_clk_c1;
+wire    pcs_clk_c2;
+wire    pcs_clk_c3;
+wire    pcs_clk_c4;
+wire    pcs_clk_c5;
+wire    pcs_clk_c6;
+wire    pcs_clk_c7;
+wire    pcs_clk_c8;
+wire    pcs_clk_c9;
+wire    pcs_clk_c10;
+wire    pcs_clk_c11;
+wire    pcs_clk_c12;
+wire    pcs_clk_c13;
+wire    pcs_clk_c14;
+wire    pcs_clk_c15;
+wire    pcs_clk_c16;
+wire    pcs_clk_c17;
+wire    pcs_clk_c18;
+wire    pcs_clk_c19;
+wire    pcs_clk_c20;
+wire    pcs_clk_c21;
+wire    pcs_clk_c22;
+wire    pcs_clk_c23;
+wire    [23:0] rx_char_err_gx;
+wire    [23:0] rx_disp_err;
+wire    [23:0] rx_syncstatus;
+wire    [23:0] rx_runlengthviolation;
+wire    [23:0] rx_patterndetect;
+wire    [23:0] rx_runningdisp;
+wire    [23:0] rx_rmfifodatadeleted;
+wire    [23:0] rx_rmfifodatainserted;
+wire    [23:0] pcs_rx_rmfifodatadeleted;
+wire    [23:0] pcs_rx_rmfifodatainserted;
+wire    [23:0] pcs_rx_carrierdetected;
+
+reg     pma_digital_rst0;
+reg     pma_digital_rst1;
+reg     pma_digital_rst2;
+wire    rx_kchar_0;
+wire    [7:0] rx_frame_0;
+wire    pcs_rx_kchar_0;
+wire    [7:0] pcs_rx_frame_0;
+wire    tx_kchar_0;
+wire    [7:0] tx_frame_0;
+wire    rx_kchar_1;
+wire    [7:0] rx_frame_1;
+wire    pcs_rx_kchar_1;
+wire    [7:0] pcs_rx_frame_1;
+wire    tx_kchar_1;
+wire    [7:0] tx_frame_1;
+wire    rx_kchar_2;
+wire    [7:0] rx_frame_2;
+wire    pcs_rx_kchar_2;
+wire    [7:0] pcs_rx_frame_2;
+wire    tx_kchar_2;
+wire    [7:0] tx_frame_2;
+wire    rx_kchar_3;
+wire    [7:0] rx_frame_3;
+wire    pcs_rx_kchar_3;
+wire    [7:0] pcs_rx_frame_3;
+wire    tx_kchar_3;
+wire    [7:0] tx_frame_3;
+wire    rx_kchar_4;
+wire    [7:0] rx_frame_4;
+wire    pcs_rx_kchar_4;
+wire    [7:0] pcs_rx_frame_4;
+wire    tx_kchar_4;
+wire    [7:0] tx_frame_4;
+wire    rx_kchar_5;
+wire    [7:0] rx_frame_5;
+wire    pcs_rx_kchar_5;
+wire    [7:0] pcs_rx_frame_5;
+wire    tx_kchar_5;
+wire    [7:0] tx_frame_5;
+wire    rx_kchar_6;
+wire    [7:0] rx_frame_6;
+wire    pcs_rx_kchar_6;
+wire    [7:0] pcs_rx_frame_6;
+wire    tx_kchar_6;
+wire    [7:0] tx_frame_6;
+wire    rx_kchar_7;
+wire    [7:0] rx_frame_7;
+wire    pcs_rx_kchar_7;
+wire    [7:0] pcs_rx_frame_7;
+wire    tx_kchar_7;
+wire    [7:0] tx_frame_7;
+wire    rx_kchar_8;
+wire    [7:0] rx_frame_8;
+wire    pcs_rx_kchar_8;
+wire    [7:0] pcs_rx_frame_8;
+wire    tx_kchar_8;
+wire    [7:0] tx_frame_8;
+wire    rx_kchar_9;
+wire    [7:0] rx_frame_9;
+wire    pcs_rx_kchar_9;
+wire    [7:0] pcs_rx_frame_9;
+wire    tx_kchar_9;
+wire    [7:0] tx_frame_9;
+wire    rx_kchar_10;
+wire    [7:0] rx_frame_10;
+wire    pcs_rx_kchar_10;
+wire    [7:0] pcs_rx_frame_10;
+wire    tx_kchar_10;
+wire    [7:0] tx_frame_10;
+wire    rx_kchar_11;
+wire    [7:0] rx_frame_11;
+wire    pcs_rx_kchar_11;
+wire    [7:0] pcs_rx_frame_11;
+wire    tx_kchar_11;
+wire    [7:0] tx_frame_11;
+wire    rx_kchar_12;
+wire    [7:0] rx_frame_12;
+wire    pcs_rx_kchar_12;
+wire    [7:0] pcs_rx_frame_12;
+wire    tx_kchar_12;
+wire    [7:0] tx_frame_12;
+wire    rx_kchar_13;
+wire    [7:0] rx_frame_13;
+wire    pcs_rx_kchar_13;
+wire    [7:0] pcs_rx_frame_13;
+wire    tx_kchar_13;
+wire    [7:0] tx_frame_13;
+wire    rx_kchar_14;
+wire    [7:0] rx_frame_14;
+wire    pcs_rx_kchar_14;
+wire    [7:0] pcs_rx_frame_14;
+wire    tx_kchar_14;
+wire    [7:0] tx_frame_14;
+wire    rx_kchar_15;
+wire    [7:0] rx_frame_15;
+wire    pcs_rx_kchar_15;
+wire    [7:0] pcs_rx_frame_15;
+wire    tx_kchar_15;
+wire    [7:0] tx_frame_15;
+wire    rx_kchar_16;
+wire    [7:0] rx_frame_16;
+wire    pcs_rx_kchar_16;
+wire    [7:0] pcs_rx_frame_16;
+wire    tx_kchar_16;
+wire    [7:0] tx_frame_16;
+wire    rx_kchar_17;
+wire    [7:0] rx_frame_17;
+wire    pcs_rx_kchar_17;
+wire    [7:0] pcs_rx_frame_17;
+wire    tx_kchar_17;
+wire    [7:0] tx_frame_17;
+wire    rx_kchar_18;
+wire    [7:0] rx_frame_18;
+wire    pcs_rx_kchar_18;
+wire    [7:0] pcs_rx_frame_18;
+wire    tx_kchar_18;
+wire    [7:0] tx_frame_18;
+wire    rx_kchar_19;
+wire    [7:0] rx_frame_19;
+wire    pcs_rx_kchar_19;
+wire    [7:0] pcs_rx_frame_19;
+wire    tx_kchar_19;
+wire    [7:0] tx_frame_19;
+wire    rx_kchar_20;
+wire    [7:0] rx_frame_20;
+wire    pcs_rx_kchar_20;
+wire    [7:0] pcs_rx_frame_20;
+wire    tx_kchar_20;
+wire    [7:0] tx_frame_20;
+wire    rx_kchar_21;
+wire    [7:0] rx_frame_21;
+wire    pcs_rx_kchar_21;
+wire    [7:0] pcs_rx_frame_21;
+wire    tx_kchar_21;
+wire    [7:0] tx_frame_21;
+wire    rx_kchar_22;
+wire    [7:0] rx_frame_22;
+wire    pcs_rx_kchar_22;
+wire    [7:0] pcs_rx_frame_22;
+wire    tx_kchar_22;
+wire    [7:0] tx_frame_22;
+wire    rx_kchar_23;
+wire    [7:0] rx_frame_23;
+wire    pcs_rx_kchar_23;
+wire    [7:0] pcs_rx_frame_23;
+wire    tx_kchar_23;
+wire    [7:0] tx_frame_23;
+
+wire    sd_loopback_0;
+wire    sd_loopback_1;
+wire    sd_loopback_2;
+wire    sd_loopback_3;
+wire    sd_loopback_4;
+wire    sd_loopback_5;
+wire    sd_loopback_6;
+wire    sd_loopback_7;
+wire    sd_loopback_8;
+wire    sd_loopback_9;
+wire    sd_loopback_10;
+wire    sd_loopback_11;
+wire    sd_loopback_12;
+wire    sd_loopback_13;
+wire    sd_loopback_14;
+wire    sd_loopback_15;
+wire    sd_loopback_16;
+wire    sd_loopback_17;
+wire    sd_loopback_18;
+wire    sd_loopback_19;
+wire    sd_loopback_20;
+wire    sd_loopback_21;
+wire    sd_loopback_22;
+wire    sd_loopback_23;
+
+
+
+    // Reset logic used to reset the PMA blocks
+    // ----------------------------------------
+    always @(posedge clk or posedge reset)
+      begin
+        if (reset == 1)
+          begin
+            pma_digital_rst0 <= reset;
+            pma_digital_rst1 <= reset;
+            pma_digital_rst2 <= reset;
+          end
+        else 
+          begin
+            pma_digital_rst0 <= reset;
+            pma_digital_rst1 <= pma_digital_rst0;
+            pma_digital_rst2 <= pma_digital_rst1;
+          end
+      end
+
+
+    //  Assign the digital reset of the PMA to the MAC_PCS logic
+    //  --------------------------------------------------------
+    assign MAC_PCS_reset = pma_digital_rst2;
+	
+	// Assign pcs clock for all channels
+	//assign pcs_clk = {pcs_clk_c23,pcs_clk_c22,pcs_clk_c21,pcs_clk_c20,pcs_clk_c19,pcs_clk_c18,pcs_clk_c17,pcs_clk_c16,pcs_clk_c15,pcs_clk_c14,pcs_clk_c13,pcs_clk_c12,pcs_clk_c11,pcs_clk_c10,pcs_clk_c9,pcs_clk_c8,pcs_clk_c7,pcs_clk_c6,pcs_clk_c5,pcs_clk_c4,pcs_clk_c3,pcs_clk_c2,pcs_clk_c1,pcs_clk_c0};
+
+    //  Assign the character error and link status to top level leds
+    //  ------------------------------------------------------------
+    assign led_char_err_0 = led_char_err_gx[0];
+    assign led_link_0 = link_status[0];
+    assign led_char_err_1 = led_char_err_gx[1];
+    assign led_link_1 = link_status[1];
+    assign led_char_err_2 = led_char_err_gx[2];
+    assign led_link_2 = link_status[2];
+    assign led_char_err_3 = led_char_err_gx[3];
+    assign led_link_3 = link_status[3];
+    assign led_char_err_4 = led_char_err_gx[4];
+    assign led_link_4 = link_status[4];
+    assign led_char_err_5 = led_char_err_gx[5];
+    assign led_link_5 = link_status[5];
+    assign led_char_err_6 = led_char_err_gx[6];
+    assign led_link_6 = link_status[6];
+    assign led_char_err_7 = led_char_err_gx[7];
+    assign led_link_7 = link_status[7];
+    assign led_char_err_8 = led_char_err_gx[8];
+    assign led_link_8 = link_status[8];
+    assign led_char_err_9 = led_char_err_gx[9];
+    assign led_link_9 = link_status[9];
+    assign led_char_err_10 = led_char_err_gx[10];
+    assign led_link_10 = link_status[10];
+    assign led_char_err_11 = led_char_err_gx[11];
+    assign led_link_11 = link_status[11];
+    assign led_char_err_12 = led_char_err_gx[12];
+    assign led_link_12 = link_status[12];
+    assign led_char_err_13 = led_char_err_gx[13];
+    assign led_link_13 = link_status[13];
+    assign led_char_err_14 = led_char_err_gx[14];
+    assign led_link_14 = link_status[14];
+    assign led_char_err_15 = led_char_err_gx[15];
+    assign led_link_15 = link_status[15];
+    assign led_char_err_16 = led_char_err_gx[16];
+    assign led_link_16 = link_status[16];
+    assign led_char_err_17 = led_char_err_gx[17];
+    assign led_link_17 = link_status[17];
+    assign led_char_err_18 = led_char_err_gx[18];
+    assign led_link_18 = link_status[18];
+    assign led_char_err_19 = led_char_err_gx[19];
+    assign led_link_19 = link_status[19];
+    assign led_char_err_20 = led_char_err_gx[20];
+    assign led_link_20 = link_status[20];
+    assign led_char_err_21 = led_char_err_gx[21];
+    assign led_link_21 = link_status[21];
+    assign led_char_err_22 = led_char_err_gx[22];
+    assign led_link_22 = link_status[22];
+    assign led_char_err_23 = led_char_err_gx[23];
+    assign led_link_23 = link_status[23];
+
+
+    // Instantiation of the MAC_PCS core that connects to a PMA
+    // --------------------------------------------------------
+
+    altera_tse_top_multi_mac_pcs_gige U_MULTI_MAC_PCS(
+
+        .reset(MAC_PCS_reset),                    //INPUT  : ASYNCHRONOUS RESET - clk DOMAIN
+        .clk(clk),                                //INPUT  : CLOCK
+        .read(read),                              //INPUT  : REGISTER READ TRANSACTION
+        .ref_clk(ref_clk),                        //INPUT  : REFERENCE CLOCK 
+        .write(write),                            //INPUT  : REGISTER WRITE TRANSACTION
+        .address(address),                        //INPUT  : REGISTER ADDRESS
+        .writedata(writedata),                    //INPUT  : REGISTER WRITE DATA
+        .readdata(readdata),                      //OUTPUT : REGISTER READ DATA
+        .waitrequest(waitrequest),                //OUTPUT : TRANSACTION BUSY, ACTIVE LOW
+        .mdc(mdc),                                //OUTPUT : MDIO Clock 
+        .mdio_out(mdio_out),                      //OUTPUT : Outgoing MDIO DATA
+        .mdio_in(mdio_in),                        //INPUT  : Incoming MDIO DATA       
+        .mdio_oen(mdio_oen),                      //OUTPUT : MDIO Output Enable
+        .mac_rx_clk(mac_rx_clk),                  //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk(mac_tx_clk),                  //OUTPUT : Av-ST Tx Clock
+	    .rx_afull_clk(rx_afull_clk),              //INPUT  : AFull Status Clock
+	    .rx_afull_data(rx_afull_data),            //INPUT  : AFull Status Data
+	    .rx_afull_valid(rx_afull_valid),          //INPUT  : AFull Status Valid
+	    .rx_afull_channel(rx_afull_channel),      //INPUT  : AFull Status Channel
+
+         // Channel 0 
+            
+
+        .rx_carrierdetected_0(pcs_rx_carrierdetected[0]),
+        .rx_rmfifodatadeleted_0(pcs_rx_rmfifodatadeleted[0]),
+        .rx_rmfifodatainserted_0(pcs_rx_rmfifodatainserted[0]),
+
+        .rx_clkout_0(pcs_clk_c0),                 //INPUT  : Receive Clock
+        .tx_clkout_0(pcs_clk_c0),                 //INPUT  : Transmit Clock
+        .rx_kchar_0(pcs_rx_kchar_0),              //INPUT  : Special Character Indication
+        .tx_kchar_0(tx_kchar_0),                  //OUTPUT : Special Character Indication
+        .rx_frame_0(pcs_rx_frame_0),              //INPUT  : Frame
+        .tx_frame_0(tx_frame_0),                  //OUTPUT : Frame
+        .sd_loopback_0(sd_loopback_0),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_0(pcs_pwrdn_out_sig[0]),       //OUTPUT : Powerdown Enable
+        .led_col_0(led_col_0),                    //OUTPUT : Collision Indication
+        .led_an_0(led_an_0),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_0(led_char_err_gx[0]),      //INPUT  : Character error
+        .led_crs_0(led_crs_0),                    //OUTPUT : Carrier sense
+        .led_link_0(link_status[0]),              //INPUT  : Valid link    
+        .mac_rx_clk_0(mac_rx_clk_0),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_0(mac_tx_clk_0),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_0(data_rx_sop_0),            //OUTPUT : Start of Packet
+        .data_rx_eop_0(data_rx_eop_0),            //OUTPUT : End of Packet
+        .data_rx_data_0(data_rx_data_0),          //OUTPUT : Data from FIFO
+        .data_rx_error_0(data_rx_error_0),        //OUTPUT : Receive packet error
+        .data_rx_valid_0(data_rx_valid_0),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_0(data_rx_ready_0),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_0(pkt_class_data_0),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_0(pkt_class_valid_0),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_0(data_tx_error_0),        //INPUT  : Status
+        .data_tx_data_0(data_tx_data_0),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_0(data_tx_valid_0),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_0(data_tx_sop_0),            //INPUT  : Start of Packet
+        .data_tx_eop_0(data_tx_eop_0),            //INPUT  : End of Packet
+        .data_tx_ready_0(data_tx_ready_0),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_0(tx_ff_uflow_0),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_0(tx_crc_fwd_0),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_0(xoff_gen_0),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_0(xon_gen_0),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_0(magic_sleep_n_0),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_0(magic_wakeup_0),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 1 
+            
+
+        .rx_carrierdetected_1(pcs_rx_carrierdetected[1]),
+        .rx_rmfifodatadeleted_1(pcs_rx_rmfifodatadeleted[1]),
+        .rx_rmfifodatainserted_1(pcs_rx_rmfifodatainserted[1]),
+
+        .rx_clkout_1(pcs_clk_c1),                 //INPUT  : Receive Clock
+        .tx_clkout_1(pcs_clk_c1),                 //INPUT  : Transmit Clock
+        .rx_kchar_1(pcs_rx_kchar_1),              //INPUT  : Special Character Indication
+        .tx_kchar_1(tx_kchar_1),                  //OUTPUT : Special Character Indication
+        .rx_frame_1(pcs_rx_frame_1),              //INPUT  : Frame
+        .tx_frame_1(tx_frame_1),                  //OUTPUT : Frame
+        .sd_loopback_1(sd_loopback_1),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_1(pcs_pwrdn_out_sig[1]),       //OUTPUT : Powerdown Enable
+        .led_col_1(led_col_1),                    //OUTPUT : Collision Indication
+        .led_an_1(led_an_1),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_1(led_char_err_gx[1]),      //INPUT  : Character error
+        .led_crs_1(led_crs_1),                    //OUTPUT : Carrier sense
+        .led_link_1(link_status[1]),              //INPUT  : Valid link    
+        .mac_rx_clk_1(mac_rx_clk_1),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_1(mac_tx_clk_1),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_1(data_rx_sop_1),            //OUTPUT : Start of Packet
+        .data_rx_eop_1(data_rx_eop_1),            //OUTPUT : End of Packet
+        .data_rx_data_1(data_rx_data_1),          //OUTPUT : Data from FIFO
+        .data_rx_error_1(data_rx_error_1),        //OUTPUT : Receive packet error
+        .data_rx_valid_1(data_rx_valid_1),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_1(data_rx_ready_1),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_1(pkt_class_data_1),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_1(pkt_class_valid_1),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_1(data_tx_error_1),        //INPUT  : Status
+        .data_tx_data_1(data_tx_data_1),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_1(data_tx_valid_1),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_1(data_tx_sop_1),            //INPUT  : Start of Packet
+        .data_tx_eop_1(data_tx_eop_1),            //INPUT  : End of Packet
+        .data_tx_ready_1(data_tx_ready_1),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_1(tx_ff_uflow_1),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_1(tx_crc_fwd_1),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_1(xoff_gen_1),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_1(xon_gen_1),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_1(magic_sleep_n_1),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_1(magic_wakeup_1),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 2 
+            
+
+        .rx_carrierdetected_2(pcs_rx_carrierdetected[2]),
+        .rx_rmfifodatadeleted_2(pcs_rx_rmfifodatadeleted[2]),
+        .rx_rmfifodatainserted_2(pcs_rx_rmfifodatainserted[2]),
+
+        .rx_clkout_2(pcs_clk_c2),                 //INPUT  : Receive Clock
+        .tx_clkout_2(pcs_clk_c2),                 //INPUT  : Transmit Clock
+        .rx_kchar_2(pcs_rx_kchar_2),              //INPUT  : Special Character Indication
+        .tx_kchar_2(tx_kchar_2),                  //OUTPUT : Special Character Indication
+        .rx_frame_2(pcs_rx_frame_2),              //INPUT  : Frame
+        .tx_frame_2(tx_frame_2),                  //OUTPUT : Frame
+        .sd_loopback_2(sd_loopback_2),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_2(pcs_pwrdn_out_sig[2]),       //OUTPUT : Powerdown Enable
+        .led_col_2(led_col_2),                    //OUTPUT : Collision Indication
+        .led_an_2(led_an_2),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_2(led_char_err_gx[2]),      //INPUT  : Character error
+        .led_crs_2(led_crs_2),                    //OUTPUT : Carrier sense
+        .led_link_2(link_status[2]),              //INPUT  : Valid link    
+        .mac_rx_clk_2(mac_rx_clk_2),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_2(mac_tx_clk_2),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_2(data_rx_sop_2),            //OUTPUT : Start of Packet
+        .data_rx_eop_2(data_rx_eop_2),            //OUTPUT : End of Packet
+        .data_rx_data_2(data_rx_data_2),          //OUTPUT : Data from FIFO
+        .data_rx_error_2(data_rx_error_2),        //OUTPUT : Receive packet error
+        .data_rx_valid_2(data_rx_valid_2),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_2(data_rx_ready_2),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_2(pkt_class_data_2),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_2(pkt_class_valid_2),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_2(data_tx_error_2),        //INPUT  : Status
+        .data_tx_data_2(data_tx_data_2),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_2(data_tx_valid_2),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_2(data_tx_sop_2),            //INPUT  : Start of Packet
+        .data_tx_eop_2(data_tx_eop_2),            //INPUT  : End of Packet
+        .data_tx_ready_2(data_tx_ready_2),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_2(tx_ff_uflow_2),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_2(tx_crc_fwd_2),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_2(xoff_gen_2),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_2(xon_gen_2),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_2(magic_sleep_n_2),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_2(magic_wakeup_2),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 3 
+            
+
+        .rx_carrierdetected_3(pcs_rx_carrierdetected[3]),
+        .rx_rmfifodatadeleted_3(pcs_rx_rmfifodatadeleted[3]),
+        .rx_rmfifodatainserted_3(pcs_rx_rmfifodatainserted[3]),
+
+        .rx_clkout_3(pcs_clk_c3),                 //INPUT  : Receive Clock
+        .tx_clkout_3(pcs_clk_c3),                 //INPUT  : Transmit Clock
+        .rx_kchar_3(pcs_rx_kchar_3),              //INPUT  : Special Character Indication
+        .tx_kchar_3(tx_kchar_3),                  //OUTPUT : Special Character Indication
+        .rx_frame_3(pcs_rx_frame_3),              //INPUT  : Frame
+        .tx_frame_3(tx_frame_3),                  //OUTPUT : Frame
+        .sd_loopback_3(sd_loopback_3),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_3(pcs_pwrdn_out_sig[3]),       //OUTPUT : Powerdown Enable
+        .led_col_3(led_col_3),                    //OUTPUT : Collision Indication
+        .led_an_3(led_an_3),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_3(led_char_err_gx[3]),      //INPUT  : Character error
+        .led_crs_3(led_crs_3),                    //OUTPUT : Carrier sense
+        .led_link_3(link_status[3]),              //INPUT  : Valid link    
+        .mac_rx_clk_3(mac_rx_clk_3),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_3(mac_tx_clk_3),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_3(data_rx_sop_3),            //OUTPUT : Start of Packet
+        .data_rx_eop_3(data_rx_eop_3),            //OUTPUT : End of Packet
+        .data_rx_data_3(data_rx_data_3),          //OUTPUT : Data from FIFO
+        .data_rx_error_3(data_rx_error_3),        //OUTPUT : Receive packet error
+        .data_rx_valid_3(data_rx_valid_3),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_3(data_rx_ready_3),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_3(pkt_class_data_3),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_3(pkt_class_valid_3),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_3(data_tx_error_3),        //INPUT  : Status
+        .data_tx_data_3(data_tx_data_3),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_3(data_tx_valid_3),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_3(data_tx_sop_3),            //INPUT  : Start of Packet
+        .data_tx_eop_3(data_tx_eop_3),            //INPUT  : End of Packet
+        .data_tx_ready_3(data_tx_ready_3),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_3(tx_ff_uflow_3),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_3(tx_crc_fwd_3),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_3(xoff_gen_3),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_3(xon_gen_3),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_3(magic_sleep_n_3),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_3(magic_wakeup_3),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 4 
+            
+
+        .rx_carrierdetected_4(pcs_rx_carrierdetected[4]),
+        .rx_rmfifodatadeleted_4(pcs_rx_rmfifodatadeleted[4]),
+        .rx_rmfifodatainserted_4(pcs_rx_rmfifodatainserted[4]),
+
+        .rx_clkout_4(pcs_clk_c4),                 //INPUT  : Receive Clock
+        .tx_clkout_4(pcs_clk_c4),                 //INPUT  : Transmit Clock
+        .rx_kchar_4(pcs_rx_kchar_4),              //INPUT  : Special Character Indication
+        .tx_kchar_4(tx_kchar_4),                  //OUTPUT : Special Character Indication
+        .rx_frame_4(pcs_rx_frame_4),              //INPUT  : Frame
+        .tx_frame_4(tx_frame_4),                  //OUTPUT : Frame
+        .sd_loopback_4(sd_loopback_4),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_4(pcs_pwrdn_out_sig[4]),       //OUTPUT : Powerdown Enable
+        .led_col_4(led_col_4),                    //OUTPUT : Collision Indication
+        .led_an_4(led_an_4),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_4(led_char_err_gx[4]),      //INPUT  : Character error
+        .led_crs_4(led_crs_4),                    //OUTPUT : Carrier sense
+        .led_link_4(link_status[4]),              //INPUT  : Valid link    
+        .mac_rx_clk_4(mac_rx_clk_4),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_4(mac_tx_clk_4),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_4(data_rx_sop_4),            //OUTPUT : Start of Packet
+        .data_rx_eop_4(data_rx_eop_4),            //OUTPUT : End of Packet
+        .data_rx_data_4(data_rx_data_4),          //OUTPUT : Data from FIFO
+        .data_rx_error_4(data_rx_error_4),        //OUTPUT : Receive packet error
+        .data_rx_valid_4(data_rx_valid_4),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_4(data_rx_ready_4),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_4(pkt_class_data_4),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_4(pkt_class_valid_4),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_4(data_tx_error_4),        //INPUT  : Status
+        .data_tx_data_4(data_tx_data_4),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_4(data_tx_valid_4),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_4(data_tx_sop_4),            //INPUT  : Start of Packet
+        .data_tx_eop_4(data_tx_eop_4),            //INPUT  : End of Packet
+        .data_tx_ready_4(data_tx_ready_4),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_4(tx_ff_uflow_4),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_4(tx_crc_fwd_4),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_4(xoff_gen_4),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_4(xon_gen_4),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_4(magic_sleep_n_4),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_4(magic_wakeup_4),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 5 
+            
+
+        .rx_carrierdetected_5(pcs_rx_carrierdetected[5]),
+        .rx_rmfifodatadeleted_5(pcs_rx_rmfifodatadeleted[5]),
+        .rx_rmfifodatainserted_5(pcs_rx_rmfifodatainserted[5]),
+
+        .rx_clkout_5(pcs_clk_c5),                 //INPUT  : Receive Clock
+        .tx_clkout_5(pcs_clk_c5),                 //INPUT  : Transmit Clock
+        .rx_kchar_5(pcs_rx_kchar_5),              //INPUT  : Special Character Indication
+        .tx_kchar_5(tx_kchar_5),                  //OUTPUT : Special Character Indication
+        .rx_frame_5(pcs_rx_frame_5),              //INPUT  : Frame
+        .tx_frame_5(tx_frame_5),                  //OUTPUT : Frame
+        .sd_loopback_5(sd_loopback_5),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_5(pcs_pwrdn_out_sig[5]),       //OUTPUT : Powerdown Enable
+        .led_col_5(led_col_5),                    //OUTPUT : Collision Indication
+        .led_an_5(led_an_5),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_5(led_char_err_gx[5]),      //INPUT  : Character error
+        .led_crs_5(led_crs_5),                    //OUTPUT : Carrier sense
+        .led_link_5(link_status[5]),              //INPUT  : Valid link    
+        .mac_rx_clk_5(mac_rx_clk_5),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_5(mac_tx_clk_5),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_5(data_rx_sop_5),            //OUTPUT : Start of Packet
+        .data_rx_eop_5(data_rx_eop_5),            //OUTPUT : End of Packet
+        .data_rx_data_5(data_rx_data_5),          //OUTPUT : Data from FIFO
+        .data_rx_error_5(data_rx_error_5),        //OUTPUT : Receive packet error
+        .data_rx_valid_5(data_rx_valid_5),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_5(data_rx_ready_5),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_5(pkt_class_data_5),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_5(pkt_class_valid_5),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_5(data_tx_error_5),        //INPUT  : Status
+        .data_tx_data_5(data_tx_data_5),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_5(data_tx_valid_5),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_5(data_tx_sop_5),            //INPUT  : Start of Packet
+        .data_tx_eop_5(data_tx_eop_5),            //INPUT  : End of Packet
+        .data_tx_ready_5(data_tx_ready_5),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_5(tx_ff_uflow_5),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_5(tx_crc_fwd_5),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_5(xoff_gen_5),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_5(xon_gen_5),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_5(magic_sleep_n_5),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_5(magic_wakeup_5),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 6 
+            
+
+        .rx_carrierdetected_6(pcs_rx_carrierdetected[6]),
+        .rx_rmfifodatadeleted_6(pcs_rx_rmfifodatadeleted[6]),
+        .rx_rmfifodatainserted_6(pcs_rx_rmfifodatainserted[6]),
+
+        .rx_clkout_6(pcs_clk_c6),                 //INPUT  : Receive Clock
+        .tx_clkout_6(pcs_clk_c6),                 //INPUT  : Transmit Clock
+        .rx_kchar_6(pcs_rx_kchar_6),              //INPUT  : Special Character Indication
+        .tx_kchar_6(tx_kchar_6),                  //OUTPUT : Special Character Indication
+        .rx_frame_6(pcs_rx_frame_6),              //INPUT  : Frame
+        .tx_frame_6(tx_frame_6),                  //OUTPUT : Frame
+        .sd_loopback_6(sd_loopback_6),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_6(pcs_pwrdn_out_sig[6]),       //OUTPUT : Powerdown Enable
+        .led_col_6(led_col_6),                    //OUTPUT : Collision Indication
+        .led_an_6(led_an_6),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_6(led_char_err_gx[6]),      //INPUT  : Character error
+        .led_crs_6(led_crs_6),                    //OUTPUT : Carrier sense
+        .led_link_6(link_status[6]),              //INPUT  : Valid link    
+        .mac_rx_clk_6(mac_rx_clk_6),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_6(mac_tx_clk_6),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_6(data_rx_sop_6),            //OUTPUT : Start of Packet
+        .data_rx_eop_6(data_rx_eop_6),            //OUTPUT : End of Packet
+        .data_rx_data_6(data_rx_data_6),          //OUTPUT : Data from FIFO
+        .data_rx_error_6(data_rx_error_6),        //OUTPUT : Receive packet error
+        .data_rx_valid_6(data_rx_valid_6),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_6(data_rx_ready_6),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_6(pkt_class_data_6),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_6(pkt_class_valid_6),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_6(data_tx_error_6),        //INPUT  : Status
+        .data_tx_data_6(data_tx_data_6),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_6(data_tx_valid_6),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_6(data_tx_sop_6),            //INPUT  : Start of Packet
+        .data_tx_eop_6(data_tx_eop_6),            //INPUT  : End of Packet
+        .data_tx_ready_6(data_tx_ready_6),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_6(tx_ff_uflow_6),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_6(tx_crc_fwd_6),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_6(xoff_gen_6),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_6(xon_gen_6),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_6(magic_sleep_n_6),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_6(magic_wakeup_6),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 7 
+            
+
+        .rx_carrierdetected_7(pcs_rx_carrierdetected[7]),
+        .rx_rmfifodatadeleted_7(pcs_rx_rmfifodatadeleted[7]),
+        .rx_rmfifodatainserted_7(pcs_rx_rmfifodatainserted[7]),
+
+        .rx_clkout_7(pcs_clk_c7),                 //INPUT  : Receive Clock
+        .tx_clkout_7(pcs_clk_c7),                 //INPUT  : Transmit Clock
+        .rx_kchar_7(pcs_rx_kchar_7),              //INPUT  : Special Character Indication
+        .tx_kchar_7(tx_kchar_7),                  //OUTPUT : Special Character Indication
+        .rx_frame_7(pcs_rx_frame_7),              //INPUT  : Frame
+        .tx_frame_7(tx_frame_7),                  //OUTPUT : Frame
+        .sd_loopback_7(sd_loopback_7),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_7(pcs_pwrdn_out_sig[7]),       //OUTPUT : Powerdown Enable
+        .led_col_7(led_col_7),                    //OUTPUT : Collision Indication
+        .led_an_7(led_an_7),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_7(led_char_err_gx[7]),      //INPUT  : Character error
+        .led_crs_7(led_crs_7),                    //OUTPUT : Carrier sense
+        .led_link_7(link_status[7]),              //INPUT  : Valid link    
+        .mac_rx_clk_7(mac_rx_clk_7),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_7(mac_tx_clk_7),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_7(data_rx_sop_7),            //OUTPUT : Start of Packet
+        .data_rx_eop_7(data_rx_eop_7),            //OUTPUT : End of Packet
+        .data_rx_data_7(data_rx_data_7),          //OUTPUT : Data from FIFO
+        .data_rx_error_7(data_rx_error_7),        //OUTPUT : Receive packet error
+        .data_rx_valid_7(data_rx_valid_7),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_7(data_rx_ready_7),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_7(pkt_class_data_7),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_7(pkt_class_valid_7),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_7(data_tx_error_7),        //INPUT  : Status
+        .data_tx_data_7(data_tx_data_7),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_7(data_tx_valid_7),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_7(data_tx_sop_7),            //INPUT  : Start of Packet
+        .data_tx_eop_7(data_tx_eop_7),            //INPUT  : End of Packet
+        .data_tx_ready_7(data_tx_ready_7),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_7(tx_ff_uflow_7),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_7(tx_crc_fwd_7),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_7(xoff_gen_7),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_7(xon_gen_7),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_7(magic_sleep_n_7),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_7(magic_wakeup_7),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 8 
+            
+
+        .rx_carrierdetected_8(pcs_rx_carrierdetected[8]),
+        .rx_rmfifodatadeleted_8(pcs_rx_rmfifodatadeleted[8]),
+        .rx_rmfifodatainserted_8(pcs_rx_rmfifodatainserted[8]),
+
+        .rx_clkout_8(pcs_clk_c8),                 //INPUT  : Receive Clock
+        .tx_clkout_8(pcs_clk_c8),                 //INPUT  : Transmit Clock
+        .rx_kchar_8(pcs_rx_kchar_8),              //INPUT  : Special Character Indication
+        .tx_kchar_8(tx_kchar_8),                  //OUTPUT : Special Character Indication
+        .rx_frame_8(pcs_rx_frame_8),              //INPUT  : Frame
+        .tx_frame_8(tx_frame_8),                  //OUTPUT : Frame
+        .sd_loopback_8(sd_loopback_8),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_8(pcs_pwrdn_out_sig[8]),       //OUTPUT : Powerdown Enable
+        .led_col_8(led_col_8),                    //OUTPUT : Collision Indication
+        .led_an_8(led_an_8),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_8(led_char_err_gx[8]),      //INPUT  : Character error
+        .led_crs_8(led_crs_8),                    //OUTPUT : Carrier sense
+        .led_link_8(link_status[8]),              //INPUT  : Valid link    
+        .mac_rx_clk_8(mac_rx_clk_8),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_8(mac_tx_clk_8),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_8(data_rx_sop_8),            //OUTPUT : Start of Packet
+        .data_rx_eop_8(data_rx_eop_8),            //OUTPUT : End of Packet
+        .data_rx_data_8(data_rx_data_8),          //OUTPUT : Data from FIFO
+        .data_rx_error_8(data_rx_error_8),        //OUTPUT : Receive packet error
+        .data_rx_valid_8(data_rx_valid_8),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_8(data_rx_ready_8),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_8(pkt_class_data_8),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_8(pkt_class_valid_8),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_8(data_tx_error_8),        //INPUT  : Status
+        .data_tx_data_8(data_tx_data_8),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_8(data_tx_valid_8),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_8(data_tx_sop_8),            //INPUT  : Start of Packet
+        .data_tx_eop_8(data_tx_eop_8),            //INPUT  : End of Packet
+        .data_tx_ready_8(data_tx_ready_8),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_8(tx_ff_uflow_8),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_8(tx_crc_fwd_8),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_8(xoff_gen_8),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_8(xon_gen_8),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_8(magic_sleep_n_8),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_8(magic_wakeup_8),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 9 
+            
+
+        .rx_carrierdetected_9(pcs_rx_carrierdetected[9]),
+        .rx_rmfifodatadeleted_9(pcs_rx_rmfifodatadeleted[9]),
+        .rx_rmfifodatainserted_9(pcs_rx_rmfifodatainserted[9]),
+
+        .rx_clkout_9(pcs_clk_c9),                 //INPUT  : Receive Clock
+        .tx_clkout_9(pcs_clk_c9),                 //INPUT  : Transmit Clock
+        .rx_kchar_9(pcs_rx_kchar_9),              //INPUT  : Special Character Indication
+        .tx_kchar_9(tx_kchar_9),                  //OUTPUT : Special Character Indication
+        .rx_frame_9(pcs_rx_frame_9),              //INPUT  : Frame
+        .tx_frame_9(tx_frame_9),                  //OUTPUT : Frame
+        .sd_loopback_9(sd_loopback_9),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_9(pcs_pwrdn_out_sig[9]),       //OUTPUT : Powerdown Enable
+        .led_col_9(led_col_9),                    //OUTPUT : Collision Indication
+        .led_an_9(led_an_9),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_9(led_char_err_gx[9]),      //INPUT  : Character error
+        .led_crs_9(led_crs_9),                    //OUTPUT : Carrier sense
+        .led_link_9(link_status[9]),              //INPUT  : Valid link    
+        .mac_rx_clk_9(mac_rx_clk_9),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_9(mac_tx_clk_9),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_9(data_rx_sop_9),            //OUTPUT : Start of Packet
+        .data_rx_eop_9(data_rx_eop_9),            //OUTPUT : End of Packet
+        .data_rx_data_9(data_rx_data_9),          //OUTPUT : Data from FIFO
+        .data_rx_error_9(data_rx_error_9),        //OUTPUT : Receive packet error
+        .data_rx_valid_9(data_rx_valid_9),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_9(data_rx_ready_9),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_9(pkt_class_data_9),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_9(pkt_class_valid_9),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_9(data_tx_error_9),        //INPUT  : Status
+        .data_tx_data_9(data_tx_data_9),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_9(data_tx_valid_9),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_9(data_tx_sop_9),            //INPUT  : Start of Packet
+        .data_tx_eop_9(data_tx_eop_9),            //INPUT  : End of Packet
+        .data_tx_ready_9(data_tx_ready_9),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_9(tx_ff_uflow_9),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_9(tx_crc_fwd_9),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_9(xoff_gen_9),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_9(xon_gen_9),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_9(magic_sleep_n_9),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_9(magic_wakeup_9),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 10 
+            
+
+        .rx_carrierdetected_10(pcs_rx_carrierdetected[10]),
+        .rx_rmfifodatadeleted_10(pcs_rx_rmfifodatadeleted[10]),
+        .rx_rmfifodatainserted_10(pcs_rx_rmfifodatainserted[10]),
+
+        .rx_clkout_10(pcs_clk_c10),                 //INPUT  : Receive Clock
+        .tx_clkout_10(pcs_clk_c10),                 //INPUT  : Transmit Clock
+        .rx_kchar_10(pcs_rx_kchar_10),              //INPUT  : Special Character Indication
+        .tx_kchar_10(tx_kchar_10),                  //OUTPUT : Special Character Indication
+        .rx_frame_10(pcs_rx_frame_10),              //INPUT  : Frame
+        .tx_frame_10(tx_frame_10),                  //OUTPUT : Frame
+        .sd_loopback_10(sd_loopback_10),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_10(pcs_pwrdn_out_sig[10]),       //OUTPUT : Powerdown Enable
+        .led_col_10(led_col_10),                    //OUTPUT : Collision Indication
+        .led_an_10(led_an_10),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_10(led_char_err_gx[10]),      //INPUT  : Character error
+        .led_crs_10(led_crs_10),                    //OUTPUT : Carrier sense
+        .led_link_10(link_status[10]),              //INPUT  : Valid link    
+        .mac_rx_clk_10(mac_rx_clk_10),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_10(mac_tx_clk_10),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_10(data_rx_sop_10),            //OUTPUT : Start of Packet
+        .data_rx_eop_10(data_rx_eop_10),            //OUTPUT : End of Packet
+        .data_rx_data_10(data_rx_data_10),          //OUTPUT : Data from FIFO
+        .data_rx_error_10(data_rx_error_10),        //OUTPUT : Receive packet error
+        .data_rx_valid_10(data_rx_valid_10),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_10(data_rx_ready_10),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_10(pkt_class_data_10),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_10(pkt_class_valid_10),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_10(data_tx_error_10),        //INPUT  : Status
+        .data_tx_data_10(data_tx_data_10),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_10(data_tx_valid_10),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_10(data_tx_sop_10),            //INPUT  : Start of Packet
+        .data_tx_eop_10(data_tx_eop_10),            //INPUT  : End of Packet
+        .data_tx_ready_10(data_tx_ready_10),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_10(tx_ff_uflow_10),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_10(tx_crc_fwd_10),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_10(xoff_gen_10),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_10(xon_gen_10),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_10(magic_sleep_n_10),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_10(magic_wakeup_10),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 11 
+            
+
+        .rx_carrierdetected_11(pcs_rx_carrierdetected[11]),
+        .rx_rmfifodatadeleted_11(pcs_rx_rmfifodatadeleted[11]),
+        .rx_rmfifodatainserted_11(pcs_rx_rmfifodatainserted[11]),
+
+        .rx_clkout_11(pcs_clk_c11),                 //INPUT  : Receive Clock
+        .tx_clkout_11(pcs_clk_c11),                 //INPUT  : Transmit Clock
+        .rx_kchar_11(pcs_rx_kchar_11),              //INPUT  : Special Character Indication
+        .tx_kchar_11(tx_kchar_11),                  //OUTPUT : Special Character Indication
+        .rx_frame_11(pcs_rx_frame_11),              //INPUT  : Frame
+        .tx_frame_11(tx_frame_11),                  //OUTPUT : Frame
+        .sd_loopback_11(sd_loopback_11),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_11(pcs_pwrdn_out_sig[11]),       //OUTPUT : Powerdown Enable
+        .led_col_11(led_col_11),                    //OUTPUT : Collision Indication
+        .led_an_11(led_an_11),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_11(led_char_err_gx[11]),      //INPUT  : Character error
+        .led_crs_11(led_crs_11),                    //OUTPUT : Carrier sense
+        .led_link_11(link_status[11]),              //INPUT  : Valid link    
+        .mac_rx_clk_11(mac_rx_clk_11),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_11(mac_tx_clk_11),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_11(data_rx_sop_11),            //OUTPUT : Start of Packet
+        .data_rx_eop_11(data_rx_eop_11),            //OUTPUT : End of Packet
+        .data_rx_data_11(data_rx_data_11),          //OUTPUT : Data from FIFO
+        .data_rx_error_11(data_rx_error_11),        //OUTPUT : Receive packet error
+        .data_rx_valid_11(data_rx_valid_11),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_11(data_rx_ready_11),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_11(pkt_class_data_11),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_11(pkt_class_valid_11),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_11(data_tx_error_11),        //INPUT  : Status
+        .data_tx_data_11(data_tx_data_11),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_11(data_tx_valid_11),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_11(data_tx_sop_11),            //INPUT  : Start of Packet
+        .data_tx_eop_11(data_tx_eop_11),            //INPUT  : End of Packet
+        .data_tx_ready_11(data_tx_ready_11),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_11(tx_ff_uflow_11),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_11(tx_crc_fwd_11),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_11(xoff_gen_11),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_11(xon_gen_11),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_11(magic_sleep_n_11),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_11(magic_wakeup_11),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 12 
+            
+
+        .rx_carrierdetected_12(pcs_rx_carrierdetected[12]),
+        .rx_rmfifodatadeleted_12(pcs_rx_rmfifodatadeleted[12]),
+        .rx_rmfifodatainserted_12(pcs_rx_rmfifodatainserted[12]),
+
+        .rx_clkout_12(pcs_clk_c12),                 //INPUT  : Receive Clock
+        .tx_clkout_12(pcs_clk_c12),                 //INPUT  : Transmit Clock
+        .rx_kchar_12(pcs_rx_kchar_12),              //INPUT  : Special Character Indication
+        .tx_kchar_12(tx_kchar_12),                  //OUTPUT : Special Character Indication
+        .rx_frame_12(pcs_rx_frame_12),              //INPUT  : Frame
+        .tx_frame_12(tx_frame_12),                  //OUTPUT : Frame
+        .sd_loopback_12(sd_loopback_12),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_12(pcs_pwrdn_out_sig[12]),       //OUTPUT : Powerdown Enable
+        .led_col_12(led_col_12),                    //OUTPUT : Collision Indication
+        .led_an_12(led_an_12),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_12(led_char_err_gx[12]),      //INPUT  : Character error
+        .led_crs_12(led_crs_12),                    //OUTPUT : Carrier sense
+        .led_link_12(link_status[12]),              //INPUT  : Valid link    
+        .mac_rx_clk_12(mac_rx_clk_12),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_12(mac_tx_clk_12),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_12(data_rx_sop_12),            //OUTPUT : Start of Packet
+        .data_rx_eop_12(data_rx_eop_12),            //OUTPUT : End of Packet
+        .data_rx_data_12(data_rx_data_12),          //OUTPUT : Data from FIFO
+        .data_rx_error_12(data_rx_error_12),        //OUTPUT : Receive packet error
+        .data_rx_valid_12(data_rx_valid_12),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_12(data_rx_ready_12),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_12(pkt_class_data_12),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_12(pkt_class_valid_12),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_12(data_tx_error_12),        //INPUT  : Status
+        .data_tx_data_12(data_tx_data_12),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_12(data_tx_valid_12),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_12(data_tx_sop_12),            //INPUT  : Start of Packet
+        .data_tx_eop_12(data_tx_eop_12),            //INPUT  : End of Packet
+        .data_tx_ready_12(data_tx_ready_12),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_12(tx_ff_uflow_12),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_12(tx_crc_fwd_12),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_12(xoff_gen_12),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_12(xon_gen_12),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_12(magic_sleep_n_12),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_12(magic_wakeup_12),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 13 
+            
+
+        .rx_carrierdetected_13(pcs_rx_carrierdetected[13]),
+        .rx_rmfifodatadeleted_13(pcs_rx_rmfifodatadeleted[13]),
+        .rx_rmfifodatainserted_13(pcs_rx_rmfifodatainserted[13]),
+
+        .rx_clkout_13(pcs_clk_c13),                 //INPUT  : Receive Clock
+        .tx_clkout_13(pcs_clk_c13),                 //INPUT  : Transmit Clock
+        .rx_kchar_13(pcs_rx_kchar_13),              //INPUT  : Special Character Indication
+        .tx_kchar_13(tx_kchar_13),                  //OUTPUT : Special Character Indication
+        .rx_frame_13(pcs_rx_frame_13),              //INPUT  : Frame
+        .tx_frame_13(tx_frame_13),                  //OUTPUT : Frame
+        .sd_loopback_13(sd_loopback_13),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_13(pcs_pwrdn_out_sig[13]),       //OUTPUT : Powerdown Enable
+        .led_col_13(led_col_13),                    //OUTPUT : Collision Indication
+        .led_an_13(led_an_13),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_13(led_char_err_gx[13]),      //INPUT  : Character error
+        .led_crs_13(led_crs_13),                    //OUTPUT : Carrier sense
+        .led_link_13(link_status[13]),              //INPUT  : Valid link    
+        .mac_rx_clk_13(mac_rx_clk_13),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_13(mac_tx_clk_13),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_13(data_rx_sop_13),            //OUTPUT : Start of Packet
+        .data_rx_eop_13(data_rx_eop_13),            //OUTPUT : End of Packet
+        .data_rx_data_13(data_rx_data_13),          //OUTPUT : Data from FIFO
+        .data_rx_error_13(data_rx_error_13),        //OUTPUT : Receive packet error
+        .data_rx_valid_13(data_rx_valid_13),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_13(data_rx_ready_13),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_13(pkt_class_data_13),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_13(pkt_class_valid_13),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_13(data_tx_error_13),        //INPUT  : Status
+        .data_tx_data_13(data_tx_data_13),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_13(data_tx_valid_13),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_13(data_tx_sop_13),            //INPUT  : Start of Packet
+        .data_tx_eop_13(data_tx_eop_13),            //INPUT  : End of Packet
+        .data_tx_ready_13(data_tx_ready_13),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_13(tx_ff_uflow_13),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_13(tx_crc_fwd_13),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_13(xoff_gen_13),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_13(xon_gen_13),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_13(magic_sleep_n_13),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_13(magic_wakeup_13),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 14 
+            
+
+        .rx_carrierdetected_14(pcs_rx_carrierdetected[14]),
+        .rx_rmfifodatadeleted_14(pcs_rx_rmfifodatadeleted[14]),
+        .rx_rmfifodatainserted_14(pcs_rx_rmfifodatainserted[14]),
+
+        .rx_clkout_14(pcs_clk_c14),                 //INPUT  : Receive Clock
+        .tx_clkout_14(pcs_clk_c14),                 //INPUT  : Transmit Clock
+        .rx_kchar_14(pcs_rx_kchar_14),              //INPUT  : Special Character Indication
+        .tx_kchar_14(tx_kchar_14),                  //OUTPUT : Special Character Indication
+        .rx_frame_14(pcs_rx_frame_14),              //INPUT  : Frame
+        .tx_frame_14(tx_frame_14),                  //OUTPUT : Frame
+        .sd_loopback_14(sd_loopback_14),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_14(pcs_pwrdn_out_sig[14]),       //OUTPUT : Powerdown Enable
+        .led_col_14(led_col_14),                    //OUTPUT : Collision Indication
+        .led_an_14(led_an_14),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_14(led_char_err_gx[14]),      //INPUT  : Character error
+        .led_crs_14(led_crs_14),                    //OUTPUT : Carrier sense
+        .led_link_14(link_status[14]),              //INPUT  : Valid link    
+        .mac_rx_clk_14(mac_rx_clk_14),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_14(mac_tx_clk_14),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_14(data_rx_sop_14),            //OUTPUT : Start of Packet
+        .data_rx_eop_14(data_rx_eop_14),            //OUTPUT : End of Packet
+        .data_rx_data_14(data_rx_data_14),          //OUTPUT : Data from FIFO
+        .data_rx_error_14(data_rx_error_14),        //OUTPUT : Receive packet error
+        .data_rx_valid_14(data_rx_valid_14),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_14(data_rx_ready_14),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_14(pkt_class_data_14),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_14(pkt_class_valid_14),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_14(data_tx_error_14),        //INPUT  : Status
+        .data_tx_data_14(data_tx_data_14),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_14(data_tx_valid_14),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_14(data_tx_sop_14),            //INPUT  : Start of Packet
+        .data_tx_eop_14(data_tx_eop_14),            //INPUT  : End of Packet
+        .data_tx_ready_14(data_tx_ready_14),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_14(tx_ff_uflow_14),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_14(tx_crc_fwd_14),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_14(xoff_gen_14),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_14(xon_gen_14),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_14(magic_sleep_n_14),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_14(magic_wakeup_14),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 15 
+            
+
+        .rx_carrierdetected_15(pcs_rx_carrierdetected[15]),
+        .rx_rmfifodatadeleted_15(pcs_rx_rmfifodatadeleted[15]),
+        .rx_rmfifodatainserted_15(pcs_rx_rmfifodatainserted[15]),
+
+        .rx_clkout_15(pcs_clk_c15),                 //INPUT  : Receive Clock
+        .tx_clkout_15(pcs_clk_c15),                 //INPUT  : Transmit Clock
+        .rx_kchar_15(pcs_rx_kchar_15),              //INPUT  : Special Character Indication
+        .tx_kchar_15(tx_kchar_15),                  //OUTPUT : Special Character Indication
+        .rx_frame_15(pcs_rx_frame_15),              //INPUT  : Frame
+        .tx_frame_15(tx_frame_15),                  //OUTPUT : Frame
+        .sd_loopback_15(sd_loopback_15),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_15(pcs_pwrdn_out_sig[15]),       //OUTPUT : Powerdown Enable
+        .led_col_15(led_col_15),                    //OUTPUT : Collision Indication
+        .led_an_15(led_an_15),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_15(led_char_err_gx[15]),      //INPUT  : Character error
+        .led_crs_15(led_crs_15),                    //OUTPUT : Carrier sense
+        .led_link_15(link_status[15]),              //INPUT  : Valid link    
+        .mac_rx_clk_15(mac_rx_clk_15),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_15(mac_tx_clk_15),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_15(data_rx_sop_15),            //OUTPUT : Start of Packet
+        .data_rx_eop_15(data_rx_eop_15),            //OUTPUT : End of Packet
+        .data_rx_data_15(data_rx_data_15),          //OUTPUT : Data from FIFO
+        .data_rx_error_15(data_rx_error_15),        //OUTPUT : Receive packet error
+        .data_rx_valid_15(data_rx_valid_15),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_15(data_rx_ready_15),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_15(pkt_class_data_15),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_15(pkt_class_valid_15),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_15(data_tx_error_15),        //INPUT  : Status
+        .data_tx_data_15(data_tx_data_15),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_15(data_tx_valid_15),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_15(data_tx_sop_15),            //INPUT  : Start of Packet
+        .data_tx_eop_15(data_tx_eop_15),            //INPUT  : End of Packet
+        .data_tx_ready_15(data_tx_ready_15),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_15(tx_ff_uflow_15),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_15(tx_crc_fwd_15),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_15(xoff_gen_15),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_15(xon_gen_15),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_15(magic_sleep_n_15),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_15(magic_wakeup_15),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 16 
+            
+
+        .rx_carrierdetected_16(pcs_rx_carrierdetected[16]),
+        .rx_rmfifodatadeleted_16(pcs_rx_rmfifodatadeleted[16]),
+        .rx_rmfifodatainserted_16(pcs_rx_rmfifodatainserted[16]),
+
+        .rx_clkout_16(pcs_clk_c16),                 //INPUT  : Receive Clock
+        .tx_clkout_16(pcs_clk_c16),                 //INPUT  : Transmit Clock
+        .rx_kchar_16(pcs_rx_kchar_16),              //INPUT  : Special Character Indication
+        .tx_kchar_16(tx_kchar_16),                  //OUTPUT : Special Character Indication
+        .rx_frame_16(pcs_rx_frame_16),              //INPUT  : Frame
+        .tx_frame_16(tx_frame_16),                  //OUTPUT : Frame
+        .sd_loopback_16(sd_loopback_16),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_16(pcs_pwrdn_out_sig[16]),       //OUTPUT : Powerdown Enable
+        .led_col_16(led_col_16),                    //OUTPUT : Collision Indication
+        .led_an_16(led_an_16),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_16(led_char_err_gx[16]),      //INPUT  : Character error
+        .led_crs_16(led_crs_16),                    //OUTPUT : Carrier sense
+        .led_link_16(link_status[16]),              //INPUT  : Valid link    
+        .mac_rx_clk_16(mac_rx_clk_16),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_16(mac_tx_clk_16),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_16(data_rx_sop_16),            //OUTPUT : Start of Packet
+        .data_rx_eop_16(data_rx_eop_16),            //OUTPUT : End of Packet
+        .data_rx_data_16(data_rx_data_16),          //OUTPUT : Data from FIFO
+        .data_rx_error_16(data_rx_error_16),        //OUTPUT : Receive packet error
+        .data_rx_valid_16(data_rx_valid_16),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_16(data_rx_ready_16),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_16(pkt_class_data_16),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_16(pkt_class_valid_16),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_16(data_tx_error_16),        //INPUT  : Status
+        .data_tx_data_16(data_tx_data_16),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_16(data_tx_valid_16),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_16(data_tx_sop_16),            //INPUT  : Start of Packet
+        .data_tx_eop_16(data_tx_eop_16),            //INPUT  : End of Packet
+        .data_tx_ready_16(data_tx_ready_16),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_16(tx_ff_uflow_16),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_16(tx_crc_fwd_16),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_16(xoff_gen_16),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_16(xon_gen_16),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_16(magic_sleep_n_16),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_16(magic_wakeup_16),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 17 
+            
+
+        .rx_carrierdetected_17(pcs_rx_carrierdetected[17]),
+        .rx_rmfifodatadeleted_17(pcs_rx_rmfifodatadeleted[17]),
+        .rx_rmfifodatainserted_17(pcs_rx_rmfifodatainserted[17]),
+
+        .rx_clkout_17(pcs_clk_c17),                 //INPUT  : Receive Clock
+        .tx_clkout_17(pcs_clk_c17),                 //INPUT  : Transmit Clock
+        .rx_kchar_17(pcs_rx_kchar_17),              //INPUT  : Special Character Indication
+        .tx_kchar_17(tx_kchar_17),                  //OUTPUT : Special Character Indication
+        .rx_frame_17(pcs_rx_frame_17),              //INPUT  : Frame
+        .tx_frame_17(tx_frame_17),                  //OUTPUT : Frame
+        .sd_loopback_17(sd_loopback_17),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_17(pcs_pwrdn_out_sig[17]),       //OUTPUT : Powerdown Enable
+        .led_col_17(led_col_17),                    //OUTPUT : Collision Indication
+        .led_an_17(led_an_17),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_17(led_char_err_gx[17]),      //INPUT  : Character error
+        .led_crs_17(led_crs_17),                    //OUTPUT : Carrier sense
+        .led_link_17(link_status[17]),              //INPUT  : Valid link    
+        .mac_rx_clk_17(mac_rx_clk_17),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_17(mac_tx_clk_17),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_17(data_rx_sop_17),            //OUTPUT : Start of Packet
+        .data_rx_eop_17(data_rx_eop_17),            //OUTPUT : End of Packet
+        .data_rx_data_17(data_rx_data_17),          //OUTPUT : Data from FIFO
+        .data_rx_error_17(data_rx_error_17),        //OUTPUT : Receive packet error
+        .data_rx_valid_17(data_rx_valid_17),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_17(data_rx_ready_17),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_17(pkt_class_data_17),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_17(pkt_class_valid_17),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_17(data_tx_error_17),        //INPUT  : Status
+        .data_tx_data_17(data_tx_data_17),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_17(data_tx_valid_17),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_17(data_tx_sop_17),            //INPUT  : Start of Packet
+        .data_tx_eop_17(data_tx_eop_17),            //INPUT  : End of Packet
+        .data_tx_ready_17(data_tx_ready_17),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_17(tx_ff_uflow_17),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_17(tx_crc_fwd_17),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_17(xoff_gen_17),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_17(xon_gen_17),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_17(magic_sleep_n_17),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_17(magic_wakeup_17),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 18 
+            
+
+        .rx_carrierdetected_18(pcs_rx_carrierdetected[18]),
+        .rx_rmfifodatadeleted_18(pcs_rx_rmfifodatadeleted[18]),
+        .rx_rmfifodatainserted_18(pcs_rx_rmfifodatainserted[18]),
+
+        .rx_clkout_18(pcs_clk_c18),                 //INPUT  : Receive Clock
+        .tx_clkout_18(pcs_clk_c18),                 //INPUT  : Transmit Clock
+        .rx_kchar_18(pcs_rx_kchar_18),              //INPUT  : Special Character Indication
+        .tx_kchar_18(tx_kchar_18),                  //OUTPUT : Special Character Indication
+        .rx_frame_18(pcs_rx_frame_18),              //INPUT  : Frame
+        .tx_frame_18(tx_frame_18),                  //OUTPUT : Frame
+        .sd_loopback_18(sd_loopback_18),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_18(pcs_pwrdn_out_sig[18]),       //OUTPUT : Powerdown Enable
+        .led_col_18(led_col_18),                    //OUTPUT : Collision Indication
+        .led_an_18(led_an_18),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_18(led_char_err_gx[18]),      //INPUT  : Character error
+        .led_crs_18(led_crs_18),                    //OUTPUT : Carrier sense
+        .led_link_18(link_status[18]),              //INPUT  : Valid link    
+        .mac_rx_clk_18(mac_rx_clk_18),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_18(mac_tx_clk_18),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_18(data_rx_sop_18),            //OUTPUT : Start of Packet
+        .data_rx_eop_18(data_rx_eop_18),            //OUTPUT : End of Packet
+        .data_rx_data_18(data_rx_data_18),          //OUTPUT : Data from FIFO
+        .data_rx_error_18(data_rx_error_18),        //OUTPUT : Receive packet error
+        .data_rx_valid_18(data_rx_valid_18),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_18(data_rx_ready_18),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_18(pkt_class_data_18),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_18(pkt_class_valid_18),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_18(data_tx_error_18),        //INPUT  : Status
+        .data_tx_data_18(data_tx_data_18),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_18(data_tx_valid_18),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_18(data_tx_sop_18),            //INPUT  : Start of Packet
+        .data_tx_eop_18(data_tx_eop_18),            //INPUT  : End of Packet
+        .data_tx_ready_18(data_tx_ready_18),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_18(tx_ff_uflow_18),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_18(tx_crc_fwd_18),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_18(xoff_gen_18),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_18(xon_gen_18),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_18(magic_sleep_n_18),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_18(magic_wakeup_18),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 19 
+            
+
+        .rx_carrierdetected_19(pcs_rx_carrierdetected[19]),
+        .rx_rmfifodatadeleted_19(pcs_rx_rmfifodatadeleted[19]),
+        .rx_rmfifodatainserted_19(pcs_rx_rmfifodatainserted[19]),
+
+        .rx_clkout_19(pcs_clk_c19),                 //INPUT  : Receive Clock
+        .tx_clkout_19(pcs_clk_c19),                 //INPUT  : Transmit Clock
+        .rx_kchar_19(pcs_rx_kchar_19),              //INPUT  : Special Character Indication
+        .tx_kchar_19(tx_kchar_19),                  //OUTPUT : Special Character Indication
+        .rx_frame_19(pcs_rx_frame_19),              //INPUT  : Frame
+        .tx_frame_19(tx_frame_19),                  //OUTPUT : Frame
+        .sd_loopback_19(sd_loopback_19),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_19(pcs_pwrdn_out_sig[19]),       //OUTPUT : Powerdown Enable
+        .led_col_19(led_col_19),                    //OUTPUT : Collision Indication
+        .led_an_19(led_an_19),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_19(led_char_err_gx[19]),      //INPUT  : Character error
+        .led_crs_19(led_crs_19),                    //OUTPUT : Carrier sense
+        .led_link_19(link_status[19]),              //INPUT  : Valid link    
+        .mac_rx_clk_19(mac_rx_clk_19),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_19(mac_tx_clk_19),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_19(data_rx_sop_19),            //OUTPUT : Start of Packet
+        .data_rx_eop_19(data_rx_eop_19),            //OUTPUT : End of Packet
+        .data_rx_data_19(data_rx_data_19),          //OUTPUT : Data from FIFO
+        .data_rx_error_19(data_rx_error_19),        //OUTPUT : Receive packet error
+        .data_rx_valid_19(data_rx_valid_19),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_19(data_rx_ready_19),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_19(pkt_class_data_19),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_19(pkt_class_valid_19),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_19(data_tx_error_19),        //INPUT  : Status
+        .data_tx_data_19(data_tx_data_19),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_19(data_tx_valid_19),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_19(data_tx_sop_19),            //INPUT  : Start of Packet
+        .data_tx_eop_19(data_tx_eop_19),            //INPUT  : End of Packet
+        .data_tx_ready_19(data_tx_ready_19),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_19(tx_ff_uflow_19),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_19(tx_crc_fwd_19),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_19(xoff_gen_19),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_19(xon_gen_19),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_19(magic_sleep_n_19),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_19(magic_wakeup_19),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 20 
+            
+
+        .rx_carrierdetected_20(pcs_rx_carrierdetected[20]),
+        .rx_rmfifodatadeleted_20(pcs_rx_rmfifodatadeleted[20]),
+        .rx_rmfifodatainserted_20(pcs_rx_rmfifodatainserted[20]),
+
+        .rx_clkout_20(pcs_clk_c20),                 //INPUT  : Receive Clock
+        .tx_clkout_20(pcs_clk_c20),                 //INPUT  : Transmit Clock
+        .rx_kchar_20(pcs_rx_kchar_20),              //INPUT  : Special Character Indication
+        .tx_kchar_20(tx_kchar_20),                  //OUTPUT : Special Character Indication
+        .rx_frame_20(pcs_rx_frame_20),              //INPUT  : Frame
+        .tx_frame_20(tx_frame_20),                  //OUTPUT : Frame
+        .sd_loopback_20(sd_loopback_20),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_20(pcs_pwrdn_out_sig[20]),       //OUTPUT : Powerdown Enable
+        .led_col_20(led_col_20),                    //OUTPUT : Collision Indication
+        .led_an_20(led_an_20),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_20(led_char_err_gx[20]),      //INPUT  : Character error
+        .led_crs_20(led_crs_20),                    //OUTPUT : Carrier sense
+        .led_link_20(link_status[20]),              //INPUT  : Valid link    
+        .mac_rx_clk_20(mac_rx_clk_20),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_20(mac_tx_clk_20),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_20(data_rx_sop_20),            //OUTPUT : Start of Packet
+        .data_rx_eop_20(data_rx_eop_20),            //OUTPUT : End of Packet
+        .data_rx_data_20(data_rx_data_20),          //OUTPUT : Data from FIFO
+        .data_rx_error_20(data_rx_error_20),        //OUTPUT : Receive packet error
+        .data_rx_valid_20(data_rx_valid_20),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_20(data_rx_ready_20),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_20(pkt_class_data_20),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_20(pkt_class_valid_20),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_20(data_tx_error_20),        //INPUT  : Status
+        .data_tx_data_20(data_tx_data_20),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_20(data_tx_valid_20),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_20(data_tx_sop_20),            //INPUT  : Start of Packet
+        .data_tx_eop_20(data_tx_eop_20),            //INPUT  : End of Packet
+        .data_tx_ready_20(data_tx_ready_20),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_20(tx_ff_uflow_20),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_20(tx_crc_fwd_20),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_20(xoff_gen_20),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_20(xon_gen_20),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_20(magic_sleep_n_20),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_20(magic_wakeup_20),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 21 
+            
+
+        .rx_carrierdetected_21(pcs_rx_carrierdetected[21]),
+        .rx_rmfifodatadeleted_21(pcs_rx_rmfifodatadeleted[21]),
+        .rx_rmfifodatainserted_21(pcs_rx_rmfifodatainserted[21]),
+
+        .rx_clkout_21(pcs_clk_c21),                 //INPUT  : Receive Clock
+        .tx_clkout_21(pcs_clk_c21),                 //INPUT  : Transmit Clock
+        .rx_kchar_21(pcs_rx_kchar_21),              //INPUT  : Special Character Indication
+        .tx_kchar_21(tx_kchar_21),                  //OUTPUT : Special Character Indication
+        .rx_frame_21(pcs_rx_frame_21),              //INPUT  : Frame
+        .tx_frame_21(tx_frame_21),                  //OUTPUT : Frame
+        .sd_loopback_21(sd_loopback_21),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_21(pcs_pwrdn_out_sig[21]),       //OUTPUT : Powerdown Enable
+        .led_col_21(led_col_21),                    //OUTPUT : Collision Indication
+        .led_an_21(led_an_21),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_21(led_char_err_gx[21]),      //INPUT  : Character error
+        .led_crs_21(led_crs_21),                    //OUTPUT : Carrier sense
+        .led_link_21(link_status[21]),              //INPUT  : Valid link    
+        .mac_rx_clk_21(mac_rx_clk_21),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_21(mac_tx_clk_21),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_21(data_rx_sop_21),            //OUTPUT : Start of Packet
+        .data_rx_eop_21(data_rx_eop_21),            //OUTPUT : End of Packet
+        .data_rx_data_21(data_rx_data_21),          //OUTPUT : Data from FIFO
+        .data_rx_error_21(data_rx_error_21),        //OUTPUT : Receive packet error
+        .data_rx_valid_21(data_rx_valid_21),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_21(data_rx_ready_21),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_21(pkt_class_data_21),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_21(pkt_class_valid_21),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_21(data_tx_error_21),        //INPUT  : Status
+        .data_tx_data_21(data_tx_data_21),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_21(data_tx_valid_21),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_21(data_tx_sop_21),            //INPUT  : Start of Packet
+        .data_tx_eop_21(data_tx_eop_21),            //INPUT  : End of Packet
+        .data_tx_ready_21(data_tx_ready_21),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_21(tx_ff_uflow_21),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_21(tx_crc_fwd_21),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_21(xoff_gen_21),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_21(xon_gen_21),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_21(magic_sleep_n_21),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_21(magic_wakeup_21),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 22 
+            
+
+        .rx_carrierdetected_22(pcs_rx_carrierdetected[22]),
+        .rx_rmfifodatadeleted_22(pcs_rx_rmfifodatadeleted[22]),
+        .rx_rmfifodatainserted_22(pcs_rx_rmfifodatainserted[22]),
+
+        .rx_clkout_22(pcs_clk_c22),                 //INPUT  : Receive Clock
+        .tx_clkout_22(pcs_clk_c22),                 //INPUT  : Transmit Clock
+        .rx_kchar_22(pcs_rx_kchar_22),              //INPUT  : Special Character Indication
+        .tx_kchar_22(tx_kchar_22),                  //OUTPUT : Special Character Indication
+        .rx_frame_22(pcs_rx_frame_22),              //INPUT  : Frame
+        .tx_frame_22(tx_frame_22),                  //OUTPUT : Frame
+        .sd_loopback_22(sd_loopback_22),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_22(pcs_pwrdn_out_sig[22]),       //OUTPUT : Powerdown Enable
+        .led_col_22(led_col_22),                    //OUTPUT : Collision Indication
+        .led_an_22(led_an_22),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_22(led_char_err_gx[22]),      //INPUT  : Character error
+        .led_crs_22(led_crs_22),                    //OUTPUT : Carrier sense
+        .led_link_22(link_status[22]),              //INPUT  : Valid link    
+        .mac_rx_clk_22(mac_rx_clk_22),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_22(mac_tx_clk_22),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_22(data_rx_sop_22),            //OUTPUT : Start of Packet
+        .data_rx_eop_22(data_rx_eop_22),            //OUTPUT : End of Packet
+        .data_rx_data_22(data_rx_data_22),          //OUTPUT : Data from FIFO
+        .data_rx_error_22(data_rx_error_22),        //OUTPUT : Receive packet error
+        .data_rx_valid_22(data_rx_valid_22),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_22(data_rx_ready_22),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_22(pkt_class_data_22),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_22(pkt_class_valid_22),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_22(data_tx_error_22),        //INPUT  : Status
+        .data_tx_data_22(data_tx_data_22),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_22(data_tx_valid_22),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_22(data_tx_sop_22),            //INPUT  : Start of Packet
+        .data_tx_eop_22(data_tx_eop_22),            //INPUT  : End of Packet
+        .data_tx_ready_22(data_tx_ready_22),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_22(tx_ff_uflow_22),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_22(tx_crc_fwd_22),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_22(xoff_gen_22),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_22(xon_gen_22),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_22(magic_sleep_n_22),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_22(magic_wakeup_22),          //OUTPUT : MAC WAKE-UP INDICATION
+
+         // Channel 23 
+            
+
+        .rx_carrierdetected_23(pcs_rx_carrierdetected[23]),
+        .rx_rmfifodatadeleted_23(pcs_rx_rmfifodatadeleted[23]),
+        .rx_rmfifodatainserted_23(pcs_rx_rmfifodatainserted[23]),
+
+        .rx_clkout_23(pcs_clk_c23),                 //INPUT  : Receive Clock
+        .tx_clkout_23(pcs_clk_c23),                 //INPUT  : Transmit Clock
+        .rx_kchar_23(pcs_rx_kchar_23),              //INPUT  : Special Character Indication
+        .tx_kchar_23(tx_kchar_23),                  //OUTPUT : Special Character Indication
+        .rx_frame_23(pcs_rx_frame_23),              //INPUT  : Frame
+        .tx_frame_23(tx_frame_23),                  //OUTPUT : Frame
+        .sd_loopback_23(sd_loopback_23),            //OUTPUT : SERDES Loopback Enable
+        .powerdown_23(pcs_pwrdn_out_sig[23]),       //OUTPUT : Powerdown Enable
+        .led_col_23(led_col_23),                    //OUTPUT : Collision Indication
+        .led_an_23(led_an_23),                      //OUTPUT : Auto Negotiation Status
+        .led_char_err_23(led_char_err_gx[23]),      //INPUT  : Character error
+        .led_crs_23(led_crs_23),                    //OUTPUT : Carrier sense
+        .led_link_23(link_status[23]),              //INPUT  : Valid link    
+        .mac_rx_clk_23(mac_rx_clk_23),              //OUTPUT : Av-ST Rx Clock
+        .mac_tx_clk_23(mac_tx_clk_23),              //OUTPUT : Av-ST Tx Clock
+        .data_rx_sop_23(data_rx_sop_23),            //OUTPUT : Start of Packet
+        .data_rx_eop_23(data_rx_eop_23),            //OUTPUT : End of Packet
+        .data_rx_data_23(data_rx_data_23),          //OUTPUT : Data from FIFO
+        .data_rx_error_23(data_rx_error_23),        //OUTPUT : Receive packet error
+        .data_rx_valid_23(data_rx_valid_23),        //OUTPUT : Data Receive FIFO Valid
+        .data_rx_ready_23(data_rx_ready_23),        //OUTPUT : Data Receive Ready
+        .pkt_class_data_23(pkt_class_data_23),      //OUTPUT : Frame Type Indication
+        .pkt_class_valid_23(pkt_class_valid_23),    //OUTPUT : Frame Type Indication Valid
+        .data_tx_error_23(data_tx_error_23),        //INPUT  : Status
+        .data_tx_data_23(data_tx_data_23),          //INPUT  : Data from FIFO transmit
+        .data_tx_valid_23(data_tx_valid_23),        //INPUT  : Data FIFO transmit Empty
+        .data_tx_sop_23(data_tx_sop_23),            //INPUT  : Start of Packet
+        .data_tx_eop_23(data_tx_eop_23),            //INPUT  : End of Packet
+        .data_tx_ready_23(data_tx_ready_23),        //OUTPUT : Data FIFO transmit Read Enable  
+        .tx_ff_uflow_23(tx_ff_uflow_23),            //OUTPUT : TX FIFO underflow occured (Synchronous with tx_clk)
+        .tx_crc_fwd_23(tx_crc_fwd_23),              //INPUT  : Forward Current Frame with CRC from Application
+        .xoff_gen_23(xoff_gen_23),                  //INPUT  : XOFF PAUSE FRAME GENERATE
+        .xon_gen_23(xon_gen_23),                    //INPUT  : XON PAUSE FRAME GENERATE
+        .magic_sleep_n_23(magic_sleep_n_23),        //INPUT  : MAC SLEEP MODE CONTROL
+        .magic_wakeup_23(magic_wakeup_23));         //OUTPUT : MAC WAKE-UP INDICATION
+
+    defparam
+        U_MULTI_MAC_PCS.USE_SYNC_RESET = USE_SYNC_RESET, 
+        U_MULTI_MAC_PCS.RESET_LEVEL = RESET_LEVEL,
+        U_MULTI_MAC_PCS.ENABLE_GMII_LOOPBACK = ENABLE_GMII_LOOPBACK, 
+        U_MULTI_MAC_PCS.ENABLE_HD_LOGIC = ENABLE_HD_LOGIC,
+        U_MULTI_MAC_PCS.ENABLE_SUP_ADDR = ENABLE_SUP_ADDR,
+        U_MULTI_MAC_PCS.ENA_HASH = ENA_HASH,
+        U_MULTI_MAC_PCS.STAT_CNT_ENA = STAT_CNT_ENA,
+        U_MULTI_MAC_PCS.CORE_VERSION = CORE_VERSION, 
+        U_MULTI_MAC_PCS.CUST_VERSION = CUST_VERSION,
+        U_MULTI_MAC_PCS.REDUCED_INTERFACE_ENA = REDUCED_INTERFACE_ENA,
+        U_MULTI_MAC_PCS.ENABLE_MDIO = ENABLE_MDIO,
+        U_MULTI_MAC_PCS.MDIO_CLK_DIV = MDIO_CLK_DIV,
+        U_MULTI_MAC_PCS.ENABLE_MAGIC_DETECT = ENABLE_MAGIC_DETECT,
+        U_MULTI_MAC_PCS.ENABLE_PADDING = ENABLE_PADDING,
+        U_MULTI_MAC_PCS.ENABLE_LGTH_CHECK = ENABLE_LGTH_CHECK,
+        U_MULTI_MAC_PCS.GBIT_ONLY = GBIT_ONLY,
+        U_MULTI_MAC_PCS.MBIT_ONLY = MBIT_ONLY,
+        U_MULTI_MAC_PCS.REDUCED_CONTROL = REDUCED_CONTROL,
+        U_MULTI_MAC_PCS.CRC32DWIDTH = CRC32DWIDTH,
+        U_MULTI_MAC_PCS.CRC32GENDELAY = CRC32GENDELAY, 
+        U_MULTI_MAC_PCS.CRC32CHECK16BIT = CRC32CHECK16BIT, 
+        U_MULTI_MAC_PCS.CRC32S1L2_EXTERN = CRC32S1L2_EXTERN,
+        U_MULTI_MAC_PCS.ENABLE_SHIFT16 = ENABLE_SHIFT16,   
+        U_MULTI_MAC_PCS.ENABLE_MAC_FLOW_CTRL = ENABLE_MAC_FLOW_CTRL,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TXADDR_SET = ENABLE_MAC_TXADDR_SET,
+        U_MULTI_MAC_PCS.ENABLE_MAC_RX_VLAN = ENABLE_MAC_RX_VLAN,
+        U_MULTI_MAC_PCS.ENABLE_MAC_TX_VLAN = ENABLE_MAC_TX_VLAN,
+        U_MULTI_MAC_PCS.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        U_MULTI_MAC_PCS.DEV_VERSION = DEV_VERSION,
+        U_MULTI_MAC_PCS.ENABLE_SGMII = ENABLE_SGMII,
+        U_MULTI_MAC_PCS.MAX_CHANNELS = MAX_CHANNELS,
+        U_MULTI_MAC_PCS.CHANNEL_WIDTH = CHANNEL_WIDTH,
+        U_MULTI_MAC_PCS.ENABLE_RX_FIFO_STATUS = ENABLE_RX_FIFO_STATUS,
+        U_MULTI_MAC_PCS.ENABLE_EXTENDED_STAT_REG = ENABLE_EXTENDED_STAT_REG,
+        U_MULTI_MAC_PCS.ENABLE_CLK_SHARING = ENABLE_CLK_SHARING,    
+        U_MULTI_MAC_PCS.ENABLE_REG_SHARING = ENABLE_REG_SHARING;    
+
+
+
+// #######################################################################
+// ###############       CHANNEL 0 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 0)
+    begin          
+        assign gxb_pwrdn_in_sig[0] = gxb_pwrdn_in_0;
+        assign pcs_pwrdn_out_0 = pcs_pwrdn_out_sig[0];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[0] = pcs_pwrdn_out_sig[0];
+		assign pcs_pwrdn_out_0 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 0)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_0
+          (
+            .clk(pcs_clk_c0),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_0),
+            .alt_sync(rx_syncstatus[0]),
+            .alt_disperr(rx_disp_err[0]),
+            .alt_ctrldetect(rx_kchar_0),
+            .alt_errdetect(rx_char_err_gx[0]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[0]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[0]),
+            .alt_runlengthviolation(rx_runlengthviolation[0]),
+            .alt_patterndetect(rx_patterndetect[0]),
+            .alt_runningdisp(rx_runningdisp[0]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_0),
+            .altpcs_sync(link_status[0]),
+            .altpcs_disperr(led_disp_err_0),
+            .altpcs_ctrldetect(pcs_rx_kchar_0),
+            .altpcs_errdetect(led_char_err_gx[0]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[0]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[0]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[0])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_0.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_0
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[0]),            
+            .pll_inclk (ref_clk),
+       	    .reconfig_clk(reconfig_clk_0),
+            .reconfig_togxb(reconfig_togxb_0),
+            .reconfig_fromgxb(reconfig_fromgxb_0),             
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_0),
+            .rx_datain (rxp_0),
+            .rx_dataout (rx_frame_0),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[0]),
+            .rx_errdetect (rx_char_err_gx[0]),
+            .rx_patterndetect (rx_patterndetect[0]),
+            .rx_rlv (rx_runlengthviolation[0]),
+            .rx_seriallpbken (sd_loopback_0),
+            .rx_syncstatus (rx_syncstatus[0]),
+            .tx_clkout (pcs_clk_c0),
+            .tx_ctrlenable (tx_kchar_0),
+            .tx_datain (tx_frame_0),
+            .tx_dataout (txp_0),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[0]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[0]),
+            .rx_runningdisp(rx_runningdisp[0])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_0.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_0.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER,
+        the_altera_tse_gxb_gige_inst_0.DEVICE_FAMILY = DEVICE_FAMILY; 
+        
+    end
+else
+    begin
+    assign reconfig_fromgxb_0 = {17{1'b0}};
+    assign led_char_err_gx[0] = 1'b0;
+    assign link_status[0] = 1'b0;
+    assign led_disp_err_0 = 1'b0;
+    assign txp_0 = 1'b0;
+	assign pcs_clk_c0 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 1 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 1)
+    begin          
+        assign gxb_pwrdn_in_sig[1] = gxb_pwrdn_in_1;
+        assign pcs_pwrdn_out_1 = pcs_pwrdn_out_sig[1];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[1] = pcs_pwrdn_out_sig[1];
+		assign pcs_pwrdn_out_1 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 1)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_1
+          (
+            .clk(pcs_clk_c1),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_1),
+            .alt_sync(rx_syncstatus[1]),
+            .alt_disperr(rx_disp_err[1]),
+            .alt_ctrldetect(rx_kchar_1),
+            .alt_errdetect(rx_char_err_gx[1]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[1]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[1]),
+            .alt_runlengthviolation(rx_runlengthviolation[1]),
+            .alt_patterndetect(rx_patterndetect[1]),
+            .alt_runningdisp(rx_runningdisp[1]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_1),
+            .altpcs_sync(link_status[1]),
+            .altpcs_disperr(led_disp_err_1),
+            .altpcs_ctrldetect(pcs_rx_kchar_1),
+            .altpcs_errdetect(led_char_err_gx[1]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[1]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[1]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[1])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_1.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_1
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[1]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_1),
+            .reconfig_togxb(reconfig_togxb_1),
+            .reconfig_fromgxb(reconfig_fromgxb_1),         
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_1),
+            .rx_datain (rxp_1),
+            .rx_dataout (rx_frame_1),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[1]),
+            .rx_errdetect (rx_char_err_gx[1]),
+            .rx_patterndetect (rx_patterndetect[1]),
+            .rx_rlv (rx_runlengthviolation[1]),
+            .rx_seriallpbken (sd_loopback_1),
+            .rx_syncstatus (rx_syncstatus[1]),
+            .tx_clkout (pcs_clk_c1),
+            .tx_ctrlenable (tx_kchar_1),
+            .tx_datain (tx_frame_1),
+            .tx_dataout (txp_1),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[1]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[1]),
+            .rx_runningdisp(rx_runningdisp[1])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_1.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_1.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 4,
+        the_altera_tse_gxb_gige_inst_1.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_1 = {17{1'b0}};
+    assign led_char_err_gx[1] = 1'b0;
+    assign link_status[1] = 1'b0;
+    assign led_disp_err_1 = 1'b0;
+    assign txp_1 = 1'b0;
+	assign pcs_clk_c1 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 2 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 2)
+    begin          
+        assign gxb_pwrdn_in_sig[2] = gxb_pwrdn_in_2;
+        assign pcs_pwrdn_out_2 = pcs_pwrdn_out_sig[2];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[2] = pcs_pwrdn_out_sig[2];
+		assign pcs_pwrdn_out_2 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 2)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_2
+          (
+            .clk(pcs_clk_c2),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_2),
+            .alt_sync(rx_syncstatus[2]),
+            .alt_disperr(rx_disp_err[2]),
+            .alt_ctrldetect(rx_kchar_2),
+            .alt_errdetect(rx_char_err_gx[2]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[2]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[2]),
+            .alt_runlengthviolation(rx_runlengthviolation[2]),
+            .alt_patterndetect(rx_patterndetect[2]),
+            .alt_runningdisp(rx_runningdisp[2]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_2),
+            .altpcs_sync(link_status[2]),
+            .altpcs_disperr(led_disp_err_2),
+            .altpcs_ctrldetect(pcs_rx_kchar_2),
+            .altpcs_errdetect(led_char_err_gx[2]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[2]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[2]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[2])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_2.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_2
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[2]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_2),
+            .reconfig_togxb(reconfig_togxb_2),
+            .reconfig_fromgxb(reconfig_fromgxb_2),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_2),
+            .rx_datain (rxp_2),
+            .rx_dataout (rx_frame_2),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[2]),
+            .rx_errdetect (rx_char_err_gx[2]),
+            .rx_patterndetect (rx_patterndetect[2]),
+            .rx_rlv (rx_runlengthviolation[2]),
+            .rx_seriallpbken (sd_loopback_2),
+            .rx_syncstatus (rx_syncstatus[2]),
+            .tx_clkout (pcs_clk_c2),
+            .tx_ctrlenable (tx_kchar_2),
+            .tx_datain (tx_frame_2),
+            .tx_dataout (txp_2),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[2]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[2]),
+            .rx_runningdisp(rx_runningdisp[2])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_2.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_2.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 8,
+        the_altera_tse_gxb_gige_inst_2.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_2 = {17{1'b0}};
+    assign led_char_err_gx[2] = 1'b0;
+    assign link_status[2] = 1'b0;
+    assign led_disp_err_2 = 1'b0;
+    assign txp_2 = 1'b0;
+	assign pcs_clk_c2 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 3 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 3)
+    begin          
+        assign gxb_pwrdn_in_sig[3] = gxb_pwrdn_in_3;
+        assign pcs_pwrdn_out_3 = pcs_pwrdn_out_sig[3];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[3] = pcs_pwrdn_out_sig[3];
+		assign pcs_pwrdn_out_3 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 3)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_3
+          (
+            .clk(pcs_clk_c3),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_3),
+            .alt_sync(rx_syncstatus[3]),
+            .alt_disperr(rx_disp_err[3]),
+            .alt_ctrldetect(rx_kchar_3),
+            .alt_errdetect(rx_char_err_gx[3]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[3]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[3]),
+            .alt_runlengthviolation(rx_runlengthviolation[3]),
+            .alt_patterndetect(rx_patterndetect[3]),
+            .alt_runningdisp(rx_runningdisp[3]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_3),
+            .altpcs_sync(link_status[3]),
+            .altpcs_disperr(led_disp_err_3),
+            .altpcs_ctrldetect(pcs_rx_kchar_3),
+            .altpcs_errdetect(led_char_err_gx[3]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[3]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[3]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[3])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_3.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_3
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[3]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_3),
+            .reconfig_togxb(reconfig_togxb_3),
+            .reconfig_fromgxb(reconfig_fromgxb_3),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_3),
+            .rx_datain (rxp_3),
+            .rx_dataout (rx_frame_3),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[3]),
+            .rx_errdetect (rx_char_err_gx[3]),
+            .rx_patterndetect (rx_patterndetect[3]),
+            .rx_rlv (rx_runlengthviolation[3]),
+            .rx_seriallpbken (sd_loopback_3),
+            .rx_syncstatus (rx_syncstatus[3]),
+            .tx_clkout (pcs_clk_c3),
+            .tx_ctrlenable (tx_kchar_3),
+            .tx_datain (tx_frame_3),
+            .tx_dataout (txp_3),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[3]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[3]),
+            .rx_runningdisp(rx_runningdisp[3])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_3.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_3.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 12,
+        the_altera_tse_gxb_gige_inst_3.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_3 = {17{1'b0}};
+    assign led_char_err_gx[3] = 1'b0;
+    assign link_status[3] = 1'b0;
+    assign led_disp_err_3 = 1'b0;
+    assign txp_3 = 1'b0;
+	assign pcs_clk_c3 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 4 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 4)
+    begin          
+        assign gxb_pwrdn_in_sig[4] = gxb_pwrdn_in_4;
+        assign pcs_pwrdn_out_4 = pcs_pwrdn_out_sig[4];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[4] = pcs_pwrdn_out_sig[4];
+		assign pcs_pwrdn_out_4 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 4)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_4
+          (
+            .clk(pcs_clk_c4),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_4),
+            .alt_sync(rx_syncstatus[4]),
+            .alt_disperr(rx_disp_err[4]),
+            .alt_ctrldetect(rx_kchar_4),
+            .alt_errdetect(rx_char_err_gx[4]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[4]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[4]),
+            .alt_runlengthviolation(rx_runlengthviolation[4]),
+            .alt_patterndetect(rx_patterndetect[4]),
+            .alt_runningdisp(rx_runningdisp[4]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_4),
+            .altpcs_sync(link_status[4]),
+            .altpcs_disperr(led_disp_err_4),
+            .altpcs_ctrldetect(pcs_rx_kchar_4),
+            .altpcs_errdetect(led_char_err_gx[4]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[4]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[4]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[4])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_4.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_4
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[4]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_4),
+            .reconfig_togxb(reconfig_togxb_4),
+            .reconfig_fromgxb(reconfig_fromgxb_4),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_4),
+            .rx_datain (rxp_4),
+            .rx_dataout (rx_frame_4),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[4]),
+            .rx_errdetect (rx_char_err_gx[4]),
+            .rx_patterndetect (rx_patterndetect[4]),
+            .rx_rlv (rx_runlengthviolation[4]),
+            .rx_seriallpbken (sd_loopback_4),
+            .rx_syncstatus (rx_syncstatus[4]),
+            .tx_clkout (pcs_clk_c4),
+            .tx_ctrlenable (tx_kchar_4),
+            .tx_datain (tx_frame_4),
+            .tx_dataout (txp_4),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[4]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[4]),
+            .rx_runningdisp(rx_runningdisp[4])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_4.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_4.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 16,
+        the_altera_tse_gxb_gige_inst_4.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_4 = {17{1'b0}};
+    assign led_char_err_gx[4] = 1'b0;
+    assign link_status[4] = 1'b0;
+    assign led_disp_err_4 = 1'b0;
+    assign txp_4 = 1'b0;
+	assign pcs_clk_c4 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 5 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 5)
+    begin          
+        assign gxb_pwrdn_in_sig[5] = gxb_pwrdn_in_5;
+        assign pcs_pwrdn_out_5 = pcs_pwrdn_out_sig[5];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[5] = pcs_pwrdn_out_sig[5];
+		assign pcs_pwrdn_out_5 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 5)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_5
+          (
+            .clk(pcs_clk_c5),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_5),
+            .alt_sync(rx_syncstatus[5]),
+            .alt_disperr(rx_disp_err[5]),
+            .alt_ctrldetect(rx_kchar_5),
+            .alt_errdetect(rx_char_err_gx[5]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[5]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[5]),
+            .alt_runlengthviolation(rx_runlengthviolation[5]),
+            .alt_patterndetect(rx_patterndetect[5]),
+            .alt_runningdisp(rx_runningdisp[5]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_5),
+            .altpcs_sync(link_status[5]),
+            .altpcs_disperr(led_disp_err_5),
+            .altpcs_ctrldetect(pcs_rx_kchar_5),
+            .altpcs_errdetect(led_char_err_gx[5]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[5]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[5]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[5])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_5.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_5
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[5]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_5),
+            .reconfig_togxb(reconfig_togxb_5),
+            .reconfig_fromgxb(reconfig_fromgxb_5),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_5),
+            .rx_datain (rxp_5),
+            .rx_dataout (rx_frame_5),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[5]),
+            .rx_errdetect (rx_char_err_gx[5]),
+            .rx_patterndetect (rx_patterndetect[5]),
+            .rx_rlv (rx_runlengthviolation[5]),
+            .rx_seriallpbken (sd_loopback_5),
+            .rx_syncstatus (rx_syncstatus[5]),
+            .tx_clkout (pcs_clk_c5),
+            .tx_ctrlenable (tx_kchar_5),
+            .tx_datain (tx_frame_5),
+            .tx_dataout (txp_5),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[5]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[5]),
+            .rx_runningdisp(rx_runningdisp[5])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_5.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_5.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 20,
+        the_altera_tse_gxb_gige_inst_5.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_5 = {17{1'b0}};
+    assign led_char_err_gx[5] = 1'b0;
+    assign link_status[5] = 1'b0;
+    assign led_disp_err_5 = 1'b0;
+    assign txp_5 = 1'b0;
+	assign pcs_clk_c5 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 6 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 6)
+    begin          
+        assign gxb_pwrdn_in_sig[6] = gxb_pwrdn_in_6;
+        assign pcs_pwrdn_out_6 = pcs_pwrdn_out_sig[6];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[6] = pcs_pwrdn_out_sig[6];
+		assign pcs_pwrdn_out_6 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 6)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_6
+          (
+            .clk(pcs_clk_c6),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_6),
+            .alt_sync(rx_syncstatus[6]),
+            .alt_disperr(rx_disp_err[6]),
+            .alt_ctrldetect(rx_kchar_6),
+            .alt_errdetect(rx_char_err_gx[6]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[6]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[6]),
+            .alt_runlengthviolation(rx_runlengthviolation[6]),
+            .alt_patterndetect(rx_patterndetect[6]),
+            .alt_runningdisp(rx_runningdisp[6]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_6),
+            .altpcs_sync(link_status[6]),
+            .altpcs_disperr(led_disp_err_6),
+            .altpcs_ctrldetect(pcs_rx_kchar_6),
+            .altpcs_errdetect(led_char_err_gx[6]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[6]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[6]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[6])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_6.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_6
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[6]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_6),
+            .reconfig_togxb(reconfig_togxb_6),
+            .reconfig_fromgxb(reconfig_fromgxb_6),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_6),
+            .rx_datain (rxp_6),
+            .rx_dataout (rx_frame_6),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[6]),
+            .rx_errdetect (rx_char_err_gx[6]),
+            .rx_patterndetect (rx_patterndetect[6]),
+            .rx_rlv (rx_runlengthviolation[6]),
+            .rx_seriallpbken (sd_loopback_6),
+            .rx_syncstatus (rx_syncstatus[6]),
+            .tx_clkout (pcs_clk_c6),
+            .tx_ctrlenable (tx_kchar_6),
+            .tx_datain (tx_frame_6),
+            .tx_dataout (txp_6),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[6]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[6]),
+            .rx_runningdisp(rx_runningdisp[6])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_6.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_6.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 24,
+        the_altera_tse_gxb_gige_inst_6.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_6 = {17{1'b0}};
+    assign led_char_err_gx[6] = 1'b0;
+    assign link_status[6] = 1'b0;
+    assign led_disp_err_6 = 1'b0;
+    assign txp_6 = 1'b0;
+	assign pcs_clk_c6 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 7 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 7)
+    begin          
+        assign gxb_pwrdn_in_sig[7] = gxb_pwrdn_in_7;
+        assign pcs_pwrdn_out_7 = pcs_pwrdn_out_sig[7];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[7] = pcs_pwrdn_out_sig[7];
+		assign pcs_pwrdn_out_7 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 7)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_7
+          (
+            .clk(pcs_clk_c7),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_7),
+            .alt_sync(rx_syncstatus[7]),
+            .alt_disperr(rx_disp_err[7]),
+            .alt_ctrldetect(rx_kchar_7),
+            .alt_errdetect(rx_char_err_gx[7]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[7]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[7]),
+            .alt_runlengthviolation(rx_runlengthviolation[7]),
+            .alt_patterndetect(rx_patterndetect[7]),
+            .alt_runningdisp(rx_runningdisp[7]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_7),
+            .altpcs_sync(link_status[7]),
+            .altpcs_disperr(led_disp_err_7),
+            .altpcs_ctrldetect(pcs_rx_kchar_7),
+            .altpcs_errdetect(led_char_err_gx[7]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[7]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[7]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[7])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_7.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_7
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[7]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_7),
+            .reconfig_togxb(reconfig_togxb_7),
+            .reconfig_fromgxb(reconfig_fromgxb_7),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_7),
+            .rx_datain (rxp_7),
+            .rx_dataout (rx_frame_7),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[7]),
+            .rx_errdetect (rx_char_err_gx[7]),
+            .rx_patterndetect (rx_patterndetect[7]),
+            .rx_rlv (rx_runlengthviolation[7]),
+            .rx_seriallpbken (sd_loopback_7),
+            .rx_syncstatus (rx_syncstatus[7]),
+            .tx_clkout (pcs_clk_c7),
+            .tx_ctrlenable (tx_kchar_7),
+            .tx_datain (tx_frame_7),
+            .tx_dataout (txp_7),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[7]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[7]),
+            .rx_runningdisp(rx_runningdisp[7])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_7.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_7.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 24,
+        the_altera_tse_gxb_gige_inst_7.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_7 = {17{1'b0}};
+    assign led_char_err_gx[7] = 1'b0;
+    assign link_status[7] = 1'b0;
+    assign led_disp_err_7 = 1'b0;
+    assign txp_7 = 1'b0;
+	assign pcs_clk_c7 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 8 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 8)
+    begin          
+        assign gxb_pwrdn_in_sig[8] = gxb_pwrdn_in_8;
+        assign pcs_pwrdn_out_8 = pcs_pwrdn_out_sig[8];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[8] = pcs_pwrdn_out_sig[8];
+		assign pcs_pwrdn_out_8 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 8)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_8
+          (
+            .clk(pcs_clk_c8),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_8),
+            .alt_sync(rx_syncstatus[8]),
+            .alt_disperr(rx_disp_err[8]),
+            .alt_ctrldetect(rx_kchar_8),
+            .alt_errdetect(rx_char_err_gx[8]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[8]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[8]),
+            .alt_runlengthviolation(rx_runlengthviolation[8]),
+            .alt_patterndetect(rx_patterndetect[8]),
+            .alt_runningdisp(rx_runningdisp[8]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_8),
+            .altpcs_sync(link_status[8]),
+            .altpcs_disperr(led_disp_err_8),
+            .altpcs_ctrldetect(pcs_rx_kchar_8),
+            .altpcs_errdetect(led_char_err_gx[8]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[8]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[8]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[8])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_8.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_8
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[8]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_8),
+            .reconfig_togxb(reconfig_togxb_8),
+            .reconfig_fromgxb(reconfig_fromgxb_8),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_8),
+            .rx_datain (rxp_8),
+            .rx_dataout (rx_frame_8),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[8]),
+            .rx_errdetect (rx_char_err_gx[8]),
+            .rx_patterndetect (rx_patterndetect[8]),
+            .rx_rlv (rx_runlengthviolation[8]),
+            .rx_seriallpbken (sd_loopback_8),
+            .rx_syncstatus (rx_syncstatus[8]),
+            .tx_clkout (pcs_clk_c8),
+            .tx_ctrlenable (tx_kchar_8),
+            .tx_datain (tx_frame_8),
+            .tx_dataout (txp_8),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[8]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[8]),
+            .rx_runningdisp(rx_runningdisp[8])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_8.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_8.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 32,
+        the_altera_tse_gxb_gige_inst_8.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_8 = {17{1'b0}};
+    assign led_char_err_gx[8] = 1'b0;
+    assign link_status[8] = 1'b0;
+    assign led_disp_err_8 = 1'b0;
+    assign txp_8 = 1'b0;
+	assign pcs_clk_c8 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 9 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 9)
+    begin          
+        assign gxb_pwrdn_in_sig[9] = gxb_pwrdn_in_9;
+        assign pcs_pwrdn_out_9 = pcs_pwrdn_out_sig[9];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[9] = pcs_pwrdn_out_sig[9];
+		assign pcs_pwrdn_out_9 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 9)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_9
+          (
+            .clk(pcs_clk_c9),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_9),
+            .alt_sync(rx_syncstatus[9]),
+            .alt_disperr(rx_disp_err[9]),
+            .alt_ctrldetect(rx_kchar_9),
+            .alt_errdetect(rx_char_err_gx[9]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[9]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[9]),
+            .alt_runlengthviolation(rx_runlengthviolation[9]),
+            .alt_patterndetect(rx_patterndetect[9]),
+            .alt_runningdisp(rx_runningdisp[9]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_9),
+            .altpcs_sync(link_status[9]),
+            .altpcs_disperr(led_disp_err_9),
+            .altpcs_ctrldetect(pcs_rx_kchar_9),
+            .altpcs_errdetect(led_char_err_gx[9]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[9]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[9]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[9])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_9.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_9
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[9]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_9),
+            .reconfig_togxb(reconfig_togxb_9),
+            .reconfig_fromgxb(reconfig_fromgxb_9),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_9),
+            .rx_datain (rxp_9),
+            .rx_dataout (rx_frame_9),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[9]),
+            .rx_errdetect (rx_char_err_gx[9]),
+            .rx_patterndetect (rx_patterndetect[9]),
+            .rx_rlv (rx_runlengthviolation[9]),
+            .rx_seriallpbken (sd_loopback_9),
+            .rx_syncstatus (rx_syncstatus[9]),
+            .tx_clkout (pcs_clk_c9),
+            .tx_ctrlenable (tx_kchar_9),
+            .tx_datain (tx_frame_9),
+            .tx_dataout (txp_9),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[9]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[9]),
+            .rx_runningdisp(rx_runningdisp[9])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_9.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_9.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 36,
+        the_altera_tse_gxb_gige_inst_9.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_9 = {17{1'b0}};
+    assign led_char_err_gx[9] = 1'b0;
+    assign link_status[9] = 1'b0;
+    assign led_disp_err_9 = 1'b0;
+    assign txp_9 = 1'b0;
+	assign pcs_clk_c9 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 10 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 10)
+    begin          
+        assign gxb_pwrdn_in_sig[10] = gxb_pwrdn_in_10;
+        assign pcs_pwrdn_out_10 = pcs_pwrdn_out_sig[10];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[10] = pcs_pwrdn_out_sig[10];
+		assign pcs_pwrdn_out_10 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 10)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_10
+          (
+            .clk(pcs_clk_c10),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_10),
+            .alt_sync(rx_syncstatus[10]),
+            .alt_disperr(rx_disp_err[10]),
+            .alt_ctrldetect(rx_kchar_10),
+            .alt_errdetect(rx_char_err_gx[10]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[10]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[10]),
+            .alt_runlengthviolation(rx_runlengthviolation[10]),
+            .alt_patterndetect(rx_patterndetect[10]),
+            .alt_runningdisp(rx_runningdisp[10]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_10),
+            .altpcs_sync(link_status[10]),
+            .altpcs_disperr(led_disp_err_10),
+            .altpcs_ctrldetect(pcs_rx_kchar_10),
+            .altpcs_errdetect(led_char_err_gx[10]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[10]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[10]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[10])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_10.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_10
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[10]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_10),
+            .reconfig_togxb(reconfig_togxb_10),
+            .reconfig_fromgxb(reconfig_fromgxb_10),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_10),
+            .rx_datain (rxp_10),
+            .rx_dataout (rx_frame_10),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[10]),
+            .rx_errdetect (rx_char_err_gx[10]),
+            .rx_patterndetect (rx_patterndetect[10]),
+            .rx_rlv (rx_runlengthviolation[10]),
+            .rx_seriallpbken (sd_loopback_10),
+            .rx_syncstatus (rx_syncstatus[10]),
+            .tx_clkout (pcs_clk_c10),
+            .tx_ctrlenable (tx_kchar_10),
+            .tx_datain (tx_frame_10),
+            .tx_dataout (txp_10),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[10]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[10]),
+            .rx_runningdisp(rx_runningdisp[10])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_10.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_10.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 40,
+        the_altera_tse_gxb_gige_inst_10.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_10 = {17{1'b0}};
+    assign led_char_err_gx[10] = 1'b0;
+    assign link_status[10] = 1'b0;
+    assign led_disp_err_10 = 1'b0;
+    assign txp_10 = 1'b0;
+	assign pcs_clk_c10 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 11 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 11)
+    begin          
+        assign gxb_pwrdn_in_sig[11] = gxb_pwrdn_in_11;
+        assign pcs_pwrdn_out_11 = pcs_pwrdn_out_sig[11];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[11] = pcs_pwrdn_out_sig[11];
+		assign pcs_pwrdn_out_11 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 11)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_11
+          (
+            .clk(pcs_clk_c11),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_11),
+            .alt_sync(rx_syncstatus[11]),
+            .alt_disperr(rx_disp_err[11]),
+            .alt_ctrldetect(rx_kchar_11),
+            .alt_errdetect(rx_char_err_gx[11]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[11]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[11]),
+            .alt_runlengthviolation(rx_runlengthviolation[11]),
+            .alt_patterndetect(rx_patterndetect[11]),
+            .alt_runningdisp(rx_runningdisp[11]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_11),
+            .altpcs_sync(link_status[11]),
+            .altpcs_disperr(led_disp_err_11),
+            .altpcs_ctrldetect(pcs_rx_kchar_11),
+            .altpcs_errdetect(led_char_err_gx[11]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[11]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[11]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[11])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_11.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_11
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[11]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_11),
+            .reconfig_togxb(reconfig_togxb_11),
+            .reconfig_fromgxb(reconfig_fromgxb_11),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_11),
+            .rx_datain (rxp_11),
+            .rx_dataout (rx_frame_11),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[11]),
+            .rx_errdetect (rx_char_err_gx[11]),
+            .rx_patterndetect (rx_patterndetect[11]),
+            .rx_rlv (rx_runlengthviolation[11]),
+            .rx_seriallpbken (sd_loopback_11),
+            .rx_syncstatus (rx_syncstatus[11]),
+            .tx_clkout (pcs_clk_c11),
+            .tx_ctrlenable (tx_kchar_11),
+            .tx_datain (tx_frame_11),
+            .tx_dataout (txp_11),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[11]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[11]),
+            .rx_runningdisp(rx_runningdisp[11])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_11.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_11.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 44,
+        the_altera_tse_gxb_gige_inst_11.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_11 = {17{1'b0}};
+    assign led_char_err_gx[11] = 1'b0;
+    assign link_status[11] = 1'b0;
+    assign led_disp_err_11 = 1'b0;
+    assign txp_11 = 1'b0;
+	assign pcs_clk_c11 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 12 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 12)
+    begin          
+        assign gxb_pwrdn_in_sig[12] = gxb_pwrdn_in_12;
+        assign pcs_pwrdn_out_12 = pcs_pwrdn_out_sig[12];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[12] = pcs_pwrdn_out_sig[12];
+		assign pcs_pwrdn_out_12 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 12)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_12
+          (
+            .clk(pcs_clk_c12),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_12),
+            .alt_sync(rx_syncstatus[12]),
+            .alt_disperr(rx_disp_err[12]),
+            .alt_ctrldetect(rx_kchar_12),
+            .alt_errdetect(rx_char_err_gx[12]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[12]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[12]),
+            .alt_runlengthviolation(rx_runlengthviolation[12]),
+            .alt_patterndetect(rx_patterndetect[12]),
+            .alt_runningdisp(rx_runningdisp[12]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_12),
+            .altpcs_sync(link_status[12]),
+            .altpcs_disperr(led_disp_err_12),
+            .altpcs_ctrldetect(pcs_rx_kchar_12),
+            .altpcs_errdetect(led_char_err_gx[12]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[12]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[12]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[12])
+           ) ;
+ 		defparam
+		the_altera_tse_gxb_aligned_rxsync_12.DEVICE_FAMILY = DEVICE_FAMILY;   
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_12
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[12]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_12),
+            .reconfig_togxb(reconfig_togxb_12),
+            .reconfig_fromgxb(reconfig_fromgxb_12),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_12),
+            .rx_datain (rxp_12),
+            .rx_dataout (rx_frame_12),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[12]),
+            .rx_errdetect (rx_char_err_gx[12]),
+            .rx_patterndetect (rx_patterndetect[12]),
+            .rx_rlv (rx_runlengthviolation[12]),
+            .rx_seriallpbken (sd_loopback_12),
+            .rx_syncstatus (rx_syncstatus[12]),
+            .tx_clkout (pcs_clk_c12),
+            .tx_ctrlenable (tx_kchar_12),
+            .tx_datain (tx_frame_12),
+            .tx_dataout (txp_12),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[12]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[12]),
+            .rx_runningdisp(rx_runningdisp[12])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_12.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_12.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 48,
+        the_altera_tse_gxb_gige_inst_12.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_12 = {17{1'b0}};
+    assign led_char_err_gx[12] = 1'b0;
+    assign link_status[12] = 1'b0;
+    assign led_disp_err_12 = 1'b0;
+    assign txp_12 = 1'b0;
+	assign pcs_clk_c12 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 13 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 13)
+    begin          
+        assign gxb_pwrdn_in_sig[13] = gxb_pwrdn_in_13;
+        assign pcs_pwrdn_out_13 = pcs_pwrdn_out_sig[13];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[13] = pcs_pwrdn_out_sig[13];
+		assign pcs_pwrdn_out_13 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 13)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_13
+          (
+            .clk(pcs_clk_c13),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_13),
+            .alt_sync(rx_syncstatus[13]),
+            .alt_disperr(rx_disp_err[13]),
+            .alt_ctrldetect(rx_kchar_13),
+            .alt_errdetect(rx_char_err_gx[13]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[13]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[13]),
+            .alt_runlengthviolation(rx_runlengthviolation[13]),
+            .alt_patterndetect(rx_patterndetect[13]),
+            .alt_runningdisp(rx_runningdisp[13]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_13),
+            .altpcs_sync(link_status[13]),
+            .altpcs_disperr(led_disp_err_13),
+            .altpcs_ctrldetect(pcs_rx_kchar_13),
+            .altpcs_errdetect(led_char_err_gx[13]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[13]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[13]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[13])
+           ) ;
+ 		defparam
+		the_altera_tse_gxb_aligned_rxsync_13.DEVICE_FAMILY = DEVICE_FAMILY;   
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_13
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[13]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_13),
+            .reconfig_togxb(reconfig_togxb_13),
+            .reconfig_fromgxb(reconfig_fromgxb_13),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_13),
+            .rx_datain (rxp_13),
+            .rx_dataout (rx_frame_13),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[13]),
+            .rx_errdetect (rx_char_err_gx[13]),
+            .rx_patterndetect (rx_patterndetect[13]),
+            .rx_rlv (rx_runlengthviolation[13]),
+            .rx_seriallpbken (sd_loopback_13),
+            .rx_syncstatus (rx_syncstatus[13]),
+            .tx_clkout (pcs_clk_c13),
+            .tx_ctrlenable (tx_kchar_13),
+            .tx_datain (tx_frame_13),
+            .tx_dataout (txp_13),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[13]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[13]),
+            .rx_runningdisp(rx_runningdisp[13])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_13.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_13.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 52,
+        the_altera_tse_gxb_gige_inst_13.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_13 = {17{1'b0}};
+    assign led_char_err_gx[13] = 1'b0;
+    assign link_status[13] = 1'b0;
+    assign led_disp_err_13 = 1'b0;
+    assign txp_13 = 1'b0;
+	assign pcs_clk_c13 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 14 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 14)
+    begin          
+        assign gxb_pwrdn_in_sig[14] = gxb_pwrdn_in_14;
+        assign pcs_pwrdn_out_14 = pcs_pwrdn_out_sig[14];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[14] = pcs_pwrdn_out_sig[14];
+		assign pcs_pwrdn_out_14 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 14)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_14
+          (
+            .clk(pcs_clk_c14),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_14),
+            .alt_sync(rx_syncstatus[14]),
+            .alt_disperr(rx_disp_err[14]),
+            .alt_ctrldetect(rx_kchar_14),
+            .alt_errdetect(rx_char_err_gx[14]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[14]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[14]),
+            .alt_runlengthviolation(rx_runlengthviolation[14]),
+            .alt_patterndetect(rx_patterndetect[14]),
+            .alt_runningdisp(rx_runningdisp[14]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_14),
+            .altpcs_sync(link_status[14]),
+            .altpcs_disperr(led_disp_err_14),
+            .altpcs_ctrldetect(pcs_rx_kchar_14),
+            .altpcs_errdetect(led_char_err_gx[14]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[14]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[14]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[14])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_14.DEVICE_FAMILY = DEVICE_FAMILY;
+		
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_14
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[14]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_14),
+            .reconfig_togxb(reconfig_togxb_14),
+            .reconfig_fromgxb(reconfig_fromgxb_14),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_14),
+            .rx_datain (rxp_14),
+            .rx_dataout (rx_frame_14),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[14]),
+            .rx_errdetect (rx_char_err_gx[14]),
+            .rx_patterndetect (rx_patterndetect[14]),
+            .rx_rlv (rx_runlengthviolation[14]),
+            .rx_seriallpbken (sd_loopback_14),
+            .rx_syncstatus (rx_syncstatus[14]),
+            .tx_clkout (pcs_clk_c14),
+            .tx_ctrlenable (tx_kchar_14),
+            .tx_datain (tx_frame_14),
+            .tx_dataout (txp_14),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[14]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[14]),
+            .rx_runningdisp(rx_runningdisp[14])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_14.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_14.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 56,
+        the_altera_tse_gxb_gige_inst_14.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_14 = {17{1'b0}};
+    assign led_char_err_gx[14] = 1'b0;
+    assign link_status[14] = 1'b0;
+    assign led_disp_err_14 = 1'b0;
+    assign txp_14 = 1'b0;
+	assign pcs_clk_c14 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 15 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 15)
+    begin          
+        assign gxb_pwrdn_in_sig[15] = gxb_pwrdn_in_15;
+        assign pcs_pwrdn_out_15 = pcs_pwrdn_out_sig[15];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[15] = pcs_pwrdn_out_sig[15];
+		assign pcs_pwrdn_out_15 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 15)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_15
+          (
+            .clk(pcs_clk_c15),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_15),
+            .alt_sync(rx_syncstatus[15]),
+            .alt_disperr(rx_disp_err[15]),
+            .alt_ctrldetect(rx_kchar_15),
+            .alt_errdetect(rx_char_err_gx[15]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[15]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[15]),
+            .alt_runlengthviolation(rx_runlengthviolation[15]),
+            .alt_patterndetect(rx_patterndetect[15]),
+            .alt_runningdisp(rx_runningdisp[15]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_15),
+            .altpcs_sync(link_status[15]),
+            .altpcs_disperr(led_disp_err_15),
+            .altpcs_ctrldetect(pcs_rx_kchar_15),
+            .altpcs_errdetect(led_char_err_gx[15]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[15]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[15]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[15])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_15.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_15
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[15]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_15),
+            .reconfig_togxb(reconfig_togxb_15),
+            .reconfig_fromgxb(reconfig_fromgxb_15),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_15),
+            .rx_datain (rxp_15),
+            .rx_dataout (rx_frame_15),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[15]),
+            .rx_errdetect (rx_char_err_gx[15]),
+            .rx_patterndetect (rx_patterndetect[15]),
+            .rx_rlv (rx_runlengthviolation[15]),
+            .rx_seriallpbken (sd_loopback_15),
+            .rx_syncstatus (rx_syncstatus[15]),
+            .tx_clkout (pcs_clk_c15),
+            .tx_ctrlenable (tx_kchar_15),
+            .tx_datain (tx_frame_15),
+            .tx_dataout (txp_15),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[15]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[15]),
+            .rx_runningdisp(rx_runningdisp[15])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_15.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_15.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 60,
+        the_altera_tse_gxb_gige_inst_15.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_15 = {17{1'b0}};
+    assign led_char_err_gx[15] = 1'b0;
+    assign link_status[15] = 1'b0;
+    assign led_disp_err_15 = 1'b0;
+    assign txp_15 = 1'b0;
+	assign pcs_clk_c15 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 16 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 16)
+    begin          
+        assign gxb_pwrdn_in_sig[16] = gxb_pwrdn_in_16;
+        assign pcs_pwrdn_out_16 = pcs_pwrdn_out_sig[16];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[16] = pcs_pwrdn_out_sig[16];
+		assign pcs_pwrdn_out_16 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 16)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_16
+          (
+            .clk(pcs_clk_c16),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_16),
+            .alt_sync(rx_syncstatus[16]),
+            .alt_disperr(rx_disp_err[16]),
+            .alt_ctrldetect(rx_kchar_16),
+            .alt_errdetect(rx_char_err_gx[16]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[16]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[16]),
+            .alt_runlengthviolation(rx_runlengthviolation[16]),
+            .alt_patterndetect(rx_patterndetect[16]),
+            .alt_runningdisp(rx_runningdisp[16]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_16),
+            .altpcs_sync(link_status[16]),
+            .altpcs_disperr(led_disp_err_16),
+            .altpcs_ctrldetect(pcs_rx_kchar_16),
+            .altpcs_errdetect(led_char_err_gx[16]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[16]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[16]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[16])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_16.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_16
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[16]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_16),
+            .reconfig_togxb(reconfig_togxb_16),
+            .reconfig_fromgxb(reconfig_fromgxb_16),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_16),
+            .rx_datain (rxp_16),
+            .rx_dataout (rx_frame_16),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[16]),
+            .rx_errdetect (rx_char_err_gx[16]),
+            .rx_patterndetect (rx_patterndetect[16]),
+            .rx_rlv (rx_runlengthviolation[16]),
+            .rx_seriallpbken (sd_loopback_16),
+            .rx_syncstatus (rx_syncstatus[16]),
+            .tx_clkout (pcs_clk_c16),
+            .tx_ctrlenable (tx_kchar_16),
+            .tx_datain (tx_frame_16),
+            .tx_dataout (txp_16),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[16]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[16]),
+            .rx_runningdisp(rx_runningdisp[16])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_16.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_16.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 64,
+        the_altera_tse_gxb_gige_inst_16.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_16 = {17{1'b0}};
+    assign led_char_err_gx[16] = 1'b0;
+    assign link_status[16] = 1'b0;
+    assign led_disp_err_16 = 1'b0;
+    assign txp_16 = 1'b0;
+	assign pcs_clk_c16 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 17 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 17)
+    begin          
+        assign gxb_pwrdn_in_sig[17] = gxb_pwrdn_in_17;
+        assign pcs_pwrdn_out_17 = pcs_pwrdn_out_sig[17];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[17] = pcs_pwrdn_out_sig[17];
+		assign pcs_pwrdn_out_17 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 17)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_17
+          (
+            .clk(pcs_clk_c17),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_17),
+            .alt_sync(rx_syncstatus[17]),
+            .alt_disperr(rx_disp_err[17]),
+            .alt_ctrldetect(rx_kchar_17),
+            .alt_errdetect(rx_char_err_gx[17]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[17]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[17]),
+            .alt_runlengthviolation(rx_runlengthviolation[17]),
+            .alt_patterndetect(rx_patterndetect[17]),
+            .alt_runningdisp(rx_runningdisp[17]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_17),
+            .altpcs_sync(link_status[17]),
+            .altpcs_disperr(led_disp_err_17),
+            .altpcs_ctrldetect(pcs_rx_kchar_17),
+            .altpcs_errdetect(led_char_err_gx[17]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[17]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[17]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[17])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_17.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_17
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[17]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_17),
+            .reconfig_togxb(reconfig_togxb_17),
+            .reconfig_fromgxb(reconfig_fromgxb_17),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_17),
+            .rx_datain (rxp_17),
+            .rx_dataout (rx_frame_17),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[17]),
+            .rx_errdetect (rx_char_err_gx[17]),
+            .rx_patterndetect (rx_patterndetect[17]),
+            .rx_rlv (rx_runlengthviolation[17]),
+            .rx_seriallpbken (sd_loopback_17),
+            .rx_syncstatus (rx_syncstatus[17]),
+            .tx_clkout (pcs_clk_c17),
+            .tx_ctrlenable (tx_kchar_17),
+            .tx_datain (tx_frame_17),
+            .tx_dataout (txp_17),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[17]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[17]),
+            .rx_runningdisp(rx_runningdisp[17])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_17.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_17.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 68,
+        the_altera_tse_gxb_gige_inst_17.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_17 = {17{1'b0}};
+    assign led_char_err_gx[17] = 1'b0;
+    assign link_status[17] = 1'b0;
+    assign led_disp_err_17 = 1'b0;
+    assign txp_17 = 1'b0;
+	assign pcs_clk_c17 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 18 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 18)
+    begin          
+        assign gxb_pwrdn_in_sig[18] = gxb_pwrdn_in_18;
+        assign pcs_pwrdn_out_18 = pcs_pwrdn_out_sig[18];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[18] = pcs_pwrdn_out_sig[18];
+		assign pcs_pwrdn_out_18 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 18)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_18
+          (
+            .clk(pcs_clk_c18),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_18),
+            .alt_sync(rx_syncstatus[18]),
+            .alt_disperr(rx_disp_err[18]),
+            .alt_ctrldetect(rx_kchar_18),
+            .alt_errdetect(rx_char_err_gx[18]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[18]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[18]),
+            .alt_runlengthviolation(rx_runlengthviolation[18]),
+            .alt_patterndetect(rx_patterndetect[18]),
+            .alt_runningdisp(rx_runningdisp[18]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_18),
+            .altpcs_sync(link_status[18]),
+            .altpcs_disperr(led_disp_err_18),
+            .altpcs_ctrldetect(pcs_rx_kchar_18),
+            .altpcs_errdetect(led_char_err_gx[18]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[18]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[18]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[18])
+           ) ;
+ 		defparam
+		the_altera_tse_gxb_aligned_rxsync_18.DEVICE_FAMILY = DEVICE_FAMILY;   
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_18
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[18]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_18),
+            .reconfig_togxb(reconfig_togxb_18),
+            .reconfig_fromgxb(reconfig_fromgxb_18),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_18),
+            .rx_datain (rxp_18),
+            .rx_dataout (rx_frame_18),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[18]),
+            .rx_errdetect (rx_char_err_gx[18]),
+            .rx_patterndetect (rx_patterndetect[18]),
+            .rx_rlv (rx_runlengthviolation[18]),
+            .rx_seriallpbken (sd_loopback_18),
+            .rx_syncstatus (rx_syncstatus[18]),
+            .tx_clkout (pcs_clk_c18),
+            .tx_ctrlenable (tx_kchar_18),
+            .tx_datain (tx_frame_18),
+            .tx_dataout (txp_18),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[18]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[18]),
+            .rx_runningdisp(rx_runningdisp[18])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_18.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_18.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 72,
+        the_altera_tse_gxb_gige_inst_18.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_18 = {17{1'b0}};
+    assign led_char_err_gx[18] = 1'b0;
+    assign link_status[18] = 1'b0;
+    assign led_disp_err_18 = 1'b0;
+    assign txp_18 = 1'b0;
+	assign pcs_clk_c18 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 19 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 19)
+    begin          
+        assign gxb_pwrdn_in_sig[19] = gxb_pwrdn_in_19;
+        assign pcs_pwrdn_out_19 = pcs_pwrdn_out_sig[19];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[19] = pcs_pwrdn_out_sig[19];
+		assign pcs_pwrdn_out_19 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 19)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_19
+          (
+            .clk(pcs_clk_c19),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_19),
+            .alt_sync(rx_syncstatus[19]),
+            .alt_disperr(rx_disp_err[19]),
+            .alt_ctrldetect(rx_kchar_19),
+            .alt_errdetect(rx_char_err_gx[19]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[19]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[19]),
+            .alt_runlengthviolation(rx_runlengthviolation[19]),
+            .alt_patterndetect(rx_patterndetect[19]),
+            .alt_runningdisp(rx_runningdisp[19]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_19),
+            .altpcs_sync(link_status[19]),
+            .altpcs_disperr(led_disp_err_19),
+            .altpcs_ctrldetect(pcs_rx_kchar_19),
+            .altpcs_errdetect(led_char_err_gx[19]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[19]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[19]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[19])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_19.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_19
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[19]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_19),
+            .reconfig_togxb(reconfig_togxb_19),
+            .reconfig_fromgxb(reconfig_fromgxb_19),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_19),
+            .rx_datain (rxp_19),
+            .rx_dataout (rx_frame_19),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[19]),
+            .rx_errdetect (rx_char_err_gx[19]),
+            .rx_patterndetect (rx_patterndetect[19]),
+            .rx_rlv (rx_runlengthviolation[19]),
+            .rx_seriallpbken (sd_loopback_19),
+            .rx_syncstatus (rx_syncstatus[19]),
+            .tx_clkout (pcs_clk_c19),
+            .tx_ctrlenable (tx_kchar_19),
+            .tx_datain (tx_frame_19),
+            .tx_dataout (txp_19),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[19]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[19]),
+            .rx_runningdisp(rx_runningdisp[19])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_19.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_19.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 76,
+        the_altera_tse_gxb_gige_inst_19.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_19 = {17{1'b0}};
+    assign led_char_err_gx[19] = 1'b0;
+    assign link_status[19] = 1'b0;
+    assign led_disp_err_19 = 1'b0;
+    assign txp_19 = 1'b0;
+	assign pcs_clk_c19 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 20 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 20)
+    begin          
+        assign gxb_pwrdn_in_sig[20] = gxb_pwrdn_in_20;
+        assign pcs_pwrdn_out_20 = pcs_pwrdn_out_sig[20];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[20] = pcs_pwrdn_out_sig[20];
+		assign pcs_pwrdn_out_20 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 20)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_20
+          (
+            .clk(pcs_clk_c20),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_20),
+            .alt_sync(rx_syncstatus[20]),
+            .alt_disperr(rx_disp_err[20]),
+            .alt_ctrldetect(rx_kchar_20),
+            .alt_errdetect(rx_char_err_gx[20]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[20]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[20]),
+            .alt_runlengthviolation(rx_runlengthviolation[20]),
+            .alt_patterndetect(rx_patterndetect[20]),
+            .alt_runningdisp(rx_runningdisp[20]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_20),
+            .altpcs_sync(link_status[20]),
+            .altpcs_disperr(led_disp_err_20),
+            .altpcs_ctrldetect(pcs_rx_kchar_20),
+            .altpcs_errdetect(led_char_err_gx[20]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[20]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[20]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[20])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_20.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_20
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[20]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_20),
+            .reconfig_togxb(reconfig_togxb_20),
+            .reconfig_fromgxb(reconfig_fromgxb_20),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_20),
+            .rx_datain (rxp_20),
+            .rx_dataout (rx_frame_20),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[20]),
+            .rx_errdetect (rx_char_err_gx[20]),
+            .rx_patterndetect (rx_patterndetect[20]),
+            .rx_rlv (rx_runlengthviolation[20]),
+            .rx_seriallpbken (sd_loopback_20),
+            .rx_syncstatus (rx_syncstatus[20]),
+            .tx_clkout (pcs_clk_c20),
+            .tx_ctrlenable (tx_kchar_20),
+            .tx_datain (tx_frame_20),
+            .tx_dataout (txp_20),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[20]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[20]),
+            .rx_runningdisp(rx_runningdisp[20])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_20.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_20.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 80,
+        the_altera_tse_gxb_gige_inst_20.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_20 = {17{1'b0}};
+    assign led_char_err_gx[20] = 1'b0;
+    assign link_status[20] = 1'b0;
+    assign led_disp_err_20 = 1'b0;
+    assign txp_20 = 1'b0;
+	assign pcs_clk_c20 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 21 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 21)
+    begin          
+        assign gxb_pwrdn_in_sig[21] = gxb_pwrdn_in_21;
+        assign pcs_pwrdn_out_21 = pcs_pwrdn_out_sig[21];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[21] = pcs_pwrdn_out_sig[21];
+		assign pcs_pwrdn_out_21 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 21)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_21
+          (
+            .clk(pcs_clk_c21),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_21),
+            .alt_sync(rx_syncstatus[21]),
+            .alt_disperr(rx_disp_err[21]),
+            .alt_ctrldetect(rx_kchar_21),
+            .alt_errdetect(rx_char_err_gx[21]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[21]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[21]),
+            .alt_runlengthviolation(rx_runlengthviolation[21]),
+            .alt_patterndetect(rx_patterndetect[21]),
+            .alt_runningdisp(rx_runningdisp[21]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_21),
+            .altpcs_sync(link_status[21]),
+            .altpcs_disperr(led_disp_err_21),
+            .altpcs_ctrldetect(pcs_rx_kchar_21),
+            .altpcs_errdetect(led_char_err_gx[21]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[21]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[21]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[21])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_21.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_21
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[21]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_21),
+            .reconfig_togxb(reconfig_togxb_21),
+            .reconfig_fromgxb(reconfig_fromgxb_21),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_21),
+            .rx_datain (rxp_21),
+            .rx_dataout (rx_frame_21),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[21]),
+            .rx_errdetect (rx_char_err_gx[21]),
+            .rx_patterndetect (rx_patterndetect[21]),
+            .rx_rlv (rx_runlengthviolation[21]),
+            .rx_seriallpbken (sd_loopback_21),
+            .rx_syncstatus (rx_syncstatus[21]),
+            .tx_clkout (pcs_clk_c21),
+            .tx_ctrlenable (tx_kchar_21),
+            .tx_datain (tx_frame_21),
+            .tx_dataout (txp_21),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[21]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[21]),
+            .rx_runningdisp(rx_runningdisp[21])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_21.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_21.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 84,
+        the_altera_tse_gxb_gige_inst_21.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_21 = {17{1'b0}};
+    assign led_char_err_gx[21] = 1'b0;
+    assign link_status[21] = 1'b0;
+    assign led_disp_err_21 = 1'b0;
+    assign txp_21 = 1'b0;
+	assign pcs_clk_c21 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 22 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 22)
+    begin          
+        assign gxb_pwrdn_in_sig[22] = gxb_pwrdn_in_22;
+        assign pcs_pwrdn_out_22 = pcs_pwrdn_out_sig[22];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[22] = pcs_pwrdn_out_sig[22];
+		assign pcs_pwrdn_out_22 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 22)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_22
+          (
+            .clk(pcs_clk_c22),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_22),
+            .alt_sync(rx_syncstatus[22]),
+            .alt_disperr(rx_disp_err[22]),
+            .alt_ctrldetect(rx_kchar_22),
+            .alt_errdetect(rx_char_err_gx[22]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[22]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[22]),
+            .alt_runlengthviolation(rx_runlengthviolation[22]),
+            .alt_patterndetect(rx_patterndetect[22]),
+            .alt_runningdisp(rx_runningdisp[22]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_22),
+            .altpcs_sync(link_status[22]),
+            .altpcs_disperr(led_disp_err_22),
+            .altpcs_ctrldetect(pcs_rx_kchar_22),
+            .altpcs_errdetect(led_char_err_gx[22]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[22]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[22]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[22])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_22.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_22
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[22]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_22),
+            .reconfig_togxb(reconfig_togxb_22),
+            .reconfig_fromgxb(reconfig_fromgxb_22),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_22),
+            .rx_datain (rxp_22),
+            .rx_dataout (rx_frame_22),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[22]),
+            .rx_errdetect (rx_char_err_gx[22]),
+            .rx_patterndetect (rx_patterndetect[22]),
+            .rx_rlv (rx_runlengthviolation[22]),
+            .rx_seriallpbken (sd_loopback_22),
+            .rx_syncstatus (rx_syncstatus[22]),
+            .tx_clkout (pcs_clk_c22),
+            .tx_ctrlenable (tx_kchar_22),
+            .tx_datain (tx_frame_22),
+            .tx_dataout (txp_22),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[22]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[22]),
+            .rx_runningdisp(rx_runningdisp[22])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_22.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_22.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 88,
+        the_altera_tse_gxb_gige_inst_22.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_22 = {17{1'b0}};
+    assign led_char_err_gx[22] = 1'b0;
+    assign link_status[22] = 1'b0;
+    assign led_disp_err_22 = 1'b0;
+    assign txp_22 = 1'b0;
+	assign pcs_clk_c22 = 1'b0;
+    end      
+endgenerate
+
+
+
+// #######################################################################
+// ###############       CHANNEL 23 LOGIC/COMPONENTS       ###############
+// #######################################################################
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1 && MAX_CHANNELS > 23)
+    begin          
+        assign gxb_pwrdn_in_sig[23] = gxb_pwrdn_in_23;
+        assign pcs_pwrdn_out_23 = pcs_pwrdn_out_sig[23];
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig[23] = pcs_pwrdn_out_sig[23];
+		assign pcs_pwrdn_out_23 = 1'b0;
+    end      
+endgenerate
+
+
+generate if (MAX_CHANNELS > 23)
+    begin  
+    // Instantiation of the Alt2gxb and Alt4gxb block as the PMA for Stratix_II_GX ,ArriaGX and Stratix IV devices
+    // ----------------------------------------------------------------------------------- 
+    
+
+        // Aligned Rx_sync from gxb
+        // -------------------------------
+        altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync_23
+          (
+            .clk(pcs_clk_c23),
+            .reset(MAC_PCS_reset),
+            //input (from alt2gxb)
+            .alt_dataout(rx_frame_23),
+            .alt_sync(rx_syncstatus[23]),
+            .alt_disperr(rx_disp_err[23]),
+            .alt_ctrldetect(rx_kchar_23),
+            .alt_errdetect(rx_char_err_gx[23]),
+            .alt_rmfifodatadeleted(rx_rmfifodatadeleted[23]),
+            .alt_rmfifodatainserted(rx_rmfifodatainserted[23]),
+            .alt_runlengthviolation(rx_runlengthviolation[23]),
+            .alt_patterndetect(rx_patterndetect[23]),
+            .alt_runningdisp(rx_runningdisp[23]),
+    
+            //output (to PCS)
+            .altpcs_dataout(pcs_rx_frame_23),
+            .altpcs_sync(link_status[23]),
+            .altpcs_disperr(led_disp_err_23),
+            .altpcs_ctrldetect(pcs_rx_kchar_23),
+            .altpcs_errdetect(led_char_err_gx[23]),
+            .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted[23]),
+            .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted[23]),
+            .altpcs_carrierdetect(pcs_rx_carrierdetected[23])
+           ) ;
+		defparam
+		the_altera_tse_gxb_aligned_rxsync_23.DEVICE_FAMILY = DEVICE_FAMILY;    
+
+        // Altgxb in GIGE mode
+        // --------------------
+        altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst_23
+          (
+            .cal_blk_clk (gxb_cal_blk_clk),
+            .gxb_powerdown (gxb_pwrdn_in_sig[23]),
+            .pll_inclk (ref_clk),
+            .reconfig_clk(reconfig_clk_23),
+            .reconfig_togxb(reconfig_togxb_23),
+            .reconfig_fromgxb(reconfig_fromgxb_23),              
+            .rx_analogreset (reset),
+            .rx_cruclk (ref_clk),
+            .rx_ctrldetect (rx_kchar_23),
+            .rx_datain (rxp_23),
+            .rx_dataout (rx_frame_23),
+            .rx_digitalreset (pma_digital_rst2),
+            .rx_disperr (rx_disp_err[23]),
+            .rx_errdetect (rx_char_err_gx[23]),
+            .rx_patterndetect (rx_patterndetect[23]),
+            .rx_rlv (rx_runlengthviolation[23]),
+            .rx_seriallpbken (sd_loopback_23),
+            .rx_syncstatus (rx_syncstatus[23]),
+            .tx_clkout (pcs_clk_c23),
+            .tx_ctrlenable (tx_kchar_23),
+            .tx_datain (tx_frame_23),
+            .tx_dataout (txp_23),
+            .tx_digitalreset (pma_digital_rst2),
+            .rx_rmfifodatadeleted(rx_rmfifodatadeleted[23]),
+            .rx_rmfifodatainserted(rx_rmfifodatainserted[23]),
+            .rx_runningdisp(rx_runningdisp[23])
+          );
+   defparam
+        the_altera_tse_gxb_gige_inst_23.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+        the_altera_tse_gxb_gige_inst_23.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER + 92,
+        the_altera_tse_gxb_gige_inst_23.DEVICE_FAMILY = DEVICE_FAMILY; 
+    end
+else
+    begin
+    assign reconfig_fromgxb_23 = {17{1'b0}};
+    assign led_char_err_gx[23] = 1'b0;
+    assign link_status[23] = 1'b0;
+    assign led_disp_err_23 = 1'b0;
+    assign txp_23 = 1'b0;
+    assign pcs_clk_c23 = 1'b0;	
+    end      
+endgenerate
+
+
+endmodule // module altera_tse_multi_mac_pcs_pma_gige
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs.v
new file mode 100644
index 0000000000..564738ff5e
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs.v
@@ -0,0 +1,211 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_pcs.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_pcs.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level module for Triple Speed Ethernet PCS
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_pcs /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+
+    reg_clk,                     // Avalon slave - clock
+    reg_rd,                      // Avalon slave - read
+    reg_wr,                      // Avalon slave - write
+    reg_addr,                    // Avalon slave - address
+    reg_data_in,                 // Avalon slave - writedata
+    reg_data_out,                // Avalon slave - readdata
+    reg_busy,                    // Avalon slave - waitrequest
+    reset_reg_clk,               // Avalon slave - reset  
+    reset_rx_clk,
+    reset_tx_clk,
+    rx_clk,
+    tx_clk,
+	rx_clkena,
+	tx_clkena,
+    gmii_rx_dv,
+    gmii_rx_d,
+    gmii_rx_err,
+    gmii_tx_en,
+    gmii_tx_d,
+    gmii_tx_err,
+    mii_rx_dv,
+    mii_rx_d,
+    mii_rx_err,
+    mii_tx_en,
+    mii_tx_d,
+    mii_tx_err,
+    mii_col,
+    mii_crs,
+    tbi_rx_clk,
+    tbi_tx_clk,
+    tbi_rx_d,
+    tbi_tx_d,
+    sd_loopback,
+    powerdown,
+    set_10,
+    set_100,
+    set_1000,
+    hd_ena,
+    led_col,
+    led_an,
+    led_char_err,
+    led_disp_err,
+    led_crs,
+    led_link);
+
+
+parameter PHY_IDENTIFIER     = 32'h 00000000 ; 
+parameter DEV_VERSION        = 16'h 0001 ; 
+parameter ENABLE_SGMII       = 1;                 //  Enable SGMII logic for synthesis
+parameter SYNCHRONIZER_DEPTH = 3;	  	  //  Number of synchronizer   
+
+input   reset_rx_clk;           //  Asynchronous Reset - rx_clk Domain
+input   reset_tx_clk;           //  Asynchronous Reset - tx_clk Domain
+input   reset_reg_clk;          //  Asynchronous Reset - clk Domain
+output  rx_clk;                 //  MAC Receive clock
+output  tx_clk;                 //  MAC Transmit clock
+output  rx_clkena;              //  MAC Receive Clock Enable
+output  tx_clkena;              //  MAC Transmit Clock Enable
+output  gmii_rx_dv;             //  GMII Receive Enable
+output  [7:0] gmii_rx_d;        //  GMII Receive Data
+output  gmii_rx_err;            //  GMII Receive Error
+input   gmii_tx_en;             //  GMII Transmit Enable
+input   [7:0] gmii_tx_d;        //  GMII Transmit Data
+input   gmii_tx_err;            //  GMII Transmit Error
+output  mii_rx_dv;              //  MII Receive Enable
+output  [3:0] mii_rx_d;         //  MII Receive Data
+output  mii_rx_err;             //  MII Receive Error
+input   mii_tx_en;              //  MII Transmit Enable
+input   [3:0] mii_tx_d;         //  MII Transmit Data
+input   mii_tx_err;             //  MII Transmit Error
+output  mii_col;                //  MII Collision
+output  mii_crs;                //  MII Carrier Sense
+input   tbi_rx_clk;             //  125MHz Recoved Clock
+input   tbi_tx_clk;             //  125MHz Transmit Clock
+input   [9:0] tbi_rx_d;         //  Non Aligned 10-Bit Characters
+output  [9:0] tbi_tx_d;         //  Transmit TBI Interface
+output  sd_loopback;            //  SERDES Loopback Enable
+output  powerdown;              //  Powerdown Enable
+input   reg_clk;                //  Register Interface Clock
+input   reg_rd;                 //  Register Read Enable
+input   reg_wr;                 //  Register Write Enable
+input   [4:0] reg_addr;         //  Register Address
+input   [15:0] reg_data_in;     //  Register Input Data 
+output  [15:0] reg_data_out;    //  Register Output Data
+output  reg_busy;               //  Access Busy 
+output  led_crs;                //  Carrier Sense
+output  led_link;               //  Valid Link 
+output  hd_ena;                 //  Half-Duplex Enable
+output  led_col;                //  Collision Indication
+output  led_an;                 //  Auto-Negotiation Status
+output  led_char_err;           //  Character Error
+output  led_disp_err;           //  Disparity Error
+output  set_10;                 //  10Mbps Link Indication
+output  set_100;                //  100Mbps Link Indication
+output  set_1000;               //  Gigabit Link Indication
+
+wire    rx_clk;
+wire    tx_clk;
+wire    rx_clkena;
+wire    tx_clkena;
+wire    gmii_rx_dv;
+wire    [7:0] gmii_rx_d;
+wire    gmii_rx_err;
+wire    mii_rx_dv;
+wire    [3:0] mii_rx_d;
+wire    mii_rx_err;
+wire    mii_col;
+wire    mii_crs;
+wire    [9:0] tbi_tx_d;
+wire    sd_loopback;
+wire    powerdown;
+wire    [15:0] reg_data_out;
+wire    reg_busy;
+wire    led_crs;
+wire    led_link;
+wire    hd_ena;
+wire    led_col;
+wire    led_an;
+wire    led_char_err;
+wire    led_disp_err;
+wire    set_10;
+wire    set_100;
+wire    set_1000;
+
+
+
+    altera_tse_top_1000_base_x    top_1000_base_x_inst(
+        .reset_rx_clk(reset_rx_clk),
+        .reset_tx_clk(reset_tx_clk),
+        .reset_reg_clk(reset_reg_clk),
+        .rx_clk(rx_clk),
+        .tx_clk(tx_clk),
+		.rx_clkena(rx_clkena),
+		.tx_clkena(tx_clkena),
+		.ref_clk(1'b0),
+        .gmii_rx_dv(gmii_rx_dv),
+        .gmii_rx_d(gmii_rx_d),
+        .gmii_rx_err(gmii_rx_err),
+        .gmii_tx_en(gmii_tx_en),
+        .gmii_tx_d(gmii_tx_d),
+        .gmii_tx_err(gmii_tx_err),
+        .mii_rx_dv(mii_rx_dv),
+        .mii_rx_d(mii_rx_d),
+        .mii_rx_err(mii_rx_err),
+        .mii_tx_en(mii_tx_en),
+        .mii_tx_d(mii_tx_d),
+        .mii_tx_err(mii_tx_err),
+        .mii_col(mii_col),
+        .mii_crs(mii_crs),
+        .tbi_rx_clk(tbi_rx_clk),
+        .tbi_tx_clk(tbi_tx_clk),
+        .tbi_rx_d(tbi_rx_d),
+        .tbi_tx_d(tbi_tx_d),
+        .sd_loopback(sd_loopback),
+        .reg_clk(reg_clk),
+        .reg_rd(reg_rd),
+        .reg_wr(reg_wr),
+        .reg_addr(reg_addr),
+        .reg_data_in(reg_data_in),
+        .reg_data_out(reg_data_out),
+        .reg_busy(reg_busy),
+        .powerdown(powerdown),
+        .set_10(set_10),
+        .set_100(set_100),
+        .set_1000(set_1000),
+        .hd_ena(hd_ena),
+        .led_col(led_col),
+        .led_an(led_an),
+        .led_char_err(led_char_err),
+        .led_disp_err(led_disp_err),
+        .led_crs(led_crs),
+        .led_link(led_link));
+
+defparam
+    top_1000_base_x_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+    top_1000_base_x_inst.DEV_VERSION = DEV_VERSION,
+    top_1000_base_x_inst.ENABLE_SGMII = ENABLE_SGMII;
+
+
+
+endmodule
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_control.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_control.v
new file mode 100644
index 0000000000000000000000000000000000000000..ff0ac62f3685a0b71aff50a3f54564a1294b6696
GIT binary patch
literal 5832
zcmV;(7B}gO6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T~z;0L2F4{b!
zOUegIey35|=^xrbg^eVNG(cZLY_-pa28zV<i_lnq8Fun7wqyj5WF%CHIk|RNa#e@;
ziTBLpYg~JZ#2W@3n`icXraw-s63KDWL+|$+HmzDYR3DZy(_;1Ql3514Cma?M5_1R<
zHrT>$*Lbt+chv<0F_V$^#=VdDm5wolYNx-OxeYr5vlYHLtEW}Nxs-9V-_i(yZOI{W
zCHQ6OKF*Ud-?rq2Src?(#vdH$Js*gSejy)t*1}(Ai?E}t!^7|_lPs^!HT3IXY4c?$
zS#U8`E7qV<NXU|Ovy@@Q*W2)wJV30|-|qed?RyKKPlczHz+kf_V13tpgo#EEo&)m1
z0$MLeP>9rBu6HL3?E00)(t}8_9^{Qys%!2fkmqk!vrP|RPv-Z7TjS2}Y#}u=<5L!N
z;Cu^qFDN*+6-c&S>x!Jm8fT~lBhKToIBv)q7b&DL8_JOWzzww6t;Z#JESS4y7@QL^
zH>0`PmJl2B*R*>$5lo9Z29K^xj(FK>y#I)t^3gOe1?4IRc2BLR??NVkr%Hj>Q9EgT
z<A=P{64p5&nRPBnr2Rv**KPZ-se=5x0;S>P^pj*F{_K*lHNL^fH$_1&8gd@LHMT$m
zCAs1ZG+x)im>+>9)jU3iX>(6lv|3UCYDh3_<@2QoWi<AjAY0Icx5dMp8Y7atAgi=Y
zbvS)6f0C;B=N>;SWqpIwEz@L3VvK#BNL8k6?ENf{>}*zOV!}CtIZxtkurlECPs9<~
zs)4K)4HYkGB?Di<yFxk2BdQP90;@E!!7toil5mtXf#J<y>lz@;9+(q9^iWJbChY9?
z$I+u9Mi<j|)xFkvgJ#(0h^nw^^wWzsPh(Kgkcr`@9x(>53%|OZ(1hSc&!Xx93irWj
zQ@#fQ4pj9}wURjbyADzR5ouJGPXr_;`?K1(RQUZ>@ekc_635)=%KC<0P7M2%lSC+K
z)sS^(KagEtfsU@X5|7{DB*t%HL961l0V1nStAz8^a47;`0tnX~Lqw4p6dQ|>ylFkQ
zV$UC+;X?&ygAB3?{mfE3y^a}v!4m8r;^^DuA83D7e{e@DzZ_5}lAQ`J5#-Dmm*@BF
zYM>g%YHU@??!Z{$Gr6pXVS5=J=&@BWOiTmydmG?%dQzrV>?GKG!6PpSWQ`ra!wD%B
zCfHcsnsa@EE#RtQp{b`3C+}QKs8zL9ieFFWn|r2QX5AqTv^P16>!nM3g-~Rmhh?8h
zCAO#cD0sSVM*E&(ln9Wtc@O-<&+3L1$*P_I?+8cMBgxGlYY>%&)2clt!mW+IpFpKK
zK}P0}ony3?NS+!7I5dnca#$-ljZu(YmbSt&Qi<|sMkaAz3XJ`5xos^T(9$j4byN{(
zgzWXie*5@&u={AE9THZx%%IN5*wj8Nc?7^@Qb4}(0^CjdcT0J$sFPs`(>v03@^8;C
z$5xBetkUUZd-Fp2G{oqbelyB-`h*1d<?A(8vIcEKoK3wM96?C6G|Mf&;x;ipsff^D
z&6v~E@sl_*@I$|_hStCJ_&+pXRoEcL0eYx6kPCOk!#70j->;m_VFOeKoJkgU$?+VS
z(J6s3Il+k_g_EOaSN1R^AQ||VyXy0?qwB2R^ovK*{$QR{wL`aFgy3>{h0evGIw14G
zZeP;VE=wXftI_mDb4aEu^}vf$=a?}(RYLQ(jg0XuWuMa9Y!_ot)-h;7zl4uB9}nc;
zPspZeGX@;39&MZB)FFYC)Ecn6mnxsmKi-&JVAJP3I3Y{U<>6T?ePNOsimhA*n_niF
znY0zgrT`)el9Lm2=xtDu?n!hl8fh_%tYzTzL6b&&Lr*MR(Qc58v^xdXU76BjEiqW@
zfS6Ixs~b6zd7(4Y3Q1Sg+fUq~zMGbz3#^dG{n18I8?|x}-bWGuV%OV!FC%p;H%-@?
zV1hNQt|oC*Q1i(Zg$bi6C&MoyuU^Ctj`w0W-Fos&eERmc8^WxUmEHDXP}~`nN1@hZ
zX#KB$-D?^7ITk`hP6bN)97QV7Y)!~2^*xciQx!A2X|4c?KwuE%KAubFzJ?3D34z=$
z5>Y{B?S?#Q0t#{*xUih1z^Qr&Ic`kt{n68K)Vf<pX4E=&GhLmdh&9P*)g&CEL0T)D
zGlSnN5ib~~9U5f*#BrZrtGk_HEMeuN5%|RqOJ^7FiNJe8j3PP{Hcss^0=6<Tk383b
z=+GJV;cef3eX_W~QRN3^c&R_P+O}R=ubi;2ovd(!a~j#d6`zR2+4&|YGhj`d<nhjl
zDwR7Nn|ovI#2h;QSXhfbtw_e>)F3gm1LnbKgnaWq1`jHBpW7#OC*75j{2?K}!%`fO
zw#**qP5(~Hf^g3;f6_s#4{fxQBIcA{f~5RBr=a0h6BPBV-wi`Ya2}bRbPr0LTpz)a
zZY&;p2@r`q1x~^DaAajB<6oSqSp=2R=Wx$f{|n$A=+C@vsLav9LjvS`oYHN~;ZcKE
z20ZMtmIps!pgEl*TOGvG6%-*ftP`-VTGy7px}?BMrO@29{jsRX2l{@p7vYAQkPHkS
zh<$^`>ZdSC#H3jR6vKYK8g=f&+-~5hz!6n(28E)ejh88GZMz|tONO#{XnU{va)?l*
z#5Q>b3A=|f9|wYF&m*7P{KTsvz(E5A1*lxsT%0}CT0cDd#DLfKzo<i(pOM*KsXg?^
z{CPrWvL&MBT)4e^3-|P9c=aBB(_Y~(Qwi)*)h5%Y16#?}btN&l@&1W797!amWe=NG
zbwxJ{eQC0X1h_Fst**~(6NQXqqI)kS84sq1W~M)lulTUi>0bcnb_PZ$vFrgGZsf~P
z6PSGW)3ZAh5~{VfTR*IB%Hh-2V!M;qUv41rf<Yb20`eG_v0`RLh=rOLgr@Q4fF2vG
zAU3wBF-T327g&p%R+ecU^ZV@TTn54A7AuIe&JaYa4a4*-AO`l#Wn^vyDE4QbJsdjN
zV1Hr~vft8TKiO6CZv<M^k_w@VdeyI#a&{yfXmV@>(!C3+8}iA3-uPa`!Ewa8=P%iV
zjifPZHN;h<>5#)(T)bGC#Ej4?TqeJGma$wiPu^}PX;Y^rMCy<Goz<E-5h{f831`%{
zC)?C#zx=a&<o-z~O0F5Bk|GM-mE9_II=U`#X|3$1b@&Lgtghg|Bp@jNRqxd#h^r)^
zaHV%=xRD7^Hr-j{8fol+LvUpI89;l;$Is0qK9>nFCTyF>{ya=K+FPQ<fBrElu%S54
z5`Ak(dt<qIT(YtY3bZX~4*bykb&`d_Y)D>lkEa#i-_MWLqL|xGe1H~zdZ09dL`U76
zYz$Hg$PH0u)Y=I(-EEi5G^Qc>fES@`uc@o&;o=#4Ji;Iw8%^pJx?tH^J8v?Ox#O!n
zWLm8Hj)v&P;MmG<nFGWSC=@(B!)e2*ZN;qezOvKm)hH4A;ZtJ6fTeZ`w_lXab++f)
z9pb4M<5`5@r!W<aLl2OI>KFIS!<zYm=ko`|%nhfHpA6ceY0p?3HuA{r;en-US(fq}
z=MrQ@%ZUv)je8UNMxgyjEb8AV7LYy>(eiT;Yf4FPdB}%B55Gd)04}tslut`}Lg5UR
zzCv-qy4o0xTBdrz9Jg0UGlH}2fw0}I2l-BNr4LOAZEvs%`*?L6N|p@*(mkR-6?)ge
zh^Izgu$AHMc{3y@u?}dU|6MLuP253lvU{8b@AMVo6r>NCYtnZ19O177rwVnp!ndsY
z8t<sK^oufbm)j^7UaV%lX-*+d%JI!V%V3`lNA)za`y3+R8~fxuARy#arT6zAG4WbU
zN2{r-56<Qw@qWXWlI=0Kz~nmq<=RjOP&Qyul{=`rrKVH-*%(-l;~AQki>b$WLPzOx
zT~Q5B{e_%H8h$!|{2_6@c^lT`?_m#W1ip!$aLuUjd>&AztVU2bp<uSsYfWyib!MdK
zNh+g`FXat<1!$PHHBB>sZAGgEyN+ktd9qvg$`6jwTA~$cuhpb<Uy34QOjk-#(DDhb
zJ<@iK4t1+Y-5?pI*B&qxicyuElyFIX2vVO<q~D>wbeQ_TVW$``z;fq?akEe-(a`Y#
zt4y;{47?x}U<bNRP>{n8l8z5H`E+G8C+qsXoLR#OUn9C;Y{jP*CYiX8Srs?z7~WhI
zr#$kdId?zJoq3a~wF6LiXgE3If&Kv;hevOm>BI#{?R{>m0{EQa@&T#Ip2M997v632
z$}(%7BZi*{Q<Mk=>Nvk1C<MwDHkHUriWtGI{ms(ApiRL)>8@CY5V$jFEviom5{&S$
zYC*oD3oZ_<Iuu-`7xJ90j7Bh2U&`y@Y?1vE?)ij%Af*LNflR!)Ff>CHWzMIEio`Th
za5+p~X5!YLGv@Ay^X)z5oW@4%KiIw$M#ob0s_Q;Wzq4~HsS#$#Z#!E5J%1X_T?7SO
z@-`3ZObQvY?jd7seD)=Pm`@3#;Lc&L{GD96u%|Pc{iU3HUm^6m#n}2R!|D%_9B%}Y
zgzHB?=Vh;Fa8rGjI0F-|h$!r?K6*2Va4665A)qeMhw4?n^K>WLs{2Xql>?Bg9tv8C
zUuv-}ah-QbVimRN81<ttP_8CkK>agw31mWL6LePHS2k$@AvZ}xd<!;6&``r$@at2U
z3T%+>v!co!NQ~zla+)<J;XMDWD3p=PMcu7GA#(`ZbCWmK729cAShA5yR53ad(9Z>W
zNB_Htx`KKHIxJD^Q~@LwD$n$_WJxQOttGJ1J7y;4U!ZY`Eg!wfeS>o9r=Me*CuaP2
zRc~DgsBpvUwU8jN`}`C@c9(glvV7mJ_^ZE|E;ANYd`^lLsgVYzdMw2PSF99^ICX|^
zSbC;%Kxwk_6*M<E^pGS&>p&`PeNF*nJgV(n0j0{6o_N+XS)otTk$VL4!0n|y(pgKL
zi?z|u*WlnQpyI3bAa0u_upqM`$Y544!rQ|dPpeA^-=Av)n)6?GfNm!z_LY!A60+YF
z_yDX-fi%DvN#er0+~utGvi?}2mn<(AarVxCD;H;%_cMxtm^l5cxc>sU9cPM$@)4Ah
zhVQRhM(iepsY{n^wny_nmI4kTaZ@+D;ap+RcSVdaH2CU|eWJ7uN>p=Azy?oMKYVO4
zH&AJ2TMKr^^nKz>6-V<Nz7mE|tn3#R!*LJQ(xnkZw5LL3!}*OZLTSY_!@+7ILpCE$
z@IXORV%R{0@ale0oZ|}nS%q+<kc$^e)Pq}neXco?oVe`?d+wuO?<qpP7sjsVhBVC-
z*)&=(bqQ}nwT(QFaJ4LkOhzd=om~grNN*zOLEd<|6=?~j(Rr$pe-Q;$AxW~??F^!h
z1E2!Y6SnW~#25Qn#()zb4HH&{#1xf(`t9DNFx%|CjVIYA!lBcDdAig#4>Y89a6Rs;
zQaEU5?M`J`9-5wT_=4UH4SHlm_6=@hejxiQ>S4CnG_{fja#P5j*AyN$S9-DS1Ej30
zK@UoO^ji%e2vzZNCew;6w$!~PTAH?esO!CkGgHeV@j(xmV+<*ktpfRe^|C+W6whRN
z+zm}qEKg@%po4kJ`hpGH#7BCkYB(k&q|JpPAro^klRA$G%?K}Ndm{RcNk{1({}riP
zPA-k<ZEi(1hH)HeOa4c#x877x;V3FVuZQD1MuU&i=lE3cQl@f+(&O;2yQon8{`V)5
zXI$$;_QX#AMGhrKam;8zDtI0waru>UF)8n~^b~2HcP@nFT^ctrNl<|9M`ON#1*dZ#
zIl>9fy3~>sq-m?rVWLmuiKe<sIvc<i*|g(E1Rr>|Q^;tBe#o<mAD?TL&cWr|6p=bj
z6eA9j4q0LP782BY`Y>1YsAXJgMo46Db6S@;o@A!!^+JPJd_`6@l!7D%!_X+xfc^mc
zaWZ|=&A?@r9nMBKX35;I_u`#^>!oKf`>$Pi!b;7auFcM>Ueg4&OOowyCkIPQM7n%e
zsN4M}ovuO6APvds?1YmCcd-oP2pY)z8*il+938!UbQ*Ok0Zx7ksF34ek?PVTC~Kt=
zva@iKg>NL`TPxi<HgSye$Rpbrd_L(Kj395%0-L|V42_dh7$hK$i5`SpKp{~BtZo1~
z9LxFP4*N@_Q-4Tl+|fI;;_kJ<j={uhv&3_qBB&8k$Py1VUc!L?x@$I~6>rICA_k+R
zgWv0Lwv-%7u|^7te83=xow=DlHdbE_m+hm``Oiu5MHf|rW$!WHbQW$Dc9<2e<QZ7x
zrDbG%ck`A=oC@AW&=aXhr+*H_C9splCElpZ`BFO==ydXs9ymfCnt3wV)owhqnB);<
z5~{DwbN#jFVqkfrBfgTzNj1S6_c-Ds+YuA0)U&A|--DNv@$73azf9W~1NDAYZNqS?
z=b+MoaHMqlxNleM@9GPyZbj4$qSt>oe;OKKE`a6>1mV!Ba$v5E;;2IPz>^hI@^FjC
zJgaQdVuMekt7%X$Xlk1alZ>Rf0IJ|qN<;U2wbwUkxx`@zv4P;7HN32}nxK~USIU){
zrzTiZx(;n8YIe2o5Il30kmCN%3NI<Ky$cXLDq)IxAQEDnswO^UqTPz-PBl>2LpsJF
z{v#y>AUlkqc1J8aSenH1WmU%}U8kSzM>fUK1?MCwX?Zs!j*4&50Qe+j#Nhkxw9ff8
zpE@r`<G$TppB!7-$StC>iFdq7bY-+Eak-fJ+pLOq`i9vq-Qaxg5E7+gH`S{4hAmac
z?|w|#V4a3q_IRTwrJRSqeA|PD!mynHS54yOM_{I}7iHYXm?YzQuf*?!b?;Fuf<|fL
zyC9%d7LLBvi_ulhmW9+kRyLbf_B$)*;Og~<=g;~9dM+d4`fW(hI*(bObop!V(O+Lf
z%);!1JZ6g}k6d!GP0&@`t;blMeLctqd>s3JzPVNz-vsfD@u$#mO7-%AMaTfFRUb_X
z^m>?W=3SPM#=K~t^R2UNJ@r&5RSJ^=Sd-W<KAc~ssa~|DSQ?%W*GcvSB$y(h57iq1
zXL@_+-L?FhOYam~QcNhh+_pF|&__Bbav-V$jkEmez|z)`5dx^9&%IgU(=iQ0n|@@@
zk^ju}x+FA<<En+TJxN(JW5v@x!TbWXZYs~ahLuqHLG5pWK|t;sHM8_oWOE_A#LJ_6
zvuyReW1x90hRAU0hsp&f5A7stqKIqYYp)#Q)@I8hYmnDyHjsO`WIwWntdE`tzp^iP
SZM;cQGj;=hl<!mP%`}$?5l=b*

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_host_control.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_host_control.v
new file mode 100644
index 0000000000000000000000000000000000000000..2a3120505ec8918a2878b573ab080b3d4c8d17fc
GIT binary patch
literal 10240
zcmV+bDF4@s6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2v6U3evG1^X$PA@HqLZgULn<9$FUBoaA
z=2lgaMr_A{IM<_t$t~5pj@}%mhxE0vR&Bnowd8KkXgn${zEZ>t@bRO7CM9k<4jTg>
zP)p$18ZB4FHub;qdG1Iqor~!K<&D4k?!qHE?f8IN^;s3epiu5!@Z*>pH0K8Euf#55
zM!hx4spLzUkGR2@WS{HUPPeo5OQcgO(ol>PDQ2cZ(MxtA-shi1b<(y3{j>&hCG7%v
zwq0LlUYDM7&aVAe*5>E>1O`V^840dCXVAcqRf1-?{W4q632HgF_^n*nr8-0QNJ;BN
zrw^?gKgnofR$CDubg}E#So2AzX7q-r@o#Zqw>F7Dcq$4EAAe@a($$i#NLvxHM}&?e
z&qk-W*S`rlCo5%plB9rnY`<&CgB%BUeyeitFr%a*$Jq;*iVdJAmK8cknq_J&P!R7w
zGHku$aKx~rZDVem<m`0tt$&e>;X@t6^43cGNIgn1z3+oNZw+%F+`V--#JCT8K}O2E
z?AmP7NFx>4gccrI(t1)vgH@jea67g8B}S)rQh=s3ydWFa$yj3x{$(L{xLx*57(Yvn
z=dq$F*gxSudqKy>0LUE~M%c8fqAvQvo3^&B5z*4aY>vd36FIFNZ)pSKGBD4)g#>*^
zFi?MChRHgPY%I7FThtCK6l>Dc>=5s^>gs>zF%|&@B$sle!n{_*khts9CdTUXJc_Sy
zD`Cn8LI}j=#)D&orRS2vXG|v)6L>s1OI-RtCYf}dP%g+SYJsBM+Ih)27RSK4x)u}K
z;Bw&qMp5BkneJTtOGtAL;VM+p9tx-?JIhl;83sv!tb5|?-b-<s1non4DX(@I*_1VN
zNyQ$jk@o3`BU-Mfbr&0Q=JYBfyC@J5I9xcG-ZwT7Y^D5XSQ?9|!ds6#6=Wz|H&=U)
z9QqstYZVJd#jJ2@$6`XiqhG-1+w`cV{tyVVIB8Uyhgb%&V#9n2|Bs&hR>-KV+cVT2
zTyU2`10GYxZk$aw6I*#U(=qo^O503W9GLJ>sdx(xjj7NyL*V{UKkO<nvd~Jn>0R)m
zJ+q2_9!Ja|*VV<l<$XV_kF;OEIIUj-Ty-c95zMVP(ZbSNx)amElx@@NUBgdiqDYZy
z*fkhqJzH!<0=R^HBf4vcruwF@FDW0j303;0fdK{@|4UO{_A)2|Hy!Rih*wM8a!%6q
zxk!0V>)(;{8m{WaRZtA(nv%LxjQ4bCKp`D36R&)?%S#xr38YduJngG}q;|FPb51C-
zn>LeqE_?CFFOn<9IZKg*j`(0<i%^lRS!)=rsV9MPn=v&jP`TZb06b%JNetOYx>HTF
zkqp+)nckd#hi#3&oOzo~CZaY5du6|x;!hl?s?J1v%O^=mW+&9|J$zExF|ub9Ia&Nt
zORNC0evU;R;%K3BfI%Qtv6xTz7#?&+euqww0VG<;Lw6h;Grnx0ZdS#A&`@myBCkoP
z1aE;@BoV4A&PuhqzBSqkMKifF*aj6U!C~ZcZUfMj&hHz*Y{E*Gm#DHgqY+KA{Dnu)
zd8>upZE@#!l(FpKmZ?YtN3(yz+9WE?8YnU>_tAkZRL6HJ=YiS5fDqK`GvgVr&uocI
zm<@*xzjaS?ievEZV*UWFq710rV6>{E*XekA$16$+4LX4e@Ns>7UnT}qk*-$+t2p68
zwjqOd1Nn8_nYNvr1aI8KV)!LV_gyuDDG<RBmx0k#NEO`rDRNw|Bs*kd!#tIuerwA-
z^r1E#H905$ZM6Ap>DQw@Mej>&t_$rSQ-iHx8$I{c*Q-m?>U|^O`?7LouDQ}M$iCi6
znJ$;w(bI#Q@2h*+bcuBh`YX!4nB1E5-<uk1^603&9f5%pms-oqYwxFDE12-$=K`l=
zL+TKoc(Wy;Pqjmy>5saIu{P8b91So6IE-8Ek<MhmNt{NP24#cNpkg`dVB%$<^MN1n
z^#IK0Gk{3B>je@$^~)X(K;XLDQoNgbOAabyOsBW}%{H<|d|F1!f8bDaexUGq$>e&=
z8%<rJu*WR~h%XnTBfR3Q2ullr2WvAI;QH<e0WB2YP(BV`Xn{O0as<#M?4s}zM6_lc
zTEM>M#@VF8R?yO#ULa`4k}KgFb^M-~%RCcC5{ktM_q=&Rybi%9?u?2x=m(zuvOtl4
z<l{6?2IU?PkDhrG_r3L~T;E^_tQifu&ADxS%q_S&jpZXO72#nP73(QNtV8N$U%u{u
zg>Q6@yW`q=#-qT5{f_?67V^T3)p=xKtux4x!_mUV?q(@4XPjrE$y#j%5K%nv;GfBt
z|CxzT3Q{<-m{K5c`N{u}k_j_dgsyzgi`$?_M7va-PlkLj7%gl+YZG%*v5Uel;+AOm
zJGhAbFz!AYQbO}r%^w)y_Lh5!Ucu$$x^i8qCOLFT2W7O&e>ZP`EXW5Fh1{HlwA7?3
zghFpehvfH>Ozk95{TD1IBK_T;m+jJM#$(E=TalJ2fo&_k%g<`pdr71r>EjRje`?hj
zAh*zh1m-3Z?zf*1N2=B&dia#YaxEvwnbcm=4JEcRTP7Su1jr=xqXQ2t2yz>%lUYmo
z8MT@;!VESu@azz(0;<UmS=A-g#mt|!88+dmuhb6oHMpnFjEtR^!vBpgT?uiP)Nc=H
zhexl@@cc*Dv~n!I&bL0!2fr;;Ud_i!)#$ZQ9MciX6%9@nryr0Hvn!f=z|FJNrDfS|
zF%JcKin3M?&F@tBxq@(<&~>s2P7lPO8vm;FXCW@cix=WdxuUHnMz^=Cowf6|+uUL{
zfOnPksg09@r5x11=H+9Cae-><ZlXQQlf4NgGdBIx!rrCVMpdzdVJUIfml3HT=#Tl^
zwPVA}QK=8$zu!JS%qzlMJTZJ2O3$QBMsOZmQ~UJejdv5YwiI*N^^0Ww-K1`SUSIrt
zv-_*Kuy}Xf#q@?TfcKv;$el;;=dIlZH194PNe5FC1?hJh#l{oO18#8hr5U@!Z{P!~
z<h6;Fs^7-crq`h`I=DMH*NtpcGu_E-sbtRbnNlcD)~QZJ3x9~AH>;G*(~?L7U~ADm
zo2zBKBpL;EF$5~I4FhsUxm(A%DT2g`VwR>$;3kI?a4FtbY_~U$05CP=MgS+h!8FTY
zi!v6zaR^!UTgQ$CzRJG!Y+1-XP@^rq>x7h`L<y3G4ecOEq-REI5Z?Q6bidTQSlU=p
z+NGozxq+VKL^{pOv(y(zCQra(Eb0ByZsS=U_MA$n$=SW6qIYKk4>(T)CC`ecEu8dy
z0PQcV-6v+~sE{AP9&>4k&wU5y$`Q9TlU>55emqNw#rVq+Aa2MO;5_|90;3J=HxKa*
zE;!_BIQVT-fu!)h^`vufDAnXalckNe(~W5{(_&WQGlPrwg^&93+gL@7xuK)^6fxEo
z<4x9B9qaO66g5&0Aeu6B6$u$K>Tm2*Oi<q005yJ0x{|`wKl|W#a8C7*q{@rf7+^0x
z?kn$oyfQ5d?M}Dl#|gDI4>!A5FFdPoK60^S5uQI7=HN4%jk?kXJaCELQxrdNJ#y@<
z;U*T5{*pux!!r6!VM$&xi}`+jL<23vJqoTF?gR5;LF*O4n@X-<!2!NhNC?|$f%@Jp
z#WlIy{-Eri!oNxP0n2ln$Kh#s|2uV4U1{m3nHsiiH^1^v7rssx%3Eu8<O@;u@~k-O
zGh%Bk`od;A4A(HJ!>aIa(1I<EE{Nm=x9<-Y`CHrFcX4<>BFK%wS?vM`Tt3*y+m3za
zUHnzAOw~|w2z@ju4LSjA!*x6Qg_T32OfDji$aSlDY+J>a7BJsKIxjxawhjvR`uG}v
zFFJlAF_MpT<_tq)sbwS=wyrChKNzZz^#Xw8Ys-NrnB?~7{*(1=ae3AGgaF6weGB{n
zr&uyv)MJ)9v^&{h#J&v{^-#2<WA)<5M^;Hvd?97Yw~XUU2vuaO-Th4+jHj5%VDBF>
z*$p5Y_EezcR9J-AxG^eNbl^lS@tpZ3q}Oh2ZB>OqS)>bEQPNl>mP<aJwrFi{DT}V6
zt}^k)UH6s6RD^g2XAt}lf{8ja0UNg<?TnYl-rLG$&mK&%#Wrny8l~fq_c165L=3jx
zzIjiLpS;O@h?^5&k-^dZ8lo~@R)+<|^ys$YW!3%tZXCt7MNqP%hJDsL=&0^2pG{7#
z>xFSg%gx(unqc<0RANpRcjPrY(n@vf>CELlztJmLw=AiVp_%i;pd0gPN9-T0C{7zp
zOKqWUcZe`bzqX8H`A+hMV6=hjYXO=Z=_qNEfgNybVOrm`CTAZm_N@sIB^gtm@vIC#
zV5{Nqz%R)|P&8$&<1{;f?a;<@#i?Ow02)Puwl8(ABUM9SfSJNe|3e1skudx(gJquq
zwLoaRYawrL<hBDQ&`dqeI9ur)Ja!Jj)3f@`H)7FMZLBqkxlxfV_p3vv=Xy38B}MwR
z${87+;*pNotyGa+G@9%%xUw74cYGz;zJA^FKpwgqxV0!Qeuz%UVC9!wjHSZ|Gy|+i
zSE*%FvZag$Hdw{SzB8X6Qzv0YeX+n=)#ujPd7f!LIw-%s7X4yl>jK!O+`y3L+bqT}
zwegZOqvI#5&}KdNHQN>aZ`5JIVr(vUUSenyiKdS(pNu+3*!ZRy+I~1_o|V_|fjel`
zFF>h(#B6Xw!L5s(NRl|0<oNae%AhOfO9T4J*gPmgYVn$U?0VbAzSjGH#*jbhY#eL#
z0$jB$_T}>3m*^7$>Jz%xol98w>gax(K?fQahHaKDd2&J7Fabd9Q{|v|iV{^!|M~Y#
z2&UUD27;#7KeliWYlmcTzj7iq__LKzq5IYIV&D%%UjMgQXfinO)0;72`+tEG!$AEd
zKa0{uW>IIy%Mp9TM_Xcu0;f{N6?5^7caPHp6W|wdWEC9(d>WAS(FRHL)$7^{l|rhv
z1ViU$5#R?{XurmhNtJv!s?eZCw)-V;7{LT+1GK7`8AGvi<^TW(9N)x9gNm>P1R;y4
zooii>dJ;nm-?pR)y;TCyVd+H+yEYGRP1m?`;D>Kuq6H%1Q1Ok5T)5rRClwdoUM$7t
z%yQH>9<ROe2x1bUgc#8E^bvsfn_|t{C<n$oJvJ2WG;~N3FRHfv4QAJzufA9S(L3^6
zpABA|-Oss0<F?yT>rXWx3PG@0g%xF$=i7ZBxf-Elr^)m*23uJ02)8x!R!Rnoy=e+!
zx_D#HxECePuLD%L@z@JDUL)WX7aVFm)@H!f54fFV<^CEdlms2&+Q35ZCrEy{%}2*h
zsyQNQ$23^}7qUGa2aU({3jvDNh8NcNld!lsd*~M0CR)=XuMBdk9zLk^`%nzd*;auq
zr?KVy&s_8;IVs4yLwhDYC>BUi^T3CPl_FdKrQLxP_5Y3rNCrGS2ojE$0VeeY(642b
zLsj2UyBF<pEfso%j?4&0C5n9KL@Y;AIrM=N_ED3a$hxBPo}w#r0F_#tky1G}a{kWQ
z&Ob3>>(@wTw%DvB9?!vpSu_IoXj0fucPufcuM?(TZk6-3{pNo>_|{(|ZCVvh%3j%W
znNON`c?33*0uu18Gxsj|N<M3`kIWZ!r$FK<f|?`xTI?b)K7BYW+=%E~B`c|eIpP)e
zc53T(thz3ACh+>}^quTFY88Mk*%Nv3C=zK4E;hr%ZF}Zu2FgqFp_^~A>IKJWF+R7s
zfYNN_krR|L1$|%ZKE*h^uZ*U_f*PC0>I4{S$xpFRApM$JcKI=*uJ<E<N)h*~t(tvT
ziro~VK(6;e3UG@fS>c?XBzSR9t2O|vuzOX~Q%1UM{8w4Ztm4T*BQ=iQ;3MrTtrnuj
zYd~w-PBrP_VDl0JM1>cfHSatLWr&UVFYzwVuLJ_~>L0!eIsTe>jDC{{U0Iv+3XhNL
z;2<a{`gdAu?S(ZSxkXsPCzmUM$_8n-j`BBugle&al-FiY8=}>ZmKcmlwgE2AI9>t#
z+D(fUUEHz>h;^fh=cUeB018^vS?>B0SIPX2T8qQ#(y&lgIQZs@&!cX8YD5KI8f)}}
zjXNn9n<?Wl2C-<8_w{}LXfJl-JfrP=^)DD{^-c*Ovdty$cmb4qHxx*G%UpT=?oY6(
z?)1wV^dkUBhKwDG@6STb*xD=Ub6kf}VP@)i;1N7&bsdv1Tv!q1eQX{j6crE*Z57=n
zK-37Hzy>$B_M%`9q@~|W&_;cn(mh%~i+AgZ2H-xqIwyQ6_Q$}I5L55z{>umxB8l-P
zwd0x78o|b~CQzY(rvhRWS=W%*u#*AEDNz;WVyc`2sJR%hI^~8ZO&OKAmQV4_Ixx?`
zwOE=I$)LiF^*l*iM~Y}))f?B!M}QrEK;OH4sk)axY<CM5?X{OcObgj}5^X5IY!o9D
z!}uRDs|vW$RkQkvtzWh5UU@_?(^l7NwFk#AOvl0@3mQeMuV)^_26)9u9UrOrvhoNX
zs*|RMtB|2sUsCf__Y0OIVpe0oHfD>HTd=ja7l)gH`fpB0Z!H(6Z99SVyREV3R;{iu
zWp<FC)%JJNm|y@Ak0kHIXNo7A#tovrOatgWWhuxEqb!r<mu=v=a7&#EpXctPF`Zed
zPTj|hz{t83Zf8zRbty+?>Hed>puN+pJH0}`Im+HQ8GmlP9~3%NP*Hs)a;)aK&~3K*
za8sC}IsyhsKYj|Y#LgG&U`G+(el6PEop4KK_x3z0)Q#8y6Cv+fSj}M&!c;Xe)$Y5U
zdKWNKg|n<_SSa~3*y0;`I#CE-LXf-3l%ypgER-vQA&6X@ip7rz>s4ifDcE1dzZ>Ut
z@lCuK;DpyGGu%(dT8S?nLFKFBAd&Hl5_jd_Ve3Zgxp1BsiN2EzRjx&CHj}3=htYag
z&ZxyMG=2wTZ|v3SnmESc*(H5HCIshYVZ}*@B=Lyuu2ai+1nYy<H@->koB!fLZ%$A=
zoX2F+(IhedstzG>RJ3f%^72BRXd1u>np^)k6D~Q2%Pnm!A5$_kKY!2C)?wxPg7iB0
zEqWCaSWX*J%dEgn+3M<2v?9p&jBf8Hr?eR9cH1ciKL5vrpbiU9MXu=%8%^cmo2mxu
zVJtm+3qfQxL%*{&>b;XUjXNlelu#-5O}S;iW1sk>tJwynmR-0xJ_l;t87JE`#FzeQ
z;=9bchK*|A*Jmv<q&#SAH_pQgA1SHomikRxR_feye=rL3>jg*G>K2o%<m4!Ri|lJa
zY3q6utjLXPuI2pw2`>Iq9<zy}_Wca~(t>1G0|2)o+DOKE!<Xn{HuAZfhl81P`qdlU
z6+hRu_<b51Z`w5blGyA@GH#}vG!1mox&wZXB$4{(pfMC&ZxFD>*SP!P^x`^IDtc@&
z>BWO<eXBk5j~;UHtdwv=(pQUs5w;b2EB^9gSV#Au?}eV2;>_60ix6&rnV#irUR>~l
zQz1U(!X76q(>kWc4RKi7@l?geY+{dAsz{E-oryKdlKH%Eb9UdLih&WzYDLC$5e^#p
zIo8j+%J~%Ku=q7Y$29p0<(``I4FN<2Q0&X?9O>Q2{bIy>XI_Em^IVrSCRkVvWZMFI
zL%}p5YYhC<!fZ-$;433<hAo%GH$`dj+KItc#+t(6Yzv0S5%l~Y`TyH<s(nm;C2hJN
z!Pd2xx2}S)5}m0g^r9p}c1JM*>aOF)`h{Zy!Pgv4!sh^LA=VY&Dj%gkbCHZjSjUgh
zfv0ljC5$X~z0MI|4wh)^Xjl_SwXg?;4;U=s5wUbK5E&*e5L{MUV1jE6BHtFTVk9k=
zV-GMB!NqyqX9t<+am1`0A_GSjLcBx!9=4ILWCcWa>uuG3ckOOYG6y`4e@5G!5D_OS
zq&O*YO`eL_*7c(lNse^?*PDZ5>Yw1y9evNyl1#ZA#hrncJS7j%NuQj$-MTDQZJYdh
zl=>wRKM5UR>}|;7uHG|VGvM}vd~WCFrTJ|fQ!pU9BLm3cpn%48TzUVFtG8saL_Odp
zr+ynhgmIE@3TfVO!)I%u(ZQ04@@R~06HwlyBt9S8cJyQ^o>*H*Fem;qM3(r*oh^17
z`!qHP17qxh=D|Pe)3RG!A{WiQDfu#`Msa&w^EhDDv-mDisPI{q;sBOR+>=pLzh5%-
zoXg7pZlfTVcy-NUJm%J>eyIb>#;vuTOU0g;%FeYBqq9lO6oEs*l_BG@2NL_=@y#oK
z{{HlzA<<-JQGa~}V%2N&tt3%|8^q#OnP;oqU5?7^L?Mo=Q^wM6w*YECYLw*ur(o&T
zT#3+<+uAW6IkJ~!ys*=1c^|in>;;8H(|Cl6Kb0ukn8!?OoA+nM{teve{KLgnixR1z
zWC+IlKdOSfK^IW7g|vhtCJ94JchR|$8w6<^*q6dL6}pr~Y^C<^OcxB3X@UG@^JFJ(
z2f%{_xB+>)dMmTiv8u|FOk`;mAPAMT96>4z&?axT$)9_T<489QSZ4Ec4;BTL6`n6}
zhDpwbS{KW!w9;<z0PZF4I6m$s2=*Qa5#^`*{4T_p%aKA4+8Or}E(;|x)zK#(hLJ0t
z7LroD<aPCA5my9KTXd;6j&l~DR^(b=DSMwDS+rAAzqpjhM|8hLu^}%G28V2s;)9-4
z=<2aGqKE7F+9F%qAE+5UWK7t5%I^)1>%+KnO5AI!sv)d<MJ-gmmqSajhYp^BLmC_a
zsdMOY;M{~dQb`uO`tNQ@N#MWg%X@z3$OCnpxL&E?w%MYJ_B|F8iojrQ)D=?P8(x8~
zJ$D7i-~wDz{Fx{o@{-nT{`|r(Kp$FJqe3A%uk=Ny3nvY9Siq>vP&RJ`UN2LI$pY4_
zC*da3*d3&B{A$RZVUcNZkIzbz|64kN+sTd_X2GA$Xhx52;kUrpj-LKoY(WkD1+hFk
zw2u&hilGH{t)tGFUCeJ}qicYbx7Sv?k;r*Jz7$CWX?;;Rs_kQ8%~ksoURM?Cu{ffc
z$u|BK0q{h0QpVx7CTFxg2+Sb{!XJ|L6DGd!;81l^wQglwb^DpqDKFKCE{gh<+ann^
zbBoNxm~*G)tvIcHt@WnozT&g-Yu_Uy``rgcX#w|C1MqKJrL#?oj76L}ot<YhsLrX9
z^Sl=QeHA1PRvBtk&K**=vV-7(?FowY0B7SG+C?W|G;H_HA_<%3uHrs(ZlF<?y2A%l
z(a|S5P%e^vd<--bAjA<mbbk#uihSuAstHh$Uv0zv?`L;m<0n!AcZ8ckHk@XV+CNtT
zkr{`L;=F9Ry9T|Q52iW)q{?6P`JnQ%DDQ4%p9zgr7;JmOib_O%1|SLIf!opwS6h~|
zb1x1=T&zinmzFX(lMt-HopCfbVp+~<x#r%A$<IX)HB<)r%4bW(Ljx)*2)O?)dW-K~
zPMb}<e>eM#<3>d4sD^QTI+r4|CdS>MCvP~-a9CGmx_V=kMQjq3nYjAx23!OG=Rx6l
zD=Ji|KWN(0Zd~b+pB>mad2GhM^~+M%MTL(N3?k!d2Ij#Z$g1-34W&-G6V)SNwxW!d
z)eChR&lwhL>TNbK{Vn3tDueZAQX{}~e$7Mgq{qOQXNwy*4}|*fzr#w8FYQxwpQztf
zw|#y*!yJCY^q--3A)<TWFN?&gi1X3bCWiRa4$}pA297ygNB%S%N%)E+?Nn?d$>-(P
zq_J5y0Z0)Pjf#ibDxFpg7;QmGrn~$Td?Pv_2Jc1tIh2j0wk1Phu#A>2W`G_{ok&Ix
zxNMB=`>^nGgMN8Je{asEaH2GpN~=?)36fskC$=bO9UrB*e}X!0N3zTG$Ke7SI~R@V
z&5kQvvGR5V7Rm9cJ5R?qTIbV=_G=l;GbRRkPxa7ea1=BGI-4(8Xi9-0Uf9}Q<nLj2
z3Rrkns4b=}bC^*F41+~^Ek}mctp!!d@%u;~>Q74*s&*0aq({p32#IT7m_V#MH=LTt
z*h&q`wmsDJ$$fL6S28Uv1C0<h&Tw)uj79SV3a{3ALOUmj0v3t19sH<JW6L?;X~#ar
z1sQ&WJf!2%hMi08x$qOQHwt}WULz`<CZdZje6%QYH_4qGgFLel0Y>Es|4m;8$;#-I
zCMY&E{|(TLkK^y~MN;#9+u^@$wjC;*Fj?6etI^r?@q=tx!;!Js%t28j4%G;;_V;F}
zb2Il%_YcWWu0jzdNi9p%s7DAF9Jbdy`c{3zE|2I$O>|uLH=n`QvrRqtW(V{Dqdk`8
z&z8p}9kq+o`WJ_Hd}{)V64X@1E2@cbxov$IR^-M_WcvR<#|X%A|9yp)%%efysI9~K
z$6owNm_&h>9tK^3iP}l**Vm(DJ)++dXn*=sQ_T|iE#CY@r6fC2SH`De>cFTM)xm&%
zW7s4|HGmeRW_MexN6Tt~MKd6(>)fnJOke~Or9->kOE|0fkU$(@b?m@o6ltypCpINk
zk@y6;Qch3;#~5kSM{qR$RpUiv22UC>Sei275zMID(LAt=Ofw+0Ak6CPR9Sjl2@5sn
z>x%qoX1}2NP*1l{Q*{~JXIn8V2E6E8cCI{T;h`%O)S#z?ouFO@Jb#J-Yzd02(ufD&
z5pB8HK1GmN)<>Ma*I;0~0+qKfT`phE?|!c0VA}UcY}=wqJSUs9kWYWlJQV@3?g3}w
zJ3HY_yz~O@c4RJm8^^jz*<KAyTGX{ybBB@|E=92VRB?8#g@<Wiq8M-ASEwDa#G;_O
zPBMowr&JQZMA)H1mUrN#%djg-CXPdlda?xRh2JuiV3_842QF$}^$rVa1Mg31a-+sZ
zfH;_Q73b`ie}>NE{!3%JuTQiD*$oftPk_`>ePnUXN<|(e9g+fpnFJ*1%dv{$-z}Jw
z<;G$WRPIcY=ot=X#HFDLil6d#!Sh6r=}w}N(yBt1Cu*F`QIMt3uZ7hDme&-R*JvZ8
z47Y=5;>q8t?(s6r61^-GmO7?8rZmQ=z>1?RUDASl6+G9Zk>=I+X-o!=jjVRE5_nU`
zFHTRM0uLv<HW>K`boBpSgVk?e;VK284fkvC3q}S_x=`wWX$6nm6!8K=8Y9^BrM~pP
zpazXAS?OcX2P+7A9@e({uB~NV3eF`9oOhRmr1KFGN&m;Zh)Tmo^fr}${r%zms_V!h
z{cl2zLJ|#OwYz0Rm*-ncPn-#cBWyYz*r~oK5N%*n54twnmnr38(dFU-IkKkr!kCdc
z`#ni3J;cC~ds#Omd)E&M`HlVqX*EEsPwxznr`AJp{~GZ2Q2M%O$#!gPoB>SV1X53i
zqhXDs_f+^3x2ajIzu}cyEYs#<>F|wMUZkG&l-qj)kvvoMYBI_Twu)R(|9nZi$LIrS
zZ^fn4B?<w&F7JXx;*ngFuri@QX<?aV^9|)|(Y|=p%86WF?X8Cu=|k`g$g_YC<_20W
zFKw5n7KguWxGYZjp=Mgfa7@F4v0Xp8|D<q4f<U3mR&sO{UaR>QQHA5=Tl+Q?nSR8H
zJ@;S8J>7URJ*7O!n$Vwzbf3y}HG=$J8;FPS_QD3H17piwA|u9*GOnTu<|v&+D0n)2
zX9gM;ch_XWMH={>e_ngYJ*sMsbEryAqMAM$duCoh!3l~^q9XIB!+j(r@^!HRr|qpB
zD>pV1V1mLOsr<ued+gUg7*~B5cAN3>GE4~;M>h%inHmKEJm3;T$3?D-=lzZejyA*m
zPzu?~+=H@1(WU5U({LVI(pDz^K_=5T$q7t-BAJVenJ5lzzw%$sq)@9=%FCpWE~6Ht
zLfFncgRxrYq?#4H<nc0h|NmasWTjBEdUW&oZPM^Dz4!Qo6aQxKTFi3KI6vbI<$5Z&
z>;&&cyA~XQAwvVVE7xXA_N<Gx=!H$LLK+TraWjFCYN&bP#~nAp!WchrNw^Sbm?~2c
zm16{<kLL9TL=I81LIoz^&lkg-r}Uu5oCP0yLI9=gwM5rvYh?R^ILPfJpZ_ViBV5W5
zKeK#u%6CWBwLiTBL1oiR;{vKgke$P-&Wr>fy%}F*6^~0{c^)V!J60E7$_f6NsoDsb
zalYQ;+;ELeFGV{zGf}h(%J+P~tr9`*UDX7TK?-7;^SrPmGIv3urBbk;2XWwLi6gF`
zGQWaG{s(o|A?>(SWvnw`iyC*YcAup{zM6MwXvqm6k}}=GhbU8IkC#`j>B|+?;BUz4
zPVrj&^V#(wU@SGMMSs07&Ys8&Q_@E9%%_`W&Z<m!?3Y6sk_+~Hz<jRGBujM7wEaq^
zs3Fknm(Sq~Ri-HMp@KQ*w!&2um>q<ei5fA7WJN1SO<N00CLr&g9W09?*%dswzN>?*
zhNrL|N8)mJ10T5&4HIV&0g0i~JNn2`1Ghx-9nOs>nWFKkF0PX!WyA<@W(0J;#a?n5
zWNWWa%~1FUeqiV%s#N&Ri1xe@^fUk0^nMA|0pqf#Uxf#f`RXOs*8&Ug??$_Z2B+I?
zq4P2J$KNlGdNJj*FNA8sWdK?s?!1Q5#W6$61S0E!*y4yiX%0*)FUTizTvk9=t{V5X
zTj!a1L0L|Ig|auR{3iPgr12)+M8aj~+id5VDM(5{l$nj+Rn5z_`$S1<v3!ljwr}iQ
zK7qqv5~NZiIHIeHYUABF(xIwhg+L<BD6lq}rWDo;V8`RVo52#hadWA`Y~>2C>5&e6
zMjE{KKBU!S1F#!1$v*xi*MRQmn?sUmDv5Z`3ol9$Ru;?@8~J^YRe9sGKVWD%<kFX`
zP4W{6OFTOg+A^n5&wdda1Ga@Bu%t!V4(OHP91>p`^~~&KJi!Yb`r$5tLsD|j5#8Pb
zkIiq^CYWiZHssZF`kO{kEZ9NLdt*C^!Vp05H&-wg?Ji|RElvb2IsBW+6rs=)1T&nq
z4I?(;qs{QnDw*Vb#@%^}+Oft_VF@xru~Y&{d8+{PQ_7a7{|VJ9!cOVQ#sTCkNnXsZ
z#L*M@)k!cV1Iu<XFc1HNa-0<=nI?IO^Mso837;-mVsKGl+SQaRRG(%2wG?^6ZehKE
zF8=w&#P=hC$_&E5Gn}pJ?D_eRpj(pn!JqkX4M@lsxC}WJCqqKD)$K`&>7|TgnPI7k
zXXsX>@>7D9A)HBhCxn+CEXO}-*jBC`d`a=f{mJk3Sf;$3bj^JOCOi#F65U&r^_V&@
Gk|0yz!P*o6

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma.v
new file mode 100644
index 0000000000..a8adae58f4
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma.v
@@ -0,0 +1,434 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_pcs_pma.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_pcs_pma.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level PCS + PMA module for Triple Speed Ethernet PCS + PMA
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+ 
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_pcs_pma /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+    // inputs:
+    address,
+    clk,
+    gmii_tx_d,
+    gmii_tx_en,
+    gmii_tx_err,
+    gxb_cal_blk_clk,
+    gxb_pwrdn_in,
+    mii_tx_d,
+    mii_tx_en,
+    mii_tx_err,
+    read,
+    ref_clk,
+    reset,
+    reset_rx_clk,
+    reset_tx_clk,
+    rxp,
+    write,
+    writedata,
+
+    // outputs:
+    gmii_rx_d,
+    gmii_rx_dv,
+    gmii_rx_err,
+    hd_ena,
+    led_an,
+    led_char_err,
+    led_col,
+    led_crs,
+    led_disp_err,
+    led_link,
+    mii_col,
+    mii_crs,
+    mii_rx_d,
+    mii_rx_dv,
+    mii_rx_err,
+    pcs_pwrdn_out,
+    readdata,
+    rx_clk,
+	rx_clkena,
+	tx_clkena,
+    set_10,
+    set_100,
+    set_1000,
+    tx_clk,
+    txp,
+    waitrequest
+);
+
+
+//  Parameters to configure the core for different variations
+//  ---------------------------------------------------------
+
+parameter PHY_IDENTIFIER        = 32'h 00000000; //  PHY Identifier 
+parameter DEV_VERSION           = 16'h 0001 ;    //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1;             //  Enable SGMII logic for synthesis
+parameter EXPORT_PWRDN          = 1'b0;          //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX";     //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b1;          //  Option to select transceiver block for MAC PCS PMA Instantiation. Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS I/O
+parameter ENABLE_ALT_RECONFIG   = 0;             //  Option to have the Alt_Reconfig ports exposed
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	 //  Number of synchronizer
+
+  output  [7:0] gmii_rx_d;
+  output  gmii_rx_dv;
+  output  gmii_rx_err;
+  output  hd_ena;
+  output  led_an;
+  output  led_char_err;
+  output  led_col;
+  output  led_crs;
+  output  led_disp_err;
+  output  led_link;
+  output  mii_col;
+  output  mii_crs;
+  output  [3:0] mii_rx_d;
+  output  mii_rx_dv;
+  output  mii_rx_err;
+  output  pcs_pwrdn_out;
+  output  [15:0] readdata;
+  output  rx_clk;
+  output  set_10;
+  output  set_100;
+  output  set_1000;
+  output  tx_clk;
+  output  rx_clkena;
+  output  tx_clkena; 
+  output  txp;
+  output  waitrequest;
+  
+  input   [4:0] address;
+  input   clk;
+  input   [7:0] gmii_tx_d;
+  input   gmii_tx_en;
+  input   gmii_tx_err;
+  input   gxb_pwrdn_in;
+  input   gxb_cal_blk_clk;
+  input   [3:0] mii_tx_d;
+  input   mii_tx_en;
+  input   mii_tx_err;
+  input   read;
+  input   ref_clk;
+  input   reset;
+  input   reset_rx_clk;
+  input   reset_tx_clk;
+  input   rxp;
+  input   write;
+  input   [15:0] writedata;
+
+
+  wire    PCS_rx_reset;
+  wire    PCS_tx_reset;
+  wire    PCS_reset;
+  wire    [7:0] gmii_rx_d;
+  wire    gmii_rx_dv;
+  wire    gmii_rx_err;
+  wire    hd_ena;
+  wire    led_an;
+  wire    led_char_err;
+  wire    led_col;
+  wire    led_crs;
+  wire    led_disp_err;
+  wire    led_link;
+  wire    mii_col;
+  wire    mii_crs;
+  wire    [3:0] mii_rx_d;
+  wire    mii_rx_dv;
+  wire    mii_rx_err;
+  
+  wire    [15:0] readdata;
+  wire    rx_clk;
+  wire    set_10;
+  wire    set_100;
+  wire    set_1000;
+  wire    tbi_rx_clk;
+  wire    [9:0] tbi_rx_d;
+  wire    [9:0] tbi_tx_d;
+  wire    tx_clk;
+  wire    rx_clkena;
+  wire    tx_clkena;
+  wire    txp;
+  wire    waitrequest;
+  wire    sd_loopback;
+  wire    pcs_pwrdn_out_sig;
+  wire    gxb_pwrdn_in_sig;
+  wire    [9:0] tbi_rx_d_lvds;
+
+  
+  reg     pma_digital_rst0;
+  reg     pma_digital_rst1;
+  reg     pma_digital_rst2;
+  reg     [9:0] tbi_rx_d_flip;
+  reg     [9:0] tbi_tx_d_flip;
+    
+  
+// Reset logic used to reset the PMA blocks
+// ----------------------------------------
+always @(posedge clk or posedge reset_rx_clk)
+   begin
+     if (reset_rx_clk == 1)
+        begin
+          pma_digital_rst0 <= reset_rx_clk;
+          pma_digital_rst1 <= reset_rx_clk;
+          pma_digital_rst2 <= reset_rx_clk;
+        end
+     else 
+        begin
+          pma_digital_rst0 <= reset_rx_clk;
+          pma_digital_rst1 <= pma_digital_rst0;
+          pma_digital_rst2 <= pma_digital_rst1;
+        end
+   end
+
+
+//  Assign the digital reset of the PMA to the PCS logic
+//  --------------------------------------------------------
+assign PCS_rx_reset = pma_digital_rst2;
+assign PCS_tx_reset = reset_tx_clk | pma_digital_rst2;
+assign PCS_reset = reset | pma_digital_rst2;
+
+
+
+// Instantiation of the PCS core that connects to a PMA
+// --------------------------------------------------------
+  altera_tse_top_1000_base_x altera_tse_top_1000_base_x_inst
+    (
+        .gmii_rx_d (gmii_rx_d),
+        .gmii_rx_dv (gmii_rx_dv),
+        .gmii_rx_err (gmii_rx_err),
+        .gmii_tx_d (gmii_tx_d),
+        .gmii_tx_en (gmii_tx_en),
+        .gmii_tx_err (gmii_tx_err),
+        .hd_ena (hd_ena),
+        .led_an (led_an),
+        .led_char_err (led_char_err),
+        .led_col (led_col),
+        .led_crs (led_crs),
+        .led_disp_err (led_disp_err),
+        .led_link (led_link),
+        .mii_col (mii_col),
+        .mii_crs (mii_crs),
+        .mii_rx_d (mii_rx_d),
+        .mii_rx_dv (mii_rx_dv),
+        .mii_rx_err (mii_rx_err),
+        .mii_tx_d (mii_tx_d),
+        .mii_tx_en (mii_tx_en),
+        .mii_tx_err (mii_tx_err),
+        .reg_addr (address),
+        .reg_busy (waitrequest),
+        .reg_clk (clk),
+        .reg_data_in (writedata),
+        .reg_data_out (readdata),
+        .reg_rd (read),
+        .reg_wr (write),
+        .reset_reg_clk (PCS_reset),
+        .reset_rx_clk (PCS_rx_reset),
+        .reset_tx_clk (PCS_tx_reset),
+        .rx_clk (rx_clk),
+		.rx_clkena(rx_clkena),
+		.tx_clkena(tx_clkena),
+		.ref_clk(1'b0),
+        .set_10 (set_10),
+        .set_100 (set_100),
+        .set_1000 (set_1000),
+        .sd_loopback(sd_loopback),
+        .powerdown(pcs_pwrdn_out_sig),
+        .tbi_rx_clk (tbi_rx_clk),
+        .tbi_rx_d (tbi_rx_d),
+        .tbi_tx_clk (tbi_tx_clk),
+        .tbi_tx_d (tbi_tx_d),
+        .tx_clk (tx_clk)
+    );
+    
+    defparam
+        altera_tse_top_1000_base_x_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        altera_tse_top_1000_base_x_inst.DEV_VERSION = DEV_VERSION,
+        altera_tse_top_1000_base_x_inst.ENABLE_SGMII = ENABLE_SGMII;
+
+
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1)
+    begin          
+        assign gxb_pwrdn_in_sig = gxb_pwrdn_in;
+        assign pcs_pwrdn_out = pcs_pwrdn_out_sig;
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig = pcs_pwrdn_out_sig;
+		assign pcs_pwrdn_out = 1'b0;
+    end      
+endgenerate
+
+
+
+// Either one of these blocks below will be instantiated depending on the parameterization 
+// that is chosen.
+// ---------------------------------------------------------------------------------------
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix II GX devices
+// ----------------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 0)
+    begin          
+
+    altera_tse_alt2gxb_basic the_altera_tse_alt2gxb_basic
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset_rx_clk),
+        .rx_clkout (tbi_rx_clk),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp),
+        .rx_dataout (tbi_rx_d),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback),
+        .tx_clkout (tbi_tx_clk),
+        .tx_datain (tbi_tx_d),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+
+// Instantiation of the Alt2gxb block as the PMA for ArriaGX device
+// ---------------------------------------------------------------- 
+
+generate if (DEVICE_FAMILY == "ARRIAGX")
+    begin          
+
+    altera_tse_alt2gxb_arriagx the_altera_tse_alt2gxb_arriagx
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .rx_analogreset (reset_rx_clk),
+        .rx_clkout (tbi_rx_clk),
+        .rx_cruclk (ref_clk),
+        .rx_datain (rxp),
+        .rx_dataout (tbi_rx_d),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_patterndetect (),
+        .rx_seriallpbken (sd_loopback),
+        .tx_clkout (tbi_tx_clk),
+        .tx_datain (tbi_tx_d),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2)
+      );
+
+    end    
+endgenerate
+
+
+
+// Instantiation of the LVDS SERDES block as the PMA for Stratix III devices
+//
+// IEEE 802.3 Clause 36 PCS requires that bit 0 of TBI_DATA to be transmitted 
+// first.  However, ALTLVDS had bit 9 transmit first.  hence, we need a bit
+// reversal algorithm.  
+// -------------------------------------------------------------------------
+
+generate if (DEVICE_FAMILY != "ARRIAGX" && TRANSCEIVER_OPTION == 1)
+    begin          
+
+    assign tbi_tx_clk = ref_clk;
+    assign tbi_rx_d = tbi_rx_d_flip;
+
+    always @(posedge tbi_rx_clk or posedge reset_rx_clk)
+        begin
+        if (reset_rx_clk == 1)
+            tbi_rx_d_flip <= 0;
+        else 
+            begin
+            tbi_rx_d_flip[0] <= tbi_rx_d_lvds[9];
+            tbi_rx_d_flip[1] <= tbi_rx_d_lvds[8];
+            tbi_rx_d_flip[2] <= tbi_rx_d_lvds[7];
+            tbi_rx_d_flip[3] <= tbi_rx_d_lvds[6];
+            tbi_rx_d_flip[4] <= tbi_rx_d_lvds[5];
+            tbi_rx_d_flip[5] <= tbi_rx_d_lvds[4];
+            tbi_rx_d_flip[6] <= tbi_rx_d_lvds[3];
+            tbi_rx_d_flip[7] <= tbi_rx_d_lvds[2];
+            tbi_rx_d_flip[8] <= tbi_rx_d_lvds[1];
+            tbi_rx_d_flip[9] <= tbi_rx_d_lvds[0];
+            end
+        end
+
+    always @(posedge ref_clk or posedge reset_rx_clk)
+        begin
+        if (reset_rx_clk == 1)
+            tbi_tx_d_flip <= 0;
+        else 
+            begin
+            tbi_tx_d_flip[0] <= tbi_tx_d[9];
+            tbi_tx_d_flip[1] <= tbi_tx_d[8];
+            tbi_tx_d_flip[2] <= tbi_tx_d[7];
+            tbi_tx_d_flip[3] <= tbi_tx_d[6];
+            tbi_tx_d_flip[4] <= tbi_tx_d[5];
+            tbi_tx_d_flip[5] <= tbi_tx_d[4];
+            tbi_tx_d_flip[6] <= tbi_tx_d[3];
+            tbi_tx_d_flip[7] <= tbi_tx_d[2];
+            tbi_tx_d_flip[8] <= tbi_tx_d[1];
+            tbi_tx_d_flip[9] <= tbi_tx_d[0];
+            end
+        end
+
+     altera_tse_pma_lvds_rx the_altera_tse_pma_lvds_rx
+     (
+         .rx_divfwdclk (tbi_rx_clk),
+         .rx_in (rxp),
+         .rx_inclock (ref_clk),
+         .rx_out (tbi_rx_d_lvds),
+         .rx_outclock (),
+         .rx_reset (reset_rx_clk)
+     );
+
+
+    altera_tse_pma_lvds_tx the_altera_tse_pma_lvds_tx
+    (
+        .tx_in (tbi_tx_d_flip),
+        .tx_inclock (ref_clk),
+        .tx_out (txp)
+    );
+
+    end    
+endgenerate
+
+endmodule
+
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v
new file mode 100644
index 0000000000..38e3438414
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pcs_pma_gige.v
@@ -0,0 +1,414 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_pcs_pma_gige.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/Top_level_modules/altera_tse_pcs_pma_gige.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet
+//
+// Description : 
+//
+// Top level PCS + PMA module for Triple Speed Ethernet PCS + PMA
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+//Legal Notice: (C)2007 Altera Corporation. All rights reserved.  Your
+//use of Altera Corporation's design tools, logic functions and other
+//software and tools, and its AMPP partner logic functions, and any
+//output files any of the foregoing (including device programming or
+//simulation files), and any associated documentation or information are
+//expressly subject to the terms and conditions of the Altera Program
+//License Subscription Agreement or other applicable license agreement,
+//including, without limitation, that your use is for the sole purpose
+//of programming logic devices manufactured by Altera and sold by Altera
+//or its authorized distributors.  Please refer to the applicable
+//agreement for further details.
+ 
+(*altera_attribute = {"-name SYNCHRONIZER_IDENTIFICATION OFF" } *)
+module altera_tse_pcs_pma_gige /* synthesis ALTERA_ATTRIBUTE = "SUPPRESS_DA_RULE_INTERNAL=\"R102,R105,D102,D101,D103\"" */(
+    // inputs:
+    address,
+    clk,
+    gmii_tx_d,
+    gmii_tx_en,
+    gmii_tx_err,
+    gxb_cal_blk_clk,
+    gxb_pwrdn_in,
+    mii_tx_d,
+    mii_tx_en,
+    mii_tx_err,
+    read,
+    reconfig_clk,
+    reconfig_togxb,
+    ref_clk,
+    reset,
+    reset_rx_clk,
+    reset_tx_clk,
+    rxp,
+    write,
+    writedata,
+
+    // outputs:
+    gmii_rx_d,
+    gmii_rx_dv,
+    gmii_rx_err,
+    hd_ena,
+    led_an,
+    led_char_err,
+    led_col,
+    led_crs,
+    led_disp_err,
+    led_link,
+    mii_col,
+    mii_crs,
+    mii_rx_d,
+    mii_rx_dv,
+    mii_rx_err,
+    pcs_pwrdn_out,
+    readdata,
+    reconfig_fromgxb,
+    rx_clk,
+    set_10,
+    set_100,
+    set_1000,
+    tx_clk,
+	rx_clkena,
+	tx_clkena,
+    txp,
+    waitrequest
+);
+
+
+//  Parameters to configure the core for different variations
+//  ---------------------------------------------------------
+
+parameter PHY_IDENTIFIER        = 32'h 00000000; //  PHY Identifier 
+parameter DEV_VERSION           = 16'h 0001 ;    //  Customer Phy's Core Version
+parameter ENABLE_SGMII          = 1;             //  Enable SGMII logic for synthesis
+parameter EXPORT_PWRDN          = 1'b0;          //  Option to export the Alt2gxb powerdown signal
+parameter DEVICE_FAMILY         = "ARRIAGX";     //  The device family the the core is targetted for.
+parameter TRANSCEIVER_OPTION    = 1'b0;          //  Option to select transceiver block for MAC PCS PMA Instantiation. 
+                                                 //  Valid Values are 0 and 1:  0 - GXB (GIGE Mode) 1 - LVDS I/O.
+parameter STARTING_CHANNEL_NUMBER = 0;           //  Starting Channel Number for Reconfig block
+parameter ENABLE_ALT_RECONFIG   = 0;             //  Option to expose the alt_reconfig ports
+parameter SYNCHRONIZER_DEPTH 	= 3;	  	 //  Number of synchronizer
+
+  output  [7:0] gmii_rx_d;
+  output  gmii_rx_dv;
+  output  gmii_rx_err;
+  output  hd_ena;
+  output  led_an;
+  output  led_char_err;
+  output  led_col;
+  output  led_crs;
+  output  led_disp_err;
+  output  led_link;
+  output  mii_col;
+  output  mii_crs;
+  output  [3:0] mii_rx_d;
+  output  mii_rx_dv;
+  output  mii_rx_err;
+  output  pcs_pwrdn_out;
+  output  [15:0] readdata;
+  output  [16:0] reconfig_fromgxb;
+  output  rx_clk;
+  output  set_10;
+  output  set_100;
+  output  set_1000;
+  output  tx_clk;
+  output  rx_clkena;
+  output  tx_clkena;
+  output  txp;
+  output  waitrequest;
+  
+  input   [4:0] address;
+  input   clk;
+  input   [7:0] gmii_tx_d;
+  input   gmii_tx_en;
+  input   gmii_tx_err;
+  input   gxb_pwrdn_in;
+  input   gxb_cal_blk_clk;
+  input   [3:0] mii_tx_d;
+  input   mii_tx_en;
+  input   mii_tx_err;
+  input   read;
+  input   reconfig_clk;
+  input   [3:0] reconfig_togxb;
+  input   ref_clk;
+  input   reset;
+  input   reset_rx_clk;
+  input   reset_tx_clk;
+  input   rxp;
+  input   write;
+  input   [15:0] writedata;
+
+
+  wire    PCS_rx_reset;
+  wire    PCS_tx_reset;
+  wire    PCS_reset;
+  wire    gige_pma_reset;
+  wire    [7:0] gmii_rx_d;
+  wire    gmii_rx_dv;
+  wire    gmii_rx_err;
+  wire    hd_ena;
+  wire    led_an;
+  wire    led_char_err;
+  wire    led_char_err_gx;
+  wire    led_col;
+  wire    led_crs;
+  wire    led_disp_err;
+  wire    led_link;
+  wire    link_status;
+  wire    mii_col;
+  wire    mii_crs;
+  wire    [3:0] mii_rx_d;
+  wire    mii_rx_dv;
+  wire    mii_rx_err;
+  wire    pcs_clk;
+  wire    [7:0] pcs_rx_frame;
+  wire    pcs_rx_kchar;
+
+  wire    [15:0] readdata;
+  wire    rx_char_err_gx;
+  wire    rx_clk;
+  wire    rx_disp_err;
+  wire    [7:0] rx_frame;
+  wire    rx_syncstatus;
+  wire    rx_kchar;
+  wire    set_10;
+  wire    set_100;
+  wire    set_1000;
+  wire    tx_clk;
+  wire    rx_clkena;
+  wire    tx_clkena;
+  wire    [7:0] tx_frame;
+  wire    tx_kchar;
+  wire    txp;
+  wire    waitrequest;
+  wire    sd_loopback;
+  wire    pcs_pwrdn_out_sig;
+  wire    gxb_pwrdn_in_sig;
+
+  wire   rx_runlengthviolation;
+  wire   rx_patterndetect;
+  wire   rx_runningdisp;
+  wire   rx_rmfifodatadeleted;
+  wire   rx_rmfifodatainserted;
+  wire   pcs_rx_rmfifodatadeleted;
+  wire   pcs_rx_rmfifodatainserted;
+    
+  reg     pma_digital_rst0;
+  reg     pma_digital_rst1;
+  reg     pma_digital_rst2;
+
+
+  wire    [16:0] reconfig_fromgxb;
+
+    
+  
+// Reset logic used to reset the PMA blocks
+// ----------------------------------------
+always @(posedge clk or posedge reset_rx_clk)
+   begin
+     if (reset_rx_clk == 1)
+        begin
+          pma_digital_rst0 <= reset_rx_clk;
+          pma_digital_rst1 <= reset_rx_clk;
+          pma_digital_rst2 <= reset_rx_clk;
+        end
+     else 
+        begin
+          pma_digital_rst0 <= reset_rx_clk;
+          pma_digital_rst1 <= pma_digital_rst0;
+          pma_digital_rst2 <= pma_digital_rst1;
+        end
+   end
+
+
+
+//  Assign the digital reset of the PMA to the PCS logic
+//  --------------------------------------------------------
+assign PCS_rx_reset = pma_digital_rst2;
+assign PCS_tx_reset = reset_tx_clk | pma_digital_rst2;
+assign PCS_reset = reset | pma_digital_rst2;
+
+
+
+//  Assign the character error and link status to top level leds
+//  ------------------------------------------------------------
+assign led_char_err = led_char_err_gx;
+assign led_link = link_status;
+
+
+
+// Instantiation of the PCS core that connects to a PMA
+// --------------------------------------------------------
+  altera_tse_top_1000_base_x_strx_gx altera_tse_top_1000_base_x_strx_gx_inst
+    (
+        .rx_carrierdetected(pcs_rx_carrierdetected),
+        .rx_rmfifodatadeleted(pcs_rx_rmfifodatadeleted),
+        .rx_rmfifodatainserted(pcs_rx_rmfifodatainserted),
+        .gmii_rx_d (gmii_rx_d),
+        .gmii_rx_dv (gmii_rx_dv),
+        .gmii_rx_err (gmii_rx_err),
+        .gmii_tx_d (gmii_tx_d),
+        .gmii_tx_en (gmii_tx_en),
+        .gmii_tx_err (gmii_tx_err),
+        .hd_ena (hd_ena),
+        .led_an (led_an),
+        .led_char_err (led_char_err_gx),
+        .led_col (led_col),
+        .led_crs (led_crs),
+        .led_link (link_status),
+        .mii_col (mii_col),
+        .mii_crs (mii_crs),
+        .mii_rx_d (mii_rx_d),
+        .mii_rx_dv (mii_rx_dv),
+        .mii_rx_err (mii_rx_err),
+        .mii_tx_d (mii_tx_d),
+        .mii_tx_en (mii_tx_en),
+        .mii_tx_err (mii_tx_err),
+        .powerdown (pcs_pwrdn_out_sig),
+        .reg_addr (address),
+        .reg_busy (waitrequest),
+        .reg_clk (clk),
+        .reg_data_in (writedata),
+        .reg_data_out (readdata),
+        .reg_rd (read),
+        .reg_wr (write),
+        .reset_reg_clk (PCS_reset),
+        .reset_rx_clk (PCS_rx_reset),
+        .reset_tx_clk (PCS_tx_reset),
+        .rx_clk (rx_clk),
+        .rx_clkout (pcs_clk),
+        .rx_frame (pcs_rx_frame),
+        .rx_kchar (pcs_rx_kchar),
+        .sd_loopback (sd_loopback),
+        .set_10 (set_10),
+        .set_100 (set_100),
+        .set_1000 (set_1000),
+        .tx_clk (tx_clk),
+		.rx_clkena(rx_clkena),
+	    .tx_clkena(tx_clkena),
+		.ref_clk(1'b0),
+        .tx_clkout (pcs_clk),
+        .tx_frame (tx_frame),
+        .tx_kchar (tx_kchar)
+
+    );    
+    defparam
+        altera_tse_top_1000_base_x_strx_gx_inst.PHY_IDENTIFIER = PHY_IDENTIFIER,
+        altera_tse_top_1000_base_x_strx_gx_inst.DEV_VERSION = DEV_VERSION,
+        altera_tse_top_1000_base_x_strx_gx_inst.ENABLE_SGMII = ENABLE_SGMII;
+
+
+
+// Export powerdown signal or wire it internally
+// ---------------------------------------------
+generate if (EXPORT_PWRDN == 1)
+    begin          
+        assign gxb_pwrdn_in_sig = gxb_pwrdn_in;
+        assign pcs_pwrdn_out = pcs_pwrdn_out_sig;
+    end
+else
+    begin
+        assign gxb_pwrdn_in_sig = pcs_pwrdn_out_sig;
+		assign pcs_pwrdn_out = 1'b0;
+    end      
+endgenerate
+
+
+
+
+// Instantiation of the Alt2gxb block as the PMA for Stratix_II_GX and ArriaGX devices
+// ----------------------------------------------------------------------------------- 
+
+    // Aligned Rx_sync from gxb
+    // -------------------------------
+    altera_tse_gxb_aligned_rxsync the_altera_tse_gxb_aligned_rxsync
+      (
+        .clk(pcs_clk),
+        .reset(PCS_rx_reset),
+        //input (from alt2gxb)
+        .alt_dataout(rx_frame),
+        .alt_sync(rx_syncstatus),
+        .alt_disperr(rx_disp_err),
+        .alt_ctrldetect(rx_kchar),
+        .alt_errdetect(rx_char_err_gx),
+        .alt_rmfifodatadeleted(rx_rmfifodatadeleted),
+        .alt_rmfifodatainserted(rx_rmfifodatainserted),
+        .alt_runlengthviolation(rx_runlengthviolation),
+        .alt_patterndetect(rx_patterndetect),
+        .alt_runningdisp(rx_runningdisp),
+
+        //output (to PCS)
+        .altpcs_dataout(pcs_rx_frame),
+        .altpcs_sync(link_status),
+        .altpcs_disperr(led_disp_err),
+        .altpcs_ctrldetect(pcs_rx_kchar),
+        .altpcs_errdetect(led_char_err_gx),
+        .altpcs_rmfifodatadeleted(pcs_rx_rmfifodatadeleted),
+        .altpcs_rmfifodatainserted(pcs_rx_rmfifodatainserted),
+        .altpcs_carrierdetect(pcs_rx_carrierdetected)
+
+       ) ;
+       defparam
+           the_altera_tse_gxb_aligned_rxsync.DEVICE_FAMILY = DEVICE_FAMILY;		
+
+
+
+    // Altgxb in GIGE mode
+    // --------------------
+    altera_tse_gxb_gige_inst the_altera_tse_gxb_gige_inst
+      (
+        .cal_blk_clk (gxb_cal_blk_clk),
+        .gxb_powerdown (gxb_pwrdn_in_sig),
+        .pll_inclk (ref_clk),
+        .reconfig_clk(reconfig_clk),
+        .reconfig_togxb(reconfig_togxb),
+        .reconfig_fromgxb(reconfig_fromgxb),
+        .rx_analogreset (reset),
+        .rx_cruclk (ref_clk),
+        .rx_ctrldetect (rx_kchar),
+        .rx_datain (rxp),
+        .rx_dataout (rx_frame),
+        .rx_digitalreset (pma_digital_rst2),
+        .rx_disperr (rx_disp_err),
+        .rx_errdetect (rx_char_err_gx),
+        .rx_patterndetect (rx_patterndetect),
+        .rx_rlv (rx_runlengthviolation),
+        .rx_seriallpbken (sd_loopback),
+        .rx_syncstatus (rx_syncstatus),
+        .tx_clkout (pcs_clk),
+        .tx_ctrlenable (tx_kchar),
+        .tx_datain (tx_frame),
+        .tx_dataout (txp),
+        .tx_digitalreset (pma_digital_rst2),
+        .rx_rmfifodatadeleted(rx_rmfifodatadeleted),
+        .rx_rmfifodatainserted(rx_rmfifodatainserted),
+        .rx_runningdisp(rx_runningdisp)
+
+      );
+      defparam
+          the_altera_tse_gxb_gige_inst.ENABLE_ALT_RECONFIG = ENABLE_ALT_RECONFIG,
+          the_altera_tse_gxb_gige_inst.STARTING_CHANNEL_NUMBER = STARTING_CHANNEL_NUMBER,
+          the_altera_tse_gxb_gige_inst.DEVICE_FAMILY = DEVICE_FAMILY;
+
+
+
+
+endmodule
+
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v
new file mode 100644
index 0000000000..000f943353
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_rx.v
@@ -0,0 +1,173 @@
+// megafunction wizard: %ALTLVDS%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altlvds_rx 
+
+// ============================================================
+// File Name: altera_tse_pma_lvds_rx.v
+// Megafunction Name(s):
+// 			altlvds_rx
+//
+// Simulation Library Files(s):
+// 			altera_mf
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 7.2 Internal Build 97 06/25/2007 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2007 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_pma_lvds_rx (
+	rx_in,
+	rx_inclock,
+	rx_reset,
+	rx_divfwdclk,
+	rx_out,
+	rx_outclock);
+
+	input	[0:0]  rx_in;
+	input	  rx_inclock;
+	input	[0:0]  rx_reset;
+	output	[0:0]  rx_divfwdclk;
+	output	[9:0]  rx_out;
+	output	  rx_outclock;
+
+	wire [0:0] sub_wire0;
+	wire [9:0] sub_wire1;
+	wire  sub_wire2;
+	wire [0:0] rx_divfwdclk = sub_wire0[0:0];
+	wire [9:0] rx_out = sub_wire1[9:0];
+	wire  rx_outclock = sub_wire2;
+
+	altlvds_rx	altlvds_rx_component (
+				.rx_inclock (rx_inclock),
+				.rx_reset (rx_reset),
+				.rx_in (rx_in),
+				.rx_divfwdclk (sub_wire0),
+				.rx_out (sub_wire1),
+				.rx_outclock (sub_wire2),
+				.pll_areset (1'b0),
+				.rx_cda_max (),
+				.rx_cda_reset (1'b0),
+				.rx_channel_data_align (1'b0),
+				.rx_coreclk (1'b1),
+				.rx_data_align (1'b0),
+				.rx_deskew (1'b0),
+				.rx_dpa_locked (),
+				.rx_dpll_enable (1'b1),
+				.rx_dpll_hold (1'b0),
+				.rx_dpll_reset (1'b0),
+				.rx_enable (1'b1),
+				.rx_fifo_reset (1'b0),
+				.rx_locked (),
+				.rx_pll_enable (1'b1),
+				.rx_readclock (1'b0),
+				.rx_syncclock (1'b0));
+	defparam
+		altlvds_rx_component.common_rx_tx_pll = "ON",
+		altlvds_rx_component.deserialization_factor = 10,
+		altlvds_rx_component.enable_dpa_mode = "ON",
+		altlvds_rx_component.enable_soft_cdr_mode = "ON",
+		altlvds_rx_component.implement_in_les = "OFF",
+		altlvds_rx_component.inclock_period = 8000,
+		altlvds_rx_component.input_data_rate = 1250,
+		altlvds_rx_component.intended_device_family = "Stratix III",
+		altlvds_rx_component.lpm_type = "altlvds_rx",
+		altlvds_rx_component.number_of_channels = 1,
+		altlvds_rx_component.outclock_resource = "AUTO",
+		altlvds_rx_component.registered_output = "ON",
+		altlvds_rx_component.use_external_pll = "OFF",
+		altlvds_rx_component.enable_dpa_align_to_rising_edge_only = "OFF",
+		altlvds_rx_component.enable_dpa_initial_phase_selection = "OFF";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Bitslip NUMERIC "4"
+// Retrieval info: PRIVATE: Channel_Data_Align_Max NUMERIC "0"
+// Retrieval info: PRIVATE: Channel_Data_Align_Reset NUMERIC "0"
+// Retrieval info: PRIVATE: Clock_Mode NUMERIC "0"
+// Retrieval info: PRIVATE: Data_rate STRING "1250"
+// Retrieval info: PRIVATE: Deser_Factor NUMERIC "10"
+// Retrieval info: PRIVATE: Dpa_Locked NUMERIC "0"
+// Retrieval info: PRIVATE: Dpll_Enable NUMERIC "0"
+// Retrieval info: PRIVATE: Dpll_Hold NUMERIC "0"
+// Retrieval info: PRIVATE: Dpll_Reset NUMERIC "1"
+// Retrieval info: PRIVATE: Enable_DPA_Mode STRING "ON"
+// Retrieval info: PRIVATE: Ext_PLL STRING "OFF"
+// Retrieval info: PRIVATE: Fifo_Reset NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix III"
+// Retrieval info: PRIVATE: Int_Device STRING "Stratix III"
+// Retrieval info: PRIVATE: LVDS_Mode NUMERIC "1"
+// Retrieval info: PRIVATE: Le_Serdes STRING "OFF"
+// Retrieval info: PRIVATE: Lose_Lock NUMERIC "0"
+// Retrieval info: PRIVATE: Num_Channel NUMERIC "1"
+// Retrieval info: PRIVATE: PLL_Enable NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_Freq STRING "125.00"
+// Retrieval info: PRIVATE: PLL_Period STRING "8.000"
+// Retrieval info: PRIVATE: Reg_InOut NUMERIC "1"
+// Retrieval info: PRIVATE: Reset_Fifo NUMERIC "1"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: Use_Clock_Resc STRING "AUTO"
+// Retrieval info: PRIVATE: Use_Common_Rx_Tx_Plls NUMERIC "1"
+// Retrieval info: PRIVATE: Use_Data_Align NUMERIC "0"
+// Retrieval info: PRIVATE: Use_Lock NUMERIC "0"
+// Retrieval info: PRIVATE: Use_Pll_Areset NUMERIC "0"
+// Retrieval info: CONSTANT: COMMON_RX_TX_PLL STRING "ON"
+// Retrieval info: CONSTANT: DESERIALIZATION_FACTOR NUMERIC "10"
+// Retrieval info: CONSTANT: ENABLE_DPA_MODE STRING "ON"
+// Retrieval info: CONSTANT: ENABLE_SOFT_CDR_MODE STRING "ON"
+// Retrieval info: CONSTANT: IMPLEMENT_IN_LES STRING "OFF"
+// Retrieval info: CONSTANT: INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: INPUT_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix III"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altlvds_rx"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OUTCLOCK_RESOURCE STRING "AUTO"
+// Retrieval info: CONSTANT: REGISTERED_OUTPUT STRING "ON"
+// Retrieval info: CONSTANT: USE_EXTERNAL_PLL STRING "OFF"
+// Retrieval info: CONSTANT: enable_dpa_align_to_rising_edge_only STRING "OFF"
+// Retrieval info: CONSTANT: enable_dpa_initial_phase_selection STRING "OFF"
+// Retrieval info: USED_PORT: rx_divfwdclk 0 0 1 0 OUTPUT NODEFVAL rx_divfwdclk[0..0]
+// Retrieval info: USED_PORT: rx_in 0 0 1 0 INPUT NODEFVAL rx_in[0..0]
+// Retrieval info: USED_PORT: rx_inclock 0 0 0 0 INPUT_CLK_EXT GND rx_inclock
+// Retrieval info: USED_PORT: rx_out 0 0 10 0 OUTPUT NODEFVAL rx_out[9..0]
+// Retrieval info: USED_PORT: rx_outclock 0 0 0 0 OUTPUT NODEFVAL rx_outclock
+// Retrieval info: USED_PORT: rx_reset 0 0 1 0 INPUT GND rx_reset[0..0]
+// Retrieval info: CONNECT: @rx_in 0 0 1 0 rx_in 0 0 1 0
+// Retrieval info: CONNECT: rx_out 0 0 10 0 @rx_out 0 0 10 0
+// Retrieval info: CONNECT: @rx_inclock 0 0 0 0 rx_inclock 0 0 0 0
+// Retrieval info: CONNECT: rx_divfwdclk 0 0 1 0 @rx_divfwdclk 0 0 1 0
+// Retrieval info: CONNECT: @rx_reset 0 0 1 0 rx_reset 0 0 1 0
+// Retrieval info: CONNECT: rx_outclock 0 0 0 0 @rx_outclock 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_rx_bb.v FALSE
+// Retrieval info: LIB_FILE: altera_mf
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v
new file mode 100644
index 0000000000..1cf5767743
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_pma_lvds_tx.v
@@ -0,0 +1,143 @@
+// megafunction wizard: %ALTLVDS%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altlvds_tx 
+
+// ============================================================
+// File Name: altera_tse_pma_lvds_tx.v
+// Megafunction Name(s):
+// 			altlvds_tx
+//
+// Simulation Library Files(s):
+// 			altera_mf
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 7.2 Internal Build 97 06/25/2007 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2007 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files from any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_pma_lvds_tx (
+	tx_in,
+	tx_inclock,
+	tx_out);
+
+	input	[9:0]  tx_in;
+	input	  tx_inclock;
+	output	[0:0]  tx_out;
+
+	wire [0:0] sub_wire0;
+	wire [0:0] tx_out = sub_wire0[0:0];
+
+	altlvds_tx	altlvds_tx_component (
+				.tx_in (tx_in),
+				.tx_inclock (tx_inclock),
+				.tx_out (sub_wire0),
+				.pll_areset (1'b0),
+				.sync_inclock (1'b0),
+				.tx_coreclock (),
+				.tx_enable (1'b1),
+				.tx_locked (),
+				.tx_outclock (),
+				.tx_pll_enable (1'b1),
+				.tx_syncclock (1'b0));
+	defparam
+		altlvds_tx_component.common_rx_tx_pll = "ON",
+		altlvds_tx_component.deserialization_factor = 10,
+		altlvds_tx_component.implement_in_les = "OFF",
+		altlvds_tx_component.inclock_data_alignment = "UNUSED",
+		altlvds_tx_component.inclock_period = 8000,
+		altlvds_tx_component.inclock_phase_shift = 0,
+		altlvds_tx_component.intended_device_family = "Stratix III",
+		altlvds_tx_component.lpm_type = "altlvds_tx",
+		altlvds_tx_component.number_of_channels = 1,
+		altlvds_tx_component.outclock_resource = "AUTO",
+		altlvds_tx_component.output_data_rate = 1250,
+		altlvds_tx_component.registered_input = "TX_CLKIN",
+		altlvds_tx_component.use_external_pll = "OFF";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Clock_Choices STRING "TX_CLKIN"
+// Retrieval info: PRIVATE: Clock_Mode NUMERIC "0"
+// Retrieval info: PRIVATE: Data_rate STRING "1250"
+// Retrieval info: PRIVATE: Deser_Factor NUMERIC "10"
+// Retrieval info: PRIVATE: Enable_DPA_Mode STRING "OFF"
+// Retrieval info: PRIVATE: Ext_PLL STRING "OFF"
+// Retrieval info: PRIVATE: INCLOCK_PHASE_SHIFT STRING "0.00"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix III"
+// Retrieval info: PRIVATE: Int_Device STRING "Stratix III"
+// Retrieval info: PRIVATE: LVDS_Mode NUMERIC "0"
+// Retrieval info: PRIVATE: Le_Serdes STRING "OFF"
+// Retrieval info: PRIVATE: Num_Channel NUMERIC "1"
+// Retrieval info: PRIVATE: OUTCLOCK_PHASE_SHIFT STRING "0.00"
+// Retrieval info: PRIVATE: Outclock_Divide_By NUMERIC "10"
+// Retrieval info: PRIVATE: PLL_Enable NUMERIC "0"
+// Retrieval info: PRIVATE: PLL_Freq STRING "125.00"
+// Retrieval info: PRIVATE: PLL_Period STRING "8.000"
+// Retrieval info: PRIVATE: Reg_InOut NUMERIC "1"
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
+// Retrieval info: PRIVATE: Use_Clock_Resc STRING "AUTO"
+// Retrieval info: PRIVATE: Use_Common_Rx_Tx_Plls NUMERIC "1"
+// Retrieval info: PRIVATE: Use_CoreClock NUMERIC "0"
+// Retrieval info: PRIVATE: Use_Lock NUMERIC "0"
+// Retrieval info: PRIVATE: Use_Pll_Areset NUMERIC "0"
+// Retrieval info: PRIVATE: Use_Tx_Out_Phase NUMERIC "1"
+// Retrieval info: CONSTANT: COMMON_RX_TX_PLL STRING "ON"
+// Retrieval info: CONSTANT: DESERIALIZATION_FACTOR NUMERIC "10"
+// Retrieval info: CONSTANT: IMPLEMENT_IN_LES STRING "OFF"
+// Retrieval info: CONSTANT: INCLOCK_DATA_ALIGNMENT STRING "UNUSED"
+// Retrieval info: CONSTANT: INCLOCK_PERIOD NUMERIC "8000"
+// Retrieval info: CONSTANT: INCLOCK_PHASE_SHIFT NUMERIC "0"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix III"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altlvds_tx"
+// Retrieval info: CONSTANT: NUMBER_OF_CHANNELS NUMERIC "1"
+// Retrieval info: CONSTANT: OUTCLOCK_RESOURCE STRING "AUTO"
+// Retrieval info: CONSTANT: OUTPUT_DATA_RATE NUMERIC "1250"
+// Retrieval info: CONSTANT: REGISTERED_INPUT STRING "TX_CLKIN"
+// Retrieval info: CONSTANT: USE_EXTERNAL_PLL STRING "OFF"
+// Retrieval info: USED_PORT: tx_in 0 0 10 0 INPUT NODEFVAL tx_in[9..0]
+// Retrieval info: USED_PORT: tx_inclock 0 0 0 0 INPUT_CLK_EXT GND tx_inclock
+// Retrieval info: USED_PORT: tx_out 0 0 1 0 OUTPUT NODEFVAL tx_out[0..0]
+// Retrieval info: CONNECT: @tx_in 0 0 10 0 tx_in 0 0 10 0
+// Retrieval info: CONNECT: tx_out 0 0 1 0 @tx_out 0 0 1 0
+// Retrieval info: CONNECT: @tx_inclock 0 0 0 0 tx_inclock 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL tse_pma_lvds_tx_bb.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL altera_tse_pma_lvds_tx_bb.v FALSE
+// Retrieval info: LIB_FILE: altera_mf
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_16x32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_16x32.v
new file mode 100644
index 0000000000000000000000000000000000000000..f36cc71fd26eafd745899aa4538a182227a03f98
GIT binary patch
literal 8032
zcmV-mAD`fh6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%lfrI(4gEu}ZFC-B+b=!mr~cPljT*clono=!^(fFY_(&$}tW{7+wWy+>uU
zoUVe?{gbf(=^shs%6bgOLIVl7)BDDXC6?v_Q3%VV+D+Ws>(KXlW|}o~?+Nw+OhW&>
zOauNq<ewmLhx$q+D}O^PbAI|fAsQbDy9BWWgIN8E1nf`l<I6d~<E0&x+_;e8Dxv}m
z7nm-}vaQVvFx&*9BPpCG_u+O|IhyOOZa%M*z9T1WwEv?-ZPZ7hhb~H>0=z)TnHv%X
zpZXe1$9gg^ZIC@gdcps2ALx{*93^YQtKN={{7$#`B$NW?%W+_0`!E^2F>(Wi;^`nj
zCpeC}SA-a%e^5NgAthY4$ox|fl81wLQCH^n^pD%`6_NG(@aDBber9#u)wmN~)K&3y
zz^Xvv;Fd0W{o}MH`wdI!7>#p^3Es1JN>3XB`_p=Q?80N*UJ$}cr2oK;W0xc1DQTEs
zncsRk>#8!M+L|mEkYlahRx5yW*EPG(1%gf<OCR`A3`=7Mm^RBUye3L#3!Q?jnn#=?
zn=-nIWW@6RMSg{9;K5#kuRu)cvP3XQzouH$8p=e;E#G==)fy1a#%{pZ%x-74uxhr!
z6!$plDWJbu%;@7B-mm{z&o0SwVC;v~i+15hCl&Wo!lVlMcDi%cOlOKPbBP(JWPgbt
zSlYpk#v#xW#0k2ah_maro7u7{ZfuJ*+TTQZVHPLRN*JD;WbQIsYH8x%dT_|aC4W|Y
z08^1@T<<RRe;XaU#eUWFlJf?@f2vgg`lCI}LGNKyZhwIw02Hs^vqxvy(2lo)n77{W
zZ%8NyB6dA)&6&p?@^X3D+m$Tz0Y%75_Qyef!4BcZwPdxG!O<zqzK9b1wP=_|@-j!}
zSiuyFipPZG%_gA=m1p#Z$5$QeD#mBG`RbY~gCPoiM>I*{CBr?T`-BtE9!f5e#6Q4e
z6655lFXcdzcufG>@(c0^O4rGB`(y0ByCdk}i+n;D6Paqyt>jS)3ZZ&bQBh31qZHFm
z@a-5m6dCFjlSWzgPyTSlldDDcX+`t4V2z*~eWOFW?_59v->{C9E-f+k%;EJ=6_34;
z*3;N>T}3!EQ@h|L@1ti7AApaP&bn)(E5Bj|vm&byE@W(&s9Mw84?2<}{tci3RkGZ@
zKWFsifV9h)o=#lO{J*P?ob1N8X9=R|Mpvn&1BYt*I(a*7wP?dU+0@zlYUIjR&?X2#
zvZ^IeC55f_Yzjq`n79q~l_!p)-_em};hVia{_wkphLJw($=v1`7lA}<5Jt|}q;B-V
zn=ZSe9f}j8YaE<oLa5>{zMj-Z%4tAH=PVFp_QXaO?>)mk@$h^P!2VY=Q6DDOC7~yP
zQBWL`s^f=sw$LUK-hH~W%+6F9!7Et?+>sJzrxE~%oD}P)=csa0plY*x!Bzn;5JuuU
zXfJkpNsLlX)N~pVUqBifNUsHu|2idoqRlYg1+9^E3?O)XS5S0a<VX}}8rK(m^UFBv
zPk+}@*@r!i?!Jr+D|sBU^pGqwV%nY3zrwz@6GgDN-whfINe0(AIl)E2m%ED=84idF
z%XZ(UMjvACUF6+ZcY`5l&_qp0s}2n-vkH5-zFcw?%P$wM_BWloeF3lugtzid${gqL
z^eIAib9I%T>D{b?w@3!LrdZ0aL@yH$O3i-FIIG#AcAX+a3=MsrUjCeV_H5KMmnAY6
z?^8)tT0)BR>lcxIncLnw(7Utg_Kk#dCwS-ghAZwYy|6lKH)2z)y0Am=eI0lm#(NKX
zg4g%_(b-Ba#|NX13Ele{S%ViX@<F|G$RHESkOG|bsq&A*4i#;3ABtVZ{5>Wwv#>y9
zxIE<A%Hci`R8EV{6yRnZ`kJCi&e@djKp-@VS(MYhjC+R3erO|<Hao2h2HTwu#L$h7
zpUuHR><*%^7|>6$Wu2OzIlREyO#K#|4`B;W2vn(|d0aRvsfIeY$o-Q{E(E8K`wlF!
z_ZyvRlFZyZzs@Xm5mc#N)gxN8rPK~J#!rrgm^-Y#>to&MG3OQcmd3@;dpEqh$Wfs3
zdmqKL%#`iIs6d$R{@*ByISJMHs^PbqBCsfX_)*52g2%xfuXj(1g&nsOjvUF-+j^DN
z<;;CFIa#qiA?kRK+kOX}Eou=hT;Td#q!(QmT0lGgU6_d`K7y~5hrb4yeWlXJtho+f
z>2kr!?Bo=r(_LXUp9^f?%%V^eS<Zz2LS~MkGc=3rD*wdpM%!s4o6{yyv5wtexib6V
zuS;1>_2DasEGpk)uA*r)?)1z>3Tp41UrFgzsJoBM;q7Id?C%XM){Hn{!yZv2({{~!
z@C?wlzPIz|V?b7NXLG9^C7oQYc!eckh^3f8F}z{_f)u#tmyH|j@3z^n^-Yc;+t5$j
ze%n~!-ppLk4qYe7*(jIZrCRM@l4&dAzkT{>U#qMjCO=4Fg%M{I9A>ghbyv`**QcmQ
zx~<b#uCFt#AY`y3amj62*huFc7B`@7!)g7WuTH;C)q42}f%dWzSqj~dtTb2hi}VDV
zC{QM`E)}^UEfy!iN|ueiSoe>V!#D^uJJI40NJq!|Ef!v6W#r%X{O^jH4!IMu#V{HH
z-epQ2@)78cwB4DQT2_Y3-DG)J(OJL4w_x@oVJ*io>GWgt?IV`qwMX)A`;N@yeRR0p
z7RvAb=(IV5I3OC{nJQf0W!y?0vg>|WTa_>INwM0}iL&F=oT35de&Ldg4_^A=CsFtJ
z7%ruAb2UiZ^r;ls@8@zCei+(1;Qv-zJjT^(cDfO?W-Yn}d26dv!}&(78UK0`w;pEi
zSybciP*?MYV(z*V@Xbe;FemZHjU>Tj!S~VTCj6kSy}m7aB6kqt5^G3G-d-^_X0IWx
zX>q##d%zaVk6B(LXsgUv8$R{y9~g#p#{F(0Ve8E_;Vxj&C8T2B`?&K!V>pl(W)Lg~
zW<|%#%#=Kus8=B(btf#kNR8FH^<=jQqh^b4_VHp<U`=S3q7SI#)5xKO9j`%-_a7%?
zkG*X7Ake{Nj#Q_(>m|x|Wf-w7k8^W?LkPrtRiq?2UHaqAX>8)>q&FFWAMZ;+Z%`tS
z)}&f=!@wwZo!cqYY$XUgPPr?dS74~qy21+LmP~a=yj`11^x8=2oMvuuxTv-#jC~`t
zqD>OJ@ux%6S9%Y1p16j)Oa2Fw#Wj^3kDz-TC~Z3-F#rL)e*LD*u(tMTP8G>HP^<`#
z<AXGNKAfFE-ufbCO_NB`pOHq`A7Ln-+~K2i<Y$gjFEg!$F_rSMt|mw(SoJ%EJ$YTg
zn9lvP)E9AxH}oGW%em{8<bvGi;liy5nrrk)n3?qvNodEN8XT|HXLpd<{;MkeqM3uq
zzVo+j$uBy0qir||J_qqLWeRTh^GT2#&I+~{DK<<zQ-Pr(4Df87f^P$YX)Kmlm}Abk
z8<8+bfUSdM9zoG~6QtZ^WR(Mpe$!PG178b51PQHZJ%V+06ER?FEi88iWfX)^n4Z_<
zx~va+QTjxj`yIzWra98zO9#vZNFHL(&h3AmwVVKgE<X_;l&xipsA=Gq?1I1MCI`b$
z@suY|g4W^~>YE*AwK-gr(8*2qWplCMn}DoyBxyoPH(l#urh+rk>2$Yc3l}&122|)U
z0zU<WNNkc_WtH&oE0G~X>wg0yEuxIw$l-i*Wm=kR!gm@1$!?&W;HhiG>z=idCNxbH
z7DTsFQS0LH%m>EigoG8#&o#`7xZRYhH1))rGlw6mFZw-!<w+~<{_+d9gUqOZp&Sf9
z1T*$Q^wU4-N`e#*ySS-L?VivRsmY28r)2BcSU5`G>V*~6z+V}j((CskB`=J3CG4WA
z=sOXhB~Q_C9Y%8CQCaM#l0ZL6&ou+2=Ye`YllpF}U_ucwB+X#qxwFz`<UY3!{3wfz
z_cdc+|Ime@YYNdi0i0K2aT~4jH_cNlT=Q7e9#wk*zaIZz@A5WJ?Bh+<Mbc&ff&eqC
zx{eWD%Gy*L;t3zWNvwORGUjFY9^zxwJwBklTok1T^4&srffhANt)?xj$$1d2QL`%d
zV7I#y7FO?@F**qg+()A3nlpUxi)ODGo4YiXFm@tn9KcsBGSPgQiW6rKdsXU0MwFwS
zpwl#cRy@%A#sQ{m$6%!_J>lYn>RblfD{$8=hTB|RG-X3LYJXJ>;%I?9A6NJ)Fe&T*
z1lcVc$P*q;Cm^F!`^4I2TXrhh-AXA|^z??A?_MvI*{^wJ^N4Y|<fH22@|SilP}g84
zR#SkNBkhX=LMILV;9vAoCfB?_if=8eDaVEt7DOg^ae`~$vImtJ@)tB5J2c$uoZ+3X
zsQwmQ?~t<o-#b<cqaKUq(wF|W!T$D{%5{tY&s=6=zU>Kli;9b0)tbyrb_hHa3Fw<e
zLFn@{a3{=_j1ApXL}T&uCZ_YN7^|&n6h7SAG#r2UgeoxfKTsBefc!7nWFjch3oY*I
zeEib#oyf%~%#k8DjbBQ$KmFrX@xg%k$c=!{`l&$$)*0KJj`WOXA@f85xV3)VVZ3o%
z(A0*(v*jl89e&&=n|l;y^WTFw#&{&RFJ|YTP$S%r(OQ853LB(+4Q~Ks-`0%KlSaoQ
zwl*w<aoL{84)?y8>rje3xffqkdR;}GF_Pi>fn4d#lo<ku?@>yp<Y{Cks0c$FNP56N
zTzZ6MT<>$Me^1?|AzvSoIAIBsr!g4};i`nrNvXbCLsFJ(SoS~bx_>qm{QzEi{(9@a
zRa&5kTvXeJcUgO8=F0gVn@WCH_F<5EiKQ&LNNBV$wbPbozw%XD<t(oY28y_Q_7D7a
z(=*2(G7GN}=VZ3&H#$V5rqc%f)E-JGqnycL0<*&ARVg!mMS`+3xoA}GgMudL04COS
zmDr78&~z6qo`FI7J8@z{X{yAip|ZnfUT-~7t{PSyDdbA*k_U;jjPGVVrDh0bS*HRL
z>}XTsUq3Pn!mBXp^ZL1##x#iZym>^=JP1-_&*87Kziz&cM<512vSR`tm=plwKbd!D
z;L<EzP=!JyzSz8AhPy5q^GvO_WiR-yf9mnr+b7FVe-VKFLQtsGi#}7NbB+-4^Ho>2
zw&J!~MqN_*FxW<|exaR9CNLHtR{*p!E}H_FU6x%0lt@3x=AT>v*Y$kSjp)1<B>jSP
z`e_M0{ZzKSjzf_JG6rihh+^W;lkV^J5ZXIlsOxBg28Bx<DepMQS@{~tXe{dvIw)#G
zfz6TWpfi=wnW!e(8c*dl5qEaVcMgKY8o8gqGN|@VV3Ucb!vcR`9!zH>2aa4L_GfP`
z6<&Qbg~qVX(0G1g!uC@ZdM*>j-9uX^B$AbTOI~_)WN?B@1jd4QbT(}?Z66SShB0rn
zFIxQG%CChXz4j7cevX6}8@WRPxgC*H3Y3uNMa0ZWl-!;KZ|_5d1H1;Wqj|9f5mn$t
zCGYWtyw#Km!0-jg&g4)T(u#3XUEnLHH@QIoR5E_)KeeLtvSv@^jcq?g^sN+kz~$I`
zdB?0Yn$!`N2XRQJVa+v^Gju$CTR`JX3K}KZzcQQO!A&p2D$>&8sHJY>vDTQCn4ci$
zi})zcqogph)A8M)PShkEzyO=X$Viihd<D#B=<+#|;0Ea^wH*7q(RxX0BW+Yg6^bA>
zL(3CzF{OH)pASSqL3iwJ__66oEG3K5yyrH)A2pb^aka}Xwui6+2B9<Q7Pa}gUbK}@
zbg7VWTqaKTiIdewpR!&eCEe`<BmkXcCb%qWT)m)uXZ^h2`^jrA*vKN%ZGQj3p#};1
zp`Mt@L4BG>Gymu%XI8hA0Ed<Zl((C0rA6KCfdMs!uL^#?U9*Uedtft!G(T$ur-V#4
z2z=2hg7U&Quy?Ud*!CE167F@vscosoC5Qu>gRP)G_Rf*}p7N!FN068Pw`C~XBuYYi
zd_Uvpl`}FS%_w?)gQ+y_XL~I(77=~hw~j&=%B;wHYj4<~vm)t^d+_v}pR1|O4rwlu
zFcE7Y#sQLete5dy;3YcJyd;Yu4o>VN+glfWm?WaZsvugEkB3J@At;uD-f|x=MTxpM
z2p+rN3l>NO=AD|fT5NtuV2CBpQsOO~kp>rlZx2Xf0S<22t(WfigbtRcp%e}Ugy;<)
zQaNzjLMb||E%xsZ1x9_(<;y?n9^V@;dKQ@th7YyDdeiFF?wECZs}t77?pPP1oEd{i
z89h~mj2-*~N2opt(YUkW;=yk?b!Uk~<F~t6L`rub$TbF~i*J)9-AgmiQSAwgh|}Hh
zxsy}?M-8XM!$D5%6pvWNs1$ekI!A%54R2LmpkD=sNL*ET)N}Kw#Y~CrZ0*W(kMKOv
zxcqPN(|sgxkrqG_A7D!0S$0~ZRTa35;!0MDl4Z(im=sC}_@lW9W#!zO2#{k{si1>Q
z%3?NU_WJO2&a;r|A_P{aXb&;6pS-^@N~W2IjBeUGu2Svc0CPK~5Wa$^m`1_)K_ssn
z<)l+vm^=WEFP@7i)%3Jrvn2rG^a-csYVGvveKpbiye?G1`J5cXwC)J4NH*lEYtQVs
zoDiygyKEpE60P8GWPU%V%FapU(Q15R>ujP>R<gOn=eac<X1GKpAhj166Uj(s#BAOM
zcuG6cTV9!RuPrE9jJ%U$<6Lcj9(Y6U>#131Dm#yW#S<YTPDkMZECh-_YA*9uv^&Hs
z#c!vHfM3@VT&W_8gOU68GO3UPgE#k=Pk{1B-NH990?Jwn?ra9k%(=0er%nIA2`^E6
zOAe9Bach!M-@55pW_7yAJ@I?vgZ?%Ap<S|CQvR0`4%!@XO|8^d(?bFbHOLh811hOj
zqqA`_$cv6v@baY)BpI_pVB3F*ftzV#Zogm7RxI1?yrsVCT(U(o&{2$s<uE>$lQ6@#
zH^;`r@o*8!$T^Vi1NF)<x@<yffh_q7oCDI&#u1c;dU%30As|z`9)5e?9L&SfW@br#
zzSIUKjV(<?!iwgwe2t9~(Rk=!a;6)SaY3$1vBFy<=6kkBKbqXrSZOC2_&$h(ol)2{
zSX&i{;YzU~p*$zSxP+)DdjN-SJ=6*eC5==X8{HBJj}k&kp5)7edN5SGXX`s}TrA<R
z)<4l`(lvU4Jn{)oxurRqit32??*m=#z~Q4+v6x7LL<N*?X3N$(smq@D;|cZhq*cLB
zi)Ba93yX;QRs_-Q(U(Bu$z*g6D$x(7-35zTGtlF@SgFaz7x-m9fwzp859&AU%**41
z_%~q~HXPoioHQ|j2U|6oKn`a8u<F1OhF34~{p~?@Br_SnBOrv9z1M}k&x_qSh9?bX
zz}Nk*dtb&Rat_Cr+ijEt%*uQgWnsLi{M4)^(fhuXnRs_|A=xywEhCH#C~(zeyl$1u
z1`Q2%vq>lb!wZ{n1%&ztJTm&0R8@0r9)P`SgD-N|30))0TgttUxK_?d4L2m-@DTd@
z#oNkZ3hJe5kC!TbBUh_pYB*73i=+h;VliI%3w*>G6ZsKqannGJ<`!~iC#nx%4vab(
z8U*FXkmyp;t*!ARZg<B~KCt}SoU%Dt%@6$moI&ZJ8D7;|2gAbstte)5SDec09LZL=
zaZcFhknB>sDUwPa%!%>EC2T_YrKBv}VIG7Mw4-h?>BD`WcHB+68rlQF&rO%tF3K75
zZoy2Oo0+slL<;UJiOMZ0l(-0LGgwQ39amvDu$52V^{&x#d<6Ym#%%PGSAJ#YCs?T|
zdc$HSyb8+*YUw!+$g_6OodK?uNiJjxyl1)qIKd!VGN0v(+XHpHuoZZuD(+po_mei;
z`j1X+kxc>5xI6aAWv4t9F!+HLevDHD)@&S?fIS)2P;Hl62a$8)IfEt|uS_iVUSypp
zUYWX~57TMzX85wzpU`2aTtgRn8IWd_M8)o5mIY;&Q<NfF!hYV8=iYNhcK>0F+{4o!
zhcJiM6#;b72#&a((R~$~gKTDNgG3nQaE81p$Q6ZfIA9mo`tRn<iYh&IJiK<>C)h(v
z4q?z~Hvv1H&x>ttvOiru4rKYI$SY1h&uAXmEwDmu+%aGM1N1NuUSZMdlWMUdwM{1?
zqUq*pk#+F?4jy(p7EO;f6gNVZ>ahy%7P}?T#R{<ZyiwLL7JpQ6!_}Bh&JkoQ>Mz|d
z-Am?L$;#-%YzR)R;^KMsZ|$_ux_P2~@QKO4j<S`5)+!{&_{!uO5bcRW;Zm4ul8it}
zNZ~LGf25mux|47s#Mx_Fo&B_(=&`T=^8J+gLm7%X;+!k5IUHW35a~PFVG*1UR<7#&
zZw%i1EXgU341<rZ?Zo=F{;6f#UPQaPA9SP6);$@_4nh+Wqe*H(6liHX`A@}0y-^HZ
zyDX~qvMFvBVSyctLR$%KFgUd`y@nJ*R`!6qF^Wx8hI&lxEi&|^L#Pk87!(Q2+0~#R
z(r>M;jQFB3pXs2!E6VWz(vG!`<(@#C7=&#a=K{NhqshC(t5nppj+tLNs|~J?W8YrR
zkS(WBhyY^~M#TGUWjO%eSnrIW=(LrbqLQwQZgl-nzxc*e7(hOd0m@yG6MW+m+#XUH
z`NlWO6foVU?C=iC*MsaGa;Z*!jvOaNo?_#jQ-)E~1W)_09RV`OVm*WH>@t-|Y--yA
zcKipWHZTwW{{^>0pqb5B*T9bO3{75em7IOq6E@hPLiHj2&s@YG-}{m<ziNl|!3C!*
z^81mRIR~RGIX&#$KT5MZc6P|A#_J%wRjM}Wsb3#fz7=B$&E^yr=x|t~397KQtrLi3
zJOVHCX9tPB3t4SI&=#Iim3k~>0uJ$E%F|Q)z+}~Q7nYiwit>~2RyE}cv)C$=P_aTr
z)U5zK5LAVe7v5P58l~->Xe*Yy^?{~a8nqA%TLxvLxHEqeR1chz-BU0}+ko7>B?0qr
zI0@8FFRpFHoIE4RcHjXLNZ^mxcDFy_j=W(&c;gVv_iH)vWEc>tO(D&>XU0B_2_EAI
zv+!{U$WcYoO=14g)f#2X6m0yKjs;U3>>jkci7>G%UaL~EP^!O_?>`fZiAXb>-!=1C
z(0voKv7Qf;cQhWG0#*y05g*UuZu~$(!q0o`4;Jt-Y|ndaot-sZ>nI$U`?h$;YR@b9
zXs?qiJZhMYiv}LDz^1k02_&r^a6t%e6Cuk8^R%9&Jy+{DM0r(2I)Qh^IiCw!Hg*2D
zIZZc2?BXO2XlLLymeRYibc=EJ$c$!vQK`4usxF>f`oMf8L)&;F8(OLyCkiJ{-JtBq
z1fv-8Jh$e{haMpibZxlC|6?c=N51yaQvIK5b0b3wHszDK3ZeKGAYLi4Z=7cz%M#V(
zF8vx^0N#x683I!72ql_~S?zMFoqWPz6H-%McP1w`gAQp%MrxmHp<oP3IvLCJI)z7L
zAl{DF{=ku98rcddTYUb)-{KLXi#Q{?%yTl8tLD#s+zMPlPd^#Ss-Zq>u5O&%8@@0O
zEnivnlWb3^9J-_Lf^`P5{hAqOD@{j}v2RmpR^qe}98Y&=9A!$Zc?=YK-Mhey5Y<qb
zi1>5ril`5JaI^N~QGm6P@8{)7-lw^f$RjB#2_N1^eHd8kZ3$4^+p0I}IL#@Bj%2db
z8SRs&{_&HUdnVBQHe+OoWjYYy%~3u;LKS4Jq(`h}ihhVPnu%~V!gU)0Xjb89K@MJD
z^$+QE<qsEcgOH63)}YhMRFT|FV#(Yi_RR}7y4q$(4vK_vd<@0#5gZdq8iwju3`E^d
z9;W80V7AQLb6Q1l-l=z>bT9~+wQt0)3e}$TUmhQlnU5^(t3N9yKX`_Yl93+Q^m8P1
zKctwDe-YWEIZZTi=0+4Ic8^+<I4~|ExVy~+8lmHf*Y|=}NnFQA7lATYN6J_0o#vCs
z&8ph~%m4wtt(Y1+3QYrT5g5VkJA_~u0^o@Uz-qpUo}O!E+hr)e4XsWW+r@y8x8-@I
z)in94k2kJikHWSRf~Ty<83<Dn?n@fmjDRJd6g2+hwz{t!j3(3MrRt#2Z<UKF!{@#T
zA-Phj(_}Ml9>i1VBEqpcQEBeib{{LQe2fN}ir!h*T0YWO2l5mntx){Ct27IivV1qR
z2;9kV?TqyP_|H4@L}#ZH(V3gb)z~btKUp$}SI8J|>_!s>ZhlTCu_z0v>AGtK({XLa
zT-_;GY$ffH9M?$f8YoxezMxE*g6pcpBD+jOm-BYTIBH(8I`QZ0lGd*iKB>zc!RDxB
z8`gZ@1`SY6BT$IXEJyh#|CD-rKw7b%{A2b{?3LydXf0G^M0jIDR>J)SIUv|WDE7oS
zM=X;Ps@M_4&Dd7SAU6_~zk<K%cTlJxX06B+s>*(_bmCt)RyM&Y%wEq1jNFn<NEDZb
iZ6X|tcukxr|2bv{{A?J&Rt4I!JE$JiL?w3R?(W-v=$={t

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_8x32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_quad_8x32.v
new file mode 100644
index 0000000000000000000000000000000000000000..79fbe8d8be33e5362dcadaa67b4d9e1d58927411
GIT binary patch
literal 8024
zcmV-eAE)4p6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nxx<wl&%KQSXnsdArOsj}+1
z+$oCfHf%lfrI(4gEu}ZFC-B+b=!mr~cPljT*clono=!^(fFY_(&$}tW{7+wWy+>uU
zoUVe?{gbf(=^shs%6bgOLIVl7)BDDXC6?v_Q3%VV+D+Ws>(KXlW|}o~?+Nw+OhW&>
zOauNq<ewmLhx$q+D}O^PbAI|fAsQbDy9BWWgIN8E1nf`l<I6d~<E0&x+_;e8Dxv}m
z7nm-}vaQVvFx&(!uidDroR7<)A56Qd4^ElhcHEK@X@>KB{nr`22;R#!X@Y9!GxGil
zz`3yku@<$<^onfsCfdm>UV}zE4vMlqMB)2SHedf2;p|#&B+ULZTls_QZlakq<JE?m
z$iK@MgXv2#=+*Bme-J;XFFU$baMdh=%n)VP$2sUo*qew*<nQ<=xRRn09G!v~XvaU^
zGw>8i$JxK;r~t};NMd-4Fy!ViA3k{fz>HZ%8gnONfxc+X$y=eLwgr(V^o=@e#=OPX
zAm*JMa3kh!$FpRno2uiO`A0q2;5hQ?khT2Ee>!P5!Zye+=F#D!Eg4m<4Csa4qgj+@
zePxQWHtX6DaQM!lrw4)3N4GQT&LgVk+MCYz;9Bo%ed&oe88#H=v=Ur-QW2}Yo*vTv
zz#mONc>$O)*9F-tj&C<u_6cO|`HCSEn$B^-6BQNscAF1@1$wDNmP)A(Ptap{+-5<i
zvWKiMRxwP^KBFKE&|0giMm=xprh><X`eKEfoYB!=V5UxSq2Q8$JHh0f{V60qxq-6u
z94oE=tqRZDmd^X~oXs0Bj|&jRy3>LX_s=bU%@3&#V39g&Qp;$}D&V{h+KkjRfuOyT
z>}c3oEd;jxLowQ$+A^Q*>T?ab=lZLLj3M6k`=kuAc;HD48JD9v2lW*$`fBJPhyV?P
z0XL*bSyjd!{k<P=C7?%j+$)0YI+a>^$}Ift8;3L!x@G+#>72rzn2ZL3bDOA($LF$2
z?xTnf7F_M+HXu%X*rch;R#?vb_Sm=KNj_aG_+iL*vG(|vA)Xu~nz|AL@cQ^j<;CJy
z9hR8|rrQ*_1I|;>&yHV7N#f=wBNVzv%KrIHGe0vJoV)D2R0fLp+U3ZHDx2%D{Qxce
zlW;W3$t*5{Qg#CEGI>JI)wH1NIO}7GYRlYx52LeGMZLT;vngmh#y!%>Q{Z8`b0U1!
zy&`?q1GUTxK#bYCDn^c@y`G;4{DJ<6XMK)~HKv~;Ia3zDKJhUHmA(A{3Ofni?^KLd
zZbE79fJ+1;-04##b^oyS&3%nX(>6hp%%f$~TohZ`kHsOO5q?-BBNj81|70_y2PFo2
z>?6X2rw)lK5p1#7-5&=86Z#O6glvF#<CCh64Y#n<rnNVI6-r2D+q2~@x?LndXL$8{
zN2cPpy2IXn4Qu)=MF#Zn@rLcsd^E#$!*><m9;adqaxn85nr3kHo!x%TItgw%A*H{l
z0L#VHS2#ae;D6^W{3J1Y*5P->QP3%(tI+2_fs?NCq!+lV%{{)UDakjKR)2~JqfQ!$
z0yOV=dRMa%P`?X1kXC9qW@mE9)N8L)FzljwHcjMt$<|Lz18<-=)3b4$4fuMXzF=<n
zroIpTSg&(rd(#Ig<H8PG$gZxt7~CFB*}Q7Rq02|=v%sLt>d9_BkFs@o79daTW2-7+
zwR)~5uwa@VOTylH>>TuSiya4xF0TJ`#MU8&wQUI+y7wkc&ocm=3hcjQMW_aGcXBKU
ze;EZ$&@e>5PJS=aF4pBd;*8vday{`%WuW<IeXAm^4mAsE?06p11m3Ie^z>Kdqso~6
z(<qOFe!e5?0TiBP7QL`c<J31rlJ|7cCecPkQTFh*a>-^((OpNZo}5YIgjBz8@+M>3
z=^3R`GRqzIozXOUu{o`th1XG9w*AhE5-MlFf&6xl;t&tprZ*<S1sC5^std~a(|6n(
zDa1ev5k=AmZ99;-vKzQVi!FGw_tz;qSyt#UF<ze%mYc^Xf$&{4Z%XR_z2tbq@T*Nb
zJmmP|#yNf_fI~N2MS4F`H>xrK<^>vTz77^I+koLKmg^#-^)phNN8_N<m$2!TT&yXQ
zR2WbL9d#kY`PYtop8liKu8p>v#6>%&Pfl4ZSIQr^-|5GxHeg5OY<^4vD0P9mmomtt
zRQT~+DzNQLbU;6`lQDBEKTH=(wiNgaYRpvq4JAr=+!g`Pd2|W7$h1Iz1v~7uJg3{0
zL#g_(Z=kAK?YS&()2aH9)QuqZb|{`r!hDf?;t_cbu!X+2bdYmVK3v`47_Y=&`>0D7
zgpv4krk}tY5N=uKrGk!S-{qgbF?6i20~w0q8yK&lFv9u1RaXRC_n?J>*>U@tlJ}iI
zw+hP4@l#SN=3!Gib2l_Hpyrzp&38rW88#%=&x+d%S;DzUfP$Gg)z=`cmTJG{B`foZ
zdQ5_6WiRD*7`LBPzF5i!E+M)&G9<>wHeQepc)xs#ot4&&vWQhYMWv+Eo5}+tmW&7X
z35N^R;NYE#ZsLjYS8LA=+f5SVHv^tEDrHa%$g3+f_#@x~J1Sd|eGq6?wwW7!X6=sW
zF<rk`R*KLckY-GM={_W(ZCR2VF7Dr0Zj{Vf9mb)Q%?sg}g+QjMD-cu$#=fO?8sIS*
znb~?TQq_M0{L;d$#S@t=DA&-k)t!b`{gXyGpgv6S#-J*LhU}tRa2YQOX1*3zS-&k5
zI*MC92iBGDV{~cH+r?F+i^XUylPL;(3OVU>h8C(!@tnwD*svVn&b>iYo`NvZv6$L(
zZhDjJwglCg06QgZoV}^*A=Qi#gyb^m&$%*)g0{%MS^Cst14&i|%#_1}@PZ<zAK2r|
z(E33W$wyOcffv*0A>p9!Og`ApNZ9~|RoZ9jK~n6}cpshz!#}`pBwz7G$bL7WZFK3h
zNTg1JlQ_3^cKzZ^63-A;e1io_{0eIn>|YAu5+RG>@vdY_LRAu94g*xvJ<Unizd_u!
zo(zJfAL+*hq;6uLQwzt6oy`@@zW=gg3;pt-Z6MdxaSw5hB;FN(8W(@q$v1K>&z715
zy&@cVNX}8<5kOdVq2aU`dK@G|#f0qS4jlk)7po({{8Op&tZlnpGI93~sVq~czsucr
zTfJ*u_Li!}iwOiODpNQv1kvn?ZH4K#9MhLG$FgPCY?vx<KU&d>{yIMtX=5}FAC4sW
z3rFanQU++8JxXtgAt`6W$LP0D{l4z>TXcthnyvC(JkY23-Lk2z1tk2)1O8K5sHsUy
zn`~1OiR*wiJNi%Hp+d<P9GZ|O0>TB5O#a;`TR!-$_YL<WW`lZ~@XJ#;ILQ>x;MIo(
z|Fe>eb-cwVK2Hi<j~+hISMeQMSR46J4zzYdNq8xdh1p?wEquKwk0(~_kwY}ci!jG8
z@>lr^Gt2YuFmEP%R=ETmQrM)1bipNs=W$sK-J*9Yatx!E6dqo>y5aG~!;id@&HnJ$
zYz`FzbH@<`LXSnoQH+6*M?VFf5K7pYMo+$nz&^pO%BU1q+9SsLgI=WQ2MgQzFUVUc
zfqaj|h?oTj38?_p7{y<d??uc9+0pM6*`+OvdBc6Ga)#G_yXYuM?4?F1ui$tMRmXVV
z;xot}ZFv-?d7T(BP?|WoDx|Ft`pRx;Hoy3QNp9iFq2%_8C(UzliwkWwT#1@SESIsd
z5yC-_L`L0WLNfUF?w^s7D>$?yawTVbZ`_%kZHENT)j%6^;A6P*DOF=N2-C8;;?di?
z;t%&8G@(yngZZKPJCAoO;fEejY9Jd4r&wk$WJ|@o%Rd`WYG*)BDT#Ezb4yDNC}fx~
zTORxCm5nu(1jCVf!)Kp0qVU&ZcwP!UVcbqODZWj0A3(w$25^#r&zVSKs(=wep|4l8
z!4o^3!VpH%LcAfWu3hD1T-|XjIDQ!prVmrBs|uzkza`&I5rTuP>C^AI%7IN=mOY(N
z?;mFc`_K9#0`BVSK|3Wpd%6LlwIAhD`=9;$WHt%@?9#?}M!_%|?*Iw$9gM*O&%Vao
zi07Kl&=8P8Ho6Y&=h5kCCDPt@=>5-mo0*~*?#ri~yLNtVQCvD#i`;x}lI{C#t4&q>
zOD7_c>-os}w*ycZnbllNfYu9UzZ_sXy$jJD%#|o((#?uEedib*OAYr`5D2xHE5S}S
z#wp`eFmlB`&y3J`YhQB7X)KTDE7P|d+mQGTBf+64UqMO~Py-mbw5S<{@Mc2CIYRv{
zKUhRH=oNqXbGLM-A}rOE%c;WkXbL4Qq{}uSLNr;JlL~fedRz>mkdou-zo-1i)YZ>&
zMyqeOocuC>I}JdKuRtq}{M1508Cf(J0aaZuybCNCVCX2!!O_Mv3BQ283}c^bYWxK)
z9|Y~2^fM3l*BYYk73pf#%0oN0YJ?>UK)xgt_O}J-KLKJq91stJOzD^=jXi@Pv>++t
z^~z8JA_d!Fqn8nnSykISeP&!lWn6Yt%Ff5fol<mCXbFL_`nM(in|Fg<Jf+F(Ef0-4
z^g?`1N0xRQ1YrK}C+fty@fy7(HJi3-BI{MB2k-70%WLu%;&BU-yaxM2^$k{NPBz=l
zU{>e}IcTXyrw{^D%V4Zay=6jd&+fXNO6IP)R)?d>zev~PK&9o?O6kh^&>NVzR&*-Z
zxCq4$K{hAJZc~%{nzaTO4al=fYX`c&P|YyWng=LA^MibnXtAxJ4YHPvocGW`R8{3X
zA-R(Dl=%!ndNY=vDlvKp#5Zic3Um~G9RTTnEZHgV`SNRgd=U_g3o<G!!<O!?8`gqQ
z5gHm{6YfJyHZZbmkga#A{kYE)M6zMOj%c@ACxhDwX5hB2&*EJ4iD9+jA+VtI-h^*J
z0+901qhhJsq_(ik>e!nl2hP6<c0i<KNQ@Eo)3xwnbV)~(ElN^SIqUEauog*=$%o$D
z*l&PFI7T~3+Ay!rP?V!gse6mn4p7K29|J*C=IfRf5<L$G>5iJa?^*uIX6<0{FmMpn
z8Y+3GRcb_)ZD9y*?p_-GF$bYG8;gPr$Da1c;IV8^H(qE$^@NjA6d1dp<LD-GLPR}0
zHP^wvpH()@)pJ773l$J<pb|r`p^b0|*l<!75Rs@^*Gnw!A3}E*W{iMQ$06C5nVyCX
z3uzSCqm);4q12HvnJLbYBP3<XO<cMW6hUV!%@Fs)ve$QxxYN$a%|i|^MIp4y>B&Yx
z?V{Yx0Uz{HKs|M@W^C?vu{47OSJx?6<=j+`xsI%|hHmA^q-G5Td*%^}Av$>MqLW39
z>i9(IX;0q_<P8YC0)2`{wy-?9t_xGt42Z>N3Yf@BO9!chKtZ>+M=Sw3XQbXD@`$*2
zb;{v+>oTT?EF{E#(9^pLK&`!6A^9?s`O;)r#L|=#7#&B&_)ytj;$VO|S+N&nk>o~>
zW}eR<YHlw#!YzirYy%v6^}#+e{*Va3a&j^ziBZKkgR87LkAIQf{dpem%Ob0>Jmx2p
zCoDzC_rbZ>U{|cs|Mw57sb`R<dq<s=zDf$R<_-O?oyDY^ZAxRq+1m^}ZFG>kB(V^u
zxVQjcPS&e!j<IpYD#B67JA+-c8F{#PkM&4Z^ed~b`ihL58%dH7y!TqLr@f@X&q8Wb
zh_EicQeNTHtuSTqOf=!#wYjGg)-^gvBboOaX>9UP<&svDkTc%c3QkV5%#ZC>;I=67
zR-|!qs4h794EMa+LX80@HwNInW9x>2e-Igxh`f?wgn+x<eL7Gl-CDmslt&CA3JCqG
zwQ(>%A_rg7PXkK8$?F`UXoxXY${}?80iXpQ?3k(a80f|l`g&47C%RcuHHSQw8qUuC
z)0pLNCMTLSQ7={Mt7cbd#5HaL?4$E5r@wk2qHi@9hUb4BZ5MpI_G`q<onX=rbO}T+
z5%IvDLB-)bW~F7tO1yXybRlD-RHAZC(cX$%PGA(1mw({lepNGGHcw->;h?KqStf)R
zG-hYL_rOVsj606qx0#ztQ%9^nEwp<Mvfv7iNnzjl?e|#-5kI74SzGYalaV>&!T7;o
z1jSA^_H+uho<vzoG1cd)+v#MxF7E|en6pukW(_PGo~|ARm4ISZtmL9|sh_e_nRzC{
zG+M_<1ie-ya%QZYcw(IKR8)@a<2ot5F2I*{HR`HA(=(Jm1I&<Jv)eNLc#<<>A0>I{
zS^ZW2^#pI}rCU%-%{wU}EF1<D<*@eZs2^>p1msN!sRri-T7Q9J;|CZk`N6Wu=0iwc
zg9+b@2s!0B38D7#c9-9eElUx6bNnu5@w8MMn7%N&{F61{+qRUwb*#WWYGT`+GO(;H
zq@}O7@rj}15`dMT#J5ziOh`ZwD9iX;7Sw(#$v};@&AfgCT;xjsV??DGc1fnmqKCSL
zI$f)GLWe((Jpe^py{g0<e2cZNR7d2Zz^W+3$I2Y=X_S4?33nobzE2NJQrb)gM949{
z4xcPFiFbYY1lxHvuF$5~w!I^SMm=YPqw*N=G2g8)U)qc0RxTiB+$sQKhBxP-pGJr%
zS>E4+$mS|dLN|tT@i`xRQu3GdGdHZhxS4L8W}h$&ALNEK^WWt=v>Dq_M<-Bw`XJ0a
z^B*witshH;(yZ65OzlUez9MJt*osn!LfL+pGWf$_D+d&hMG8af8~x~HG$9%KUZ*!1
z3i!=hFv9fj>Bk-*QD2@7%U)jhMfv!M0;ly8EnT*IE;%V2Ubj3jB-_5&6}!{C1H8HM
z*D`_%ruHR5ci=c(!eh7Dz)<48Hpgm?l=|=6lHC^6D;e&vC{Rz0S~4f^x*oc+Ymv$=
z$Z|VSosr${u^dwBR#hjry98IVCg1(Vd6Y&z+n-L12h6aXIucNoTeJ!Gt>UcUUdZ6^
zlqA<IR=qtF!29p~Tk&@+mpKE$zBY#o=5gX`cvJbF5RhD?D;x{Ds1lMkvq~zRHhIkY
zc{wpNLCqB;n4bJ*hW!sY7qV<nwN9JP>O)@sW|_h_Q;Old+Zh0Uaj17D`qn~GTWQo@
zP+p-aVyq!O))hFx!FkM(ByO9F(_S`LB*pUwkI;WW^j<$}1P*wmuy@z)QKFjk*|AB*
znqCiG3v#&Bk)AVd1bVR+3zaxF52y!);7x+$${XqEZ3e^0?*8L?UtyeUcb0%;u`fTO
zz{`$SggHR$R8W8xJm|ewM@{jvV-6t1<)NR@VH)ZD>5dO|`Zt9m`@!?i98(00Y{0FW
zVCL1RiMD{jHs7)0W&+NE6oeOS!zC4Q+It_t6yfdsv}v3YQTwe5*AH|B4Q97~!jhl<
z#>GpOec#S=o=UaK>^0($r>pA;NUZ|Rp*B^TIsI4sPn})2tNwJcYNsgfEDWB}xc%Dz
zW3X%GJwZev2uY=%54CnND<L(Gw-lKHq|4`n|G7sCna|Glk)evn#4eT1GS|~U$DVp1
zoPbK%;)32(iv&o0LhM5Lc!C`rYUV-bgq?xJn`?jg;PA9er;0n|^-nZdHjx=TqX-HQ
z56puDS)t9TIYLt9qjNiZ4(W%2_Vf((O0^hnB2aH@M`BV~K+iM%0{dhN!~cEJ1c*Ae
z0YDZ3r>Y^+UUJAm2-_LPn~9o+Ir5fKnY$UlN}ElgAHa{#dcT@rxI>ef_Ow69)5uof
zFP%hsVvdyo;wIsHaOoz-JT8q^dJ^#e--b0Y^)Waw?)WiM+W^|Gikd?7L1)9fL8y$F
z<h;2as%6|r!s>QwJ}}&j-`XT<(8G;$3Xs0ZNE_i+#HcOf)K=riomWM@_AqB&U%1Y2
zt|-S}??OGxD9#jghBg!G%_J{~XLCxw8|+~_Qo-z{Wo?}5Q-eJE%l+Rtmk4cQS9>B6
zdGXK`Jv7)bfJTsQ-%F5sHsWQRY3qzySUns(DGl(}b({9DkaRIDVrDW^S2h&9&lqQB
z3M0SfC#)N|d}Cx7$+Y!KJDqXwO6C*ALRDuSRrzEYWz$hh>p}VPQBpH8$f$Q0wH29l
zw8u@y6@(js_r|@coz6^+!~(V)@q08EJ)(VU;3|ypIuedTV(=nB2d*h5cFiXW?!iq)
zVo)6mv>G(CM9FJIt5rlV*#Uue=scS#%@Np}u;@~)8G0m2CZ1Kk)GH<|q|h^XzvNE1
zC(~M61#Zrwl1o(ArD!=b>_RirV^24xwY4@ZzIYdwc+lCa%Jz@Ae)bGNgp`-qmUXcU
ztT1#V3!>t*p?~~7A5IzE+^nr_d5c>^+#SDds^4Ha{y=mpm;k+g@2gqEB#7Og!UZ|!
zNVLM!><k$IiIdMWE%~9?PDQi4SBmKc(l=xn{ntzm9l-FWkw-O}wHk_Um)?qEKK<uv
zdb&BU0rh%D>i>^2dI9wjckR??NauTgHE;f@4AiXBy#9j9jtc$97qM<GVyqzLdO1|w
zgNK)vBT`dtl-ko~LyZO<a}CqBEgYY(4o;BO$+xIAN<P3mB%&SKAd+<ItZ5;IWVC~b
zaIZWoz7y`Xc5tUh#KU~F<<hNao6*94j`o!C?JMRIB8sETvD`?jTWC!zsv^F*RDe}6
z$UPYnUc{}v={@g383nm3{(`@S?w?cuv233U?b}1SgMA|7IV-2QgC=^?kIf1*Y((HG
z@r&?QXyQ5|RZQw>ZJ|F_$k_ps(NyT%2IN`glI1A+^%X&T(-a5H3s&%wSa3tgmOnL`
zio+FS?erFu4WgHaeDhgZ42#mSQ=8=1enuLz3?Y6CeVt~4cA#X{1l~v;j6jH?@Y&Zl
zq^1vUJq{_Y*9Bd+MF^Z0Qx51EsYffHQ`GPF8J7<w2_VTzF~dSJ6CFr{xxteoOBXrZ
z(6P5jXpgY?!YmwWGcTW27;Q`U0w&f1Coips95EvDMSFrMyvP61sbAg$w=vIjED)#}
zy96kmtGcu!3*;t{S2e8>Hg6h^&L~0+*c8L1xeP4c>ErT!CeSzXIiUtzQz_9}OR?gl
zFXsK5zlAgluw0aQa&+eks8NWv{prqn$>xNRT&z`<6u<}aZ}NuX7DFH{ti8=3B}tQb
z*ysQZp%dnR2hI2Z1O4zO?rb$CQ%V5SvoOjWn;ReIO}{CquN5fL?jdn^9Qy=PL);g0
zE*v)6rRFj68F-=;kdIRB!E?%1xO+vHriXaJH!@CvF?S2i%*#^>vW=!{m#%+*K-JIm
z&Nn6hkWw`Wb`>83-1{2`!$a)SOI;82#^<v$qew(kpSCJ$?*duIyina1m_r9~?RP5p
z8u!dz>GkK5eP^VvZ8_O#;3YzutWJXMPa~+HD8<^8ny*F&VpqXW5&l1eEZ}iuO-IT<
zEEe-pCEX(-71xpByxT9obZ@GA3|zci?wG<*YRlDK#q2z?n|(Vvu%q%y&urL0Z4XMh
zp`R|CDR>6=q1<u*3xCI{?l=OmtWsh&js5ehYprj8R%#|{A9*}Y+mq4<herjxz5oi~
z^cI_3TC)*N;`!<Uy#npPR~%RP*ktFHBq6?lF1(OHAdWA^(d*s&+FTG(gd(Sc=D6<e
z*@Xb}@ItPS7;KP2O;S5npM*H6G^SaxAeS0t!n_i#>I55){3mQFs=mw|v)16s_FDp$
z(Pra<H>26lY3x)*)8(3sJZ5JtbhTWjAF_wtVvxm`cB7qRUw$Hxi=3aQmlr9oeW)bY
z1E7nL);0`zG~2;E+iI5dpKbOl2Na?yxUO<9Xe%E>Xw$S2_5g&O;+S*}g6~qlQ)QW&
zCuaAZ$&pB<Y?P>hkSlKjFdrYXMVJX6j<LXcI(ty+noZ@xZ{>M)Zsa|8S%OlKPPFhQ
zVa_?4fuv9PwNMM?2e@n@s}Ps$H;Sq){*jHK>;3IbovVr6lq^OLV~qPCDUuEy=#DOU
z!Kgj!(K}f$s2Bd7LMXsE?r`($vIMc$1OxocEf=#-w>IkhsGbASLO^8Ldd|fmZGplL
z6nKhjdJTJJ3geAB1dkIq!ej%{94tKVlb8dT@ji;$Vlyg9!w`A~EhnO7<jWE+Vzqz$
zQsRb}_ahXr-OlymC?8UK4Go2l`iyUmoy$_96G1M)4)9q-ijyA(GtxIFj=-~@m`NY(
zY=H?uv)D+Gs=uv^XR&LWHdHO25*3K&<cudrmH`K&B!7Uj$H=pl#LUx==RG&p&S|D>
z%g8BpxJc<AMUoG3Cwbi7;|c3m@RFELItU#;-m3ICb5{_jLY(8W=WZ-ObQe8|+lD*k
z|3t?Aq++n=qN#5&;(1FXSB0S;!4X%KBcf~~w>8SiRF;O3u`h7Gk6M!vD9X6F6pr(%
ze17nF)qX=@IlF<#lqt#1bicPVLviFZa9$bc-mCL{gwN|q5g_z&5kAOXFU(8s3t006
zCcC$33*DT|9#Y%OUeLeZC#T7i)T#-Ej7qfJi%kFmT*Vd$fWAh$a~cv3LOe3zcxPnM
a7#-W@{AE3fTkgD8hU*kQ)6PJ9CIbwGN|uBG

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map.v
new file mode 100644
index 0000000000000000000000000000000000000000..4efa1954981753e70f67479cd9d0cc18008ecc32
GIT binary patch
literal 81496
zcmV(tK<vMZ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Ul>nV|y&TC75
zz9?azaaCbh%b#ofU&XbVzR@(otd#ke)cxXmjaI?~<yf^J<!;Ul2GZTWMvR}1{gpL2
zJ6gf<;(occ@#b6G?*q*;@PT`6*SAaaIxpP}Sr`wAbr_Jp#ic;IRt0#>X(3^w+E1Nw
z(@(UUAiYgR<H`N4QQ|`6tO?S$1Xh`_ZhOJfY)PXq-<lSUg@(`tS8CPk9_P2EN3hz(
zRWyhwM1W#_CXdt5Ywf;pj>GQFAvj2cB239rJ@iQ3BIvS!f0XD{?0%_$&{<d&pD;7*
zgv3EbQ$b>9=6i1f1uM0U%{QFIpYB#Eb+=f@s_hHf@X&itN}~+b1ccaz>_rnTk+;=M
zxO*oc$%6m1m_w|XaErizS2s*in#&x#^c3aZd@Y#g9*4rP8XtU+dZ?W^Ai@uDk0f<q
z%}sS<V~=SXm8}do;^~KI!j)W~VD5-DIOj+d2nYIT(?P7er+B{>$;KYzWM?^IHn*j<
z=ZWniTi<C+I^xVTkv#hYg;V&Pva5!r#5kD0g2o?RNciIXVOq#Mo8V&Ig~rAEmbLYH
zIaC}ooEFJzLcKZ>8ieqIu!q{kXwao`{XSrO{AG@L6~(4n?s#`-0agx5dU5I)#F)!|
zz~?u+nM|?Dt)xMyR*1MLrQ8H)wMsvxVZiIY>g7VU^K(b!JFp+AwYDHgudb*Z4FLK2
z?WHb~AB9YbxlM^vQ8MweVS^x7W>j5!v<KFgTF2CF!!S)V3lqd>D@g;NnHJoysBu=m
zAE;$#Uo$JpRJsdsq!z;o&4HN*ES|?jV;CxbQGM(=7H{OrY;_<gErQJr>T^%PpE?dy
zHVM(}>(?1Er+J7Q!3NUD>mn~fjoTq`CNe38OE$^E<|ySt(iV>qJ)86FHJtF8;MA{L
z2USyaMQn`mpVEl~R%bMA1M;oep%2Qd_C(2B(_b^pTCsXzb*n!Au-dM|j`Tj7Fvdy3
z*>;gI-3b8*W@3uI@CSxmBBBE=axh59lEf`eWw8obYr5j}<d-!RI9bA>`4*KqjP)Q;
z92PQ_6IAY|{wGEdXZYc{OEblyQP#m&(V-d!_)5;;9?#P^;8#Y~bT)Wx(Id#?4X=BM
zlbsp!!R~;=T#-?{`-Ls`=TTbX^q2PGjVS$MiRsk$6KB_*pu-B<x~hZ=KIVj~rJP3S
z2mL74ktpnf>K*A9;Lt2N3WZ@^pC?dhg|I7+n(1(GdmdyccTw`#^<u5~3A7M?69%^W
z_k3GEHdnI?J|*dppZXdm7-EdYJXz6-(nXq<e3N4TleY#q0Z-De-ValegV6k1G#V}}
z`s<T##n{AFdrb}jv(vBKCWS&zO!#wCweJGn9t5)|w%e&Lo7Bm8pB%t#1%tNAJZ0F?
zu$<Jonr9LfKV*NH#%^_LXmHy$g4S^xoW;ZtLl~+A_e`UR{96-m>~ID6bo#7=VH$B#
z{`&%UIp`qM*$eLk&6Xl5!6@8$@@5j06<ylZmij`5F92iG+mw0BzF+oIM1Bl$q4@Gi
zW>RrgM<#ew`7sKh2$~t!Vzd{V>x@bT*^50qUuw$_T)Nr#aE7$%k}AU?co@TyfX6T`
z6ZtD=*In1(81Pd}i1!Y5ECjADBR}6`6Z3?gytAq04|jj`27PUt$>3EIR0RCsu&jK}
zN-3~$9~3Juhxxrk5D!MPS{DmidZjWOsRaBTq{eSU_~1A;@bQA!`TjDz@52Qa*Lxgl
z{?{F#zNL<xr6)2P-Nh|E{WTQKOa;Bh17p;nqEmpCyFCDT+Jb`XcK$RYU8Z#g&5e<J
zxxErD71UY#fiJHRl;x8N(gj7bN!ykYByW_<<jaW)dYni^h{ROvK!Fq<CIUccS`&7J
z{j_8D-IOOTo0pty7AnK*6H=WT<H%73Ql5L6hXlB(cvR2{VCjn~(RSITAm(SCl{Got
zS5gVa9<h-~X&B7Z0cEBaB&lNx3hbpFwy36Xoi-#SdslWBoUyze-~IWvZW#B-MR8V8
zG`b}QV??$XPS!>9RB$a}h;twcKVDv9U(F}my|hl@^dz$4Sbg{M#X2$179e4_k%VJP
znujjTX0l6Ho7_^CQC(HnoIHK(ay`QNyt+x4rES7!(%{bGRm6i_WBer(`+e90Mg2Uv
z)3n`Xyj7a!nfOl?C@#L!9ag-S^)Fxfe+{UGww+@;C_9{l18{S6*O%R{HrnNeHX$qs
z@-(CUg|~%~WnqZSZMzgBioWGmC`ktB)LJmI5k31WUlIuv?s-q+ydmcob<NSfbozOa
z=A_VF3s62t18Z$PUveY!C@UETXku1*Z}BW`nKkOEB|X4F?YAf4GM7*UqS4IQrhI`s
z@VvnEJ4bo^-DQ|-LhvS4QZY)B^{9`aFS_v^bhSr^$bGf8QHP5|x|BM(62m0=RNj&U
zm6H}xukd!oM4=%n10X^7q>>;6XAF!Y1scf)KLDTp=P+LGoN(L5xSEWU7@ZlZ-ajGN
z3w#9c>%lr!I)6od)@aO&n5htuI2qy%(!yYpc0+)7PNa0G>Px(GwNIzwmvBRxQG14~
zY3Es-`25xFC%7B|JxJmG@a@!Q^<bXo&pUhSzr+}#qLTZvAxlJlNJ-7l2~!caN(ibZ
zbj~<GPZ`~b%_WvPOB+w>MX7~o0Ge;;7^0KQ2*w^EuEzU|zj6Q6{-+%!cFpmJ+#wEU
zK@?LYF&7(xYIJbyqHBD5e!4YD8(zQ@+SOx8%AA&C&|VPpabYM8Imd3GKo&pb^?EIQ
zuJn4M`|Tz+Tb)OtSUQr7s<PI54?^Pkc4m)j2ptcs7XDCBeoWn>P0%3{-mUH)wgzil
z41V{?hhU*b(lowtCc)qnSg|T~ILQt9NT(BCFII8gOcX{#n^1T`wx3gtM#esH{|H~t
zwf=Li&t=;f1jW4f9flzT2l6K+H2*<eD>`mQjY1of_JLahTDG4jEgBy!`cr@+Xzh6=
z!5QYtHVza2cL>Mc!he5Ga+o5r1f1nSo^*Or2X?wd*DlTFGOl__+$dg6Mhz$L=P=LB
zt2eW;_*JrNLY!#fe|GLFlaw#Om`qoaPTY$ZQ9vW#G*}n#rJzbG8<&)QiT5tB7Xtev
zU9ALx8c}igJiBtv=cPs$D38Vmk9>A_$j<cOp8KDsjFxvf4TBV#+YC??$+<ERbB^f!
zp+R+o`lap5DWIN7e`!Wt_t0l&?&c`AliGwG+u-wYHSLq)4SNwlFg%XUy5AAJ79ZEg
z5OOAAdbBt}=aSY6l9X<jC1;00wlR$_No-xo1vG4!oSm*q1kH}IFuIL;EY1bhO*^gm
ztLu=OgUV;HLK{>(vkgPolgMEN;1}ntO=36>p^0!f7d$u$Ry_W&lcPdRWr4H8CRxjk
zaGr6d!@bpIJ0L|tMGg*iO-&M7G2MjaL1@$Wi15QfD(RKFyjPT*cva|Kmiti`#3UAR
zksFJMNNseUD&~T09bJ?2lPZ?C3y}#5u}q+LMv6!OmA(F&hr+MXIFe`0^OC5xXAHDx
zdNT{lk&k;MjmPb_)H)KHN(R9UlUJ1yDV^$pSyBOK#Elp7=}+q8Ek~z5fDOg$_H8n!
z%HmTEu8}5SxlR|IhmBh;sTpVp1Ea?Vdi}x?-J^&2Fftmr&K+Ls;fu7E5_%k*%pU8Q
z!!M`%j%1-@yzj<5C$Vjav>uqJhKIoK&M$PeB+<B`x?3jKRLM}0_Rf&fu<kmH-q@5i
z;W*WUU6v*{r{L(JBlY0T)X+8vl#l<|Jj%I~m?xg`IukNIWq%cYoAV{0?Yf1%a+pAI
zz7TB#xs6JeaCaaSwI5SSV?QOSy`?~3fK9?|6Fpa>??&=BxK7h`2yHEDVR0O{`}qVa
z@3vgb!RrySFs;Q(!!q_O3Nsa}KG(mgc}XQJ${wS}Vm*J~Xg==?0(0aPbh{ge_B-n@
z*2lJgTkDTR^eitrT=poc)Ih&Y3}=59CV~?+JT^9`?!g1CNNA~2{2nuaUQUPV-70pA
zoP`@0LZE{n2M<&>?3yFx-^vB-yHtxmYRKJY{>DLG(Gyx{kE^8Np|1p*2&?d&Pm`%y
z-(;}8%g;7VHCDvmcf;D72ng!qA<yG4U1djfk)Rw~C>3jRCa@<T)Y}m3-{WYtFT2Pk
zBmeqIv(zy8cExLDPxh8m?EUAxk&U)V0Xx{^fw)-52TtSd|43e*cqU_xEr|Ctr_}ep
z&N;LxUUioQYcW-KWQy*Gr)W%AHRdg5zq$kg6aYIr42MCNil>n0g#!S&1a;eI>P%Yl
z@z4WZh@?Aw`|iQEKQm+rP>Sl`kf~@D$}ipS#ossy&G1`@)aD3SX$-~Q15^`072%LU
z-%bCHT4p5S5O+@cd@IS3{YGL1&Pi~<sW|H}5^<@GsqwcwN1qTV0cZs<Gt{jMx18Pc
zqHW%cQ-Fd|zcOH&bqF<-J8jZZEY~X|rO~+06igO58pET|-Jswc`c4@G_eK0OdZ#IW
zj-N`;UrOY8a=_|D;Rr!}I2v;ILbY(a>=fGnsfl?|BZGfMY1wd<Ok{8<mK%)XU#(^k
z-}SeM<$yCwLS)P6xwy@~_~B5M_hU;_#_d_VM7wD#5PlI_1HnK=?2eKR>IS`c<r!2Q
z%x`g#(lQB92>c-<dFi`kE^=yX!1nTR(CfJc2?~s0gd(DfFw9lh++0#v#m3{T*Zv?P
zR%#DDmIw;vuaizR9H#(=NvGYeV8vR03Z&))6to#UO<@b`qW&rI2Cuo4#%w`OoC(4Q
zoS((SWI!qJ&L*#})y9;X%|xPjN|f{4Uhq3TXVnGuS#%06SS-zgkm8F+D@?brx}<^*
zOqhmt{ff=%o;~+Zdl{?@`PXUYwHIxP<zL4;N*AEFPyH21w!F4c_}?TQQcy5{gKdAC
zuy+8P#Ob3V;cscS_$?lr3mjj_sS?l>@7J6L=rdlgx#n&Xg&@~{rfgbeHW3x5x;MD7
z7HAL;f{B{0$=6x${r9Ja1`aw;)RFG$x6o1xkHX$A9?>BO|NA~fIHucQ^o)xQDFGU_
z5F0SJdu;_A`3=D^)o;uTuARBccUtQ-)OWO1Y5tE7ubsftMdj$Uxl)Y;w#P&ifQl_3
zpNg1*;c^za{0U_ru+Av~zS!?KbI?-1CU_L*4}+2e<ON-+jeUo}afMzHJ?<A*mbv_B
zq9M+R{5#?<1Pt|U@m0GKBHANUYn?KN00%z-eW+NqpN}mF+R+vl=`vU;)M6>rJ?$d*
za?AKq60&$4=0#RL(M};W23J`|%p1`V3n_uBaF;&RvskP1GtqaXUwLDn=@(b^r-%PY
ziqQQ*g%aDh(`_<>;7V=@0qo9%LXwHc8LxYZ-&y7Xx@xIi&KU8SY^HD}a+x=UqOKBQ
z5l3j|(}8O#<rlLmmRc<N`l^UV-OI-8vZd!-iCpN#;PqX)2(D^gbuI_O#L;^S^;>)N
z$+CUuCXID(p<G&HZ<%h|#lDW{fx$ubN{mztk$d1us=ObqT4}vS?~CCq5z1KIMH6Wu
zV~A2n&yVw{jj2qu0QTUKiRRVlty1ULt$?9PB3^;Xb+SB=B`WlYFMkwz^s0@E>kQS_
ze-l^-a9FK6%K5b`O@)$^0dx*sAZ+a-4x@t)`k2g`f#VTFLxuMoET&@jmK-CYe}WE<
zD#kP8@NYh&afB9oPl1`+`#%_fD^5{s5k>$%pl^X}0}Vo5Z%*Yk@JUaUzlm*F4v-f(
z`!uR%Y;<}ny)GKwwZjHtOzZQ3d>g!<+%-(wd#23>1L@H>_zFr2<ZvGrsC~o%)#}IN
zMp6O!sMWMbS7y|LD9y|~3o$i<Cse2oBk67Hn^X<W2(vE=Uwt0B)$n}V4`0%+xthh*
zSB2{L*4y2vOL0jibLD}7biRj#$CR7Er5ZcnRn5j-)L+R?X5$5>aQR>U^bR^_)Yo^O
z$!dxN0FR>A7E^{O7Baq8Ns3>_`@Od#^F=j)IAzE#t|~Pz+*lymvdMNVfK*t}#0Q#Q
z$vjM8>NAV8GDKPnNrCdE!k9f?rC)0uau9p(5jb<)n=jKNjm)w$gh_22A)S-ifpK7C
zyrnPwxRKhqKZyy?d!=GR|Cbx}<ZG!{98ck~N{Hzb{fk6ucGHfZU4bON4%-g9Kr5|=
z(ow^8%CBdDvC8z$Wmi(9b>_7UDp~{U3BEcjL4jEH&<uAU)=kEBk-F+6nDb~d#AoJT
zAavQbQit^K4{-VFXwDE)>*^^8D__On$pc8_#u+uJlMfvb)nE1n{@so^$qbOi%U2;O
zU$vXsM?}KM_-jjxvL$hj)VDgv6v>U6HcXHPl;6mymDO``3bTOcjMW7YAI2#+@!T9z
z+LUa5;NW_9YnfMbX{oVt1|Z5waaGA>2_Zx};!U;SK?Jdmyz<GTy6m1{<9}n$`<4?@
zXEoxD|2*AYZ&syEq+m@C8d%S`gST2ixMXHReI3FTx*LD4FjA6?na|GLuCL@DO?h#C
zvgWHN5S6Qvj3woY!10hdwJf0#FPj}02!Q`-Ip{uxKdbB5EpLD{C8-%u$H#2_%!ms<
zeE-l43bz^Jf))Z&fz$b~xXPq8lFPdG%$geHO`!(tj<Bwtm!Xi5GUU!3V?f~?>654;
ze5yYZvC{DCJym?Imfvd?JZ;q|-Ez`e4dV|~I0PpvYRuB{Evk>Z`8G208IE$rcLRze
zhcq;3O_wv{LUp$;?tsr+j>K}n7y3Vb$fsb&l(fpou`ibmx{3qC6=ELJYT6xgHJ2tX
zY%uJ0XV*vGt?>;GqF_D2Sp0CdyiKnzYT8J((1v|xS-e)&#Vt(WH)$N^N$x3iI$k{<
z7I%jlr$Mb%vqL*;rL4x95mc1Oj9;+eJ%M9oPRe8!Y7%H!>iNdx0XP-fDM%CFz)`Db
zaa59sYY04|$ipqa1=O(R>54;VYrXt>Tolk?QRWx&qfYZuGEg(Aw!u2*LQ{hV5>PxW
zZA4<=3<4M#;D$$)c`<>j5Ofkc2%3i@wtIezNk6|^1G^s81QYxZUE<>5oF!KM{D=XZ
z>bL5~PY8d9c84e|z$h|Oe2pR%{leDH#@4Qrj$S|;9>keaJnH$QK-P*B>!mwmW1GjS
z@{|)|gtoPvkk;P#^#VT0sw{<U(?=iolr;(D`V-;PmP<{43qiiEQ##R=n9%f&hV%{O
zIMM}e9TjMPkyY7Yaw3Xdk;I@Na$A_2om?nMs4|QMutQ(e?vY4p3@gECNPkyz_;FBy
z>JyuY8jv<b?aWB06L?7oJL-tsvNf3C&1T<jyu*IlVU|k(X7d4*65*0AZ(|8o-Sp~)
zj+oQuiu%H+alRW+EHtE)zCIcZrK+txkRLdQY7|pxD$!n;M=_?~_<O@Hj_0;Z{6t`K
z$3qDj?T~DA-c`R`e(FfUUsj+QP1>RktFA(?Ljgym@I-HWb%@RSBMismriA&3^Ste(
zh^=Pq;$L4`N-?!{zp$-be&P?-k;LBW!2J|dzF~H%TzJ4B7<2h@1bV~tZZ0`z{)j+R
zqGrBjSm39FSG!J>L6klsOo#e)Qs<%j2ta%BxQPPkgve(i^DjHZbrqN8ogtZFcFgdX
z{&6S9P0h%DEmX9F-jN1J_^-Jl0p$t<ojmq<ecs8fLgz}u*0xlpQ~FXLl;he2g=3T`
z*hUDB$2cugRj!vgQ0+pqZ!n;$3$xmIo@z35RkZ>8C{L$A`W8_m@cYKT?J@hb9tx})
zISs1=W9@+IA=;kOxd*dbq!ixoRM$WjDfyWguQPT3r<sQhN=wJ;B5YS47$z}VUnb*D
z>I&Mx#NSsMM?72U++;|H;2)=3*_o#WNUP{xK=ZxYQ+cCcJJy5l>Qi31MK8qgc-2)S
z$0;xv&6?;$u3f&h4$9#I1<;n-A4q(xV0+FgIi&24{eHo#MWx0O;v6^PA?Dm5LN}FT
zsh4Iifryv=#z$cx<D2n}h-3A5QMR32dXYI9hm04e1$z_z?*wkRXRpnF;0s?@V3u$G
zw}iRmr3g{*epAH`d@C|?x&i4!I_{>oQq+&<e2FoB-}%(|l(U^)Cssg`QefxH2OH5b
z>C19Cyh|i;+DT>ss2F){*)d|dcJA?%Uhde~-ai0VSt?R-w0FxGx8}%7{PM$PA^Xi@
z2%om}=1O>4P8l3Ebs8nETm0BU5B-q?y~*T4WOLIUoTJ0o?wyS{=v^^RRM-0WRVL$0
z{%Hv=>UsEO=7;>@d<G_kl)zM!<AfMI9KhYUYzZDETYPY=dFPP`c0YQBo!aq&j}#fw
zqAu*E8VZC<$c)pllF<>NXu$Nj@Tf8wa!yp(;YuyI5UIG3$Q^9JMS|y(LxGlv#fHS1
z^UADt3w6Qzp*2|JvNvurx<A93)8z1~8~*F<zSbiMDT5V5b4I;v!6V=$m}NZ@7u419
zzv>y#!yfv*L(1<ozkTXGW<vcm27PEp69<cl;A&RpuP-k&nHGgNHB_V}Eb4#jG>jEZ
zu<MX^vHPqEnM*r{Rqr1$S1rl%5p@npsmv_OBI}8S=t;jSC#Y)#Yc|m6Pzk&=LIV3i
zhO*D>I1d<3&d(4{v$~ZqR<&Jt_juAfu8#CKM=V~t;&lS#4*2iX*s_l9nh5H=2KYWm
z_pp`OS)HY*kUJNxtujZ|+haRa7j`yUb7gowTuBy7UPurS>=5M6{R)il8O9j6Icouu
zyl9(}>bi>tlhTQ*_B4x)>aMawX&gT$e96QQdFHVLuO5cMOB5Azr$uo5XhMHVRP^^(
z=jwdXmY9k%>&kodOGuWEiv@Vhe(YK1L^EYX*C)~7pZVpv(JR>n*P`qh<Lzu(GG^5q
zp_z6&pr{II=kmO^ZHl<T(#RCUELph{A!s(2Uj@X0K`-XJibY_;KN-lAp~H73e4w%)
zgq<C5gG`M~%YuSRJ0ai1jmv;20nV$TCzzOH$%xe~R(fs9v@*h~cKHOfQeY~t`NGC;
zx?6Vzj}^DqktYZ5&o3S8Z*Z}8TKnm@=l-@7t%H$*TciDVSjD2hB+xw_G~p@G-TWQ^
zN<_v8Rd`7I1=mAl(O2aM2#*MKS~R_eP|XXY6V({8@c&Zy<cyrIL!$kLZQvCsZI>Ll
zP7~b_Y_%QvvNJttLU!rKpF4xQEwOdsquide4Y86GLH;J>#eb=XZn10zrFeRTe*VQ8
zRFe)YBk;OQ!js=IlJatc-?7n93ceFZGL6XC&KZj-Tdt_Ng0bC2O8eVM>3icx8LT@u
zK_^tqvlr%6Hqx*KPmu@wUbXl+xfn5EnES1d_uzmUl^XB0$vml<n)2PVWVMI~0s@4Q
z37Cc&=sIF;k<QFkSC3=Q@BFEmF*@0n{jg*d7Dd76mln(GblHfQP)8KII&*|H;2SUA
z-vfQma=3ocQdL!jFs0OAZ#bo98`@L=S|+e1CE?V>n|>D;^74cB&{5T@D(1uHZvOR;
zqev(Pd&V@YjcuHq)eEI9AXMHf4Ylx*75mdU{_0@uKwQ?RHCz>VVWnHto?Qu#6)!Y{
zwZ;vR52<~ekgQg6v%=l}1;jEMxzip0fh<898Z^Qkj@8SAie?h+JGrb;uOttI850Ea
z@cdTx2E>g_VWCPvw^=VJwB^KtZj&U%{7i(Io+PEl8{b5@sTEnZ<rGbBZfrHGwZk0m
zZaz?}qhO30k<VXZo(68cpifQF7yd_7(dk9k(ShQJ4{Voq=5wIz*yi1$r8X6(FpyR6
zmh#h1x*@JU1{J$}R=qJ#Xd#?7XvCnBW=YP~%d%Xdc6R+0{OFg}M5jM_vEhPlHp$Wy
zKq5?jJv`RxM5PcLh*{IpUI2pzs76-wC@e2M3KQ~wn82<q?%@nvsUD@jv<>*^YEy8J
zbS<q&wbsCRiR%5&Pz4j0pc{KKW(c{5`fG#hH^;x9gPd(og*iPAI+4dYI1BizNqFoX
zE`Nq1@L-K<9BwhPJogKBY(<jGc|JziZl-KQ+P2fz8NP1e4RrGE=$><i&UGtre}j*i
z;qrKv#7Za;zr!m^Py|kd%jKAhaZz(KtX_twffTMFOUuv||5ZJv*(xq1soqBW%^%TT
zcG)|Kv9eIV#R);V(@vvSQRria7$?yhTiJ&(u7iELhk0I)TGcc-b>BzP#n)&)$pX7|
z(0wSMWqRh0Rvo;AB35#a$23TeEM8CJRWnuTYUTw4?t*FsaHxRv&$F(HOfp$H=J;UJ
zV2}GzP1M6o&}4Jdmue@xcTbf=e%;u*nmyAdQnd8WG&vVNO7$307|@dg4gvajw_K*@
z+ksj%D^zbaN>hs8h%I*JH|NN0L@nIBSEEO!l)=e?@1p(wN&;izq-M9l4~rI`dr^^1
zTqC{?p57-p%nJ4*cfE|7NijY}xoyGKz^Tb&siW#i_hKf$g5+=780&jR*r&b$j#6$^
zV|0Uhwfs**41N22;*T)cY%fv6?of?Kw~G!wr3Jgc!Qv$fotiWSrzfUd>ZyHzPuPSb
zH>eMgcpGu)D_YbnQ3D?mP)S3Tq-s2s?3>dPHW(69dt$pY5bweHwM%i<;<CNlsN0Up
zMM;FK#Sd=o{rjn|T1TrwT{8W__h&+2&FzBUmd~c$YxD;-nhvjK3kYH?s0p`9-YWpR
zp)P%QDYv4K!L#JU)vd97x$S%C>73)Nj4<gG<1`O@sqg1e-(SVY4C(}*R-9ejrf)2o
zXS(0uWWwd9^I)Sy>iz+iyYzshR+Df^bHEaB&Ek9*ed2gyy#ntL*%#$S*zcT>ZXvjh
z+_=_R5=-<3)46zHkA{hSZiO_Pv65gAowR4-0gx{V%~VYCJ^dUqCzzbxFLy@%q!Vjy
zP=bEc9)ci*%#s>bM0Sic<$H;=S17!&_N9bV2A#vKDf0?dxlhr45x`jv%f;|v4j=bs
z?wgpEZ>E_O40SjAVH$ox>l;K!Uq|2UTekf%+D;PZoqeNGGphqE+UE)FZ#1~5B0j!l
z=Nlh^adm;Fv6xL7Do&xDmU)EFR_ZZ=Oin_Ja%+hw5WkcE86(mWG;E!1YN0vY$agio
zC9dy5Ed}_=peRjj<Ib9s8n7&yiO7XbwNMt_F3>iPmR!OCrYq<vRhM@Ymqf;r(sTjY
z<4o9NIiZZ$Gcd{UE}%yUjN)Qs=2%bj$9*=sMXlp8s*%YszIEWYK9F&IOb%%gSMnW9
zz`bnkSqp<~Zp*}Evoi!uvm?Vn?{6H>iB=U94BJ24!nQjjDwkVpqLg6&d*VOeQ{Bm7
zy#dx-)X<Jw_s*O27N9_p^^LPQic2W-o{N*GAI{5G{dzvgO76kw=Ye#fH2aRFWw%^k
zaUgr2xEQp$6UsaZ&<OS~*aw2`HKj*(Epu~^6z=VZ9n(QY{}?Vh+sm;~-ZV`+Hhd9X
z__bTl_rbl;A8ozkj=b=l%z`|qVqDy<2&q)QT+BQ&GqQ2!P73GgfSJyRNn9CghElIb
z9qk-#hAf6QulNA#Dx5YGG3?N&n2A%P?!njNTk7l8!zTE)U~Q5>My}$9=Y~d9)zRv5
zseMf4N2+G?I)=5888#v=WDq1(LC(X6Q#dV#y9lSR@r`N#dUX(wWO_PIRPII^qB;Gk
zp}c6y+1CWjWAN2vz6CxDg3-s|<(|Px-(#y}1P`~efMO~P9v%)LbUo{d<4MVKcrgSh
zV;O=c5GUi0XbybB5IVrPF!FFdZNsSdjYUUy$Zv>`Bu#e=GT^+09C~*0k^($P#NV`c
zOAI$Np`ddfARTN9oB}440axJws_jZAhVq|r#wQxHqf-@G0_c0L(k<^?3<Wi44gI?T
z-&;|tq|e!#w9rFm)xamrGE9s-$|9;gYM65j4cS}sVh;y<(5Z?TiithXIs=Y$rB-$I
z@sE%m?3VPH4o8|$ggn;KA(IwGm6AavFrtgAB4>h(psRF9Q*#5u7`)4LrcuyZoYpM+
zv$<`gxIbU{pbkGUCL5yn(|}R7=b0n@(pnk|%DjAr9?&i5Gb+$HtMz)ZQtaR{yKe+3
zf{^;yqLZBXt<DT~!cXY*bMM@aFK6EfnrSpv)ooXO)a16sv0=OPudVdAp?F>}iTMn!
zJT`Y_Sx(f@kC(C=*zeH|?)bux+N9<H06+J~8)Rt~T{k|S{e$?%vAClkI?Aq|57UQh
z*aHh`u{}a^i3P_1p%u%_Kt9?i!86#Zw!j}70A7lf-yPX?grkye3YJx}4)b7(zNkIH
z{C02Rk#(N%o}3O<%^vl5s|yY1giq)=F{eQ#5blWdTuoriHH4zdiF~}!4xg)l-#DN=
zvA^{oyZJRv0Y|9jo)ngyjnzj2V@fkp2C)>=64Q@X<MlgXZc&^+>B4Tn-e2uUyt97U
zQFhJl6L$xlVge}+5xKo!tu3vJzC=Q&&wqSNlgGC#Z}UZ43ip2xY?tFtnJT}{;4kp#
zim}g&XK1b3Frgs7&5tg8Vtaf9B2mP8k~sLg^Bp|QEve(2Q$dA<E0jbJ3~QyIVmKAS
z`!kBe%C~U^{o7nyS28{-dy2upZVh*079rnEazQH2_t4f}fHxY)EgoE#2xp1AUA8k!
z1?DzqJe8}YX!wDZ*2Zo@%Cq-xS2-Ayj*0qy2j?S<QT2$;PsKB7X5E#gxpO`T#b8N$
zT7f-8X#RMz6wZ&YByrYDq-)M9`9nj7_2|JCng@U4#P<MI9H%=*gfcOZlseC~-hHoE
z<`3{xgCjq+mj;`>oZ0A?GCPvp3KSU>j6eJOzZhy?L8H=^uL06z;$xdz-P(dzkVk8a
z%VEE8%DW}}q(B}hCx{6uWnE;t5UL?%)R5~yJpQZADhnK<gGPzLs>O5oe@+<kuJ*4D
zYH5W^gTAAqg=LihpS*|+E@jiL*TXK%2CTc7X7C_oV8l+}Bh#8#;@%wSu;5fpBt721
zSM5LjO4vX#&Tdeu(0W~Uhhce)-C6M;<mBk7NRRQjv5k)s%EREj<cR=DZy;*C&g7c$
zd{&2K={+emHM$nHaV1P2Ax-mWwvxz@EqT0`KK57gDUyY9<MV8m0si)YRoI{)Q#eHE
zKKSiNVdfYdn_oHoUpU8qLu2#sm@GIPv}fMhlXUfYeJLHLow^!Dcr!|${+9QifFNxu
zD%vpPg&_(1AW0A=H|r{~Oc6onu-Uw%7?P~mB6E+z#G_*5LFX2n+CSgGQ>`tZ@|`bb
z(qIQ2n`fjy$F8WAMC_tG-T*~z!9S<%OTZj#FMx_$F=W95RQ>NgsCdHv3sOCLP^rK&
z90Gk>9`=qXk6!?cCYdmem)6LgHkDF!GKvtDgDm%uu9bD#MW8uCC;<UoFL8ZQxbg#v
zavz!i-OG=3Ven1Yz?UV1v|DI*xi>;kW5F<G9DUq<*w@6W$b1{^)dCcg1_2FkHUa^k
zUTMG{#3YbV=TFtzn!+BIbeALz0ObEY(cpP=)bonO_Xz@jMA8PMWlCLt5DrAN$!M+u
zP!=V5nU%A^lYQyr%HwvQegr!Q%>470kMS)T4)s~k8g^!9isB>=g@kPe$jfi>&D?m{
zVkhybq>YNz;{ddDPWN@73LD~Q%{*^6*O{Y)etUo%2zD|jV8Q=g7&r+)$WgU3wX{sW
zSQ8=Dd2qdMK~^pdnOd=#phb3S7o%vNsJUzCQvn#4_Fx7J+wvKEr0lCq@_Tq9FgCtg
z^lFxDQ6KUrg~&Qssh8jwW?K<=!7F~LgFaBx6-#~C?R#){s1qCrze(Txutzg+&xEe6
zZXemIplb5Lw6GFi#=+bmGJ<0w-S@ZR8W&Fr28VZlx{neu{}QAiji=smZadXA@^=%@
z=TVQ2vV?nlndrr3ebj7=$Y~Oae}u&7XMYt$$M3!}JaHhd9yQTJE(ES1#HPf31|GMc
zjSq3b1nK&ofah^2yztf2uHRoc_dNJ*bn!3Jh8|@YUcK#BH%zj&Bs@@yk^KzdhMp2%
zUsPbM#Ad!}uCBJjoLaiWE_NpI9EUQsv6Q`n;sYU^x5N`=dFm^;dYl{O0#q@Bex*)p
z4>D5Xu*M-lUDh>mB3E~{&ije+s6xpG-DW5-clJ6JWIWAt<BP@evyfZ$1c%s)npyb%
zY438{7`AeaNs^)cNTw6g@9s8qN2`{2ol4$VeRnK^%c}g{FLV7${#4}N4yoH_10h7H
z8>Uj5=jl5Lt-I-a277X)C#J9f=ykytK6}j_iSSaN08(C4s<bMcUMys4DUvbZQ2R6&
zA;NMXMpAK<jQ|7Ag(qP=6JEFyo{1%ZilLWW)yE-5eU_xO^Zp-{$9<icgOv&dVs6?A
z%;0~CD&f@AZUFr86EmjvjWuv0=n5z~g81-M+b<|izK(~aa%xUtii(%B6*op{v)fJq
zp4h8h^mE#aSxK&cO*D6TBr~Ph2s`ouRE>_>7554}NO9dd8)-l)LfCoHwj0~Swl5Of
z`%IxDkuDqcKkmEdh(c!s!SyWzhvpv+kw@}p$(Dwu$A~yi<XFxT*6z*^)@-qUd6Z<t
zI7aMxkkxhX+SL&&tu&Mb_&0U+YCNm9LqO^{e{RXXyv?4#&p6cU+Px`emje8=`WRhV
zJJf>|8Oi;Busa&{*ts-jE{_uk-g~Ns4<+PK8;d$0>9p=pzW0oS-AqKV<5&$9Te0he
zu<G5BRfvNAw&ksC-P0R0zsJNCG@r00|C4ul))==Tu*#N~qg@AJVmgt$2F++1k^=4%
zbh!bW>$;+cn98alm;eMxNQ^0_wh)gYh8rg)f^v!A&~9xod^VUMQ&(b6W3kplTV~<&
zw@|beBB?O$dD#Qxm_XCPv4C_K?GS>U^T$x(3k1%z$vAsC-~H@1i5)o0YfJF|M_97Y
zWE5<I;PZBd{aZp(WbAA5?gk&gat_2>_w;$9uL*QeW%vudNLnLgF>ssNDg&&;W?Sn^
zk#^E9cqRoc5fV-Eto_aJZ#z{_^^+KY9#UyNTDHc57-N-z65cqtR;{CbxT*2{L^rwS
zSYu2SK;}#Mf`qDGP3thlQ?tdEL{|W!M+Ph*%PQC^2(^S2-p_^%L-%1$)U5pqXZw#}
zViC{xQSJay=@_jB$`qu&3NJf$v@CYxmt<dE<G(|Di2YrHY>TGF4TrMlj^DaJWWU;9
zv{>!t7vp#i{;-NftMY4kW&~xSR$mdm&DKm$Q-7^&jjNRDxa2-5^9SymBKUg)S6n9b
zUK#~XL2>~*(95cdjl}Lh&G{OVbq<MCRg$!bkr5{Rl@^N{FiWpuKK^-RZjSzVZyVOt
zvi-3iAH%O`Mldt{r9h3Yb)Ua_zh4OjTlbaX$-DE2hWB`SQ?2#Fg0GcwFCacc3}~fw
zPpk@=B_gByG<riA{<c)dJ11!A8l0fNJyX=ydAS!vwcj8l^X+@g5aoC*I>LoqM!$^I
zpt%<1pxHy6i-C;={E$<BoIb?-RYKCPKn01R2uWo$mhT0L<^jjW1zce|tcW-33&}1X
z5-#(zHo+RXN0AZ+B1*Qdw`~Zuh_L;q$8QZ(iO-6i##dc~oo4Y9q#N=@mjCyhUb^?4
zH~%Hp!9nip^5Fa@7193P-9vzBTtxkrWx9u_k_J_iU#@oSg3Z;0LzcER6dm3rdb{Cu
z(mkObeFh@CERo_R<K<tbZn4)9ZQa28yhngD>1ELunlbwRG1;d~4V}@J`rZ5zx0t5v
zxpTIGQ{`}bCcJI}acRyBY+st2dL(?;nB1oUyr!yr@AtT=i|QquJT?ZhOjt`U7?(QC
z%g$G}@s0I^<BkfoxS!Em7<!g0*u#}<qp*vp5gswWhK_DwduZb#2kf^HeHf>Lo@VXG
zia%#VDNYa&J6=qsbTu`nruF9N8lm6Tq-#ncMU(doLydMp4?gkvq^CeL2qt!;Bj#82
z?lhuIJPF7j!^0xmWZl}1pvZ1EoY$L^U~Cf+m!#EIT7pT{ox;D$3gZ*!2E#GAlxhp{
z)Zee7z_PA$`00yK$BuOqem;Mc9RAhyM+fLr#d3Mr2w@y_nIW6rmmA6$O+^=oy9*E;
zwf{n3<P9|`5#dp2hl!y+KSKqL0;6h5DISI#a_jKR;vc%TE^{0QEo%EBNtD-X%Wu#i
zk<^?4td;ntpG)_%?9Bn3cM_cl4X~i{6FV{}u`Vg4@1smWh~0$6L?H-DsFOUwvH>l@
zqb47^!qpmw=fs$ST<o}MVm6<CNFnD7)N_Vvqz~Y!)uX7Gy1}fCG_CX5j*W_v5o>!S
zQH_SUy}(xEl!IT=ka}IcY55T*6?!9we%E#q^Ir^O)t;ApipBJd@Xu`Ad;lwBrbw*j
zpPQn5+U8d8$jz>fc1f5_xHc9Ik=p?sxh1BNNF`99a^`-^y$4nle`L5a98{{E*T0<x
zSo6kLOFBiITdF=;oxzjZaqAW)zL>)}r$qj(4?OGcJFR5Ws+&h;JCDv8$@x%JCxsl7
z9^_BwCLJbqCA_Owh6_<<o)z7~l6%~S&j__fxVi@z+aq4-uu6+J^(1J;pa0*_iVI5X
z9ZvD}$-XD^Sy<J0cVRge5E}p_uAubtRHkBSeXqoJtL4r=mD&))Q8;8ioLt2hrE}SZ
zADhJV*q$p>9Cu-G001TKN4@3YhymV%v(Wf+SG`4IXz)^jfBr8RjRH!5U#fo~#VQ=W
zvME+-P|YKkC%NQiiN>QLglN_M@%7D|zN3ptXW(1x-)5^dd5V18N~7O%6Jy(PS~P_t
zt0W<?@AkxwOowOAUiAx~!V@x(mqt%(&QUaC*PnjPwnNh|eJ^BukK<<Tsyw9N3m4(4
zCutK<6jj>V9%07kmw?5?TQ@dHJUD%R+pU;CYA~FhafnCw^m#@VNl@mIdCHb02vy%2
zQ8Dp~wt34MFH3j-lM1Ux*JtU%N5{fG>wO6OB%p&Z389CQpUxBaZdg{qYy(T?7E`sJ
zOoz@}E|NTF0xn}MWXjxroy{~ieHdc|wEB~8mHG!8$Y6RA8X!B?SKZ*)A*yiD?i&q7
zm9!A^9F1lx@m{W{;jgR+t@hLRSKa_imi{2UuSL!91ddB?GX0KleM8RXs+E*w>!_YN
zNrW#<?S=92q_uGHZQ)~BLB=tAw79`JY}sFGKEb#<i9Tb*HcD5Z_O)jClpZKj^;+kE
zEBA(3yX{Dxx@?iYAfv5d2$Fm<IREF`xAxJJ$XywE*<`0m(zET>x@A;Fw|7Ml2<?f=
z2Itd6Zozo_wFzxhB*x$W<^G*q3kjw#Tshi~R@?$IlfY*iYaR`q=iMFYI*vvEx>sK4
zhI$A+j`2$|t(u2_*+FC5qs^A9>@{c}hrFW+7B*DVB&CV5^oVh11m7N=5+z4X`On8c
zdjQAZ(WQ>V?2dgWW#aufOoz~NFM*riY_nlZcUmdRDQl$+TqkRUTl|qv|4bmrQhxb#
zjb6qTMicPP;>UAJ;^xp7*2q!%+>hKhIYoZpS=g`nP^;K1c1|n!7EuUZVPP|d4Pu+=
zl&Z$TP!p>S-(|F`uL%hcUA`Z_nju-FK1#__0o~u9-c8_?Dq1BczSwNkx-ug~FgLH7
zPKjzl9tdBO^y=ac&fYy>^4g+*b|j6E8jAmEhL@*?gye{iBybGa2rk2C@wu)0+@If>
zDpzO!Ou?4~^a_X3Jb@4XBGu4|_<O|DN!2hSa}iG)87Ys)G{@NuHi7Zqy4bHmBUuq!
z73=4MD3cUY>hebSNj-mCLRjiJu)6ZsGN(0SBS*KDkPKy(TXO(fT#elc=#V@ueUZ60
zY-yG$6N%w5CnsWjKw9y8@hJ}xdmIusiS((u96(Q3dUZt`o{)zKsdSV_d*5=SF*?Pn
zuO({M4n$jzr!z`DN6Ow2M|J{K=~1m_A^tpV2(WHlK2(uf*>1gg?c7RsZSoKM!^~iG
z0@J)RM^YV<p6IiC)X{8tv}~X|#ofyl!@Xhn3&Ca<R=D`{z-+4Zg8PX-o{HNpn?2P_
zXs9GKnDy(jaY316(vfFEIU$M<Y>#>QuQ7u_7(TrWB{cw8!eAV=XA#2HNgpjUn3<J$
zE#WiMm)3?&nwlf{%pmju++@Qb+Aa1!*p!`5f|BDXUoCV~GPUx58q3KZINTZ#kz>6a
zNjALRv-iRjY2hkgoyQ;WS%^ELRU3hv#7<szFFe^r7&*d?%``u4jmTC3UHHkjPnp!s
zlGD&h(6L$#47%<G|9Ttq|9{uQh1dOGpy~E#GH6O4ldu@G6K*ud4HElb3qR(8x_3=G
ze!_&O1^}X+!>JHfPG8c^TqaBCBy5qcn1imCPpH6wVnT2lJ&kfD?ei|+|C7uAddtCO
zzZplw!~V`qn|KMSABcAZ0@<vg*jeYdsJMax;27#Hv4XCTBP;9cVtI!J1@PG-(ihEq
zijmYMyGY=21fEnSYRNqn{ba2dl<CsniJ7^uS?a}&&O1iLKVyplSIoz_ON{Wj2C$1Y
zn3IWyMBd|EqImP;Wc4`2dM9riN;<VuFW@lZi>}SE_|zccqYLF%h7V@3&dudJR6Nt?
z*r7T^tFrBiOmINS$nX_ct$~r6HPeQ{rJI^`IS+OI2uhP2RPD$XBV+ubx+kex5EJXH
zshYza&@*o>Dno=>E9+yUpbh}yf;|(lkckP;w8Y4W>F{nDd4Mvei-tIdQcrpVt{-L*
z=U^F%!lI9ywgoM=!-c^CB-%XUrP0B1_Fq#_5$AI$)u~46uPizTHY^TU%-Np2Q9Sy&
z2cDjS>dL~Va2fmnEKXODIe1qSWS0<|O;1S>AvQBUy)_KpWd-?xRYgPXoovRnUPo$i
zn-~_VWjNUBGMX+<3%dPT?Ke$+$$Qd@;hp4&3=x!8HKS%!pO&CnVWK|wvQ9>o9P5tY
za}E7!9^){aRX1YSe~6B2%M=A)ad_Ffuygd?*}$Lbu)KKs<RALWn4fAZcANb>Rr#P$
zvtW1<hq?o@_~UFdi@LXBM2>Pa&5qTd#bUAsFr6~OAv?Bd*J_*RdfL>!MEJ#>4|^5+
z;q<ruMA%HM6uue+B{1T`&1op2qq%(tvKgQ2Yr#)AKCWzgrA$|#3x3H9RSn<fTQGM1
z_4DeVT=bL~dvs}~BqQXiP&)(DOYmGNF2bpQPr(eHxA(OhrI>=de)gL#PbZH~-`_>u
zM#Z1C3+i$7BUo{dD*=D92TYBp4CbCvEIT+fa~cf_k9w5&I}PANwkX-+1cTA=3<=xv
z<St>ny)u=bA;&=2w<RLvZFg_c41YVwcQ?LQ9L_JjVNHp>Fu_P2p2sR~A=L;<&S~Q5
zpj|t?hc0sj3U8wcZwcQL_3PoAvba(=OvT16dR~B>W+0y*li4dh?z8?}tBdd4Fy^Zg
zzE7K$c$!dOI(XRQDy8Vb3F-XV4PAeG;}mB<6<z<I^i-zsev0Q*o4!)#s|6~R(rH?Q
zCv@&^L?BK&7#jEI`Kc$!B}k{wf_x-2Ano+24jGV(K_7x1GmxSP>#<}R43(Iv+Gb5G
z!PS+{W`qopVksAXLuYZpBJxo7h42J`SBg0Xb08$**e3@4Tl3>FoAMfG@Mb;36#CCn
zz4dHb4b9fLT}u#NU`x0aMeBzt5bb^Loese(2HZI*OfJ<PSIInW`ZDW-Vo(t`?K@<M
zeJ~#fP#<p+4$~5+VsPylVcMMquD`QWJ!6aTrATFAJU?0jL@Gty7PS?(9zcCF8Re<b
zOXu!*f(-}yPE@uf*)z$D$9y6+gMu~z_o7;XQ<;npl0rlGwFj(TatDn}kePOh>Uu!o
z1B8804RM&B%oM4#7nv5UflTHi6|Qs~I-+eO*r#ZT;iOVi5RY8#>Q_+FtkP_Vp0Hqi
z3GjnO9$!=x5_u#b$hPg6>Fe4#LaF&tz4O?8EE+P@b9c@!nGHAwn18d9G^i?^@eBrn
zb9w!2sOwAF0UQcv${_5GS}B`T3>xmeIv0utkxVSipnh#mWql6W<P}CVo%-7T1kP{{
zV@a?x)``{<P`#Hjg7peXY?rjq-44eCJWImpfjJMM<EO(8<ZKRD+U5XNm7C}=K%J@5
zZq!tVN1?(1kW0_FI39GeA^B#QcG^ei%#zu;oCYQa-O4S(GG9!Db*K0c(o6d}Ce(l8
zOsZoGxx^=`Jqk|Pu0W41z46AT^=V)FPC{8y#zE_OJT9oxh%mzGs;eDxiY3FN?RDy@
zDCTdAVVo~<nRrPs=SvK2YhQMBb1-O~E=91=Fhq!yuF%`k;>TNuPll`I48t@v>;qdV
zRq9g<+$`?sYH=Qhj$?U&8)qQ8ZSpGC`8^UbD8fHW!Z!7G^8W0Q#&Ur2=OA{?0y=|O
zVGnQKeFWgh)eQympGbdVW379n+bWaLNN50aXZG+lvjv^vF9HGWxtjgiwuqgdy3Pyu
zC|Ry&ZXw%QG7F~rExTg0;Z;n(BJpFL^{qOra3ir#YmMAg1%Q7p>JJ4j&9;nsS+RQW
zJp;Ml{UTK2A7hKXkMyp}J{1*>E`2ZE3R_0`n74r)YBf)0TfQ(10fUx|VuP=?7KJ&<
z{T|qK|DF=t#0D?9LneQ;EdcS{vtMzlge->SnSsg9M_P+fn3U#bFv7$1oQOD`j$9KZ
zk{&(zB);p}{K#PuxipY#H<0Cy2Tj|zD)TJcR`-Q}m9|HQZ{~8FpW&{xp?#^FQM4EV
z3EvZ^9B`R4Z?7eK%)>KNxWBJHj}8?bZ%jA+Q$-LcB~BzPl|9gAVJf^^RZkodx9OnS
z9Jla*JxH5J7eyuHFVgiPGsp+@lwJc5b)+tsCU?W8|7k~r6P6w|fbe2CPrXOkd*opN
z$f#Hj$X~#UxJ74TZ5He(2@Cz}=mXzYUGvibAady!M=N;l-|n&ILjo?h&`RviRBUtl
znU5!o${tlqv8Q#daA@8pCwX6VT#NJaXmKt85!m`_m4a1bYuNu^di>CZDQdJe8aFm!
zSz9MR@WDIs0?mLcG03r9B8>#zBMwd=S22IVa(lTJ+MiX0?HscrP?BfO%c&#=rhE$M
zr{N}SG*R0YEY3ZHog=mR(q-JBx|>`=yg)rUPPgnjr2KUfB;L?@q=chcO^@D-Xd{qU
zLlCYBojWRmpa)N=CKiO?)d`WMLr{JPaCoU@tKD*$?CIe&7qF#Ht_OcBsJvz$RZ=6P
z0?wn_@LdS*5sD4p274<!X1w*$dMbP2g@!Yzg-<gctU>&|JTN^B*-A%?O|z}BQZxnk
z;0^<p4gtR53#IXdr1dzpb&&y-34O3j)+p~;VEX_wK+L~;4BAr!EIbNEOezhhwc{GC
z!?y#@%hDFpYjR0qYNbfKBL;MW;vsm|Z7pam6~+d1H6M%2#acGXO?}JGsYzEu-+E>g
zLbJTJTCt3P7srODxI5HrU|Pd&wjO3EDlyzItd%;Qm8NXA@f-T*I_K&8@(H=h06$CP
zC^F$693R}smL2G$P+Bqm2Y1)=Q>zpY_RCp#Di~PNE^i^=lX<L|T>?@It#R=}6AkdC
zN2tS=WcOogofNQ5fTm-2jtKvS+}W(rxsQtZ*L6pQ6u`z=I_YhZ`1@&*7a5J(#0C90
z*DU^UYq_H20t2?wv<ODK-#TT5un24;4JM#B<Ner!KjlsHo6mq$N;<^Et{R8T48iru
zwBgEj31=5kJJgt`UcqK^CXtw(qRW86sb}>z8;QI$X>$zm(`Qr!`qZ6}a<ec~{AlJ<
zieNCgGkDYMv1>mPaGyKXQ4A(I0K)NeTU^mhfR0MF;9PN~h`J*=EZ7a+7QindYz4To
z3t8XP*>?e~!GNWBocn+@0tH9~wna0d+4IptTy0oWl|s73o+Pf#QM#z*kD^Q=XG5|c
z-P;ybcD-2ve>Cel7zxdm62&flJg+TT(daG;=~Do&#s{%`w3EW7X=gl~B==zsW`_Pw
z*TsLV6jr6;o)q3p28%Y1@O^bUolbU!uy`VJ?_kppgm?ULL*3;#9K8=0-^E&F3svK%
z{s5H6o58*kXzOu!AA3u9d)*u#dDzw7Xnd4HSjN+e(UPACUE>kuyo}trJ~#L1dlc|i
zg1mDZN9DrJ%IHVtRF0{vVz!1_r;vPDKX5#0Ffdp)s?-I$kWBtus!g6vJ}>-E(`bBI
zKCT^4rLjVo)|8PpB^r9UG)h;@sw514a&mM_*Sv}|{}542abHR2L_(X4f+Lu5C|)H3
zHGJGG_$n>|wFMCuncUYs0dmih)*o=Raha3MW3}I(;#3O9EdD{F|LVn~mOQDpOmTQ+
za(q{8-%lgH=?+jG@FDT-#(o2wC6aeIu>YSr5?ZJvY4ZWD+n)GsSQRbc19=Ka(tU}E
zX+wIcXSccGhx7A1UwHq0#2a++j2nXHC*J&jNAtFsix$gPH)nuBG0*b$mR0i8^dc<H
zP0BCld$ehx@P$CO+)f)bR}7S(vf*Azi^*v`Q)@s0B;>?;L>AiYZ&6HJ;OGS-0*Gjs
z!F*St1KfL7;NpxYR5cYS7ay>*YzciYpT*5YDFu9$A3G{{quCZeJT+Q@<J*}FlXy!k
zCRfB>k3;P2%DTz%uY7<&E#RL1Z`;)9pjISSOUp<JA%XTT{PG=2b^;31n-U#A>85BA
zx^~(%qV|?Sl6l}y+%E<hvHRP2=qwsX9TVzR-WDik6B=SzfgGAQygeKKpE#r+sHC7?
z1*=gNcg99jQr=gWiy6T7X#ZoW#!qK$GLRKHz;Qt7ym7f<e`E7@%s~sTW%1k!ojLab
z(}UdOo&$?TVb`E>rE-a$2+GepzpwPJd~;J3U%+u#C`HN;fxe@D<^ic+a1?j>e%J9Z
zl}(AMNSVbj|JJT6273lPCAyA)g~ZLoO%OC@Cl2!RXPNUgjU@j>K{hBdq5(63n2x!0
zMWzGP_CX@>u8}K1N3?E!D9HTK@dg^3*^m-emCt`CITt*TS}|}B=4NZ6V$-2n^>2==
zMkjADv8E3CV~ThCRS#q~F%Gd92th^lkRMM}2Mx_SrV=LTm+!vX!TO(g{B-4c-MfK|
zfGLB^Xui*MN5(;Louqvw$<Tfkye5F}<JLpuV&68-Pu)NCbWj>nv@BXKdZ(vDxK^uJ
zDgmz2-{eW(7p$K#<LE&K<ytNCOM41NfA#xN*O(*RIdc~LKQIS&>miE?7ch^$1`-es
z$-sCNsk=;@t?_B6<8ZKgNq0ydi&K;6PH~-JP&)}mRRA^!7J#Yp83Ug`#sANryL6x8
zC`mpi=@;s3bx{FFDrgFm3z!)uv$9N=6TNO`rz}Mpys*?m^fXD7?hBDifsxJg%YGeR
zLciRcm=jE*ik8XbBB?7K675XQ>1^8Tv?JH2F-L2PAj`ksd8?NYY@yEDn|}_Ev^9Rt
zrM<trEb+5%;>QG~mRq+tC@SWi8^h8qtdw!fzpcoL-vhPPsc(2e;nj@>elC^w$1yq%
zVEC=&8y?_+E~4~5@k*dDQV??a)2aPSs;uEJQ(+Yzk0o6Yl(0xDISF%n9YQ!Y-i}m8
z_Kv1o#i%f#@W5r5;U;Xj@D#GAT#P5KHMg#2J$_ePWY})%Wx2NMFlNV2uj05JcAFLy
zio7XLTq<daK&wYW$h8Ys>Xnr+2Z(2q<ooEVG@!ajd1KYYNjg*UbYpcMb36g2)9_Zg
z&`Fza_=au4w>;S!;;BE@#VL#>;Wqo;oOPm%dB=U{Ti|hbtFkUJm2=u){$E-}lF@;n
zRt<&h&sy}}6QdW<wt75!ACXL~hF?vCe#>8@u2pf9`~80C0V5N7P3$?{)=v2<YEqU#
zQvAKqiG_q}9BrjY)3W8k8V3jDlYjJDm`;rE)$Clxv2>SBGR;N8-Rw-J`Z9+vmCMQ#
zr7cLq2<h`>JyN<Ye4V}UdOr@;F?i&Jth)6ULi2%5m_QC!{`dKZ2C~`a{U@!RQ%&sQ
zQAY}*J3WN|9v&P;u<IkC%x_>OWf5YSc<xAha$XwC^qh0F2(80|s2P@Ak!)O<vc6j|
zse^waA@Kt`g%A^VIORb|p<E&eCn~uH7Hp~78Uo~uASW<(QG6g^I);PE2R=HN#RF2h
zK5AQm;y7Y9QtMp`4L`7&CWqQ<Z5h4OAfcu_zn7HAYJjc9GQoyCijSkk$#(w_4q^TE
zR;7j;_+}C7HBp5mNqo<Xk}(%eN&Y5LG;)-Bu*K)v39XZJPF4o?mQm@3+<D9`-C_PD
z29m9xg6(T<DJsi@RsmlC&>;h$1f+JVp<cL8>=uX_GDgfa!ghp~2vIHB)tm<+>Q87;
zvThx&Pp|6u%DI@}>EU*}rhYge3!DWS)4RN!OpXeDlmWA+t(ojD89#X2$oG4r_BI?0
zzx)ngVIVgxtu2}p^5@e4BsNpSvCX~Z_K<xRVYGNU$me#28)n$1gC3&_<qIVw9}hWJ
zIOOI9usE{3c#DL|d>Bcv>$ufh=G9iXi5b8wo>)SJ%mVxB+uO>R)n$l1Np*$AY$w~N
zv*Yb6$`9#b3RxmlJu?=w&bCnOf#0YU6?^8EB-0Q;o8uI_#vWecOm?VEG&zFZ2BH>R
z<9HCWYW0R84p_M2-k=j2pJvlU{N<Vz^q647OzA=k0O#bo%DQp8UA4zD4jo-72+*p_
z%vEC|y|*e1l>_X%je4GXc7Re}AirQ@d5yAiDTiZv<bQRdzXq=K_0}*?AYKTj>45d1
znOM)_yv*~VW2E`=N%Gw#Kh_UDIHP$ySbA{nt{QW98*iCSSLw65>38O9rKl1OrPQ50
z3KM<ZR_z5{ga0{KagrSyyZ4=pWH8&#F@q7JglTfaSm|Z#{yW)dZtU>euq$KB39s-S
zD?>nDaID1~Au@Vw8BU_X`*phrGWF9uwridY4x(YNq>weXY$((Q@IMhVg+sY;Z+h5&
zQ&a{P<kOhD>TGuhBYN72vWkcVJN%hC>S_UHA6fX`5CY8voUFKsRQ7={lHm-g1B!wd
zu^bqGdyC;U%kkKj^@o^=y9cei*PQSn*C%kt2O+X1$JT0E8@emr-K5eSbL522r`52x
zRh!B(FFC8cjGm=eBC1D>d`)_sya{eVv*uN7`R+T4`0bzLvKXFJduvm<<YKj1p!0qB
zfylF_!9dFrqV|Cn9eNeMPUTc5BorEdtoo!E$-7MD)xQWQxU<Dm5z!e3vB>sDhs##;
zN`mq`JxdV)iBF>TjJ=_$M^N2sC`{=IkK?uxAz@@yvuIRCUGt_go2)U*H$}l0wFYd(
zsz!g<Jk&m4u<tg74)+FL6ZMCQ?+!jxIAJ)zvTL0QQEW%u_+{ypu3;PP)roS!>iN$n
z-gHD)oIR5ANM#EE{H!=hfmI4ap=GcJAy}h({Yy*LdKDgN#xA#j@pJSWK!F5V?m6mb
z?-mLQ==1O3lcJ31f}Wc(1SmK()4C34J#G!4xFt@9-doJ-*Em*#*0pDYbop*!B7W_q
zB-nP9a@yAQdSkfHm!0uy)jU>-o7%3_hLd}!|8qdIDxVNTE*pqs2l1A?S(z=mz~WSH
zHIk&jmDzdJaFHn(rzUh>i5<{{Q~kgEc%T@KS8kSQQ7#%wPrdKGmOo6?-pJ;GJ-{_t
z;0x%z9S|+Ud-}T#5l-oF=UG-Sr%;gvGz+I4gu*T>v7IvNhr*4RNSH<IR|dWhPji1L
zBelTlLvxfEG&}WKNS?>7f)TNR9oDzpHaBK?8Xp4cL;dh-%Xkfxix{Pbban>dVw0Ln
z$!^eIWq!ENN4u-<K?Kq;QZ7}$G`et9_R86|LNhQJU3xilaldkP)Hx<4SRPpF4UlEC
z%M%rTY0jREZaIIyuy})WzDFI=N?Zr(1sF?h7!qi^8Mi%1MVSX;3>b=09)9fNmpeW(
zAs<&?ajN68lt;dApw!2ui!l8Ek0o>O4viL9aiT{!0RLhdlDopQs?vq9V!I<Da7(7b
zgK;+)hAj5`eBYCdyPsS8=JCadCR%oi&pE!b|0BckI}r2rP6aiXfF4`esmhf=6V<nZ
zz%j@#5`_a{D-pP5Fxk&3HI~FB-fi}eIl+ZrCQ@mPO2^mK(>$jqp}cW(0jetc0BPqH
zmdKd7*^v-wxU1L#%g|KJF|k>tXgaUCf6^$M7Jlw8H8MW-JNQn+f(#0^y`Q||K-et#
zee<R`{k)RND$~IUa;2bgcb{%w+_vT|T4MIzG+30FxkG``w5;hw$mPLc@5=3n3@Sor
zZ&yiP)>q1vE`VYTCUl5~h$h-Pu@GfkE<%a?Z)lNzOj>5LKHM1&)@M}$`kA(t;$E{<
zl{!R?(}v(NJ75at@Hs=06+^Sk)0ZSfyE=0BL*HFookok7=MdW`gth^?{(K0=awc4}
z4}+miya;HhM0PPo@!-toWEK_E>H#OlJ#{3Nu&juWJViiPJrZ_h+yY%3PeTUi?WTO?
z8{jfSZQFQStRU=<tve`@EM6yg8IRYVRyCLQfgfBpW;%%xgoRj}CfVzh6`s^IBvc~7
zJ1Dk~JmM8K|6X^Pic<CFv3ustu>x?yV3CQoiUaM_`qq+(7#b-m2aMiYgHdyl+W2Yy
z?@T$~S`g%~H(rj-jdshmSkB7+g$B5UTet?^PjTXv4>4io<Z}59<&1LzjA3GO29=JL
z8`&UUfp19WsfwXjj=gI_!7K)ds1TkFZ6#?@S^$i)n6nP2Wsrg9*(z}9OUC`SKckh5
zSL{AU%kfm~b6!UaacY>J|DhaF%x=o>-PMX3w8(dilg&p^9gKwnb4%=~#>*Z>zH8CJ
zhrIU}_oOO?bLhWs_vG;3cP#ymr}>4Vi}}pLDLs<w)XVOb9)!8S2hrk%Dr!e{)0T!&
z8`}>dP(Yj{+hwYQ?@~;j-a4jvJ)w4P6nuzY5il0I?PhAA*rqgbo#Q*39<<q-BBv_l
zh;ogavhl;Gd&ziF22I;v1MzCJ=Cf?02^*)`k<=#M#WL5KM#J3U%a+vqc1odZfLIug
z0kNeHf_1$iFYGQZkIP(I%ivLXMX=SU2h0v4Y=#{2QQjo|v{Td|m|#cH2!i0w)uh}o
z<Xu{)e7?EgI7=60;+V6&y9cwQ6n(LS`_Hm?*BDyMc=gILjAOriKrSS>3mvbS3!HBV
zfj4~eGP)-H<r+>?q3jNLC;1NgVM}x{u%3D#W87CC>lLX{(0!cFyA212s#D?`L`81c
z@y=Mls@?C8sfB5s;A@r`#a5Ltpe(6Dxa7R^YxQ0=533vqk%_Y?8|Ja|t2$~ZvHCy|
zgxZ##H=AKNH<Px?N+J~iR3d8R?}iA&)x##PIa3F9MmAj>7&EIf7?^EY21zKeC=wAI
ziHS`%(J31HQbz-Yw{SR7$vvj-*kOrt8@dq1Q*}Dl2BPa@Cgp=@CN?7>m>A|rF%IH@
z7gc$Oc)6D^n*yyM#ohXS+{Vm5=s}mk?L*o*Bk6e+>dk*slDzE#pEa;)6EXz<BM?lH
zwjB4O4AFHTgqk;on-L%@2~5_e(@TdOQOz9Xa;e`!!lKwP94u1XuE`QPyQLPA>8;9i
ziV|vjy0Rkl8FgoVgWy2K2S#1M>grW1orm~HoYKhL=eZWxfn#F)R&KDra<`WmW77lo
zQS^+R?`Jv$ENA#0Ihfr?kzS0{vR&5;Ui5sdxQ7bFDMbh11P2G!Fgt_DPL`?4Y$^@%
zg+3o@mkWt>faQp^K4TMqU+Wh*3i_0ym$j7QcW~k<{e-qqe5NVcI@8);QemWJNHCk(
z3|^U$5BV(SHz6o_-qxBx38N_EK&KBh#E%WE@aEJhKAu6u57&^{2qqa1tsRkKTTGKq
zQSK$uX3O9x^hvdA4^d+?8?hd$+{xP1k&e{!|KNd0+`Odp5!txK3+7t9fCt7lQ?@I4
z82twg@G3*#H&2i8+;mn>2hsp*_O;>XVK;oK7H|q&=gVvX)TExjP7_5CtJwYV_S^XG
zFi4~61av(sE@Cn?AxKYwuaGoVCio-tBh&`Je1&i@QgB7V^V<}&r^d$Xk6(`I72b<u
zY5|y3E$R_8!)wExnQKq}rU+o)lfj+$!6~ZNdKF~UW8<59GFZXw<L5A1m^w`d>9G=K
zJKY)REn@U$gs2Cy9%JLgaTehqZLs(P6JDoYNM!V3rIa29*?TjT&t6}M9-m>6OXFDN
zMU^<AJ~0H?BDldD(7llOE8Edl^7VhW(G)0y^>MZfHW9fJbpk%Y5=##Rlic$vOF6W|
znGZ{REY*D#5tW00om^k8tCL6hs|3KPcD$2g{E#o+pvzuPau*#HqzV||qHZuSnZwj+
z=@}s+jsRk|$P)LfFMR!-!J+_Sm!n%_wTgV(^!PM6{kv8cW|aV^g$IU<uQq>-rmY35
z&tlpr6m!Xj@Yr<#%vpVglsTGxN&(use*%5H8f9KTmb#MsqCKd86dN_VPD3j743G%3
zf70|{C|HB^ZjF6RLv+2zeAJpnJR8$W*Ev3BLr{Yn5lk<Rt7)%V)yhGj-i?s(W36pD
z&8%}R%a*Yx{<UjK#7XX-&SrNE0{c$;M%NVwZ)f+ZfO?Ij^?uJCl=Kt`&Gv9+6!M+W
z%JD*l6AlV(tr+iy7J!tL6ITtWScGtvGED1z2P?De`V6<xdKVv;kl*|RzxDfMLZ%_F
zRxld1=FKMtD{g;zoHQN&i;RaXZ`rd<CsFU<_fLn46l<MG4eer05RUgUIb072o&24W
zVk)rKTql0HUKh`RK_mrT+mTA?e|=FFixa~mShw|tKey<|o<>%Y`8?fSX2>VuzE2Kr
z=A@2)-2|HNh;zAi?KCFagZr1LQPL5>#v!HDzHf{e@fLN^SJZ#ivp$!he?ryUR%}E|
zwucMM+hR?8vV(I(IX=X{esr95uKm{$*IbP^-ju6aoddPlKQaQ2CZ+1uh@G=lX4WkQ
z4@N>jt>|q8fq&i8ECuI-TU_Pe^?CTnX+!L}!7m$pN6`wj1H}B^E*(waVi6d9r31CS
z<y%)kV6T!ieN0xgSU>mdlLVnBS4wx}g^&eNF_1eq_Hp{L+7C&n(xcGBhqf{=k~REM
zz@M3u3e{n+qnc0C++&wX5H`&;ubV5+<s^~90#=-JG@d=ufl-1KdkVK~Az8*8Kh<4|
z>){W$)Ufpl1qjfaHCs(jxsz8ko@XPMH_Ux=1d-%>;~63Rxz1*?1;x)#yV0CXkVNyT
zU~@ZmIKhiEzv(PU9>80ifWsg`8W^Qk1czX!D*HPh*3F9A=Bq?K(6pcfRT<3U8y2e2
znJ^07{=lFq$^|Pxi9KJ@<B^k;szGRkAtOwBW)*qjr}D8uChZHK03dYQ_A7j_IRewN
zIUzF1Y&(#94Iu!e+-ICEZQFRo|K+wpr#Wxvjee{x+A!c_NXI?vL?$vuH{7EG=+V(r
zOt=afC^BO@A@0m6jZa9O0rGdBm-FqG9Tu#|$kLa`JQz%4+SY8zeoZ@zNnnjHTzs8@
z*@t6<j^gYAu=8i2?~m??dUvoLQz`y(8z@bQmBNu~nR`E8pz+=x683XyQ^Ftm_)9Wg
zCmU<|BJqL(Oed!b5O^_n+~3_?bzvxUkmS3b<w+Vi7-9odbhs-CdM_y5eNeHoTrH+I
zc{Qe>NQ0acy!tosbE9zVG5{{1`6fMcs}=hB`)eIUq*g!Yibbz*i@oWVsd5d1W~2{@
zBykq*B$v~DWzS7$l4`#b3X-1?lKMSI6}y&NH8E%c2u`PHPS{+Yb|ij;;1Q8Jag0z`
z>o_k{Iumi&;T&90(yoxoTSTxPFAtT5>|&IqQ)uD##)wQP-cyD#;YS)1QKPfwnrJ%4
z`0F^&ntP%7#ub}v7P;e_#Ro&L1}DhaI{sx_?=a#u2XU*5Uz!2dVTd;&G^+#~x1MG3
z((gZ*`4|V#HRSkF?>r)5>e|%BS3!Q`VIQRp_;OwwJSMcxE+-cQjsA@}sUX!wkevg`
zh8W3kSEqqEjQy3cCmjCRj+gkKq5XG=PXSVHTbO!H!Re*eSTBJax4F<@JGb-it*dfY
z24`S!8Rjt!6O4=RU<v3Dk)NKonjbDfZTX2T?1bo?yE$+jzF3%ue%Ku#{5fARytr0*
zVxIq!y1LNk5K0mV$5`UT0BNQx)IDdsQ-`ofQNn%pa69sF{Tpo(-%+Q%_lFH4EY!sY
znF1SPJJ+dEkzAPn9virke?0EAiN6Ii7q6;tf=IUwqY5T8b&U|tjL5Xoc0h@x+~<75
zJXrX(5G*+;r^uS;l5PsCsfZ?c*OyoG)!rF8Yzx)yFY~bw0tU8Pk{NVT7SH{bW$v9<
zqo_0)1PumUOfHH!n^pe9K`ZLojK8FSGkip_1>deYujP(e_#?kDR};FMDSj7AenJeF
zOT@-3+CnbE1IQAZ=wBwLE$W_>ibF}QY#{vIM7>NERA7cJNYmF6VUnbNy6`Y&)VJ&`
z1wcqitS*87`Z0JG+GrTRNlB>*a%f=W-~Cp$T~cgr_cJda&FS{%=Kf26=JA=;=cD2U
zw4aFf0<d<%n3&&W%A#CY9fWA5$sR*cQ%SOaISlAY`Ns)6z%##{s{M*0PbS{*dZ&-`
zPyVIM(H9ch;f?=>+H+KKfh*wG4?15`0bHLPpvrK6Y^~Ta|1Lgi=Rb>1uurS0&ht0T
z{79ZzXn}go?J1Ew9i2#5=L+fQJfcU~+CZx4t>{W={RT6d!L?7jGQ>rXDW|XiZH+`w
zylB*Hl11RHBTLFB6SEBdGeYT842*C4o$+$sqoZF$9M$8XTZkz<@-G%IVmeWGpCqRb
zl!)5~_}paJ-e6K@+cqw3tky$EvY^jNa<VgD`a;b?e8iMF9e=%OR94K-&PlvpkYS=?
z7N^oZ#i)*<_uUXUJ&X5@kAQ%vg+2eed5P<<?traDk;MQrgA)!HHI%|h{z|c;<P{fY
z5!MY5DUWWWShdMjqURZ!lC=Q<Z9UNXf~g(1^fPPZ-~PhEAb=2y1S~Bx`Mee5$cmyZ
z(Z6wQ`}<KceUR4T|Fxz+YZ<5V#G+z2E^NvicSbirozFlmBCRqt2k-<lEL?jJWCODL
zS{JGV`jMI)a33(V;X%8^DLV4?AUB8YoUH9OBS+kJ3Jbzfu?lGU17ZDA%vU-<I!#)%
zKWbAvB_yMy*~+q_pwd@N0<xQ(d1I%#p#oj@dCI(vq=+N@oXmJgY~c}85}ZZ63nO~}
z1dnZZTMbyyp+)DDGK2Q&Ihs(lLS=@`%C8!7z|Fj)4$Hf0gPsvb*A#!|kE9pD2*zb-
zyGY)5)zTVzZbX9?+CG}QH0-c7J`Io4)X;iAT3+}D2e?iT!c^ihK{I>Xez4QkyKrc6
zgR>MYjbE#p&XzpbjqTw!_ei6931K+ez5FsLK*LTz5)%p{cFRX#las?FfiRbXY^St5
ziz0SP*eWzkP|m46sP;A@d)Zj1-0CxiqGME4f?It3P^%?7azymxyW=^sox#t~%I9O*
zb+RtEEqeoDc9WKRccUf-A1?<6X>Q?GJ31}RHa58ALbWF7T0E{1bd3uUol`d<s7|X>
zR^J}5hs=}*2#LO$*+HyEPxC6%;o^9qka=4T8<w!81o03-aEuqe%HGTrpgxAxsLGSD
zCTb+YdXq@$UJae!%_9zlE#@YaURaosS7o=2wTqiQ1j#Wzc*;d8`O^znLw^alO$tQ2
z1~3W&J6#U4#W;jFnMh)nWok9!(YWdn&{H6_)LT5Gf4=5i=diy?kAiF4RpV`mK*!x?
zzDGnFY}s1QdewGOnH$9q_R%tV$TUYw;HrxVm5=dQXg!O0`Mpqc_LHtnC2B9aZ2Jmb
zX(DtDn#ii0yjPL)$XDxQk2aZN8&d$m<pMmDY4?~QAga`SZ&811E&q8A(XQTDAWTU5
zo0VV}#esdAs#T$3-7apd5b4_CMXg%U0bO=ZF-_TQN03QWrC5a-aNn&m-gqCU%x{Ot
z)Rb>za3BU;R6nLn-T=FUYvFIoVd$!y1;ZKxKX^lFEGmAdJ5ssJStCx0-I-`18zA5a
z1AQ_s8{p9EdfKsU%bmp15t4jCN4j}z%|DjA1pma)*8US$=W4M)q(AR%xCX<a9_6oM
ztoUlgoN;H}&eo$<g2`MDB?jP4kLI(%_B>ByfRgg6Hmn;Jm(WI3!~S^}>4EZ<;KM1(
z1Q!!8BaJK0T_kO<U$2E7r}XDVmfs>UI_t@$VB~O}xUsQM_`DpM>CtT-{3n}^p=%}I
zLI<lacnrqBt|{+kgdY=iXGaEKdE@Tc^xI7GF$`qgtwb}?q@v~w&u+s5EtPj)aA>6C
zrF^-hMJt$qW(b>}OdGrIU}7Y51+VWC9<v=!i7>CVNXrSX65Vh-QSP5R-ROCG6FBbd
zM3{i}38-h4ZMlSp!iXWmp7kWINcH%JQ!Nb0xws*Yh0tNQUD_Wd2|6=~+&by1&g0Nu
z<nLmu`n!nYc1g&2!5LGDzEXVH^J76$$@F>m^Ezq2MZMdBp;J?nD0oxdB{u(Q%%uH3
zs#LBS)V&JJ(T#Rk%2qpzZH65+d(Eg_+Lw7MM_at#d-+5f+uiHxh=02ratwjDkBAn7
z#kzmC?z~(>-VIF|^E7Hh(HxDl!o)^9fVQc&jp-&YM5bLICkC-Hl;CoXmm!nV>#HdT
z*X=xsR4W{E>*}kTZg*#HmA!8-x=Jy>i&Umv<33gbI~el@c$SNQ+ru%^N+v@SZ#4;+
zcHtY%LNWu6^K_UrjO_JH$zI)CT!P=MAV66=#3MzzBWrTpImEAYfO?Y|gg|}@Ru=5|
zJnA>L-o5EAR0H92Z6?v*dZ5c$JbP7~`1RikMh{%rZQ7rl;}g-Xie<GEBwF*?7i(o;
zMH6@i$`vsid?5AqWqR%o|D&_}u<^*me;p$GOc`jh4U-sc4OE2<6sn$k=PxbJsymd4
zarE|r+=Hk6;epw++3#}Z)&zs|#m(PVwJ<_Y>?%lD#wsFpS?EXVPIoBs&}4=d>2gM*
z=4ok*Mr;xg8{-;*PZm{8JZ<OP1j<2UZc(jZ&1Nx1t*3C=S&6>;ACPuVzkS7yvhGao
z8{jSU&uB6<jyQmk!4c_m#YA=&76&DZ6H7I8PX<?!)HZE1o3088R|G^$Hu}{7YzU1!
zIvx-_YcX4R=5bdji^(;p#!)WDa^f3rSR_0ydE=eBkb@XXSpR>8$pvE$9o_5%@j2ri
zCj)N09i#$P>zEj!FjwLNAcEIbg19W{G}>8`>11N?s{%**mmh60A{6~+Ea8E~l|&PA
z)GpOV4tjPRY0JKptKzys7B5Cw9N!mw`O|$nQyzwd%*Y4V1sXcWQr-V(F~uXX;R=*n
z2r8JOxn7*RzjESUl8PF<#XzF3brMv(UWh5#Pks?Og^6l-l!{_#dOV)%_;V3NY9+5)
zboX`K_XPsSl%MyE2>&mJY|8e@E_(5Tp2N0j!HZp`*;Fjiz53`9pKoGBINok&pN~qT
zlFdJlyM>^NEXUq2*;EjZZYnW^A$r7&#6k<eVn%<{(1tU7gd(d5OW`13_3?05Y!INj
z)-@?&TG4Ne4@zqj)EJ$tsgV{f?p8gWn3Kys(n)rKmWA2P2Bp=+vI(q{Gz&3*Go%Ul
zIr@f&C=Z)mUKSQj_pqdbIduRTt#vQ;)8JLt4qBHa&ke(2l>^Dh3C{SIK>;-f0!UJ;
z6HBY|`b-yn0|(i!qo&NE<M~6UfLaF5Rd9-+7!-j3+T^UmQ@Sf=FU^mZy%tsX;7xgY
z)|mC}8F!K)czni_1*6J&BrKqCJ}?Fd9y8DnGnl+k9j(hm|29xvt9eCgwp&Y`%-spJ
zj|CzoVM?*pXl6x3-Fe3g3iF*2*0JalRV{_E_80c95I}G0J@!-3%D}(mHudj3GDZEX
zpC@=bJ7F_f0AH;9&&PbiVn3D=R3MjWRb%U#Z22Skd7f=TrZ>XPU*k3#ds_W9iU)T0
z`fQ9E`jwil8v^{X_)96{7NA*CqmD^DGt4FUqd^f?0kOYgE>9q!wux!=rK(}&$qd-h
zC}~0`B0|v`5_igcPuWxJuzBr?VT|D}>aC?&`HqK}+Wjb9TBzO%)>gwSnev;2AMO)?
z>5lcvZIKVF-gVVyj|~g<5vxX&^@B=X(her{?JaapEf($LtG<cs-%dpUfbJiFP-(R`
z9Cv*wT55Jr`u90N+Pt0bJ!>x)b{J2AiQWu1d)VM5%m9KS(5*!e))vZn$|6Y1BiTIi
zeHF_+7#jZ;lvMYv=(iE%q|H}R=AU!8rB-&k>cd3^b9I^SW8Y$8G?!YufI0-hNGzOW
zvfd~MT>Sl_Lx~?>PPJt61DUnNhB<F^^L?}keYoA;#_LRI(=IC0j>1V9k`(Pz1_~=|
zC8}4@<d8OY@Q1O5E3_TQ&p}L|VP|~x4M4SK){oym-7X93Wi7Gbi*3z0HJ;FAE8uh#
ze%X`F!yZl@;S@0>XRQ^(P7wY1Qh6^u*Na*>ee}KA?RL?T#UDQ<8TEjc)S=@-0dZF*
z{E#$yYn7NR5<h_e<#jqN41%Sk$_S98E-?^(owbu02&$%Ha(2qla$k}pd{*8BN%r7z
zEV(oiB}Y7#^#x>xohtP3(E{DYl{>M^KZKx0^(5|#XF?@FZ?&GI^cqH~IG{J}q&d;i
zDv2#qLejxm3M@*7Gm~yh3!^BZmhJB$qgL(R1n6HGu<=*G7?xB9ll6Dj>yTM;O$Vgc
zx3<(D(&h2i%I85WB!vr@v|_^E)cj;T+9GY!Mg550lJ?}j1k9M5S7w!2Rp@@ukr;Wi
z;69j*QE;D$ydRvYXYy&uWUiDhtFGkb;Ym<8)catOlXsr#eP!D@kvKl=wqi4j!6r*P
zBQr;Oi@`yB1?(JoPaJRf%=&y9#dNOj$Ntp?INxqe4;0ewQ&0I%6jvx-DVD5j#}hnd
zJ5PdNTNfpIk}zn4V%B&NGKoHCU1iC9X3JKBTQ`{CzH;xGZt^^0OSKdBsxlV<u^_;K
z2~fzA*3QYMayYJI6PyOv%EWW1Rskw<-NrHa!~~^93hN>IE0O%l=k)y2bR$C==`;1&
zq;l{MtJo%&2i!9<hkwVpW{|SzRCHy5{mVYB7!_f1hoV~`vc);)brLxj%egn=^~B!p
z%c50hA&5&h5_PF*uMlY)Oy{93Ri^@An^SS87C`&H#+{0C&C4MGkS)Y4uJo6*MR+dm
zh=tN@cGorIg`bnqd~>O?w!SfSb!U3M)GPtlu|ZpoH?@i&-_xl5iKS0MBXm9vGP+6@
zj?Gke*BCRmib-S_g!@=Qz1)L}xI%ID2~aftQ04Xx*B+1OKE!!vm~W~cSHbK2UMwdg
z0wr!WARcyWp`PLHJz&47OF%<ORr!6{fN!~PwYZ9i)@=%wzrRDR3y)BJFOQH!?HMXC
zO($B`ym_`8z{Ms(iKLfM7Dw(Gl@*nQ?bMX9%F1MsLW_0G%cjr%!%lV0DQoQTzmCnM
z!L`n;cZhTKaDa^>>~>0<H#X*Yf>1LUdcB8ouGn31f{;DTfDD<An$Jbi&$47B2fw%&
z6H*fcN73*eHYb6^4F-h0xBBWMPG)-t10dW-kQ#4W_*FuJPQhF!WKK#GYhj07<PTkZ
z)5$|*QrxP5cDGbV7yzE0Hqy3=mFC)tLTO`l`&_V;H$AAla-45RDLFD>6nx6VVlUq5
ztem)tP<JkzcR?FwP-x(S9lr-R(E+>#cp0xz75Z(mCg&IkyfemF$}W|e_xI9_B`h*2
z&Qy6zJ}XK4_BZlNbq*UEmRxuVC<a8O1(qka0GLgePW5;|^^?IZr-uiBXI6XzA@M2r
z^gh&$e`}W7fl9g1Eji02f%-)7GD_}k-l-nCtIvhQqJ*N7*qPN~C^{gc7^jyrL>H&S
z_MLcpuU;&$dR4`%>f`V@iZgC?t%P;TJgAY=4Zx4~ovxSNqc4dr=n=&E5_Q9#8p;N>
zrzQUk9cwL(oxPc@1k1JvFIH{^SoyVus{<R<-By(KuoK`~Fx}QNoUYGjy;HB;`7Ygb
z+5qhC0;Dn>lKbdy21tGk2xYOTbb?*up4(-e*0LYdUetH*dQ-F%Hhz^!Sttw7pXDA~
zwyEPe54S>l^+A%Sy1}?a3vfM}qgO@Avd}ANbe}4lvUCWp9^6I;`|r6*BJt6Md(R=l
z1V>wfPfo;=0&BPM(frE?L5xfZzoEj*qHjQvzC!gxvM_ZmH)TpAdz+P4IQN#3Pa(FN
z1ExI>U|9wUcz<U}gz+X+0}jwT=xAaK3|-b-;@>xlo-79FttS?p2SFQn<68wbSw@!*
zVu#7F0A<w1o#@KmlCMX*h<nEcOdUhtxX$I$0bJ2{<ZRHynE1e*8Lxn|j83&U0Uk@`
zHgCA^+6KHp;|?l7IpeXi#g3{+(Usgmpai`i0c`ZSy2)t60FMJHb7AeNZ$7@G@x54!
zk1rHCFNqT`1{Lww(md*jY6^sYo|pY}LOS`jtQ-EUe%J}tQ(%qfV0DI8XZo#BH2csh
zDXSfkP<{q$tcyuqOQp_TjfUqrN7uaEA+8~41!BT3Vukz~U1AF27$0BzulJNVMI^PS
zDSX;mT$%b+k^Q)FbCx6T|J*a4H7OC1+2JWJH3E0&9i`s(WNS`{v`v$ir@d@XprLgM
zc7T9-|4&HCUbPXUD|7c_(4ia$F;tD8RR>XlGAP1};awB5V11SH12;KDlmC~fIlQM2
zr1ymkx(6{lz{1AA>cMpgF-9ht9XtR<TOzAUnlakB9Q(Gsop<&wuclM`$I}+@-fUoc
z#ByTzFIO62o0TB?oXFbS_{8T$jVTSO3~Xa;pfBFW5l3Vvk8ZcH!Cq`WpQy1vW6;!1
zELS0_ydUoYrHkj<Komqf@}UXlx?M5;h8kU-&jDft2d$f5U@+;gvp?4FTfR7<Fw$EY
zM+E8osnTr;YNg21k(*G^5ojFj7d|Jve<Xh@G2dG^GSWRUeg`P?_o#W(CI^mhK%1cI
zOBK4>jk8;}Lfebg*spmpWPB|lD?&9xsZk%sRbJav#qa6^FNvw!o_auL(7ob((PP3o
zi6m<yTJN`stngBg$rhtrQQYUR?DuxSX{cwL8PY!}v@2?|b|Tke6wN@>{A`c}a5KVo
zex;Bc_AqZ9I${G(_e?dI2SMQ~UK7H>;tM#6nyCevZcl-tT4EnCn&wc+I)>5_BK1UL
zF#(VhUNogcTNa;N6~)2Q5Rg#Oy8ZJOu$UD0=Qc|B{bfO0yV7Rd`J;$4ryjNKv7`Vl
zo4*k5{>W_)?)vl<HG`6IW@Ppgo@X&a0ja%gE^ErO3{wqNr`w;sbZ2H<@%r^CC}F7b
zvtJFUXKUB&y(%?*_7q_T$r31{G@O&vz}(n}xDLFQ9%D)@QGxCbP0zL$q_CfdgAN1i
zh4;pntPq3ARYStavD&o<3Ovg<#|Z}95Vby8Hh>o?<%NfVO=LYVuB!W6{=NJxZT7j8
zVi^!0QFxuzSx?o#_wOL<1{m1$6zF$QcuRnf6Y<MX<S+QClcEi0{Mxyr?Pd&cPamiL
z*;2@e=dxWK;t9B@Uu#2DlQn4km1R7`qd&3%oUlG-iG|)U>jD!_H7JhOdoGx#kqLp=
zB6|W&;#rS`Z2vigEW64QkncIpSaKP`XU|2g5G|xk#q^->tA3oj?XziZ)&$U1`YEq+
zIDq82oiY4RIAbyD>7!~p^OYlJpkVGd8!AaI;F!`7LnBF+kdTcoJi9?|YO?JZT_LL?
zYh?3Q2}iO1{}U9JvO_1Gz*~i}v<I$vJ5RoiD77fE17JJ{ad+$8>EcV4KE5Q|OLFAB
zM<A8*<#IJued}WQZ3O{mf@(4spt{@pG@E|rImJwy4I4?OAey!un@gJhiwOK5?ab?Y
zfE?VjqL;wV(*jPKQd#_vIsxi|8aei*Kt#BT?_XB=`xoOWfwin`eRYq3YpekKs0qtu
zs$a)A?DKts7?M*OE~|<2dfMZ(+m?~>wxIAUj~6`TFtlGf6wX@m6}q;Q=v-lmExVpk
zD{A~pCF(k`h=IKFqFoiJSY1}&e;;SB%l@!(2|$H=wbBd0;bLlhz{}{lI@8pbad~D|
zJ&cAq-z}9#KpdfA0+A_fi;AK{{3iwp^?MZZU!M)=kc`26c46xju|~?Bi*^&Vo52(G
znnk|$HL<U$N_|=&2bWVC2>OD38>6spcMl>U10zXPaYRM~<52u3rAsqA1kv2W>8Z}e
zoXfuQ|C*Oj^@O(-xAh(t<A732PI3$8boD-hX{}p5A4yp`!O~pZdG+T$gq?UqRpSR2
z1q?U&MU}Ekxn9VqZKe5D0}+UerWxDFp6A8dXZj;wk&dW<3ebV4@KV=V;2C?PFzaB-
zw?vMm+%XSc<arUWoEKa3^xs&`#J{pNY2QljboIcdAwy|(z*0h-;Ff3C34vrKN^`To
z&vI;hy%SIF#M0Urx3}m3<>^OuCGisg)M#Ic!D&(${j~MS2ed@Hv}}+h5R1i;sjWNv
z;@#;&lli3rn_)6e+MUJJiyD&XX*Nu{`zLxS&~9#us$Z!2OatjUnJlh73XzY|a>Y%M
zQJs(H5jm+gOfTNyrO98^O4y^RIZy~b>PWjgWcoBeQrIZq(OOJwmuewT7G=UI4~)QU
z!rYODN4IEhJGEEpx#kE%=DFy~S;tF6zA4!<{wO^_xb%yAXlJ{etl$m+92^_39Zf1k
z=P()(1trmXH%zE>*XeGDdOc?-VMK?}8=b??6`i}^+aqnoaUNS8>+S&xDqL2BW0j(4
zJ4eSB%#^lH|ApPVCrsDJFYc=W;LKtuSEh|}eKYAwJx+M#Mgd~sOF|0r6Q=xbIWkx&
zbatec0j76`QC~GDGs6YP*pc*ki9*rGH>9K23}mF9RahuG-s_UH3c#+PcunA?INvTn
zNy~Z^5j?7B5pd^7&3VbC2bSw^8AuUK@I05c3s4bgE-HPv^;h~22kG$P<nj8A*U#AI
z_L|p2j7>$MmkIC9sMKiSIHuhxAfJYwt)MPX0XUuJJrJP!BCVdc9BCkszU3y#DPj7X
z;^ple5352yF~xO`3-a=RmV@{NiV;i1$Djo?qGwItmA233FAoi2nWUVr8!qu>nnOiE
z<~Ancayi-QOZ!KaRsRZwxw2&0H)U=joUb>phDB@HJ?0^SMTy}cO>zvKs);&I1#tj%
zs7GF=SQsR1z`SnKWxMp@f18z&v_A!vceO1Zwxxp0_X-LMC+I_R^NyR=|3KCs{)QqF
zF<xE#T4)v$4?et;2ngnp6Z39noF?1~>Mpi|L%q6}k)JPtArA&0D3P5m@@G~;)>f$)
z9T)4O5*Y7HkKtAT*&bmD{wXlw$Z?1e<C=rI9WA6U=*3!;!3&RK#jGWCoeu0E$8qA4
zc@f%8_lgr6o@+=a1&Ykn9gDr9@+}ywfNeYePTTkhl<2^mmb%C9aanpMC8|DGB#6dU
zga78|(SIF1)80ka++)*{jo-*W_p^`yjq!?*QPZZ?ss0pGHEdIyK#%^5-RQRa2*kZY
z)1UMirZpLzOm7z_j`mBMYrSl*NI$j7HjCRZ0{!MfEKhQN@JGHP+=On&8?1=HVX41-
zIqO>L?lpp0-l615E#TEVF^P|Cc~Yka^)OJL-wZ-97f7HsL{C)8Un;=)72b>GDIXFA
z?XSQq>91pMozY}n92HtdEo>B8&<>E;=3*h}=y$lo2WVwLjr-7pbR=Fl#D0tywA^>0
z4X$bL_tw$4gH9OijvFCWoNxuFdV0~|3=SEczw5=hE>p^lNPmAMrZ;vp0cj*`N+~tY
z*(YSM^)PmWU%O`jLfxtyijL)aAZK_h{?uu<LXQzUe=3i@QJ8|>aSUe$WuO-@d@ACF
zFtL}fW>I#6M9ZI5i|7-afAdN-J$P%oR^p=FAbtv+LWTmr<JH38G-vc~ZSnnTTpf+H
zUux+T2kiYbEq(*WH7we9OwwU82q?ytR6?RBAcRBEV$>tdlwN^WBD^sqjB2v>G;;z9
z(*j{TbfcKUu{B#PVOHr-4dql8Vjxc79en3TV9swCWV)5Rk{2Q@I;~N|u)<W9bPr-P
zHTOWuk?BlM!JqC?sAJuc<3s*8OzrC+Cg;lQg~I&)dHD=X@1Sz^Rd3{i)N^{l3FaU9
z!_QjUPI3TLxe)Z}7+MeAHY5$u9J7o9I63ZyftwSx_|$}ULzVRCG(xEYN2AYc0(Lu-
zpdA@BC4D_mJvs4j<b|khdD@d)b<$~JmU7<N0%huHjpMSwG3>{X6PFnbVfJsTSY<5<
z(G{)=52Hj=o$5YSorf;UzD5~nG;vU3Kc&-$Xz(fy*(3H^S6b9;fzB({nA8gW(1~sZ
z1LD6_{21!`6jfs*7%Zc3UK6tW<)HwpL~ji=P#|~jmNXvXapdM_rvVX^^edXm^~wdn
zWvGe!%Kb_Gz2u?w-+I!xTtduaMUqfStJ~VsqNzEh0uThqIfn&>Uy-BLxD6~QAR1g2
z?V>8lzi*_M7T{S(zjv+y`U^YL5Ys>RLgwx9i4(D0((}I}Jkj?8atQBywua7*?RQ6a
z4WC~;dx>g(J3HY(ZwarVD(5r;g<g^LM=Q}}i0vAOZGq5A6lwKU9X$tbm02#@8y-ue
zOAdt=*mNL*8TwBCWW>624RC~khFy?(=&WbcuZdYvpg)oWh#yN6T9`R}p#bMKy|iPe
zVo}+h2lU1AwVSAEi+Y@eSr&cUYdK^bYHvU8p~BZ7IQE##LZ{i^g4K8CA|RAFJdBpF
z{xm`j1dd>|n<8U04`1xWOiHF^b+Qg1FGJP&rZ=ktbO~4a_8h_Ja3s?`RV;FVne*pj
z0a9@FyZ72X43a**seZso8YvyU<alUR&}%Y=m#8Z4<Z-)Yg}Uii<~uQc=PL}57r$Wo
zgIw{i0Ig}*e?M3h>VDY1|AQZdc%HqSU4CX2NW60430d$7=c9YHmqOE}WD;c^;#Cm%
z+6Ge*Y!iiw=8+{WrO4*ko3d-nn2rxs@NC2@(7f9r*G*n@_ao`gTtR)PRoqAJvT)Ya
zBtV!a<W55<WvC(Z30OvA#*|LoF%O{oh*q!_xW}djyU2OBp%Zx6(Z~%0Z=s|=_HFta
z@^bTa+Uym9%sH-G${9XnIX;09I{<wC000ns!tVzBl&DxgIWu7$*g=;;>EHglp6G;M
zPiaK!!MY_Og0SK0A*ETw*!EoVs}t-4YXiaE)4yXqj_2{&BVvxWP{O7Qa7xF5mP<(x
z1AOSs#Ov%4tdX7m!YyH9g;&5{VfW3*#GFt4Qd}PsW8h8@sFP@fcn2>6*I+noVxo?<
zXK(;O$EJNLV9!t9QMa5%Rg<wvIf(Y36imStj;&cn)?8O4TR_6+95(+J_Q8A1k7Ie?
z+hj}uYy-HW7E;r15A}<*jwY!2YSjOk&8gHvy1p7_NLnEdv7eGniTg1aA44vTOz=)2
zc+aMoGXGmfe=~RoHt$%SPLv?o9e`OUgSo5)7i8s76{#u~J0O3N1G=yo>8NH=AUDN0
zcr+(nA#LrpH#B^QzIky6BCGwg!BuH>)2gqG&%D-VFu?xokP5w}W}T#4+Xl#@ptld3
z%aP3%^iw0Ts76jJxfL*`@U*-j`>THrb+H5fGT$88l{K3+M19&*bB@PGMF+M-Fd7Dg
z-mxb{bbxhIf?^cq*{d~Es&6~xy#p%gJi0xpxuHWnE0$0-P+N}3lwLMak3P7-Kr>Hc
zJUF|k${U-ns6`G*N2IzGRPO%i@o+Fkh-{#mkl1h@u|dK2@uL5>p;mM}EWWrN8;qk~
z07`%>QP{m)_Mo)RrPqb;`zE`0mX+`}J3apO4(CJLSkb}X#`8$HTJtKsCs7(Nz4;Gl
z5Zp?m3^LB&md~7QQgBJ}U?8k7F5F2*VA`%6uritgA?>V4IT**~GcV(5Dar!jrw2S5
z_1z`v;=Pn{Wbjoh4aM72sZZJ{{St~cPvXwz37sPU)`=?iWVU>(P(k@<{s2GVr?Cvf
zt{Ul>MlW&^xDu4x4;kw0yU9VPwkfY>;^#mD&eWix|CD_2wo=WZA#o@?=}c+qcU9s~
zyJXKE0bCbs1*>6~@MhYyvZR!5RmUllAsMD>GVz8mgV>_rCx`hKOM3KZ*zalOWEg5a
zt)f@7h1V*do)myfah#kHNQDGqM62Ctc^f$Xynk#UC?Z`3r%)DUe=n3q5>4}XwP44}
z>t})g>)tgRT0Jl4d8Ze=x-~pB6vu5GE|Cg$<excZ-_wb?X}Q;-$<`h7ha)`87<n5h
z8t<plv}5u|qlj#MuAsorja3lN)3vWr*NaubtJzX1i)qsh5gePW!b_X%s+{BbxuVC!
zBIqJMoXuH?%=teD(J2EMP^%$Pa2EXgEU#jgX;V<OhYe&?oGD8++(6K`o6X@OsnXEU
zaj+u-)J(TYd*VDP$o^BWI%eZB^8KHs64Lmc^ta=clN5vxbwzhcY5?NFmpA;KMuQrv
z-r%(##2_6|n4KlZOHO*p18ijJfn4z1J!GS2e6U7g<u$x9rdK{H`#XT@3B}lm-@K_r
ze988~4*`M?>jh7BqOY*9MybD$N|~FqR2ekj0;{ABXP54r&ZgSxsBk*Pm_tAG&qr->
zp4hMo=S#2wgZo|l@%`(c#>z3QXFhP;TNuAJwpUe2&of~?eywWBsXk_dIVR(h97;mb
zfVnW|Z-nEKMLyEap9>Jw3Sdip5_>X!?xxj$pD}%h+79y1u41Jn=42M0NcDZQumAGG
zkT8su{~ZdJ(G^~#gb~|<k^x01L7zHSZR^>abn2Gz9RZ2Nj|VYCq%v|#*<cg)KVX<V
z*ED%*w||qRr5T-+y2_0vV<T`jmA`3~BJug{Sr!pidQ#$O7gSxFMR816ju~{XPs}mH
zO6t1UehawF!mki;jL~-saVI5<&O1K+rO!q5Z;j9OyC@%3LoB>ov}<G0()lY`qxHBL
zTLaJQITQhC109y+H{Hnb@I2rB;+{fG?2LawrrhwOZa9@Ki%TB;$+3NTO1DW0{+o+b
zQIoOdZtGJ@qbTmbCT7war-R^pxDV6f#R@fJ8d6gDui02E+m!%8K)%20PeXAz24Dhe
zo+w!aD!%U-ilh0tB8`N49;Qc1gs>;fF`?c3g9)D<IUv+k{;<KkKzGX4(bzfEQYd0{
zzDEY4A6OR$B5Q$2E3Aq0&~5$#!`wTRPlkpnF)wYIMVC;~_0rN}&0oC3iMk&;8zMc@
zYP3dg))Pw?r>^xVsWQ}gmLL8Y!wBdA3FL!ij;dbRW48k_N<?d|J0AhPXtv;XNSDXW
z>@A1_9V+F7EZSk3+vC@0%y?9XFSh&a^!5_AtJXWTkxddh9JyAaYk=pPh#Y&NmcFN<
zz^xJ73AX{asE`r1%cYxhtvYf(=SEsenxhtBoD=NK$;l=$+!dRw1hZ1?8n*Q$z-(4M
z*%98Q5hZ;_hypBFGzqV4uh`YCo6SL4r!uI?Y0PjE->oKdM#?eo!4k%mW4~g+MWs%o
zNjd_n%o*+c#h5TjCdE&Y_3tYE4K!tb)0r@q)z!E|=NLL+p%$i&)soHcSk=;{R45eN
zOinG?9@+SmE*{5y6E$;__;0Jra~54UCP%XqGwAWwM>j!+@3Lac?XZ_m{6fN0NiECo
z4dN=KrS)!Lp<Ttd)Rhk3cAy%j#4?gf$40ZggHugTWmn4vTnf)IOa8&Z>~zxXQ5sCf
z4xh$38094LkM`3AD-KEcf{qk|Kps<!h%1HRIoSGdpFx-vnO@{hkc<fUZ32O2%*nx6
zN&K`K|6s4(#FXuI7so9qc0ou+VTATKO|M3yBfVk|<OF{_0Tbx>^u>8<SW{j^Nl_m*
zuMw+Q0G;E{#r~6^e%}o0Gf`e_RW(zS&1|%R?XSVvR-t05erp^8qrJXx0tuYYknT1K
zQo9GY-xa<{Wcf|)s9DelDRJx*Fk!%!3uTSz(kZ6RB%qcd$zYKIqV`$2HW0z$H*zam
zoRTBa#o1M<w^g7)T?lkQsxsK$1v3se=&X&Qk)sMqUox#f&1;<^5FBU58C3O#2^=^X
zt$CK?yR{@AeRf#*CWyPv_S$FU_4XQQJ%vS`&>!MSwt9Y7GD0W|DQ;<}M(;5h>H;nJ
zFwX=1Iv)eGc7xs3+^`b{L{4HVBv$*U{eiitM>nO;coz;Tp-w_UK<ou(%D<<#xa<W5
zSJ#worA|W-M?PPWl&rHCd%hn7hn$Sqr!BA9x?~EbA~M)`uA;i^2{g>z39Aml&<=G0
zvVi4nOdTd8TD3f|p$VL{@quNua=I!A!XLX1nm@n)7;zuvM6HS#H1m4Aj)8TBiZ($?
z{La6u|G6dn1?aq1vn`*+-qe%wZO!`yROO;R2O;QZvMt7ukV%56l3o>W-98b8%mzU0
z+|KVu^-FG{FbE}Z;f!n8NcD<3ZZ{1s7}`ByuKbFT>%xV^Jcs2^1^E!sD$6<AEGa%F
zL8NF?0SuV!p}k|?UdH&}OeDm|dz030?S;}-ecYh5F+o)mYz37spX%a)aPeBo9lDBQ
zC$uzE0>NtqMvc1&HW5_NI1@7!*H9Kj4kc{OqO_3{=X5_SxL<cclm)<U!z)AI1J3#z
zdpd$j)~)C}_5XKhwsbbSv6}5hQrH6!P`#7WkqZ<s_{qnWSG;9vevE56`IY@nlpR*x
zB|J5)J1<5K*+#^4G*b=vmG}e3Z($nPRZ>Iu&LsQ(*>#R(|1$%(MP}=eNvLJaQ|Py;
zXS6S-Q{uVuBM<_wAHz#*2?XI6H49xHP9~AXhoBxVi05|u7Cu3c)xNb*M3xa_TZ4-c
zmSP@Py8C*8(`e@7h>2Q_26d<Bb>T{3h00r>U^JLaaH*jylwvfe9ze|k2AHC>_Jh~@
zShnahXT=J@?f-0>+#6)huH18oUQ*K0-U?TkfPGox#;eL*p$+7QABs8F$H`g=VA^{9
zUKM^O0Z1hYoM>Fn=P}XaL2$r6QA9q+t)2)i+%FfPMjMZ0XPvDnwWfDE^o!sgs6XZG
z{AY4}R5rQ$cjjD?v~6c=o@IZ-2k8%37y$OE#!bAV0*`XjT~bkOy~4eADM`+lj5Skt
z#*1SKT;dUvTSc}LsJL*=7&JbGZX31QZ2w&5{=fTDWau)`DS@HZe(GQ)0Ch!LW=QGK
zo^E}=_+mO8-Yb{Edj!xl2sU{UDw4v6t%{V<QDYS}i*66LyjFc7N`7V#Mfy16c~se;
zA!_<2aHTrHO0l#Uuq2AS2<^%0XgU0d;{R8vAGGMkVRD3j%E3(tB|+qCNtE1!m!D2G
zoJg^Qn?h{qCp7@=GuZ8A`ep<wf5caJG|DM4!bUyq+d(?bKzY($7{TbA@Ct-Fo*p-r
z=J7^K<QW}#_@P)++sBJgXB)uGF*!WK5se>?VHdbBL-VUm7ehzZynP4A0KveiMlLgx
zrs`{+e>@59&ObXuV44wnv?F<>!@Crg4e`bnQn)g8fvwB^Cui@sL2UML8cNA)?JOA_
z<_BuL3FOyR;q}c$Ip6}@Lfj%~N6ouY;MB&s-lk2Siw-y$XQCO9P`{b&0Q`l!8~cdW
zU%U1rz(B|O(sI8RCWZVX_?wv2Qh<sYCngDEGj`!dT!IQVzj6mQOCQm1VLt|F*{@l~
zQ4bE2X@&iblS=aPBHI$Gyx#u4&B5(w)QH%6CzIzco$&=lV&wToHOm&}6tTGp3YCp1
z?d_$q7inzS;7hMoHzzzdem?{?r&5&LaghlHGu<vt`HJU{j|4wWa)?cd^_Y^f4xALw
z(s@t@8PiKqQg>U*kJ%9ts++9Tk31s2F<wnoLJmG`r4g%j05#Saz^iouA>=CQk4<6O
z0L|r8pdowmwPC~hvZBG|R27lEsYoyTfQ4B)NaIK!M2$p~^&~6UP`k4kR0G=CI;~v9
z;}|T+bZA8V$^x<4fU`XYX*#~!76KY?J|d-!B15%p+MRO6Ktf~!yZ*PeIB+{@`H^_<
zBi<Gd6V=ctw=9(LPC#8y*Y$7|ap8OnVL|MOKKvrR?(Q2r%3qzX@K9h980y`ZdenuL
z4xmTipbT{G@;glCua!&Gx{ncQ34#+;;6Jt(Xu?0y0Pl$SU_*G{iZ+Be<E0Z@c<x#0
zHM)p{U#|wb`JT$&68w4$v<|SH<Ni>^ZyQ{qXRAR8DI6S(uOAibLUglaOa?dc2ABnC
z8>(VB%|BOu`D+$5UvCKI67&Jt>hcOm(!dKc^fO|6zBEXuCCeQlo>yvli2wKgVs31S
zN34;<wx1Yz=Dw%&V+t3r8w(SQMFzot1#Xfc+UQDz+99R2^7dFLj*(xS<w~_Vyr4V^
z>oPn&=em8D@;)1B$FXT&X;e8ALozwK$=aJ{Y;rjTOk&cm1?ghS%f*i0l~Of@lD(_`
zT6v5{g_?2w-Thc@>S>MH2dT(SMi*WzuISJw>9Ss9Ji;gFFXA^%)d3hM^x?uv8j(@=
z`~cb{_mSJSG0I|VgIDHSiPAg6X(3lY6~G{C0L)7$RX`LJel)b7`7TP%#==-2ND2)P
z-knwn0}T(Y@~?18K-Q1!*`~>%f#2+PfKt{0uL+9hd_$<V@sXJ+3y6XnfTISto2Pi)
zsz&(NEb$?hQNj7ne7%fokZU}gaL4y8Lb?h~yeGBDc)zAM1yy?U^F&2BNbXUPb1Rux
z4&uQ-X5eHVP%0gBJ<vLBalR-x)hdi2pc0K-PY&*i7zYf>nEnV+^TCPB3wIalCgceW
z0d^v#ZPVt8P*Ni3YjENRS#~8#!6zT$BsZmaZV^?Paz!LW-v*SW-+8HC!+%3D%=D|W
zw)K)eNN|ab%`|{4?-6ZYe=+w&$b2E}L&Aa8@E&tOsgX;m*P5w_LdmE8+>%*Q)R6Zv
zdU9O(a3K!s(6mWyN3#?d)FnWl)`+LS0kd{&z1w(n%2MI!FA%7ghK%K$KPMfU;~5<8
zBl3t}>CjCDWMnCM_<B0IRGjuZf2>Nw5AMuCn+AY7yLim$v<fPB^Nguo?d@TONRwHs
zPl<cY5LQhv%{KLikuRB!!T^H9n8VcLytOt|Qr9t833=`Wxf@e!M;S#GW}l3u&r+4^
zVEGRt@^mT9142z7;Rq1P&T}5b!-$jlg?i|s8NL%$A-F8(VxH(jW2*IvmKjW+6`2XT
zS<^%`-Eo0-t+5jOk!+65Y4(OQ)V#j(s=RQwY#09)MCD#Ox=m&067;pw=fd*)r~Ssx
zXg|<sAs99DR2}jydn1MJaG|7-T}URvgLx4=RE;;cysbhL+ikN(Nt*bCaM%wF#8@En
zjWJ?Ns$a;Q6~@?~{V`GVY;yai!`mPG1YWSWV8cSX`lJ=N@L@hlr3c3sn3(fg4h&b3
zs+~zmmvk$agTI(QzaC<0*`Tq<ZO1{pKC?jWSTUhB7XkqwC+93@EkI!s1J*#1T;u({
zbOE^`;Z5-cPGWp%h5LU66e)swY8tMo(Stl`W|5~YR9e&+u^jP$L^do}B?C-R>G5Jq
za(kYV2B|CyRKW`ra9jGMh+qd5B(Tc^if{;9fN(jrb|nK%|Isk%IeTdk6|3lO%y?F^
z^$ok%^8QCr|640F{kJEjL&7N+E6TrhsKFl=^wX9o=*DtsqGv@u1@1Rkn(*p{Xi%zA
z`k)L4qFRF70(SBVQ0)RENeWrrcHU!HRAGst`I;QMyq@`XJ947qzuRr$COdpphHhNG
z7;rpweMf_u@-W5YFbpRypq_31DzE==s$W{zTVLGKXlkU`5bCf_VYsqYg$ZTqDjEzZ
z<hy>|6gLilaD$6^+v(a`;CVGB*NUsWAjLKROEC!^>*T1tpvC}M*CV6Rlhw7RqW#$%
zvHs$O{<y-q&ibeP0+`RC{jv81&&A=|Lns+v!^ML@RnJN~=<W`ug-ZxtU6Fc6_!bun
zXEumI&@9dJ!CC^1R;Uam8-h$p2p#0O#fnFitA2Q*b|Fr&$2{?fC}bt{H~C~Zt7d-Y
zKadp@KUWMYa`2Amz@@25=U=uPNQ+hQLZR@Ozzej0^`#?t=y9R%>EK6knLEy>AjUiG
zV^%W&W;=vFBeD|-JiXGaV}Y)w`ff?<lHlcq`Yo!uN7u5JAzELStJXvFfSgFZ>_PXM
z9{|=7F|W?f%Qk(e*`F2E`in6B*lWp?&J~H3d%%lovQj~@-u`l8%#BJZ?>u5t7j-!k
z<wK?LmJgy2z&O15i7gD>r5F(1^GnMv05FC{eHvOATPCoBs?`GS;0$sWn4<EFb8&&f
zmR0)#G`gD&OpNklDRnX=e?~F_5JWqTA6<2;HTqo;Y01og1#q7&Iop5b_x`3PC(6H|
z@$e~Ct0Pp@nb76LCi`l3Ig(B9V0^d2JxYrGdT^LEBYOxvm$rTPJ%nmjJ{JxkFSY)f
zfyrYbZ+GHpW?qA_4cWO?I?jkP)*_aq;P5C45Jfg|pjK_*zz?JVON?8|X8T(|Axf@L
zbLxWwawGQZg|${^WXy~MTE~v!Lh*>cYNdjBY-Mwi*6@GzI1@Je@uYTv-d^os&%XUj
zP}R_qn$DT6Wc}N$SO#CTx`shvgnJrnr~M#-2c`>YI?z{E8BGdxlQ;k11Z-QG!^9$=
zhsT3n9t2@PuZuVL&EwD~SN9)}ht*x2-O?~xJ7_f#hS5;Qw6?-XDs*CD2g=a~;rjc>
z@{f@t|JHn-9KPzfSS{;ZV^Lcr{O@&-(8C8bF*`lx2edzy_59W=Zp{`Ycnu+s6l&$Z
zkh~9ZmcOpaO)FK$+dui+I|4WIEEugpXY-soP<FgCNf0IM7}f1HG!X$Ke~A|+b9B-P
zQ}#%}*SEOD%5zA{$PRRy-|YTS#Gg|P;u$c6s)CczhqV<6bdq(^XA_z<ty)+ScRo`q
zHU)OZXdkwakW_vSks(KePs%|Rbp!brRInP{WqRNwxs4aXJneBvGhX}ZS=R5Jz0ow|
zYV+Ai>xOpM=Vp<EvLZD*B353)?PQC@-Qya>72v*up+uaCh4oay)Y{HE{s3DX``T2E
z*<pJ_T64k!g2ZEL%@qb2nMUWACoTVC;qCZiQjDL{w=T&?W;V(fW`*DR`c{It7bRzB
zQuA@2)fm-V#TynW)OEVwk6B$Shn5-7+#4oga}Bsi?%LE*ZC0^PI0*@B+UTK>f4*ex
zZ74xM(zoetk8m3nEd66tDeipe{yB1(ows-co^Ex%c~m$RaBo|#PA`LMmnpdmdb0-2
zmnluRb}}~SJ0;2A{Io^>7zj{Lbnx{o!)0hEVkI->%JEeC?Wk(lf2b)dIj=u$G_?oj
z=_{Gre{SU1Pc<!VCUcReZ`~em;v44~XD>BB(wNG*>_cMS3M#t7z#DQLp-xp;JWhX2
z4tR@cEN(b=F#Qo{8BindC~CKb;p?diDsQ?Cb^#VoMh$+&{i~;fdKdQC^)4|Rr-tX1
zYISR3?0C>rGs-$>noF{a?MV2nS<|TKt;{5-0=*}!#^JT})RrSHc)jl2zDoicq)%D7
z%z17pSPfkCxr_tSz{+G)$jM;6j|znavaMaQ<?&#yf13%^i8Ko#E98W`|5Ah(EN9Nu
zak0+6#F$s4C|mhzfG~oRv2Lm}4_c<b)!Rkm6~z)?Xs|zsH35_uOCNQrY+2Ri2Rodr
z{&!TdKxR~<)RA?!12@W#Hj%lil&xVyTp4UD7Fn%UK)myKGs4mMjGzM;xu5WD6zu@S
zRQV_AJN41yfP$TbDHR*`@eVTB=Wcf+E3{9VW@0vpLHkSBh-oFt?gAM;S7%mIw*%{a
z-ycD9k71zu)EnGhVosKosUAUg<gvd21zH+{y=)C4$EerWo^w!EKG&(YZ)xC`O(<Vq
z=~4qHMv4hRn~F?yAzjh!mE9HO$$3kl`jHe$-VurIZNwOJBz~#>tI#ZbP{$OkUr`qT
zrKWNE&S9OBm*xB0C*{EGt=Ed0-Q;&l=3cQ0`G;iPbC+Y6Hhn`H#5tPql!F=`=x}dS
zlB<9TMzg%12-&&7r->aQm}ZETp7y=}Ql#lAr=(1HeZ8aKZNZiHTeIl_&w@r%d;Sc8
zEivOG_|_3x7A6fgFdX$9$8CDn1vQdJy$X7sDR}#Gx6a0?hi7)F+W4)QkbY<(@ipK`
zLHD>w#R1NJ`AqV4O>W=R8chu2j&-jeB0wyV4Oj98^Y;q;2C~6xq-ZPkLdUlOYqL)d
zf;oa2o=11ajdb60D1d%m1?;Xh?;;p2B|D0x0bQihvq?w#xU-ZmJKWH91uZ<l%<Qh)
z(WjSXwD}t@kwOFAGctRy!AK7yei_E=_$y91bTL44U;88xf7o-C4nEXHC7!w$08TbO
zoBGj(>jI@>0;lqqR<^6)ay0~fMt;gPB+2H{Q4|6Z<~_O?%vv*+LGY1ZWu}QACXKF|
zhR^)DbK`ro!TqGX2m_F6qL@Vv>hOpzc9Llq1tlG!5%zmUqOFEuH6OPn@sia`tiCxg
zba&Brdnv&N$Cox3_vippr<mSQV$Mrl0SAm4&WtCfu&(>oYk_lr+)yV`;%f(5$L4t)
zF5cjh@io6n`I^!2Vgfz3^dU-wr}!+!-Nj`rGMgj~p?!HTrCB7;dLI*2D;$kR=J_q(
z2Vf~#1P9o($jyK+M^@Q7#|^cd@^?u8qZ>Auk19$cNW6RcZ~&LgF<(h#3*`EaCzF3f
z>5lB5`Vb^cETks?Fh99ptz<Pw_n|cxkN%2k#}+H|bR#Z;lk12j{o8MZ^uqH)V$lH4
z0>6vvcNBRI2;@RtaHPgu+4OuzI~DK4OR`YCPgEKqJyv}y6yee)a9wCTH={IhfW6!X
z3Ky2dd&5Q6)X1EzplW~QLF=-PDF#<WblSM{oQWpLV3|vp(U-PU)lZ@mN*$>B9T(k2
z0YqEYdj2A}JtW5#i6DLJ!tz4z>*2vqfZy?!0K9=VEX4)08eUAAH(*CV|20u&wMYxX
zDQIS}by;awH1HaDN-XcOYMu^M9j^;Ia$1hN@2+l^JXQnV2#|Z}#6@=AQWzf;pU`hB
zSIQPn7?`_t>qIM%r>{=qwf}j`yZ<?lZH%Rwr!<4JN<Ih1FqZ!S=8XOEnMIViqq@j^
zc*fTLyjnjQoxUG;)agwS(s!I%&|dXu#i3!&#zyYcqGriTmrGryQK+bRDV1rT7{vX{
z8cRmG<bOm|U|%EAGK)AnPqtat`_rn%csF<P1GHM>A0;D=jc+Fj=EFL%)bDvYT0x*&
zGtw(u;H$Sa6v@OJMd$qyvi*Hq%<uzF9aWMs3r|w_lcnDinI^SDFf?dCNd-g!Bjf_k
zg3Ib|Y8G%kFWy`v8<=fRW`8Fo|6o@w{wbM{^*+~DWo}dJqh~@S4@!%V5-xHc@^$qN
z{dLm2m+=l{c->GPTt=0pYZsNy9kqp$ApU@Q&ZdmR+ix2cAcsM^N=^ntg5SI$2o~Up
zG$I(E%o*ft(V0q9IIf{zu*n&tvaheMj9Pe~UGG4A&&-&$lym&u;hlMIa?a5L5e0yB
zc7Lsiybtof`xvCWk0XdkQeZAo9r5=g`**h6s^G!2Bzq1fuRH;_)usC?(OBA%%$!RG
z1K0v0pj7&rEoIl;o7q%qsd1gpW^OrX{Eby(YOi6efyEc>zkBY*!TPSpA8kp{)g#9K
zTb!osuI(=Y3tT2J1?e|U=JYP;R_Jy+L{JkiJ%xuP)Ta(=`45?H_X?kJxgaGbfV*7~
znfW@`QP<lZyajHpn2^_EnziXC+S)?5J%-ypO(3qno-l!tih}vN)Mg7E(T8WD^Bm%V
zC&06eE4$;GyiG#Nf?m8-N-xMkPSf|d_1E>yczD%?yyia4aL^7~-WcTmJk1WidK~=z
zRxN*pUFsx<9A6Fa>$x&AjPqfNSgCMF$$5OVRSQ_@M}$bkmn1(ro9ZiJKDGlrC-ibK
z@2)^Y(6)Y|<lH)P_fWwT7O&mHG+Aoa4=AS9I3;rBep8cpS?|Omr>o#dWAge2u74NK
z3aBAtXq=}X9@A%rn<=yVjSc6tN8~X2YG9U%lmDfp!S*XKbj)lw*R(1F&X5A`z;#jl
z&z-4zV=m(@2Uyhrfa$9kh28Jaq#|auUbE6cQV}cmrpK#vSq|LNXLMORJi=4m7gYHO
z3h(K12ZEt*enaQ4Tzn?+JRasWyvIS*0;kJMp|VvM-7yk&U`_S>ms9KBjEZPqh9h4y
zd<RzaDO*3eL;Tb75(*D``Ac`7Zb?)9?K|(<Td}AfT*Rt`a84G4$!X}QsttlD__GN?
zvk*}Q-rvtz=E9TJ6zDQ^MTY{Z61o_JwkuWx6`ad-JV9H4TZY|w^q>>r!yd<eywt&-
z{T<!lbn8ZnKva{^p{j^J-O8OGK%8N}jy1<f-|Ed6-klVU3@<>~7wqNwB~Z9qheUZ>
zF~usWNhJhWL3`-AKO#GBo7Wv;#ocNyi4`%HdHfM{U#a^fXenY3rcB}^Y%;Wd9oO#E
zzXa-X>j16GGH-h-bgXE;VJIX&j&<vo-+3C;s(9MPnpTt>JGSpXTntdJ_per{1@7v)
za(NQS_v*`*Y)(@6>zm-jI%iBjADEX&t*d?ooD_}5I!j)WoBI16M==g70s}7V{rov(
zc)~_}y%ernwwUW;&e~e|E#eXv@uMv8IiLTUTHsby!3x$EMI(ch`@r4C0+L4wWh*sV
z5pIXii8;jImM`JZ8&)j2;9*yWA=W-+!o{i$InTCVsNUCw{XfIW;-w~g9@oFr9}*Qk
zZgq3~#|~?;&XucX*;^~z*!l6AgltwcKi3A@JdtXipFmn~U=kt?j*;{ytQV8*yC1lX
zcTg>mYg}pjrmzJ68ImYk1vl?$G(9nSwh{EcGAk3g&P#je*_G?*a3ku|UW}OX$bWP7
z-+D-_W$BZ1GGb|H0=+V-?c0i~Z^-KZ`o&NZJ8hD#RiO?V+RWf*$!VsMD%k&|G+!<K
z_$|YhjVr(hZ=*4a19>4tr7Nt26K<9I>IaU==%sH0`*YVa(dB9#ku|h1r~Q`|1higY
zfgK35L~v>>u0!HO%rOkshS>h};|;=JdD%l1ClolGbo?Vr`O8&p4gO$X+u~O&w?kOS
zafsx8nR2gXM`)q$8GM_{>2f`?A89ZNmj(1gnG*Mg1{r}q;Qs;s@aE?F(ea|ep#;$X
zFc3PL2vPu<@wG`DWQ9oFmiu3?nIwX5N1uE%nT=q5v|V@^EvE*s9aq%2TNO>ePlV%g
z;0to@2v#h5=KVOWFJ(ZwF<qUOMzrRjw<<94IZqXA2|*+sW3usenii~+;4Zxx6~b{k
z!};kqpy^x`Pd3)0><P;St|w1wmA5gA_zWGnhSVkPo)<+Dd@n>O4U}X==zz7y-(tQR
zK}E;Sq$zD@HMPMl9dlY*BY-GTe=cB82+my8z&Iz?H7vkCfNebnhJQj#i09{)5(yL)
z<pn??evoEh)<+$X;OiNr(PW3dMxy>BGl&HRWprc6+r$Ar&yX8n!aRoRsLFR!kMF`9
zgemlVtF3KS`Qp?iujh=_QyiyVFEUEq*3Ax+Q5Zpv6xAAh<0ERpWz(ldC1-t9WdhXl
zsQ0lRDe>~#YrB8!cZ$9v&REk5IDeh9YOlXepR*3m2j)VMZjtDxYI9*ov<!sYD87bg
zZvlr0?p_jc0Oc1N*{=~})caLnYm41c%pxcSLt4CCP}R=7IP$1j9sTjQgp00hs{#jP
zELTZw;X%kjXGe}sRYsU4o9~XtqMx6mP95S4gBQR11I0OC$X$1;G1Gw!OB}U>IYx(o
z6=Uq4HJL3S2jboJYE(5(Bhfm6rnb<}CM%=v0hHB7=mH>>P(2>GLkQAc5JxR@rd3W|
z6*6^~q_c$Z1hPB+j*`omxr2cUoYuNQ$6EiW;MOna0K$SCr~z==#&O~SmMw3@-G&!~
z-h;h(DMxtHja1!TtUb{9CjIQJ8L-!$N++eq!7nujC{d-d!&=n#Hl`NNqH9BR0og;6
z`qFTNqIPtiR-82VJ30w+-)V3=QJd$rMXs|RNQhWVWMg*hI*|MOqz@St2+(Mw{*u)j
z^;$J8ssho$ttZ>A^`2XUlu`S4|HBBcL5G13tRxg{y`k5oTjMm}7^Ulnc#j@WMs4})
z=b{CD@KV!lo8){B_C4Ss&B~x4bKvK?sgMAW{W^`rv-~rZ>d&fRXHOQDHvxc=B%$8u
z{_4)_-(tk5i5cJ5Qd{m9j8FpEXy6xo6KK9d_xzbgp=xkf0AG+&Ur5o4WJ7QrYRLCu
zg^rQ5^k<3i(`-=jz8%hsaPrGNa-w%#!q(!pYsy_`Pw{3m=0Tx<Vhqo&W%-$32K<g4
zX_N+%@vt|CP`3NJ*~Rpnb3p(q{H3~fhu>m0$FXAm;FT-$t<%1xZIU6JgmJUN#B9E$
znlIqm@=q<AHZ6@}IVnd=W1I6h`lvIr%_|{T<Z}Lks7$c$sbm2)3c>v4%v9f(?y*yD
zFcP8}-LoI!`2jC};+`$RUhbw7W0n`0aN2R(6C0S`KhdAECc%73{ns?pTTj&^bS3Ii
zz;Rc@qEc=Zph$1h*AlBjaO*W(ZtGUEcWHNrxXHk<d<G!z67R^A!o!ydM<gfhCXj=b
zvV#3xZ%s6x4-T1vLi`2Y^@|}u#=v4*E*A)qKe6MM`%l@_?ZzGQ9Pp7`XF2%#14%$n
zKPH}P{V@^UpfPY^CXnmIql|K7lc%d}9RSS*5`v3&@<7l8NgV_Nq0k+Q5vHu`r_qoL
zEs>|PC5L-iZST*r1Uj++6eI2M<|35y{VS$>S2H;xjB9k3CgJDRN>I*<b&?5NtX2jq
z$GzjrJNblG_uvP9@12Yds*6VpX*0&&N9V`{JOflOWW78C20&=@8E>Y?VHhyf1mY~h
z1N#YruWl6Tu15QfT1<l;el?XFHrq!|O=s`^9d_rECMFLT?eKlSuYNN2Ytl;9G6?T1
zUpseRaFo#Kd%c2D7`;lVhgo{r(a#9ZB<s~kcx-VAGTxOP1RUTkJ@*~jl1PT{iUtv~
zBJMlp?U!UrOL**7U2cOW2!49MfhmgmOl@e&=x5!t)KX;o^8pUk{Q#o(rjQ8H;ghSi
zE|F1#hgtJCOv7Qa6WiY$%;he>N~f)5r&S_`|GV_D%KPZ*Ik@P(snjt()7r|OqBv-v
zwtMv2BKwH};y@rMObxf%Pw_GW+1e*tD3{&R4Q`N(2G+AmK%f33OdbH}Bc^>sKvz{H
zq(iu+Ma;?5==Br#Rt9a>RWN<Jpo%?xsp1Bv(yzB*ukc7_lh$6&m&w>$VvA{>=ZNVe
z_LJZGi^abqx1wRUBH8Lv<74&H5AKY&O;>s_y(K@~1#r0l{YR(!@>d1r-<;X~s@Ic8
zViBkNwEoDubw(ZF9f}APIma5X5iKGl89?{#baWlWnN)2xe(G@{zO;D9`TN!%wX!g|
z*$#5pFge&k<=m=KB4Tz3eadN0i!n*1X=_EWdD;sysXTqJN;~;}md^qGWlJ_+@4b8_
z-}3I8cx!0+1Vw$q_U`h>1y6N8e5|mnR>M#sM8V5&GA(LQ`DY35IQ+hBD`H59wZ0bf
z%K8>yN8}S?;fdH#lA(`)9bJcj%Nsf8g2%g%7Fh1b1=jRs)t5D8K!}~honsnJJ5wKP
z=`B(&sD`gyjgT{V4G{aV>no<k&pEBooTGPN{+wKlzJz3VI5BV}Eq!bx;{;)^msYSr
zW4PO5c+wG7v(U64P~RWBj|!hU^9{m~_Uv$%OpXoj2&OsBstdC0ZWEISA-sVTVhp&>
z7zr)_2-;EC0tyh3zI7fsvbz0FM1e}f$_&`+J3+n&j{<Zrxb64j#fkyLfQtD}qG@&O
zCsiHgSQB*L;$}P2F(Wf<@YZ5j)5LNvGV?$}+SmV(PyxrOG#&v6<z6v6VfgB(eh=jC
zFj)A|sEt-^Lp%tjOYWV5Zo6gO$Hs7_sHb2_?qhq25DtSTVQpu!;*T}U*Dt4L7?;e<
zo_JFZ#Vudny)67rM59ir!(itcfMYwWtFTW~nWhXvC@}wG#w(uD|0QW|>JAeW7e)Tc
zfi<)u&4(uJr1IFA(sAf^Rv9gP#U>(M#x6$m70ahi)wmr<Rck2S<r+-<iva#P2muMa
z!a$!W$bH(tlv)|sMIeF{dsy^BEXYQ^g%5m%)Z{o81L)h@qz~K8ShC*N`+a&Sg~}~V
zY|VhUQM+}f$IU2(L3FNkBoJm-E~0<Qh=#V#18vG1euq-H1%l0#_TfDh;r1&!)LTh|
z1(k*tqm<acm+@Z0W#4*xr(;npYjEZ0T#+DiJBdTsg)vj}gQ6ndG7VWp!kg4L;M=a$
zRN4;ohte~pZpI?4lI%ij{P=#=_@qAd=vKe1A=BVDHED_;;YoW2%4@6VcUM9!&e46#
z%B5F*5?|!n0Vfa;HjuCy>IIHSv8RUgz)W4?ekDsdQOlo0@gy^5EGqmUBZ?#hyALq9
z0A-ccfpg$^$2CNelR~zcRrY<9z%abEWR9m4D$}xiLA1!S2$T6Wbiggb>KUwmRxly>
zN&p9S2sI{Vdk?qYp|$z=E>vwpERh@0NM~pxu+4a2Wi&!96#mp&?Q;$>cuN7Vkt>Y=
zlvt|M^}qkQJhW6^dH>mlE#DKctR|9cvuE}<u*5ETca=JwgA#JGg?o}f3`eOt>ngVq
zrv6>C2%2cRA&FROq^5EFtVWKuD3jYwT5z^^BA{FzZfI9-kgq}~<A0mVaOmn+a<&zO
z3D{P+o$m^<KMGjmA5NF<gjKP@Q@L9Z6ZHmD*w&kjsGTpofyDhzsZz2$_B$)LB(h6{
z9mwtobJxh=BqEJDf5E;*=aP`Bf4k^0;scX=x^(TmrNCZkFyU`lMw?ngomE-)Xq#P1
zzfm^`{`|9UTSX$pT)!6DLh<Damy6!|@srFVTSs$~nS=y1wOFQ&OSnO&oZ=Ag-K(YW
zkuj<dJ-<&63dvP6$Qe?i+s2OMJ1?#WMe>%JEC^|8orA=CqWpdXBN1sneiq1zE+6(v
z;gExW?3PD1V$BXQdBvHdaSW(_!GW^P73Q-SecIKrxeDO>Rh-P`vCPH{X8qo!{?a&E
zPW)<0Mq{(SipHlG3`{K_;Wt-csJ{PtjRAN>IRCoGOUW$VLyvTqFL;!jQo3U{%5^#k
zT09mBg-Zvd6CnPdp6lBd_CU85Wdf`mlHCI`ml*O))I!BX>Z!R$n^avm(E*zMi_L~X
z`TsLn=unTua7Kea_suZlv8>MDbb1X?{Cvrl(iQ5e%(egQh_AE+^Nv9h;RO3NUU%le
zc<E4FDLPY0ATwRH97{k?Ya^!xZ=>JXvpNkits90%Xr?k<fDNXzr-<o$Sj(kNMMZ=9
z05IM_GUuN$wj^GnPnW4g`sWo$gwtH)0!&;k_YM|X;oJ)g-xTJuO!sK8>%r*jv?}L@
zJOqB#or{G|Z)G^-xkxJ<)&3JVZBKO+<gQ<4i(hpmp2vR#TnMMs$x=V0!-L4MJD|N?
zwX1qe*0N<~Q1NbVF!Yx3r}MYmH+gK4ac+?lMWtThVb8J#cd07y_TEk?nU<W^!CLng
zcF|BDBvq8xJwNu)xIUIa^5AB|quX+h33>{8%hI96T49(U>i5WzT0r@^gx_8p-O!d-
z#Y1mLob+prybAa(_q(G(#IA%i`+gS9Zw0@=Od@Ln6NlV}v-i$RMjB5c8mY{nK~Hd(
zRA8U#rA2+=XSfPf($Nlb71cP+(d^<4)d;|+peNsmLhqEIOYp>O^mtW%9EJOO`j4f0
zFNmtFU+PJ|m-)zhpxE$}@AJ<4bUuxHb8i>rE~i+s6qJSr35j!Y(d4l5F5Dwuin|Lw
z7|2-jQ;Le)*RwGn{s5r&;|n<eBJ!n!&AKN8=$ov1f=+FK<ybn}&tfUrOd3bk<38n7
zN1o-;BmVyZzy@fc4d&RQ!%il;Z4PC)Y#nr(D8bu&|H#J{hanq<0ZCVTo`l<0_7atV
zp+#X|=s5KwUF`jVam1o-9_{rhOVh(&`sqEWO+tZ`?xE%F(5To}91aGwwu{gN7$iyy
zW1wa0kHA-bWEzel8bY?*$Hg`3k1r|XUx=zddt0X!=f<}?Zjz3)26Wr_-0Cf@Q8|ZU
z?uSnyo5@lAP2ZvEAWp|A=VU~0KPs^P-y^@ad)Hl>Hy;*Mq=O5w?)UA-d0|V}ZU_TJ
z1sc&~sn?e=r!E(6<DGHa;X3V{o~Fy<*XSKvVkq~^Fsj`*Gnek|V{}jK-!F=F=qTqX
zKKo+F{F(6kiAPW269+eF!t(5ZE0ip(KKas(DL~~_3Fr5ITga|OCkw!VG@+9Np!j3e
zn>et_R}U9L|9O#h1;297VU@tC`O7;AAL&r3u1T^n`2yk0&g>XW9u11~bKwl$%9}Jv
znnbb!#YjSOS_Uh8^aByD`TC@#@EidEa{f`|g;52MmfyAcJJj@NfO32_ekZq?*3T-y
z#H`WT59dySCx3Kpkc0C0Cn!|#hWq{6d@o1(X@twvWZU84tWJehpVM|!-PW=)V{3Ki
zk#W+3l)~NIm59i=i`<3tm0T$+Z{9uDeHhBOtd4TQ_l^tv-*Eyl1RX&<)C}J}J&|1U
zl84zhCsKJzFwhH-qQLoi>$NBV6gwvWtb##(lO(0}`9<D3Lg#w}jZi3*0Uy=CF3)d{
z;oIYI2`Q;AwYgJ88o{S55GgrOJ)*6gU5H`-gR3}^d%)5ApGojxS-s?6@uFm)L8jvR
zNW!FJ=tu+E8fvL0-cZb~`d|@QN7F*q<T#}E15*NnO&|(%+H!vE7!Uk@WT$u_H;*rQ
ziYlUj$+?ck>2O4HRiOLi_2+Xr8@{pW*_I_@e|MrJ+{1{f;EkWQ903kzG)1HvN+J2q
z*0P3H{q(uz)aI9jLzqz~eU?5O=|d;$TnRLA$QKm@+3}#+$?t&TBTL5phL?t&unfZ+
zC<*JwSJ#%{E8CobKCd5-=|9NBgAuOaXoxgrrh9xe!pT}+MNx|uoC%b@^{X`ZJf!HH
z(os(M=5&-G-pqgywK)JZ1Z13?-%)uki<15VVdD~RS*?3lZp-uQYhy7jIDPpASKmRP
zq%DHygg^$Gnu2e%)hLJRi~ndsuj@NQg&v7UgbZ5n_wD^%6eUd}!%kHIQPdB*Gp=<)
zu&c-Fs&A%^>A$x(aIY&+NC*5A+S{PGCJM?&h(O<CWNQusZULGf8?-1hh!#ubFXkuv
zv3#F|xO<GYWW#U+!Xn}Y#n@=)J+u}8#MMJzA}_5k1+W)wi6f78G$0lI3Q>FRTNC@e
zZ)KAJ*f&Fj`d)O+GDlc2H8EHclyrt}&g9R(xf6w~gwxq&+cDt$CqRmJ@qec=J6S8>
zo@9>lu(^zx<Abu{gG|i4LA)4L=U>z1=vC1=!JHHq7sNYaIq{_34+^6RBQt0lY~Pld
zfCbwn-irY%$Jz1mrvdr5w)PE-m<j6qrzy{yYTgi}xm&0Zo`>tFloK1Iu+SEw16LFL
zr~+D{!_T#SZL?Eaujt{73Yf$9YP92e4}!wAOB4<PPf4m0@RS{8U{`QeOyg8D3!;Ai
z9_XjcFrC^SsJ*Llt+b2QRnE83J#pts3Is&-eXM^#!p0qGY~>wqY=8py-^RA3g8427
zOc`}EJRbF)5YG`pZ8epU8{uVRA`!%s_>SD_AZpLyA@6O#T3-mg9shC0=e-5BB-Jza
z{56@q5y*zK%d=@3{pc5n%LW{xDl8WiWowqp_lBDFiVhQMO%6)*By<)a5v!adobHtj
zx+xr!o{VVWjRbdKrhCG?v>=Mao%}UGX+)3Eh-~HEMzlG<nFRbPFMP1~vQDW<MrupA
zl}O*u#>}`4tozUorgd5kJzGrVYr~-S&<e8qFT)m(J=-GAIaNV?XNx}dx_c}X4zV$}
zuN#$Z@ej{|q3BwSE{HT4v<P*0?n}1OWgzJ=c}KJ6ToPx#*r3`{=y(>PX&%|b#Tb;z
z2t@U8ir*!$cRV3F!3()t(&FdiSR~pEuR*U48R~o?KIVbYRZ%9c_`^wMya$3P{~9u|
z+eX_viJJcIy3yhMsFf1d`yD&%%%56RoEZ%&DgEeWsZ5A;@PzvPSPG@ObECg+Usyf5
z)BDz-TB4@%!@fDWXP0f`;e~Ftpu;-5&_B+u#=f!rnEP>27+T$ZT-XSa*OkOAILy6d
z!3S~<6>HilhK*?ef|C-{NBmG^+Gl_KOGB;nSZj%9!0JM|f9~YN^d4&2KQF{*yRUT)
ztnMK@vOX*iR^ok-TT15c)8T5^1U9wt7AMp7d~Gkv^X`<?VgY;esy9f9@(+mNC=Dki
zLS5WQ-jpFZ;s2r>`ImJ-d8*r_6g5rM)J>z8Syy>tXCgC<GRFUjf+>K4*1=lM_;L4Q
zg|!}gW!NYY2DFb32il1dQ{Z^AumALat#cV{`hQ+nu&EBH=q-^n5UTePXPbn(sbrO(
z)^5&}GFV+5D;N4pGQcnW$ap<}q++M!o%F-N%Jv6OM{sEdHCh#Rx}^I*mA;nR#^n=d
zKI$68%>Yo|wdAARhwaq(BPP~Sn640!?{%iz!-AH7oX2zn$!hM<a#q2A%xJr4O70qn
zcvQ?_rsxLAHl(M#LXJC(<)?1xDim#^8c2{-Ll}a%<K|<FnSaAqPS1u7E4U=#FYQsk
zUWJ3&Go%ngN$8_%&y)i|n#ENfF1h{Z)-QmgRDq0%98MkNc<>A%#5I>S99v%AW{71J
zCl>B~&Yk((E2o`eAzM4}H3jiXqsAM3Z20xiTS%0gSbWdSK7ue%yEZu;G=0GhZ1?UD
zB?kzOoUMmcHFd{dG<;Vd2>CL7@mb|0mZy?B7q9byNsTjETQb=+8FlEyYm>ivE7Z36
z6g-~?GFW2WniB0QjcCAut>!#u?t=1+@vRUr9|_A|)P7eJ+>z2^E}<`BWf=jYdLn>f
zpt=7#q2k%6d+6&pIQJOHE@ZJ}9|*Ok9u4n#00yo>H3I3%=acg^W#oG%<sjpB;{r+n
zVwTSCtViX*V8>V!yJ<O8<?(qYjX22Wq<REOKuOs13W?<(GRsUD*xG$|)uR?7a68Ix
zKdJJ}i9NGHUYzl1Z_@8tnP0Ms59uZA><8InTjZcg@`abiRhMMhl*$b(pa%~KYt#8w
zH+)yCO2o?i`I~wI1)s-Y_iESZ7$B2?<KVn))!3RV0zWBLZs*O>@>d!*$DyX#>02$^
zJFr{;(4V|8KT5DAVHckus)%{i_U#U(*cq7`CvvV(5aUv_(0%tZUj%D5_K)QOQc;O>
z(JaQAu$+#3>X{i;>gFK98Tj?`!AMCs2d3>!hSz?i#krcj`}7uc<x$^;Q2>a^aWWYe
z6BAp9XZurfvi^+U2k=aaSkX?-E+~&8`UEbeIWco1g>`iqc|$d`y<c+-_Ho1TceraU
zR{>T7A1Llk!{0@R4XP*m@?sUwLS;l=<syUcT@;fizjOZ_`j3|87+^8Y8WQUG4nWDM
zUO!gm7$$JN=!}E#6dONjGIM5Zaw14o&)zmr;JK;TW-)9+G4eC*Y*$rf7@am?@6nnA
zxi<i)ewfJrV?3Mxa*vnthZ7dmRgR*%MmU%l4fzu3WTc^2ahKy~Lhw#t4+J4M)e3E3
z^FK<Nn<9vD@@ayF){3B^x+t;=#4PGImc$Eh3Unram{a9!Ug_GM34)fwhF-JX*x3d;
zysEH!%3F;ljA|_-e2=J6xX4IXJ)gA6_lvUwRrtIwXvY|3AajJtF8+pylbMU3+&N&k
z9%}I_1U(bdp%I=PiG1OUr+qpif#70j7n^<<%4vy@6*ptTrZ<>5s+EsZrfH49P$5DX
zT9K78XKDyyxeS*)vIR{RGGzk$5i&DJy&uQUh?^w8+{EmYUg4w>ep(O&`2%q|&40P>
z%N}9dytSMR9|^6MQ?)#k_>PeXJ%}7k#0`&6S4p7!j>Nu_E0MxP)bgen9!bu>=3vN_
z=AQD5AV}P?H#J`D3F=BzE!uBr<>J?;SQL2+`;r|i@wpcLxL3B+JOy`+tKMJ8HOYLs
zzacd6U5U%9Q_l|D;Y-Q@>r7MVOZL}^++@K?3F3|Cs9s}G(nriCwyl=*s(QyryQOH_
zv6+XP_wTM@;QoT@?}UUJbR!?R3udk4dnm4|JE!?dz0yLu04n8PcycEzXYEn8<rCLs
z54#TjMnW<j4hdT(P9!Na-pl(#2R}Vc23su4UuI#h3fdN18i&6-8*JvE9l|5P8LTyx
zeI?G-v)70-M<IE@X)tpvw=l3A)&v)t{;jVg-W%0@M5`0|n=GasCC;#7h~@C(bT^P<
zou!2!CD==T^TpyF2N9kSN_QZP)wHS&t5ta`FbKHVAKb4Q;?@C2ykKO|W>B?dgy&e)
zCepM71oM-@B6A5AB%=#_nD=``bt_pg0$&eLV;AD*CY3nH#6>Z^zXycvrL;=Kp?JP)
zIO~0+J`cp9N^;D%0Uk|97|jb9X_P7eVfyj!9?}25j-_URB>)*g1Hj(Z&*xG>f*)%A
zLcbi=ot}$Hci{6D!6d$^95*(BRc;LiY9NbF4yo(yV>GUG_(3H<*dyW<9aMke?TF%v
zF+RLb*yM+$Xa?mVA2)d_`{nH*2rd^zm+-Wx4B;k%LqB|0BAYq{!*$*|&K}B%_Y|uC
zk5(-_qT2I?AZZoN)qD`##$q-1f<roN!d=8&s$v(L4ibEI4rSi7#0*U++|v)j{RlZ1
z-+RNL?;gg1yo%=OJU+9id7Y6fg<|x_80~IX&nj{0R3!WzlC+GP5?LKLz$b-p-~|!F
zj5`Z7@X2?XADbjB%2%{VxFl<bq6m!K#6owLZ~$5u8uu263Yen1pmvP)FHz$;Io7to
zCqZk9B`_*7v)WypJJHal2e3C3_|V3oroW20<O_mHrL5Be^^CQ_01#P^K#but+~B+*
z`o7S^e3+O{84Ub#Mx6s4G5?S4Vx(JV9cBZNFbafKP&zu1QrZQNvdag0NUya&zm4`}
z<<?5Cv~`mQz`H5!mNVFOA7k1UTS}XRfQs3an(<;*QS+iEf2a6`#Kh7CafScx$Jm3c
zVgrl4k<%-)z%tNGmPd{y^&Klx;)?SnI@6$K5&5B8^|g68`o^TPo(td&vmrRjGjI`<
zM}M#_)G_rAqO*^LMYZyhoLsQ7CMXq7l4!48GFc>yy?S#nRAcLpyCTZAlxsK!n4del
z?{hpCT+@k?+Q7Dx8=BERi6`CY{_89AIS?qf#?%nJ*C8c<1_p=n?I0ZQ?&VD1`LWy(
z)1JD2e6W>KIj;^zXgdNsyXaR%f@*OO2Dy2>p*+<<J`cKF_?(Q0=}qnuwHi$Jag5s^
zhSFx8qtzkSoyeicoq>Jhtp!%OC<#*^5rbgP3MrngL)`;pdvSZwX^_G~-G24hYBQBd
zD<ax?eL7hft@@S^%#@!<N5%jgsfe3rV9fj)Y|;b5Ye^=4PsiAObfu_O4ym~t8-x;K
zpJr*HDBx01{USTW&3ZPS(qHO}JIg57XLsw-pDi7P+Rm@?4fL(;v%nhqHXn#Qee##7
zTZ?U(PG?n_XAFNT!Xq6|TqH8a#ZP{$VVqO5$cNYa<eylC)!%J7P@NAM&$SyBJ?B#=
z3>$+<3A+M$q22Z^cn*ayM4@bCE7(+m=oPV;?$@69elIYm4dUjxRu;pA{OL|Cl$B1%
z-c`hrC;2xAz6%w&#4ARpVw^?8D~H^hsEh(I@q9~ve_Xz-59OmgZ?3wN=Za8hfJQpo
ze`yc<$hPqRl;~Pt!@lbtY-jDxip@^Ov6WX;Ra*Ah6K;E>sBRnAQWPR5arfX<UuD1c
z+EB@u0!KeF+W%iRryrO4C9(`}l0tkDJS`cJWvPIoeoSZqKaH*qGnn<**Q^+vhAi?9
zNSY>Y(yrfVT+IdO@r+Aqn1i+e8)zRj6kncC$534ObkxEFm_Rk8YufU(kA_f_v-^nt
zrum`Xt-0v%$MZqT_L$s3;gb>Gk@gZjB7^OHF*Y7<?Tn>xxNIXr^4QWh)T4-2^$2y}
zD6dOR)-Z`TK>i2j_h!!wy~a24fFjn=xM||QK#lACF8;Zb<pXoNGu`OWEZ5KOB2TTv
zvIU?qDb|I63*HF92q5@&sw?HO(E2>cvUV{lK&q3%Y0k=ND;buWHJ`u-)&XH*?Z(;r
zuFfuUw!Zc_d+QG_<x{(4lI%k#w%d>w56rBY4B{foBgOVXK+wQYXlcFon%k1#pQq~2
zLe}HP#=AL>mR^HOHuN?e6=20teqP#{eUBn1ORf~`Bi|kSlxNAW22A<Og5A?~7KE)1
zfijpneZHIngGFn@A_QO!(m_VENt*a)5XX^!J!q{kvG@YwS}`|hfoYE8^|7@x_-)o9
zYLO{TRLCDI-z4r1k~f9b1wh<m^Do7_bd6xvRf!~~wR&_TTX;a&O*C!%bbU*4^Wx$D
z<-7__<JdM_M8|fj={!Fk?S7IpB$<FI*2VS`4y(nG)Bwj2@h%D|<bg6u<zP5^6X_+W
zYvd;gc-=4YNg+&M&}O8~f<6T#STiZru0R6RWuW<dK7~=`i&+`>%z%)<QSzF;I*ti5
z?!c(c4}yFJjz{7ff$x?QTDnlM%Xs!Jor#4A#Gu;J>6#0YPq2*KJ`7hh@Duw_K|IN-
z2a%|YDrEf#G1=`eZYP^uPH;->XQzW9;yfW<>Y>_lusS3f(@mv*&k*b9k#L+HBqqW;
z(0#=L`}lID2R92pc=FZhGlJq&6r0HfF^}j*c|CN87356K4%L;69&7quTA;tIhanO$
zNWgS%3eG|&86NY`Y_%;2vBkI3wx&g%P8qW}eKJGtB8WiR`bHZZlC><XD*9?A2wPpy
z#)@vmSI%N)05d?$znsw9;cw?s!VbKaq%%*Jk27zRt3`k|0jUxckh!52YLd7bbd`f3
z^Z5g}!O}9$$gKwiwv<np-O@7OII;-BeiTxMLFJ{s_YZ;YL!`Jhw`fdhizD8)7VN%X
zPmynZvYL|;B)`VUWI0hRohgwW?Mi=1(*OC!#W%z`=u$MnV~Cwg(&zT(0-2tV_NuIV
z<gHDhC?c%rl}jd%Q9pC`vL{zZhy*}ttYn4n%m)1uTo;X$I%7m~FEIjj*gCEMqxA71
zBbEo&jrM#k=;uZTCLfK!P3c@f*9{3M{u#n2l$H9vQIn1>QbDF8c`&QPP3VztY)uC#
z?qssaJcg^Ct=o9xuH$m4Y^wGnkK1|lx`KnTEzD5Pl+=yt1X$Q=D*r?K)9ZzJ51?d<
zDrJ>nn_q&BNn6YR6k<^MX@T~h8lU=-aO&WLyp1?Pp>=IJmFILY<ny#0N@EH)4ldx&
z8IA|m?Xr>l#-mCJrFY`%+$CeC3_EP<AGGNb3htBVW~2iQ(&l3v>X_UgVjY`g4(SH&
zikhWI(F)B;WiC`sw4+I5@%VXZny!}8Mp(-*P=8Jm)W*M2iiQ_9UO&y)_R~=ZzZ!C@
zhtXx;X0d_Yed@fi$OWZ%sImgaK(8_X{MSHzbt*EZvf2gsF1WxseFu4(+++WdhyRC=
z`sBYI{D^ebG|Zks?&{GAjXra7i&Y}NFkKy|;D(<`HJ%4c`qj{RvM3FJu3T)m(WvHU
zRt2e=yVT9vux*yx7a%g0I8lL_$yS0f6yRGCs^rxff%}$#AkID0W)xK>&))9vZV+T(
zDKyYgpRiqpAN}%VQZAEr#gRcptd3t)%l-d%<s5ASnoas?mw_mg{fGuMQ)Bfaq`Q#>
zz;%HlzMZ>z?P=g(gJ47dptOnXy1()~o5YMrcTJZ?Ngm~8B=$l@v0Z6NLu<a^6MEY@
zh;NX{yRR7`_WneIaMBExTiIsbK0qp4bRWvlYLTC?jdc-hpdpvhsA#--<>6PKkj|Nu
zNDXG^$pW1Pt)~dx<jAH7?OHC~zAgNPvDw7Pt**Fj3jo2Om=;7Dj$5c5W(S!VkSCvH
z-52u6OxSjy;^YR6lbfMZKo_|hTBdedWkl;tKxX9EfeY2@1~GF<V+(KUumEmoVP!)$
zgn+d;namfC{_Stvf&C=_fA*KHmgN)JT8Ug)+sZ^tme^(ebAoB5g|)Nx^44g#td1NQ
zOavR&kK9x}RQ#M`+@2p#uFpztrgb(V@G1TK`tB<duI&q*`aI^X2UBX7do3o9xz!Jr
z!NPBFB#Cnbb;TWAnCfbLP+KCN9J$`Ore3~E4}oM@r&JB)-eF}+b(}NC-N>X7Vk5PU
zpEoAI5wtLiHDvlV=C6WHVH+p_mB3qY&szl0aE{xZ2ipbKh*26SOrm=5Oh4au5o-g{
zRT*av5%P=%K{QI5Y^1}ho}Dn$jZi0y(Vs^u1-qF8J%3PBi+}e8d-91F&@B#$RcLF_
za4Cf#+=IF$9f)#6lwebM%sEwRl25@Jh8z>lZJ^hiai_yO8?Iym4QMn&R?{LdQG3(C
zwWu3LNkLZsR;w$OYI*X$iTCT^0Tr@XcfA)F@Ft7J42GKkq8P@cKS?3}+CFNykpCrH
zIK`f#*Zv5b1F-Y>sSX&)0~JGWXkr05);qcBfm99o-LX!usLEmI7t#%_?T8;d{Qmc>
zU(wwZ9DDouoW>hEH+dYrq;WE8v23a%>}>btNaJHH{#yF5L(!0ca00i~iCx_1ynOem
zBMJ*)K`*Wx-FH_&tbf*D=z{J&t)?ZWJTu;xoI+5(C7MX#(9cMJhMzMfRN>4P0NN7+
zw{__794#?s#+nAly5W|%*9>z1mw%j^sC#Ki$+*`E)>M6`7)tDKOC%NbU|o_GY7BY9
z$Xu!(+#MMj_kc(uj?13?(?YBbd6mTCR1DxmL6**W;l4F*Mo4XL@O_OO2e{vheASh2
z$!tQl<PHQ8KSe~ei^C2dO3#&&&Xqsz#85?{8(iYbrIb|Gn+ur!)m4j}^7bU>)C9$<
zs~G(38v_vxW+_^yYgTBDrPM)pXPy~fMy3X-w#7ZNQv0+c4TA)6Z^N`rRvMY{D1Kio
z%cPZ+Mhw%Q^)thx$Ha=;`G>eoJM7s0N5~l)K~;VtUa-#Fk_2XGC&&e%iV<kl+_DRP
zRSlD6%uv_n-LABxLhXeE^FXG!ktSKZ)P^ZuGfN8V&p^an>pK8}Lb?$BcUE~Vrg*i5
z!l_hlGQs9(qRBD(py3L5(OO7q8uQHwkBAw%xsksXfnCBl>=_XMJ3VgUM^2<07|dK~
zd+nTFsk$avi$Zfh0qULZ&0Xq=I4-463bKDq(n(YXca0y+Sz9<6l^o;6PUZf?!i2iC
zK(=()V=2m<fLD%TTUO3byK}PS*i~A3P~63ZL=j?E#m*G|PSvI@V`yVm^3V$y+y$?$
zP7rc|D%J)fK*3pFT#7YWi#TdpBF3Gm-xJDFq;c#^X>MfY`ar;Tskdfmsw{ByNs4pd
zDYBMT>K=Rr@7W8p-J_1yqajy>rdrfsNmiBl(+5FC!PZb(+nlrWB$eSf`ydrSfKN)L
z8j?)FaFp(L8am^g3jY>yvR2;d$^6_>jl{{bb=DBy5s!?-2l>5^f)uBi`bKCK-yB4y
zUMm-wGKy6x>kQ!Cci|8<h^RDLk6_7ZCk~vK!uEn=AipqsNgS&DR~HxyK#Kv}V0||J
zd0N7shG!VN#erVy4VUhF@ye~8L`y5H7%9p_-F|Z!O2uU9r^=r0k0QQu)$3%1a#l<~
z#ElFU6CX3CoY9;SzJr2{2M`8AV!|uPSV4_X(XCkpT{e`8CI|b+1f`h2LLonZOGu)f
zi<wkpn$>PYArq$Dr>Gi+M&B84ej+MYK53136Zq<fb8X5CGyYTwFsPn=#yVzRwJ6*)
z<VZXA<qBGXPTfz|Gh7vK7xsRQv6Ocj<O_&T5IuO%Glos%2E)R_Og{cvA3uz}SqB}x
zV|ryLlrE;y1pg0A`(2@8#O8zi9+s(6NKDDRB^G!CL>4drLd`nEgpX78Qril~K$I1Z
z*Nw^aC5b6~t@?fjF~Fm|a4`y>r}8dwu-6@_^c@xn+v-*_W6g8UHDhIZZ?FZ}8(@@d
z<{y|@Z*5y9gmr8E#5zt*Id;gJ?$l07b3M=MEW9B(;P7S!71G-@Rz&{f*fy|=eC*_+
zi@wZ%5fD3bNIu<<F~{sO#G6g8YEFp_rNwX2+267e!#Q<{=QsMB^w<JY2?6zL&_fV!
zm^)@arX=rqL!T2s<=hj5XxIMstl2TU6Wo}OVrh||+ePRelwk<Et2*HP_++IAv`Cnt
zrjWkKjC8^m8rL+d_CignIy9P6C$t^`D0L0Sh-b*clq0$XdeMiI&bzUjrDR)DShvzN
z%Ii+$Y;11uE;_kpISWr(uDmaDp~?A{YV+;+_0P&D{o|?{Wc-0#1%}&-_zU%*BBIq$
z{`CE`Ug@1iQ20Q<bEwZI(aJxRnm{Jq2B~_p!eV@`d>PGdZ^rF$fAVRJr)y~xb#j9J
zqiV1r&7(Sc3>sX6@%}X^_BQuwJDrGEM?M!NEFDo90gQms>Lt<snTzk=lW@lRVC(OI
zw5^ivuDc~U&&k|zR~MS>WY~QZ!XMQ!08N2QfN-e~Z{yU5xsaaNG-)^700fSO$?{II
zH-`fNQVc7fRiGR^zEF)=xq}IQ>d!yMM7b`Xwz1M)0oK-<jdC*O|6A8Zxy?E9ZARq+
zKh*rw%r~~@>Zt<~h}72h^k`Mj=PO`Zb!GmfQoo+oAP#prW%U*A^WrR$T9h(G)BpzT
z3vPo{QS8fAyaLtChZ$R`S$pAWl*f{XbuB6_{sxbK0&?`|V<fhM=(v+XZ@#>Y<_(n!
z<ANeY;j6F6zSh2ubVD!vA!_`U34$B0*aaA_8yz|f9{BRSZ`{TkDxEqDuQBT}{m?K1
zkj7v1$HcP~dosy>9WF%vOk?g?-|^)GP2x)b21TmYyH2ET?T-!yV;oW5^dH`iknHu!
zhhpC!=kEy*SbM)Kzsx9>Zn)vDuQG4oo^FYWA!Q)~oSXr8xB<T@@P69epq`{Bk7=?e
zfgfS{&$wz1uvP6~vv_NdpUpyBY`GJXt#P`5fl(sC(rVl5aNO@w;u&6>5Kxm3v7`H%
zhDTcbA7t7TrbnD;ut9hvU&-7YXnO&V_lx7RWcs6D|97rGRQkXm$%6J2<A@wX=<)ru
zZ+ZIa-=)|voA%Uqz=+j1T46r~UysBW88j|)*OAYrcRDfis{;#Uw;SI~juPrF*aVuo
z7ok|3QW$!Uy1sI$D2T!P5_JRwauC>*P8#U+UIdjG4=gl<bZV~#wk*{yS?n<ol7kJ5
z*xnefCo)9T3AaU+zLU!0WokiS0YUP!M<<N$r&y~EmNM35>yPXOSBMRu4D#(Fv8*W9
z$|QK%(d?Dkl4qM-T%5yqs%tASh4?~)sKy@YEoKFo&~+6<NfT<p(PGtR5uydd`<x|d
zt6|Ho0ikY}J-JJ<Gmo!xJ28l@5~PeS^_uv@wLP?WPv4W6qFF*>{ZGp>pI(Pv8G{L%
z>9-uf8Zp91-+)kLwk3T<#@oA^u(7o>b&DG#T3&<^3L_DyO<J8t+qGrLnJn2{HfCxS
z^kkn-Q}|7ZFWwDBq+;i6dPu;d;9GD)HW>^<0IaQ&2AZ9y$tFz#sO2ST)-;6&Y@c6%
zW{&VUSD)$n&({x^l(iYWV=-6l$)tzCK5#{N|EuO62DC#3IW8W!_4E~2Pu6E7ddW7s
zYIL707i5-@b*8tYOU-G}+X2V(0qGjA5_@(%oF_gQbxr*A4?~sk!O#>>)c|BFbG0xA
zPMV(`)_HTYPJdK0@>h;YB5)6V_I0vJ+*bUFx+3$0gm+6n1q$@cN?*v@JS#{S%}l32
zt19*m<YXDjdLHc<{1BFWKVt{6m@&k}3VDpT^_pi{V@yC3>W*Sd_XW>To*h~s?W`Ml
z_Strzio!LIMIaQ^{naH0_^P3d%2LXNNq}9qDLT_9HYlRU@)p`s{m%P!*h3PQRd-;*
zYx#1LLkzuo(^}JQs~BY)6b>WfOSrzkRUZ1S%yXfTUZijwVbWr~egfj~oL<jB2S{po
zxZwxTfCIH0ly_@UJzTQ`LD-<t(4+F|^smDd68_2QfeyDUJP^;>fjpA6C;$yMSnF(S
zrUIP(JFh9A_a1@uO}_j1Q(}&0dR83x1}AiiJx(s1P4iBAtXE}rM#Ju)zzWiN%1dGZ
zH6T1HtQe<vl2D*aI8H{Mh-RZyfCEOwgF;{=j7$VjnWoI3;M;KWcZa`IgH(~+Oq(FL
zIuNS1Z=K@dUq|?AGpE)+@vxGdwfUlc2RKO3%}jzlljeQTeD0r2ECzd`Z1Wh12&?I^
z#eYW!&-hk8qNgB&6##hf>Rnf0o;~bK*OQZ#3iAc+a{co@59w)gf=-VZawEut`}soY
z>FY!E=FuyOCcnhN+tFP;RI1ISF>}Tuc=5#QW?4#B|BK5bZ9_Wp79~7hCr^R^Di^q)
zytG6Q*jtOwB4p@vRk{W__L0G*)N5G;jss_}N`g(Szn2r|BDkJ(wbfw_qOX<qkzA~N
z^MN3JgTbpRTOR38KR%yb=EAt6qx+if&(Dr=d-i681tKJR>OVRMDcunjii+b(mq`}l
zp6sJnRvU9Q_awGPD|R`lMOm{^u+nG`vaT;aV2j#?Uw=lbo(>X+Zny#H%;@EwGQp&r
zwa|YqpE=}xlmu>(pzX#HO%w{=dy);#-go9M_wFh1u2>ALu-jG?nKikXXL%4(P`Qd~
zc4}i&26@#+7A;1T&gL<%o!kVawDY#OIgP;(s2_rjG@=DZu~|N1Fx=X05|TE!yl7qh
za3u9=4<owJL~vT-eGTuPZ;GbNulJVnTgH}^Mn3|o4DVGp60#>nGr3Di)FIOz))Hn0
zaN*0+zz~;@A4)dzQJZimAG(_k=*x~xoiHA~H3{2iuKxw=pfrGLG$K|oRe&dz+MpjO
zm7<(&v6ZA~uQ{J5WJvW1XsM<z4GGd?4;6GBC>1S<)J|aZd~3cEWi%5>I_6~TQaRV%
zlFz0HVjIg<WM7zLzE<0P+}yx(6$e-eJp1qnG>_aF&yG)*u*SNv;dy3kw8Tq8B6%QQ
z9kw#w-!{wl(X_EMBcXi~8&2M=Z6&Z_gzBu=jW55xhkv_v*_2Nct$^QRtL_TbQtjYZ
zl3TaMo_V)u9KCH7$uem=YBn<9iH(<KE!qqwM<57n(QPI4PEK^Y@M<z*G>X{nI^63N
zPEu;&(_DVDiYb}i!<6Zsta#m_uGq<5aI=Xj<=SWnlF?&U<;kI&6-yft>lPL1TNS%&
z7}$H9W^)G(`KBNXMuL&4OK#jyNPa2~jxu&;^2Iba^7*Ws#W+|x{P{m#tMm*ED`<1<
z&Ki8EBBF^;P2ek-&q=Tc^?A|>H=nlVWZp01I;ca_8Wwj-Bf2TsXPX@CIJF)OopQce
z$#@k6ZDHJF<xiW%-9U|yZBp-^@v;V|Run-Oa%(H*jjO>?D^()*hM(M|wqY=!{}tC4
zYRDr9i`+eaY&aiXmx>^O+>i*yuTDcc)J)3qau3AH<b1Jn>>*ufQd|AQ6oEr4g>^1%
zvAC1ta~Hrk3=XNQ{~6L?IEviK2jrwCh?&bSsFZKL4|fLDJ?Q+>r||Cc;v%xGL|rv8
zVIW5^K=<+-xh+<1Lbtl7c6G{=D+DW5+b|68_H8TvXoj{Pa6oMP@oxiSE_OX31sZQq
zNE()TAl_&NF%-6SBi$MJ33ZEwT5S;C7i-RRSnW=dq<C5LB|mIacBA+KLIO!{N#6kx
zlp&Q(I}2toQ)K@PyRe-(cujWsYtM&gvtpZGY59oPVy6wX^=qaae-$<1Qjj-zGi(Ks
z@6|U1ouS_L2g)bw^`Sc60OVr~LvY{@-&cFA<x-M7WH?oqo;bxi(1p_5L4gKe?VUVL
ztr{zW0zvnVIxK=P!$;E?7Y~5X|Hk?Kc)j9|WWfwd?3~o6VbO2n0N9<~Hi$#tDr%W2
z|56~x<*NE0V^3t@>YX2!6eP6@>o~C<Q_U1OF~yoAID%Y+8GP&Xi2{E8i^Fa%t0W&1
zxVAkApne})>zQar2S%6C1!>(cL|FHi{FwGqnWtD1y=K8yPnH*fwHDnbqcFz&-3D>)
zHmZ=}koBiP^9&K)qlZ&w1L`CG*Yu~%8cv$UnPTHn=!5&&b;#7|9y_Tns*1E%G4tx7
zdwyiKjp}tPgr52fQPT-7kcT~P%*MI(+%mWE{l9~M#T4hH(j>(f-M+(--Wm|=FTi&w
zgc}#d{Q+=+8F1Xd#~xDZW-x-olzRQDBa^7`vYj6$XAXKA4uN<&8evg(49anuq~i!2
z`3T1qhTk4`D~PA2XR}N^2&ZC@V^YterrJf@1_<>$wPVs})yOhWyL;E`PU*rd8=5^e
zw#WDum+t!4(mfGc{WAt4AV?z*oy_u?nB#i2{XxUem(U{q%!=qPlGSgAUA)y7V?+Gc
zM6a)yMSAD~y2L5)r+u|w19U6c(~V>sq>%ME;HuaNSnpywXx6!oB`W;(Ys~i=lBAUD
z;?E|yI&a~yeLt72$w7b|H<r|h-z1=+y&~Ue>MY|9|BZ55xG>8HriOAvUurPqLF8Gw
zW(*sgWP!DdJk=yo51gu4_OEb9u_7B<Hy-@ge9+}K^(ZMxS>m9>y+8>+auJwuUmrKo
zg6)J2slZSb-uqL;Ww+X*s>)9f2P@^aa8tX}Qvu+pNxe=NF(a{XYfk8Xx`Rq3YX*Ze
zDn*m;;ff?Oqr{0S!XA83WHIr-%?g|crR=>WM#2(cfz3p`6_R!&_VwXS2A6{A#__(*
zt|@I+jS<k|{58+-l3HQUE5>q}*=4;FJ$LjS-(Y$+>Tpddlz9OBFq&&<We}n`0`Sz0
zuIZPT#iG$C)+i0#XtlSb2sGA&^-P2BD0TN#LnXW+Q=Sb6|Bo{MX!L+i$_xSwQkis}
z9|G*#CiL+cNv-;QH`Sm@cK{>pjT=yQmtbV?5#TB4fPsY-ma=rPdfiH#EH-C3Ilcsf
z9gz??aWB(u3X}^PG7y!59iSM4)XRqDu|~WsznpSw4ct5k-+r&|y6%zo)%B2rVdYzS
z#N@&cP2YrkwDedwinU<WTy6gx7_K1@#hfLXqBc0*Mf@F`842fre}1&ULwj)oUSKY8
zonHK#eqr6^2j{vgjVcvE7u@Y<aK<e)u`aA_2PhpE0U6J3nrA!w3;R^ch^LZhspj9O
zNrpvNc013f0UNDGhyXWZcTHYHbkX?6KITW4LqwDa$B-5ulq_Q}5G>H-c2@^G*23Mq
zl;v<)Ie|T%qNZ$<wN<tc4TS(rv<IN7U@)*&abL<NRbw4=P}hVa10;A)2k{VwhW22-
z@6SF55|k}K#p|N7`KYNBjX~!5Qm?!>Cy5E{HG-0RLe<1C1fgq++3TrZ3@oYias)C?
zI7#Hlh<aAU7#$8k`ZuXZdN^ImNw2t!7Jf;dUv?AzOlUvR(y~jU|Jbz*$8%`7y|<gv
zWEqI#=At0vMzDb$TXMuA_|P-EK`5*1Py#?n;Iof^Hm~~0-Tz8zcx36>d;p35xw6vy
zw2U)b86WCEA?D46+@=)7K>z%KH-SqT#QGJS&zMuB<*^-4-O2Cx#ET?AVR^`K2Oxpo
z#xT<^F#0YNRjgB{^LU2h!Ur;w5PdL1yf1k9ZJB#TQ1d8a*umU544Kep-#w%u2E^Ba
zOcjnpapi+iGoTf}E^VVU7{i)B!8qp6`}|)_Lp1n+egOE!|8X;Qh@+Qz#%_@@y+3}l
z_9gL5<8HAsdtP_9v@)pf5Q47>P>^`co*i#Ah04Ux9tt-TR*r?b0fHdmP8&lRMafc`
zVfw62i@;f)*zrX9%{~vUi-^@|T1~)?m<8npb<2S?UQsND`GtT7v6|r_q~08g(n;=H
z=aU<G!FK|6c6?C;*_Vg7$z%ah8dEaGvAhFx`KtTB$*gW<NOpZjns7gtxHmy5n^0J7
z|K^j)A!I#biVFh^P@wYal~ic^F$z1pbl?2*t_1QN#OBMHaua*B7!}Y^?HTA)W~V48
z6e^VVMTr0N^BvIJuYf$#m!|HYxLM8;H>pWC`(o9*LSn}IX~yU>m|oXpg0Lg@i1I5&
zmXEH9ZaeaVbH~2CS$zH73$+)~2H{u93M$U1bk0o!(;WSc@aPFKuBB8lIwYK*h({&^
z0d?3TBFYb$hdoQaFeX}Ekchtgj#>->)iiIQ4k80=u*CR@9$2z&lG}sdea@OA4}8L-
zegBlW(ROX%v9Qb;(6(F`Tmtuj){7e)b$lS@EaoQ@M*YAES4#KR1}o{;RIYWOzQP-A
zM<;KMk=$;h<wJEtUah$xVS>;z?6H8NO;ks37Omy#vJe>qKjvBr(G&E~<28&Mc3N~Q
z59+;^=m=kvq)4E<4^Hj$&P3{qoKMpj)s0Rp_58WKNqXgo$gwWVY)k)eaZT&DBlHfM
zK($N2t3PX+`V%PN7qRoPU0ee}DoAM=w0Sr)tb8lq7YS&Ah!jbeT)2<?2HS6|RiruA
zvjp5!a7%XqXbY|@9&*%zH9?PFQ?t63wh<P^%deHSY6Oi$iW?R=0ljO_0-F%Scdwaq
ze7s8E)HPmOW?p35wMYyP9&eu{4AKGM`Z}aOW@Llc(9#dEf9ETbw2f-0c(XIo`h>Fa
zJmsy9%J6czHMZ^cdaP%kJ<hG(e=J;G<G3HRMFpb4UGJxbRtw^e9q@y6D=5};q6Aa~
zGIrQ*2|Ovg+P1#y7P(0hkYdfcoF9v<{XqGMU1`XGE|R6U7?b6fcK0R}S?fd=+H=11
z+e~s5^OI!ucm?*oL0pn6x}e7uQETy}h714EK~^v>{~XWUlKm5ixhDJ`U0Nceg_K9$
zM|N1Sb)W}<9s4Oc39|Km&dCSw5%1Cn==)g7B%|y_8B@I?gZB}k9;Lb}5eq3T&I5MA
z@OgwN0z(IV{BjpkIQbD$e6FvjyWFP9dD3>rQ26)T=G~~kAX@3%yztYzw+!icG)x5+
z>8^9H5{k9ofdKX61zj>%G-0e7hC|1;DT`q<LGzmqxIQiyr7uFWPgM2%>ZT%g%Zq!3
zi`UfS{_v=Y0GLTa;UBB4YD@f3zUcLmFYf@(p4*-Zpx>7Vt*}Tc-@9+qBtZ$Cmr0k}
z#&k4)M1W^6gJ$z~<01WwA^=))IDW4IC(COH(_fMVtnANRKi=CYuL@TOUh+-m*E6sP
zt<IOz2tfzs)SdQCM$t7Yl3g0_!I#y2&o_}B^$qH+PvOsuuSrSExF)e6Ak&2LZW|=X
zBT9f1Te;?Si{5?6YUeU7E=b9#4Whweb3(8O1o-1Po*Deq7`at21>W%bK%L|rKw(Uk
zHX9UeYJIECA6c~ZkdvqZcX8N!0;4C02UebHBQ3zVS8q4$+?Rz69;gI;OhZl)boS8O
z6#BA($hxIBNTRw07;Z<Q`7|$tTMD7<&PV9wX<q<0zkp7rD*C5c^lLL12t7({or98%
zfzQ5;#xty#^eL+ENI-ZeGn-z~3b5`mBA{%0ow>vG^3fBu39$9CNIU09_ByXk9k&Si
zkJj|zko<W<tJt93&A?n{>xOV=h}Df>o+EL;5B~RY*B`3pb1+<E8RFCF;}#GH(>B{T
zRz*7k#1-zVH!kBRaVt@|*((cW@HWlDk>|?E2N{^)-q06M0_gKOah&F)ls+qM!ErmD
zTRBr&&914}>G3CyiV_j6h|AJ5G_WVRXgi~!_(P@vNQ<IT!)pl9y3MYJt<=S7j-1RE
zCDI&gR7X0ZZoY&vsST>nhJR`uz}A5Q<Q&>~4tks;NG-r<06f88SKy;pGQjz$x^b0H
zVI%p6lJFiZVZN=n)hnB*Z;G@z28;myRP|CiHrPVWAvM^upsSM@X>7%3pDqSj|LMuk
z$3TK(yfO4h2V;pyn2|b9Bp4=mF9w)pBb6m=$kMgRMYFVG!tY4PLQ~|0<$D?l3K~v@
z=2gt?BfH(;C|%J_QrxDfj(Ac?ikGqKvRH6PLL4KZuCf+W=D}OGz+hx9RzOb(9Sg;a
z(T%ObvI4>i&FH3z(eCF2F|h<#HdazI&H}q?QlUL#Y#pI?ix|X6P>^79I$E^&ZsdB2
zlfq(LQlqOKLoY-$a`&sBa#@7FNG{H%N}icNSNiV`KW)Iwn`I+C)>=c-bp8~pXZffz
zkye}(5-zl_%U`UbuhWGDN>Yb7!KN4sIZTk02n#%dMf+8qd<zXS+8QLYxnUExf{HHo
zvy?$9w#~~J!R~fw=j(-XE`}*<QCHn$h297R!+AE<*F~aBoMbFV1?$XTHVU>HlZez~
z(|wAPsm1WSPkm0YziZ<9V!?ETZGgQ&fLEWDki*7~O_{ogpv@DJ*r)iWI43XKd+DKe
zx`9$ND6yWx0p_SMHR$P`Y#iz>X<qEuhm(Zg(!z=+9Vn+&bkO#Nof5<t#QD3ZxAo>T
zTNj~FgU-GN%$)f`4yrj9;No8Y@8|#kkLoG+F#}OkP+>@5+rvNs^30$i8rQaGRpwG;
zIM8?UQ<Z?2Q)bCL$^dMFkHa+;7VPo$wu4}nwyEC*XWM`njKKP5W>3<^9*}wb$!Dq*
z)QwL?n8dg`A{$3)llep74`{p=YHJ7eh~2hP0KbraAT8XQj8si0<J=!dW#0|eo4gim
zoM`!LpGt1Q80f<kOz^3kt#{?8>}YvVs|gRv32v>Hj&wzc?70{;52p^>%qrDnQLA6L
zt*DI%1+8*!LnKROf+3j!I42$Y^dP@XAMLLt=~R5p^Mc&#k?n^gte^=sCR#MNOnW}s
z3MIv9cJzYN1~MO-o4o8Yp~l2Nr^-9H5DYLRRXSIf85K;okDiLparUJZN_}EBr^MA-
z&~FE&%b9>kVN~B)rL9%buv)pQHF$L*t55|BP>*Ivuso4*7Tcfp%yxAlLR6HU>mED;
zN8EX=Xr*bS-<R?L_ABA%&KLv>a==vyJ8Y3zSC70pn+rccVx};RewzxkiHJR|gq}H?
zpRFEKY>rNv^?Hn%n?ZTCb^p_KNbKC}`uYQ^;x|@*@8A@B=2d_<{vs{~2;M-ds^NX@
zu|$!A)J|eu2~epDd2`6$P;YROqYpspkFk5g=a4t*kO<!Ean<_#?&1KpVP41|m1!vq
zhwDOkhF*SKH@kqB_L1r|XgTaja?@lk#6dV*1><l!zkTmlOKk5v0S|EJZ&HP3OEuU8
zM@9_adE=YwitP2Xv3{tqm6gc;u3Zgs^Olf^us*PiSOGx#iR7+f4{#@(Rnt+=%1VZi
zp~siBDjGd*0Qo&on@}T{%s_C|<jl`7u#VnU$wrj-X^h*giJ%@Xng4Lqz_5xHSI{;C
zr)3+dDFxLOf?@>U1P<=&u1d&hDF7!?uQ$QYQm}zGqN%V_Jxshb+=Xq&tzMRgUgf3|
z(4-WMe#J(jvY9O>$=oI#)v<+SEu}uLLia@^z#59B*1OjWK<<U9$QY6<MyDX`LEsaO
z!ycs)SLJa%gDi(UF69n5tgBBsX>YGay8-|gGEl;5wdpASM!&Z(?y2EN!l^={U76Rg
zBY*{V%5CoZJ#}weYW1|L*QVbFjQWn?QQ-dOqgoZztGeHgyB;*|Q?r5uj~GtX1npXz
zj&AuaXqp5g*SLS;W(c;NInyU7=BkF+$W9^}_N;X-hqH|hg!Ifv_Ii%Kxy}~Rb>PSW
z;^@AV{rlw#=c-0U9$Ap{>kpNiQk(=ovD=uQEtUg-3Tkem%zFz7{95syzTRm+&#t&P
z;c7X5jFvhgQ{+toH+h$O*|08uqDr%pJb?L=JFS_0*VS?fz3@wAiSNya*1Ro^eeiX%
zrL;J{EwyIC1osH@NnXKXu%?F-dxUYF(Uk$#g+7G$hJs;~G{ZehpyjJE>l_`ck&n9!
zaNeQTX=Z0^#-vEbG2FIVNVnWT5U8R5C9_Ps)NZ(lx!7A&6JLyp8bg;h7Q(qQBfcNK
z)ul-^$BN3UVpmL~yB>m@e0DmoZ#24g=osewareL*8OHr6ci|nkAi9%wnurrW%@H^h
zQ&ATAX<}TC2t#Zm=leOeS=zY2A6cj?851cbs(S(Eq>Q-_!VKW?Xb`>Bv<{bL<A=D0
zmf<RqXacOA-&Us6lyg@b@wIY4n!8cd8aSbhpvQja_I5Z7Z>%@Yx}|UQHv*t-`nGQ-
zsQapdvmocozj$<&$vHgI{*3#%!d6k>6qR<r`{sxLY>6}4Xo~6L4Y8rNlE67D<<;g6
zAQJ=AI(v?9RG`{y)F|(UqC6L5Tn;md?u2UR)-Z~6BE>w20HOWu&uux)!=cy5#;uX`
zrFIKA*Fqaxb7x|GIWLgEW|>%iKv5UKw0KIZ*`h<JAA@SzxFKs8M`1UQ`NfU3?-MT_
zW{4w!kr_9`1f1ozxEocDoZ(E}0aW=@#cz=!`IRc}HPYY0lKWLBErqRC&-J`9|9rfG
zvDQZ$HIHx9H9uFYOow4CzwY+x4w>?4FIL#rvZ;_u%|O&lcxh&!NjFz;UaO^<o^bC%
z%AFbSZ7Ik`A92_|%6ue~p1kT!DN%7Ei4a0#{wv3QJ7uR{`y1P`PB(n5gJYGcMg%yK
z!M9-|+~qYrv^&t4u~H|%9(on8f_w}Tkd<yofrF@W{?!s;F$MK<VOKhwiT2=*()cb}
z0~p(x46V;&G$Vk!?s<8`@VSi|GFZHP34hdyV$SlmW!u{S*=ExNB%Gw&-WT~CV>%cT
zH*nqB)gMM7#PX+-&6xv0Z-4$0or|+Sq6m)`j#A7g=<L9+OTY+DDM-In*-{7g#{k#Y
z9UHLN!>CXidPIif$ayywi!B8M+YEZS$(Xt$U5b;Ny_RCU3JfcJ_t2B0LNN%8Z~Y7=
z2AC7<q+-rT6N*G98XF!G7`+jX&~pD1N|aGN>RYxgCoEb58_v+*%-yCHHf{?TuSO$}
z`zMbY4mbOQ*Ed*9S}ay&;y}w#{yo@bW$Wjr2xo0Qh;dr))r$M5qc-{GuhkfkBm0Sm
zVlYed9z1`@ac8T7$i7lK6M**u7ZSnbyKQ~IbeI%Te{@%9S_Q)=<!aTIW_KI)C|-A>
zO=Da*SlVv?>hhZrb<}o(e@eo6lAVTijkFe}Z#TCMU&_yl+o(%)Lz^A<VWgc_18@Is
zh=}g~KGP09soH^O@|JZ!CJ{XG-csQ9W+*sJ6YUC`u7YVaToEKOP<#eo*h`~4K{wi*
zo7TpJ60|CsB<vGR+GQ;L;I7!p>|@vSOII<^Xo5^eQ8=*+oR$oAR!FCo(oqlY-G8vE
zwUu&eRhu<)bI!rI)8kp%OZ}s|IPWqv$nZWt+A`2Ej<E@;+6BktDCa5&Njc{JgLbwi
z3jC->qMhZg3#AWArPanYI)&A+6hi1ed!A_|&IB?TC#BD>x@3-0e)1tW7^x3j3&y$0
zeXyr}SD&4{O{bcQ=BPKs^#JfRplIb)0!E$oHFEe@gYtI?HBws97hc4L_+e?+@4=QQ
zPu{muOdJ}EP55CToOx>fh4=-x{I`YOTr4t(m4@43|1K{P;oQ2?kEht@7)^3!UA*~Q
zJ-g#}w1484Z6b8zO}!>}`!RF(6jc3??Lr7~cY9kVEvjmL6(iCVqw`&9N<l~6AiT(r
zuT&AFF;SmZE-m?zqx2(l(5`gG{D$sc;E5Ela2BU~rk$D1`Ur*rH&TwwSj6`i=hSu$
z#vJ6IvTJ~pqy2bC;NrpGECn&Gb1`;DT#pS2gans6Y4aR6$Q7+0FE-qR2*LfTNl4F8
zKsOA%CPx(xpDc>^IYdL9;+34CvNtXcOG=c<yfB*eI|obKsyN#ZRa$px_NG7>uFnN3
z>>i$<3iEC|5w+vPb<o}%Sdh)eg=`7j*BHI&e2+A=1;I9KZC`}vy2<MEf;dStRTM5|
zL0Vo>tqy@VvBNzewY_DQGF9h}g~(1J=0J^_N*??LR0NOQ{i<yXwA4mHz`(%JlRNX{
z9h6MY`IRE{kPDzlKrfkajy5oWY_vVtU<1teEJGgGcHSlmTry$3Smm;LI}QP?!}PCf
zHP@cqpiTx-cAG#1ZD94~cYN2vRZd3)My_DtRNej_O!aYeaau`QJ>NlHHpbMg>Z0+J
zd;pGoGHw+6!V>x|)4-;HPF7+<KAO7M&*czl#X~6TrCFn{BEZDMBHN-_N|EeX2u6PF
zs6|`bOWIow$Tt%rcNTQF$|O54MeD0)Qqx*6;p7eTr*HOA;n($zBDdZmP*mkif`J(m
zH16!!Rl8k;X<M<D-_oKAlZw<Gs$>>pR>q>ZJpw&D*w%2kcSV_tcFdhPGHVkut9@^U
ze9Doktr>n4w(0Vh<C6`Asi->Lu#}9-Vn|~Wx7Vrt;w@JNRrWWktaX3P^@c`yaBVii
zwV0-%l_{Fq{PULlK^X3`z2-ure|Q3xxJ)F-U06I|m*u~5&FQT}Vy&7G*CxngNJuyd
z>G<VD^QJyY5LM%J(L+=8hQ8d59GRt7f-r>a4l+pwL9C<86!;n&#C&Fp#S=yi&D(FJ
zyO!^PQAyp>+&lu+EXbYFRqT>(D#GD+&Au&cS3%{#u7zLIeYQ@YZQ~2BW3l-WPZv`5
zwb3m(D`P@kSYq8BnXW{g^cAaObO@OV*}<DR+(=c)5lzhFZs27nlHZ7P$o`B}&Po9t
zyTxD`H>f*5r3$rBv*&KO8FpHrR%+OUp<n5{(s$R&+jYM$gM~6|Ma8)F29K|G_Z4{6
z)}gwP>+bkuMTgWy65}z3Q_luEv})@{g|!C2c?^0tSj=RkAEG)k*>r|+aq$qHbSG4>
zo=&VdXd;K@Pqa%n<>E7fc=)kPGQicvJ<JdfWlz2;o0xJ3Pw+tTMWmTK%R0ko!>FwB
z2KnF~Y|#uCr=DCkap_3XLi)qPb4etK!`uphdpIZuBHLU04)oZ&-(#0Xo#|Z~q&o2R
zV24A<6zCN*zB>bSag|huodsmCQTXhb2s~JF7MLUhkb&eK^iNI3uz-semIiA(0Vtlf
zDzuB9D~<0eQZ>$)EN-7nHb4RGB)S9&8-j96Wh;%jVM;EqJrAN_(dOqeq;MUthertD
zwC`JZ?Eb?gg41CtPy>eH69mnw6wsB%1?Fd-V-NHgHJ=agWu)y+8_G(BO_c+Q-T%2`
z5{|?Dc397X(DqwW=0?vYYr<;@D23ewjID-eC#(N<MIJmYq8ijF1x`t2UaGz3M5{is
z1OI8ziTq(rJt7c)R>jzUPMf$4gqQygvXK&(rEs;|NRZw0Ls&kks&tVFO6y;Hc!@gB
zL~MjxtCkK9`tO*M0-D>1*dl#_U5rsVLk<i`zAX0T%k63?$dz76ZfeVv52cq*&mFjU
zB6?i+NTy`7Ri~Oq&?H7<J3`~^Dh4<dUi2M9skC^yka&rSMi)(@il3I8k@wgJy!`2_
z(2)86s;W^+T=W&Z{XAwtMH-KMc@#v9R{}~eZ7esG8eyVv0EmQ4_VED=6xhgz=Ra$@
z987C+h27M0^qq9JF=D=bf495I;%?0)NPWhBQ6%m?GQ}?mJBFw&&=Q<Y{1w|23E=nj
z#qZRHYi3U>a5<vLj$F7qfdo^bn<%I)`_MS1SNEwZms_!CbL80S<y3(w+T7JD>H3OK
zILULx%im_WB(d87@BY-@*u|N-3Pj`>Q78$C`gQFZG-dXEG`W3YKW6sY$AqAP)B$q`
zgo$OjC7o`c*qkA$QM0s9h$0}%Lh-7}4qzPS=rSu_t7!P3N>uNBqIoGML)O<H<lj<z
zdWx6LhCW-Cdky<_d~Zky$B*&8p0+gXBv9~+BicZddB~efeR1`YAu~%{#)K4q*xPS*
zfpl_7@273pYZkvb0`0YKV=HxYQ2zb|rRwRwrcHJjcV{Zj^*RD&aZ>Zpk4l)S3+W)Z
z`0JsND9y3<Jg*|N(LrGl*9Ob^LYI&&&;aQmoP`2D7r!O3OZ}8MnX6B6vD7teYv@zN
zZAh{ckO*A_3+BcS`5h5RKxpa}sDnisAn!zSd<7AqhJ>I$V*?5D4zy|SmwJ3SdCYL0
zK8l(qB`i>xb5PgKnbM8d<(^{yCN3VBu;6W<DU;rx-$NjWr;Q_G&b@AFW}(418tUia
zA54?WC)lG<pN6x(b|M!pnPx4I`Cu+t->A^#o(17-h)<Cyu(Z_`Qw1p9+wuZ=m7~8<
zzMqw~fjs$p!%mS%Rfd|z)fsKK8ypKi)&o>~Vto}*QmOYkq;>HI<J+eGdDT3D!F5h$
z2k=d^s@LelW2EQAeX*<2?A)2NSX2=z_O$B=XlUnu1rj`Upb{O<9>6#&qbq!A@2TA-
z0)p|gBe~W<W*|AN<ob$JaW__@i+kddpn)(i!S3ceT4YygKe?%4W{9KD8IMAUWpgf1
z2A&+2&A?Lo?p8C8-=?7Fvy!3Rbp@J@MI}<41?Azy@;L5$0Bj%n0mv+IiZ>pUhMK8A
znU(OybJ66EWU)3t@WTrrtjcpx6WO_hBPeCM?vk*dV}N-N-VX9#P%JeBdInRX#XeM@
zXMpRuX37b;t|9QCJ!fAgPG|5Z)p~AlNCfoez2@r;WmszVyWqjb&c!N~hqsYg|L;>m
zg?N@sZ=W^_znzS)G)%Z2T+JP$&*ndJYi;xv*pi#@;zf(d?L3&573)I<G@ST?v4A9j
zcbq69Yl@=4233NGV(o$+`vUXF2bRp4o|=euq2JC8D0(B1q0;eXX>k+<aPqM@5Rxq!
zSyrUT$|1-O_G~^FM~Zr+@WZlw*jK1i_yOfog4W|jwNg6#?e|L-E@n<NeM`c8e~$$_
zW@RD!4gp?Se)}6n6blfp4omGsqdN>A&bCD3_+7a;s#-Lv<0LZCLTfgZ7hOUih}k(O
zY6El{rg9pScZ_r&rr8pnHgrjKU3(#QP~{`C&^eyP&8}m&NZ4_UXo+SHCHrEopQygq
zIE9~U#qPfOqlKTFt5~x3r$D_w)Ud*Llg*sV^@ANu^4E8=qEc?3rWDa@SsMKHQemz*
z_cX?MO-nzrEhede>Uwo=wrew_zMdxnJZ;1EJ%ICr)cJ3=12amTHGM#Q*v^ilWAP@I
zt$>(iuh&_3!9>{J&?%%Dg_4Nv?$pymKD^Z6=t}PmYO3a);7w?#Zv;cUPhRpfRAzx3
z3P6A(u=$LtdR+9Byi@ODTs{5V$tWsSLkAi*-yFFdqKOn7ot6tk<y4de0LZaMqFj0K
zbI@>iJJu41-K7JD<mTUf2n>Cy9{H=jLPpnZp>2{9ZuIiul_iWzR=vS?b`2qYs+XM^
zO*2MtbghHwT%jmDr#D`YzE{KOcZ;n*4-~2Yf)ji%rBiP2hOOc^Dj?pL<fx&;gsV>7
zcLY|rjNCY|K+Vh7=Py1-xb6hKDeqh$ne6^Qq@Lj@vwoKQzieyT^5l*CyxjMifihau
z2`vRgYu}D(B6a1^RotJlz02oi?5L8$Um{Is{`0-YIxi$`mOoZzL9;q(x}J92#}0$X
zn=;=ilm-9G=LCbAoq3hgPL&2-&86~FgTEXku})7jV0#DEEFtVL`@?DKdoht3{sY}Y
zBARLYGe`0iWTEwT0<B^k?pBzII{px`xk=J0uv-rsF2`0>3z7+YJ=HfVajC#IR$;mJ
zQU!tBV36c)YIdDKSOiKLEil~gLdzbW(GD)vt~8unfKvWn$#Y1HJ*UY_Nqi!WOT(UT
zvp`Iqrb#rr_Kb<Df)%dNr;s(>5^#e6v$|{SPi;;i4S7s1XQ(fs_d(!t6`8hCPE-X^
z{fPl~W2U8QjSX)!PGKrfN5(p(Q&|i13<7X)WK(O!XSOrwVatB@-{9EdMoa%|+Sgn9
zrg1)i`>6UtiM9`M(kSW_?W2k|#aRUYFy2+En&id~B?`hUNLJ?TNs`MBabS)zr*c6*
z5&sjcZMuY|#kVFt<}-=Ovhfxp>}1JF;3%EU3@|1e4H_}yY6zomY9=dE+oVh*C&a{{
zOnOz`DLsZn>3QNi!1Expn^jrxev?w=lrf;<8VKG*F>Z_VLf_lNuM=Mc5`)}fA(c;`
zJu{IIl5YrS#QxFf4J1hX&Pb!1QU)~BS!aCzi%@9Nzfi$)C@EBJrn<liLAvNB{M1%A
z<J|&ceRs{O1*^|CNbtq&69PJ8x&+WBuFu8m?h@JA#)Op2(BFY>5|%|$DkMwfM_+CY
zbC_jnV8FNAUrh3*d%`#2>&jXdEuni+IgvHI!-VGsGl*RwCLYH6*{5{jBmyTCR)=Tp
z@hVIX2PCk&156N~oX8P0M)fMZlT0B;s!|$M!Lol7OUz>rhN_g?={BM;omqd1iCZPi
zZ04#{*%5z94uQAn^d(~FnW;z;yO=$aAdn#a#(>eaX^SxLh#~2O3ioXWfQ0LMq7$b|
zGu!_P<jso8|EZB-TKd!2^DefXZG3u#c!KJdWDUYjZyItze2a;vL3Pwm-fAK4i@N5B
z{}R>8_z>N>3~G^(#z=r&F(Om8-`=9$bZE$EPFr^PX{wo8qkU;dC$WPWiU2B5pu5aM
z_Ldz1O<3-lcQSbW6!ycretZY_0^^=IXV&)~RbM$j!xymDi&mmC?2U`?0^cuxz{%#9
zy*U*8bsd9!%C)PK*p<_*ze&<5LS0k38bBzeWl|X5X@L4=)bEj5!x!l{^Q{$#Cgx8c
ziGqMnI>#Nb<;`W7a2HR;7+e%5#05fFbjybEtSu9|XJeAOngDCK(xj$v(l<>RY&w4b
zZzP@LJeJh;jl4j;a0x9ynCA{$jX5HC=X<8A77_s6{HRt_Oqh4};Q3EO)UzJ}dU*)Z
zXJ+Le7ynQVaomJKi@sc+e<+AHwGEpV(2cmFyn{rq?$zd+CE|u{)4gSJEo++#?P5<E
zJ4^aYgAA#=RD<y01qcTb*AaF1Y^gpozj{npGpswzqGpI!q;-a9O4>{f&+w_)ZTb8d
zk7s?#xyXiP4gGseF{+judO&~pLe;^p^F`9<3P1kJsnbv_ey}(+SaD(jJ$C(R3~+Ug
zHsBnKBbl%h#<_obexr~&gHJa59<}tLEXp>V;KN$A{4f64$hVS6Cy_aGRn;L?zdY!m
zo+%j;_Q!guxaej<WPLM-{s(^sCW2)-$$^+|mB=Q8+0f)`>L?Dj-3+VzouyHDU(Xt~
zxOiz9T>>=QO6OPdtp&I#jedS+kC8sZgzkuQ%qy-}+LY?<&lxG7Bl>vqK_KVzB@n=M
z9!i=zh|`ibaH3}sf47M>ObC`^7kYJw#*luf%PSju)mr<JZZsc}WcBAg65NsVEJL-h
zugEUb7~i#TTbucz@|h2bhYK(-sV+2R&yT}fzr_ZZla&g-Zv0Ep9St`q-?bF(4$jKY
zlmO1=+8_0&&mV1C8`RL$rYtla;^VczCUh(Voz;QFbY@VKxOrIC^*+gZSXi^3u+b_}
z!xo+*O|?$i25YM(x}hX$99)&*&0$T$BARP-D52f;CcT~4ZhmUo)E2oajPpHG>Gq2X
zGP<RwFFc(IsydRH<vwKJBTEgP?RkAQz_T{dAh;d#_L1nOrjO+^UpwTb?{(LF_v=n~
zFdl1Ed!XW#!<n_tR|~@sLD2~fTm7q07z-SPF27%)ftQrPN^w#dLS9R#qd|QtmInZ7
zNGSp<31&jQ!|^U?(ij#C91p;^kr@<Yr=y5qsJHe!1?Mke(wh^8d0EBfA;_w3X8(+Z
zGDAAFWmMCQ+sNXlUP_bv742XZ=5#?EM<`H-<phdLl#9NCQbm|nyjy`wm(Pw)Q^EoP
z<*3={!VrFJ*~YW&ONHZZ{!rSyti#2#1+1vWAk)eF)0$~ANIs)Y4JFeNoj>37n~x~x
zLqh|5p|bS@Z2!X6i0S;M9?k1-jC*P@?ldtbz4Wt%6uaZ<R^x{PLQ@^A{CrIvz8qA7
zMzMG8!2?FMAtc3Q<eVtnUko$02<8L(?LoGqOi0TY407NUQA%VPv!(<*b(N5Mx}b>m
z>{@QU@yn-DW5m3|=s1Z_)&N485xMnu&m+x^H*&wm-p@%)NoZ<9aHO)q9mX(03l%Wd
zz(W5{advi6d+9Vz=5~2Z#HWXnMe*$~SM<p6avC#8X~JCDNiUq%m~>S4evO<U0^keY
z?pOj19{&|<JY`qK)B18Ix_{rnVajT9tva=id4&l0sJ&UtU$qRKF&V7*%5fX`B%NE=
z-Ddb5ZR&%V5v}t<=`afKKrH|vUerDo+r<0_&2&e7iL=@Xd{k_XJy#wvX9jYm5!{Dj
zN@*IxS86}4rZaFy@`=;)5P&~(S4&V2?7Q0d1)mY?NS=W1qYh5i)Bm^df_J>g0DkhG
z9yE5P-<CZJjopaT@f}CbV{g130%JbWfckCFEnM$1wf~z=T<6dYJJ=@`WKy`TMce<J
z9CAKP{tx(JM5E_TuYln~BNhW&PwzcRC>vQdTc~ze6@}xq-IQG%!yca|9Zy;J%9MSj
zuY`|EA6{)emdyXwxM5tt#p0QOq9f6h&`EA<_?cVc*&(~>M(;w4{L09-&(TJZhvlht
zYg)bXN=XtXzP1n$jRHzcXnKy4cg6^!3_l5UWdUSmm-lNi3E_VDs$kkt(9vX=cP|?U
z7ooS6)(zirH1*<KWxW~FIg>;tNvraqJf7n}o}plfrb_=8@ZCs$lv*i|1T%0B2z=In
zqp%tT86q)PVYZEhoyDVe0iHc!!mz$;;iKqcq`>GHe85+;0|T+6?``t?*rcYC*_TC}
zrARUnpi-tUpwyo9wZDa#5sO^8Tv7JOoFeV`wb{`wU@-ZkenDG!Hn7d>UX>Amh#_Yv
zI-7K0+59XH*>(r70HsEl(a_jkNh;zqcd1)ikEZ9D`e2$i`Xd1mlrFzkirxw|Sar5#
z0<g@)yVo0ovCyJv34)3mXkN{zj0%W+Y%rg8Vxq>+F;mS(&G=CCYw7`|gz!1=#DK^a
zKO^Cb!!2>kL=kI%>eS#5I74W`lEqhMsemY$lMi-khjfr!I2GRvkieSpr|r^!k=%ji
zVghjW_2sK<o7YU)d<f<{A{@<og9WfVFtb^H|M}2C<R7&wQyBj{WGHTgepIjyR@X*e
zz8af{f(03)x+U-=5%xjZv_#uGz`*nIJkCe1=>KD9Yz$jcNi{nUk7ZA?j>9&qZB<<A
z)?*_Rx-DI05ALN)6*^)W1luZg)rKWb{91+8E4d7$kh_!NyGdSwWIhsIUOO^eN`GXF
zV#U4qrk?J<8XnycEK#b^%z-7OL8mkizK4!0Nmn+R{idc>v&_o(!27-Lu?)Tk)B(wB
z_B!Y0+fAvK!muvzW!cz1{<aRcl^66jKFXLp5W7<^=_eW=m%Pyq4USrd#SChE`oAos
zdGD5IPW|v6G&u8247$kR^%ljaXBv-giqOdVqw`h{S7C7+Jii8ICjayvmz8r0G6A_A
z=}d1rYGi}(f>LtK;qf7~F)g4_l5jvQ{Z6&H%%+PxYwV$TaBC&Uf#{p#V=n~U(al-G
zw|JxWR1>AK%YZXpdR#(*IM0;-r;&17(>(2`L{Az>3+MP)%RKsh>mC_hN!&Gvo&Mwn
zI-Kd{h(t^;V;Z_Tm*(uKUC>aUqDDZ81a)JH-zlwrYe~Fc#rEAh%w}ka)d?}%-6<eO
z5`P&yK@Cf?SEkq)ae|=&zqDJAHE%1~OmVoo2-&4+tv${am~90M#(~}kP?DQL%$!_1
z;GZxnNeA_^n9TDZI$BzfO9M};r_1djZN6_#wmg&Edt*qrt`<{$u}C-t$A8{D?FTXr
zGe`Jc;&@|cjPv)g>Ev;`gE5Ynz~<f#IEKwsSwAgZ0FWj(S5faXUMa%=(fiEbjOY09
zMBJFTJZuP6<X4)&)|<-snA{tuXz8G;OzH!+2zA4!`6o8+Hx69N_W{rXg-+9Rw}kmH
z91zkvAFDo+gt0>uUfMBeD4OZIN{1>|fc2>wWRZHy%&45%!)0oAV6gYt9cZo0NmII9
zgSn`B=T{1hU4agMrOr0TZUS+x4$5fd-u95Oi>$>@&M4~s+IMbT@aO;`0Tbtw=7Mj9
zji=MB(L;0}z$rgVPFW%S<wai`L9!vcv>xy2RIc+OwV=9g$vQr&9oa9W$x{l1E2s$s
z#%ihYSwa1%Wxcgl#qxewb3M@gI)bw_f=!x-xqRP%riw`TlX445jRP1e<iphg$jT|V
z0RYi=Pj%Rxb-0R~Sm4{TXVNth-|BrYKfq5%50I67t-f&hKN*$DkgW84L~2k^n{uJi
zY6hm0@%9Q7KDPkvaVBTq>c$Bv#aQm$;|SMR$x~(@zKMbDHnA3eDTx@^x54D)QzS^V
z#EZ@UdVao)lIeOMyl}f_^tII??p6~vcwWS@94|}J2#aJ<&-1V$W4yL4dsGC;=RbA#
z$DoSLT|<{QQiH@!>yZlON0mAo${jX^q%GBeD|O9UFMuWD+qPJiuN``EWLau}CXX?p
zP_BZBUx#|g!D^@h!hb6u4GcV~2k#OrgUeONdTMm`#G!k8SO_#6NF9}o=5&dGS!xV7
zZ}icnP$xP4vXGYYy&2!-y92rOa=jy>_neVj*hyINt~yT3=K`_T?Lnc`5Y6Z_Q>tsn
zW7MSkbar-rX(!|=Zbv4ZOaLnfM2rmUiYmDaC58)ncL~TZ>BL8cutJawefGOS@eMca
z5k6@q3^7@6%CK782?bq%MqdC{Jb#wpN8saRT_r?4KL}iivn76$&8W2j3~^x^1;Anj
zr}mEQ1+V`m7VlqP*9S3TM0Qbd&Q*<i5~fO1J1~jZaz;`hfJ0b27q5{k#cM`w7eJ_z
ztV&ifKBH@)EVGLun}bBY3beaZ%$k7~nzGb7`lX>?AVjgto(>mPvFBU1LIj>M3YhoV
z_7%5N2M+px?gjrSM<nMbeR3C8*^#@G30&7{J0o*>sP)ZMoeEcln3}DZ0`SDAt*9TN
z8#4V^Dxp9N`z@BgZ_bDPrJTs7$&<E;kHI-1<u>OE$VROK*J5rbyZRK+ST$&E4Di1B
zzl+xLLkZF<Q3N`x)nzf=qsOtJDp8Nq|8z(5j3E@|%hO0k3(#KItw!50k)7uwo13^W
zp+5ENs(i%^nl6;n$|2QLyBgx2tEEV+Z0T)I@BCD;ZXxIt$clN0!j}_Rb_YB!BI&TB
z%MH;)dsF)*V&BJGeKoM1$rELSOFBG-3*5{=lfLL3T~0m8bs`rr#4M;p2BbVcgybA@
z$9*@WziaEUd<8x)r)>NA1!$V!8aB4v$$849L}@??U*%DM()3ihe1*fdv(?rBj%;C0
zV{0c<b>1wTMH?8pm|rB$BUMeJTJTpR$8<S_AwCXcj18Upf7_Z<G|%xYHIK+<W2xdO
z5kb6FSyOqq`4P4G_;uutIuxh!N^k3wXs%?^J3sF%+E(^oJiZxVLw>VXeLy-{tuPVO
z$Jn2w4JkP#LOH-A4`kdliS_bzvm@#%bGY&lKSip`2}ukG75?{<7yhodqJK{{zUt4<
zJ`!!S3<6}e-WRjt)QRTJrDu$`{}GRhhv?DxvDbhnx)KC!!R*J(9E>_Qk=7!P2&q{1
zong|*+(W<4j!CMw=y*F11!~}P#~q0hATn_h92*FwR9l;Yw;x35=r1?CU?{yC1g>`A
z*iVhE$g-D)%4sgg`cap`h;xiqOflT+qHfZ}(rxQSHX`!CqX14K-&Ah)v{}$UUHal5
z4a8j%Io_IIYf*OWV_JH`womiWm}Xfo#q<NA$T2q-&eSU_T8_Jsga|rPL5>r+Li*Ke
zYn3L%^=ImagaQ0m8)EY}chPI8iCPLfy;z}BvR|S({SVwb5<6_pgiMx{GF4N&E?d>1
zP#c{vxBFEtQAtpO42@|40u+op3EnpM)g&66S-65d{@oA<ogt4OKxjZF9d882N$!yr
z-uqtXR4tOEBhs=q5b8)=P`dI=tL&5->iKk3X_L2hGZY`<V`nS8P+>>3lIXU0D{ckx
z!{jH!m}`ZB3wBlbD3@RQg{=VeV9){fw=v+K-oS}wvS$A5<P4mx)xG=PwV`V!nBw^g
zqn~H6C5clm+IM)6uh`4aezkiZ?fGvqfAxA3Z?eQF!Ugxk)<%cHV=ngvlW{ixC)o*`
zv-v6T`!;Iq{Pvj?v=PV2JU$T<rgAN6d;mp&fkh=X^;2)W=Kx`##{{B=;JW!g)+}*~
z#tPHmEZNhTkF&D=i1kv3j1S`xk$0*2Bj9}SE%%aAyXj}wW$dtKvV{&Zn>U)LpbHrc
zw&!68eMr=uHxyh;LbgzibGP;jDfKZz@J%YZlSOK$1Wni;-q61Oj#-be?+)Ih{N;fD
z3^N;uu*?S;S8zYA9G&5~Tz=H~gE7AQMD}jU3E03^i7I9q;^Pkmh8aG3QmspdKFEAb
zk_~=hNytd?V?omePU>_-g~R){5=1RqsGLuca{+q&o+PhaFqmjFUDZk6Ic|-PXq8({
zJL+y*{co+Eyx!|Ywga(<(3PF_zmA8Td83sThxnGXD^?(fl#0CMR=5Hz0JUN9of%BP
z@pT`Xc#egZRtj#;#rv>P-B5c>6Bf>{&gXUgj%lsI1+l?d3N9b77Movj7$O5)DQMNZ
z8!x1ajecHSgbd5}WD`eAnZ9eZvBp-r-12Y`9{nF`-PBdB0acc-auGZE(_Y<PaoN;#
zXw#nw9@O4$2!lk1Jo{>X_Zh9!S`A=5HWu%BPKAT^Zkq?1hdU|xIkIPqO);vG0%k?x
zic_%^NQ)CnzY(zyC#O=IF0McPvSD?1()^<exfy-yr#n=(!B-uP$1}JQ##mQl$>jPh
zUf^sLpbxKu(r?m%&v;kX*@I{;-y}~_PwQ}&JeslK4u@UfBx_eX?M0~wVuMSQ7Du*V
z+uOU*0MU6qpnO$mQLU)Pe7Jaq!Xn*<xYyr!!+VgoyMbm6N7Vwz^{38(7kUL>#8;pn
zVkt*X9DM0XsHDRR%c2y!^wXh%g<0eHhE&ClD{efE=?=@29u9c&F1lGf(dEaqm}+lW
zjhX4#nl)3BcUx5;)M5*g`8G?n!n~{YkvLe6=iLzL^$h0fbkn4dDX+y7MeAfu;FSLT
z*G@S4p|<~Z7BK(a!Hu-M-BD;#*B&e!Q=xFYZxTjC+e&HoPO47H86jcRgmIxQbm$HV
zADXtj@bpv4U^Hui3nJdJbi4&Gez7~I^kw?e-Ren}7W?77BI|gzEamxQA}lh`t<JhN
zwd5cwexv+qH{g>ydr3rik|^Criv8Zg;=$G4E?8ry2Sc@RiD~-Z5fHQ@58pQ&Ay*``
zV4FC-W~6UK)tWivis;hn*tI#n!brH(3q5<<{xIG3>{>@>{crTM$cw*_C7eDx4pQt}
z;-p;~P)95^1*H|iNP@S{mjov0n{L6fUp-I~eS<!|?P9{a-zZA4l%>phzKB(G=DTf!
zdDeE|mAWavse+x0e<o+f>jG>ZY};x6<i>Z7uE`{11<$JPp;Ms&^4aS2-@G|Z6luLf
z(D7&hW7F$gkdDDZ4i2AI%8rPW0NIK9MZ<MbuJPk5ZF%WDaE_TmsP?G~uY*8ifhq@B
zI)3-~y6eDjm@jK13;K+Fhb_*keb#G1I%eS#jQI23rP2%st{`Mc+5oLv+C+#iZ_AUI
z$5W<iif6oKPeHCgh=A(U0WeC8gt~Q7RlwPt^Z>BqPF|YL{bytQeGt_y79H9B2kkmd
z61}cQa6ocl{W4GZD@V^iqPGmUw|73m22j_<9J9H{c(sZO3{c`%GLbSS)uwjx$4VtT
zNQB0V6|gm6LF&1%m_R@>ELpSUQ(K+usxJz6pj4kprCzd%T)5;z_upy>UwL09v~`t}
z8~TK{bAdz~M8w}*K_1tdh_-lzDNw?yu9MVLMEQRnMG^UU9L!<ucCctS+V#^z+-iJ}
zXW|<6%VJ<v^SD=8sn1iEHsiiv7QM{Um~L0RGfepXEvB6v0DFdUz<G62D4TZAMkCs^
z=m^RZ=a8Hm*5$xfb8Zv7#Aaqb5eRNL9Zx#7_r(r`l6hP$C?XU#vJJ*R23VLCD)h?_
zLXRR(;xt<_?iaE^#I<^pnwf7C?3*D`JtT`e6E}ALz%KZWMf<1Ym8&ITdZ?gkC{&z?
zPW(&i5KE6aj0c_-mfl-XU6+8DDVj6Sh-6}e#H#Lnk#KaqwX5mN(=(@{IoL219KnWR
z%gc}P=Ie)?xHKzfrbwvIR$!BwCs(p>Xo&iA%>_62Fge{$W+6aH=rNjc4wr-{iCeN-
zT{%5U^RXJP4?ljA*`EdxfK1cDGQWC*9*`1gq{9gXI%DjCsKxN&Tcyl?&XHV50HUrB
z2Yrq_XZWEeGnak|=D}f3T*oHesl}I#UAq#=8ePjggfdWQJC(cP%ZT)i@)ot>m)pws
zaN#cW%>?!h=1wrF&&634RFB~K5+x2aRqYCZqdF558Qosynz3{gq5%e!pjVrW>o4ZR
zl|V@b46LAhHnM<NcjI?`j~kD1V1Wamyw`RKs>KGAJ2U@HF+jaVz6fDmd1};}<mg>X
zpxe_tfS;T-!Iw=>SJ~Amk>%^)*H{7f^llqHmZ=tISHhLfn&;pd#cSMz_gMMM<?8l>
zl-Aq}xd6iyc6K#{`gK4tt{RT)^vrD-1)wPda5Q0eny3mNTFr8jrW@32?tB1xb78h6
zq29~w`mHq57F?XjHl&th0X@4?ioq{X8k;P<Fm+(@j)b<~FtK&f3tt7CG|qvkiy?W@
z#;g^KHQ>O>ttrE+yGyVLba5&KTd48r!^8nh2?iyhlQB+Tke5l{mkOq~M12x2?Y|%(
zp~__Yg)~H+PUcsc4$Blz9V2i-^7>e=!&CsG5FjF^cD0A0zYy8p{D9b=OPE!Gc<q|?
z6FGS(({5~axzbD-+X;tiHIzO*aoY=C1LTX2^81&s4er^uy(1<?2mJJ;+COxHONA`F
z12w_rX5xpF-(qezU6lv#<o1_d<YlC6g8!_-jG_b8G<@4tJn-t^)eMGuR$)$Z2nj{|
zntx(B`J^a5)nBnlxFp1njY;%3vU0lJ4hN+wCU%6?(vd9gH5)`2Pe0#`6%eBAW1|?S
zQk}!R9{};V?eu`Ja``T0IgVc!gy&Ts6ljRjejD?*&yK)d^lPDq!J!4H+ZdemC*g%t
zhAssln)T0DLp<9`Zx#vZW;hgD2daOAltYRw_t8g8^s1-~`_vH~r;i#X9VQS!UR!LF
zAP&88p?lCGSjgaNvw2IE$%Wh|%ZyS>A%Vvhl0xEl5dx^ZpyccB-JvpBo@g&g@Ahly
z7oQ*BYt>BaiRQ%&!=?>bEz57a_Dj>eDgHQpo2-dBbWC^_t2N0OW(qtS4e8du3tY_h
z***LX?2f(9X(OKTLas4CQTR-?MTQFYu}nD=#)1~R&~>R%@bg?whb%IYU2E)3%}nZP
z!AAn(3eCp?aO>yqIej*cc{9Ao?cEdqZRxl|ZjWIXeE{q6>ylEYe?WP}ngPbW#@hJS
zz1-?xTfBy=BHfE!cd46Yna+%ANjhZWtdBD)Zd9?d`$zD_7v@P%^X^HpDMza7{P*`U
z>;FM1aS@GL<1_=KOSTWeg3Uaf&#(aG)`8qB=|ZCr<-cT!)utf>ns$g(V4xct%}hR9
zuyI^p<$-Jea@u}eXs{IgTm8bg!xv74>TGnkXYr+uz&CyyJpxr1gRdCrd>v5z`g6w4
zW^%`m*9nTU%_a3PX6`h&$&)D+s8AW=2n&@559~m1;;*`ijJ`ydrI(O9{~ZA8O~4a=
zXQ1NS!;i9cA0U9OqBT{)Dkxhd7y?KV^*OzvfJO_&ov#E=#q>pqg10gKL$N3Hp!G;P
zN(Bs_k=&DfC}Q=7c^rNwf{(L{B{&FWuq0(SToZO-FWq?Jw^^ci9o;#OFi;J@b>rw9
z!LKmKrwJoyb`xc^r(8+gkmk51`*LyF&vJb{%l?KnG7qJga{Yuz<*hYAQrx@C0=Z>3
zE+?BejJkE^%rKLJ+<rbufCX7q|K+T8g5kBbvVK|w+Zw*<5*tWl5D9($j~BNYh<Fng
ze<#W^$GNOJnztFY9I@k|^#&N%&R~eE@b;X{dW{sB8y~8pN)@vp??|zokHaK}Jnz;N
zL-&(WvD)yg6*5Sl1R;I#-5_fxn%|>}ZORye_o=vdy|C7<<;puoeE-@E{3~#;sVqqs
za{5J=yvCJme?-2G3W2&6C~310YJ!jUE-tj?aqGrTq>s2Eo`-;PK!~kScI$1U8$B+x
zUu_^yCI4Ivyq}ZFmN0=&lSneyY%IL`{={pqadPJ^gi|sXZwH_L2Sz6AMHd^`#3!yr
zT1Q?7VlykU|Mi1(RZ%Y>E$#!K0&)5FA8|1s%M*kSvn(qz8rzkc9hKtVE`#j4;9@&8
z^(<s_xcam(X^HRGGp0>}|DeF-CYTEi<ZODx7iuyogH7^6M}#s=oVPDUIm1-l|I2fa
zmP2HStOC&s91c~zx{A+J=gpz&vd6MQ%QH&^^s8s2*;FnI`W)CC04M$^D^Zvuakz)E
zH7H>3XiI56Dr97Oa@Isdf;Q`L&^5>FD+RE1!e1^PSfX0{1Ili<3ixUJS#y7UQVKfM
zh2f0B@rCekD?KB%a<0+DMr<FK(*ZHvDijSzFVnK%F7=Unj=RvXxhzf$vPTXq;mU&A
z`*6>xO1kN;jL6PHfvNO*xS4#;Gh)f6MHtbVCgXVkh;*(ezveTXy5L|Z4fPFAs%cZJ
z8m{#O`2pg#jSg%5{~D%j228cmSB<K5c)zCJ1O}WaKvILpIsF)D3!_-De_1P!fpPJP
z*kEwk>dA3zrSa^^xaK*Yiy4S&$HsqE2!X?^m7U4_R&T)jF{AwVJ>x4k<ffF?Nz!-O
z6cFhKBz6z{fRL`^Fra?NsP$l~6RX9nN4HnghYTfHHLb~c4B3c{V6`RwhhK&U&CAx1
z@@`%Y!2cl?)qx+h^oC9lI1C5O6y_##q~^wOcbr^In0;LbWT7JGk3LjMoj=PvGR~Ib
zfG6qJofVu0y@8A5*nGaMDtgd9jafPf=r4RVnCKaQCMzqJIp@e|fV+WA0EE44w)pho
zY`XP$u&CEOMGGz@%uXfJGLm7UjaXHa6Fv^xLeaIt%-Dl)*;;=*+C^z>4mj)+LjCFN
z>GjrKHDu;JjNYC0V~y~R{FFvplU<XPTfHXN?7WPn`78katUpAa>cs~}w$9EsEVj(l
z8ZcTJg1u%Jh2*W9a$(-DK>Aa>towoG<Xh;sj$fVgw8sYs?xT%|5|_1Su66HXG?CY)
z>fLJA!Uo=j5kT;22Rh8IWhb+}eaX<gQ~rc7P{*VYRz)aIs;>}kygFT41OBNvvR$2C
zG<H>qNSKG|{%WB`ni>S?{iW9f{ilGO-yV<-3*q?*oR^~vy^O8bXts4%GMzPx2uEyo
zav6gT+bR`IkX~<kmhsT<7XWWTZOywu_{VK9y_=PKYh*`Q5diV2(q&G_NMWPR(82FX
zQ1r0!PYW*au6K*te2=d(Po#<zX3&e*z-~Yc9r|Sb%t&Ct>8_07w-4V(ois_dhg#=L
zk!>YeB4GSbaxKeLHcQY5*cW;$2%9}*N~F$AWJCTbe`Ra6m@$Ieiypho?mA&{;z0WJ
zVpt23;P0VrD+PAh^<uvK)Ktf^gFbQKssDzwG2%O3&WW>ICD*#`&r`Xg5l1?Pk>jr=
z`h6N~RETS^jft+M;eVIA4Zi)`LPZ%bZY%L6gIEI3Er41y+g_crs(am?e9tD3jNUcy
zL#|zTuw|m%v5gJapsmeQ{T)b$VHP<#f{w=aI&PiC^-Y>44xxeJKpRJkUPXMAwNfiW
zuZiW1mSS@)(9Wyee8+4u%bx&nqfV1K;n06~q_|;?Wy*8cnm_7}sw^s$k#rXs0Rycy
z_9tO!y&Cm0eC1;`Qbtz`c{&v7c$p0r4c;KF#X}R(rp*qKBl<nDfl9DMEPd1rdPGH$
z%L_+M=iFxQpBMwFv*l%3I}ig**JiSn?q`HcoA$vMcyb^=SjW2IvRG5vT$8|Ioc*1g
zl!z1nILCvtc0CY1?k0RK)aDX_`#8!%EaNhcpNnWVzHiymi<LEaJc==!ej7))p=)XD
z6%CG-$Iu6T_$fF{KykevI<|9fB=(H^fRfz?qWkLVfM(bj4H|{3;??0WHzhksn0sWL
zBx)KiZUqp!=LunF7zB%roF(P(Of^mS4I+n=>;@_ma?E4wrbYiQn0NgB=HUD;sUGI2
z$c)hO;}+(5R%eDq0rp^n&t%jVd!(n1Rm6PF(?MPjeA`$`W2u8OM2<*jCfHc2p|=RM
z31`F4X123`(vrsar5`O(tuSBl^`hqU@BIBk>$^kB5k2=^MBxN0hhv)9vwXDgQ?B%4
zU?~?{R>UDH-PX?w_48)SVC+E&*1t-%3s{6wBBVqfoohT#pCHiRpo&OmPjs^|t<kQ~
zk0HK3s--|tyil1N)?h)56*_e1hJtrz00XbF4&RVoyZWi@0Uxmz{O5ODdbbvwEBC6G
zA*NylM`ug_L|j4EN2#XVc-JZO@m`Qke~yJy6)-&s-{3aUt{p22ZqqA^H|-)qB(WZW
z3(Ph1BJ%RG;U%2^|9I)Z`UVI@`)1Jvd>Xu`Unf9y1!XD<Mv>I2#bYpAm=&y70VVy7
z6_nxg1kk*>_$>9OK6C5AZXsBkQeTgg5&+)frM8!j;Q2pQyA852+-x(Ij?kAr3pN<)
zFU-9oP98lhs%-DiyCSCr2V)Y$S90Tj@$0Qsa6j+ppk9{^q6__SyE!3?B6>aY=wTj!
zz$h6U-@X3weZge!smTT@5e`egZ*IF#BI!|~vu-E+&CzjUTht5?I0;OzeE(TERvR$2
zVOdG+HuFR#kHLk#TFwPRP(Q2y!pK?!8~+C@xnO_ERz1i*g|xwJaW!;ck%~@kOc(kI
z5O2q|#&{BN7eUBx&!t7M-#NNwhqC*{JH?m?h)pc1f$Gqhd5fFG_5bzZF~DU{Pxj5m
zG_oz-r`^tsbmB$)4B&kC`d!VrSGzx=ggF_3D|f+y=0@k3o-UWBBiN4<@n>niMsu-*
zY;~U%g_zq`oPBRUvH_8QW!>cK=b_xi0T8i5R>unz`}sso&PpOsRT7~BVbGPHCIo<=
z8A!WzN4;rr@#=M#EK2*=o4k`MaGy_5x`zy1qs;G(TQ>;V2Je#W)W5*5A&>g>n+!FM
zG10dX*htqi-uT9^sQ`L=(gQf9v6dgKxNIU?Uy>K8%Q&+xn_qaGex#INHQavAbyprk
z&|DT`))X}|_0APt0*<Ams0kf)pzF`AV!nf-zOeOeXkGyqp2yiz>8Ai_c|fENk(9|K
zb*P!Bp3jh$3~{u+G2UbFbirsyWlGOuKqW4l+K<q%w`}Hk`uO8Gc|XSpebVb0h-JV>
zW0ckcA{Pv@NrH_nSz_Ze9Tz)HFxrAt<7=Z1RU7OCJ=pOEE2vI4NA_>7D~cQRngZ~B
zI|=|{&0n(zo)>x&^IIEK=V}^`M1tRg(6bTLwSIK%`-d;hsi7zacASD|ao!jSO~|>n
zs?|}!0crhj?p^8Dh~I+N6nM1`1vE-E;(Ig+HJU7L=QbSg(qhJFmw0Z2lQX9t2SWpF
zI(Q);^fdQ0P<y$&c8(2O?(n^;hTAfhzi<a)EE}wT>wav~N|8t2JQ#0?Nc`bGuGPKC
zA>}t_RjbV~r#e4zuLWXwwUXfB+uRpoQq&dwoID}%B<Yx?H-G=Ih!FMjbY1YT02l6w
zIQY^j0368sUT2g%4vw_j3tO(2qW;-djh=F4C>vX_MRTwLWiL4KAFZ0O97Pt_i84RJ
z4S=Mne9a8%$W5>jg4C#fZx2!{9k&)guf6Ft{eB$WJ2x51+1jXldlbsCrf(}HWl+2q
z0eUcEtPlftwk>0kQ8z{mU}Uq-S<k%`(gq2N19SKcvP_lkS#;fX9`$SO7Xf0FdOQ8y
zT~y%bC?LcH6x=Dgsr&jRY#=-s)C9xz?*AaE=2!CJn?M~&-<W^b%8&S@0A7afNS0pj
zLRYFRj7A8SuW1bUe6FQO>Q~P)YV3sIL&_f9t+Q~v(br5y-#_&Mk=quFz-!ZcMfX&L
zCbhyr72f8Y*W!T2{Bf`~S|ww4vvO*KP_bYE(nv`=W_X5m4^^xon$f|b?24#|yuUtm
zl!p&%w_?zLU+fRx@19tzz45MlIu(v0F1L*ZJpM;2@W*&St=M@$cLlg`;voow2Df>d
zEfntAIqt<hoc08HgqD#G)QyjqAcg^P1vXOMIl;-xtcEi^yU^KNQTKz#B+?6>=3dj<
zZpQ90hnk=L!l2a?H&a!pn9A5PFTS~Sfg~&Nz3<CoARL)9TBo2c`jz|q0?e?DgW@@d
zeWzw`eFtJt&h_<MWXKEfi<rUXGqicI6^-IQoGvZ?ou)^o9MghVVglZokuP%qphn(B
z*EqWFLS*`8aSM~wCO_~rYIrw8E7ghvkJhzi7UTr5DTRr(4Kti|BC^3jUY}#We5I5#
zBXd8CMAYQ+GfRJG!fTK76^XOvq3oe4^Gdt#io}q-KM&!b*`Lqh6b&@d>a3~&>lR`)
z-$x?|gL>S@j(gE6hOoyGJ3QBFA%C?cdKndM-!0zgTt#)l@mAql?;c0DQ|}^Svbw5Z
z)gGoel)_k~{IRL59HVGrC3@_BiLxNoCH=rOV=!*(&)ac<-A&^YHr$MWl8YmL{K8o?
z!1xD_1Y;_O<Rk+NEK6&J|9iZagE*9JU3^7FDAHlLy<MK<78G9Y281a4DM}7Xc;i~u
z09D#`?Q3LzmmE<FD1=HkN5dlAJaNsCpL<dFT21AZbyPdBAFwAT*$smDT2aSt*wW*#
z2U|qdINDaIq+W(<@1_uw@OFx>e%al)1XgV5(|~iOHW1#qfw{>H;P6yE@YFoRNm~yz
z@g~oliLWSRkG&Ge8FgH+m|Whc-z5qjD6YdS%aI_E`a9!tX^!PVN8(v)Buq~^+@ElB
z5MQdOC!MDjZrw{G0ZDGp<@UfplBmO&ubnCRW9uau{e`&JQGr-kLS_a|C%_hxIwLz4
zeHbk;e+HDbNm3sLCj<Rhkg{@S$4aN!KZ;kQ_%MEc%?r;w@zqEJz?1Gtt{z-dzFP;$
z1xLe@os%QxCvk|^UkaBaw_{>_7)P|SdSYmh1G$GC8%**>@@u`oCja*~zFbj%QPM7I
z<54+`M-RC0mrW3cvjl^h%hC~_+k!T>Vc8IHL{x@^1KwV1-@7WQyDtNH?n^M0$M9lH
zZ5Rxnulu;AiX2k&KuM$cE->WDT^DyOlkO|n(E7t=?_ObbhB1_*X8Wwdq+9ti9_893
zP?R}1!FxAYlVnH@a1G?T)RIWKp7L~on1t5kFUKO;`b<vqBAbBr$<sUA-;)bjY9XBS
zQ?^br|11U%{<WIOC{fw#K1)5Iw;g2sbV&nw4O@YK8$wg%p)y*9;CdN5C$LmsDIast
zppl<@!%u=C#Ql}61B0{tJ-d5MYy5%C>hUr2z?`M1fItW8JY8qU63>i1Pk&&CCs*MY
zZnl)f5kF9rL3;U&pM|<bLe4yO?e@?>@{CP8v0j@1Oqz5rMS!F#M?|8Ku;njcU!dSC
zyZ>GG>mVv3-pwrBaoUm)V!+>eHaIr50H4OlK$u<~`v6eJw-h?)5Pni}fX4f+qP|CT
zN#=hX%~<^izAlspiG!rHJ7knd7QLTi4q`EzK+R3=tjtPzEjd0Sd?EhUdAC73R@!z1
zk9w#By~E`C@r6og!;G-v^8huUYZzCsR7)QR4G}xteFmtH%%JthIH31o1gA@-WiiJ&
zE$_;44+yJjrD#M?@Ijf_f5RbZ#=_A1=gHQCig8>UD6~)lhIh`_KD8QTkZqgtT{<Q1
zrq1hg9CJR30A5`_S6K6`N1=hh8p$T8)|**lBQjzv@9eS46b4dsOCUOa<$qe!MIB{2
zWV{wj)8dT)x`2x$9ACS4*TLx9nFeCr33uw|p3f+MgVKzqH~v8LB7tZ&eM}mej1kz(
zC9U3MQQ9rUjCLJLaVIaxS^{z)^K#E3Mq61hKfAnX`-ipnKvT{gwmPwO_!xXO+VTJy
zX#8^HyD$V#$>Ry<nP0R11d=GCX|m*rznXqPiY*SCA8rR%xkggE8~^#jew9CQtw`P<
z^QJt|9&Y@nF0gJ5*4?w2MiTx4Cdjto$m{_B{kC_*{KTYO)DTeB1<7fD3*s4iiBVfO
z9L0S=cn~2s**P0Tbzg$DAf*@`b$=_)1=O=bB4T)KjTP)YBEkN{$d!`yWc3_FcD+F<
z-sM%W`7#t!s7L53?*K$+Q;;;%AwZtt6;!siGDNbRq`$<wRSbieY-h*0zm^+OAY`1S
zXh!(hIiQ`ABJK%F6&q>u)aVO;#=E?2<W{94CGLNVK!m!9LZ#iTf=7xf;X2~Lod+lJ
z8jw`Pce?_0;XOr=>mHdo--R{GwoAvkUITHJl{`MrNOv-Wn0NgfwJiUG89-SJmD&K?
zpZ_Nxc68?UXUGUors5wYX%dfbRc)2h&=bw4QlCA(V{Ityj``aoJ$qz~gIs+Z!py6c
zqmXF4e`<frYS^|KF~i==kvU4($1GDU*o}>~#3v;CB3SaSbSXRA1^5f8GMvqedw;bz
zBteLJk2gQX3NM-t=y*gCUhHG(#freA>h2$pJLjYLlXUN8i6;CKZCFXpKT-5;vv&f<
znH&3i&TuZ78-eXt`3DpJ4Qa+%t1bK^adXDaDt#_|THyMIfpZv>OiT8yhLE*KF?Bzn
zZ+c$p`)}A(c@L)3jp-kj;8;UX&^ne&b7g}=d=!(NUzsbqhZh{7S%vIt(sBEN_U6PF
z7<t&N;)lxQN35qQHxBog!KyoR`FqCsDX?UmV!@Q%JCnni^=o1#k1Psk30ahf*zD-7
zTv2vJIU@{Tow_$<^e~7Muz3~>W`YLMM^qQ-LQ|bf+c^#HMTNP;pQl@32Ja$9a4y`F
zhWgcJ1GmT%jh*>x+E>ms_scqnKZR5biGrGt_wXD(D_D4LAXd2IT2L`uBH>0&wzX^(
zG204R91(x0A>-EqD+#4^XjKn78HW#n8K=yiP?sTd@=%0z_tG&A5ETpMua3qUxnN&^
zPUPPBhjnrJ-<B~Tqu^miI}C)GozBD`SdjQfH0E@Yy3pJm)xxRr@N}a0Gb2cIME6W8
zumuo}>p6TuI^5E$w-8BAD}8UcnSZMaA<Z@qO!IbG9NAQcME#7o!O2YY7rB-H)b!RM
zx#TnUi21#CX=-7=d)h~8kuF8H@%#COGd+JsFIN;%EMu*OZh75e-{X#GHn2S1AJ^zE
zLYqDJQ6rM_rM^0fKhI)NsC{t*Szr(0JMTjCP@E85+lQG-+1*C4m$pkuK$d-q01V?h
zIVCcjviX)~6%L%>t0e<`@5t#M5&-AK7KDDI{vgNi*fueG0i8w_n6_tj{=V;t<Mhl{
zO-Y)Ce+~&g&i_GJpPmW;yXTeK)~IiRpbT|-`@>qh39PU5y&0hG!QEzWi#ZR%4!&No
zTR}|ZSMp#5Mi)h8JYcL<*Tkp<8RLSm(3qvNdEK@)Dx|&>-pZ$~7<}6P^t<?@fh){}
z$DIC4@=b<E3!*~wM}pup^O~c9=^XSE$;b6BeVFv(Lb8{1>F~PQ^N*BiR=7=VHQ|Z=
z=C}`_Awv%cxDa6;*EBLU>%j6W(vWIyedX9p8#=D*<Y-+n1OWsT3kf;ze7XL6xuaoC
zaeLa)4oaR;Ya#QLT9m2a4yUPC<sgN0opGy!l8&Xp2(~|TzGD<AnB!J1SfmEh$iTMk
zR6i9S%O#My8z-?|lhg(Cr4PRc(amq@(TXSm5QjeSM}Ji`mxU}uT2pzRKEXDEwN8B(
z`p-4btP%Z>R(ohT)yM2i+*v%DbZ_lNNoK-S;aY{x`yK0IPf9ozpt%t?oAEJ`T>ZZ2
z*c>Y8r&SN-%1&IVMABKcttkH%z>B{*f7@0Kp?LdqiumS>b{)0?ySE@73c~ACT(HzD
zFqkN$fg#g=FH#lzK;;F#``e4|LcY9?myNCvvFpW9Bq)H|&5KwEupjd@FWp;el*|`7
z6ZIqElq9Ra9aw<xo>Jc^Z)FRn`VEmyykj9iUHq!PX9z$PWmy<P8AsAF^t+l}!nUZd
zzdwgD>``dbFOp26T;DxJMlI$Ge!$DkOf4wJL8C+lQ@XWfk$L@#%Qju{t-m@IbV~Nm
z445{X-n|Y(hEY)0sb+nF`1Vswyi0BMk^oS@92tgl3!wHqo|j+&^iL^Ey-{J7&k#Em
z+&;yrib&M+OF!8E6qFt0F}%3gszhdfEB{QxaK5s%rkOu(+1sEz9?3Y@{T+lzk^Z$E
zY}_e2bLT74T9ueh5spP%|Dpk8ifXT0E8*0s2ID^kMy*vArO2#Huy@TnkkUDp1>3@q
zYCeRdM;0ae>3x4rLB^`#7Py?6$v=rEXr;m{+Q;h^8%c6!jUx!%#wu-~6YMSuUEg0r
zpDRe4bZLalbiFDO6wjROBahvK#IS0Bdu-LUvEhgWg;!xr7~z@zK)m-JQ8^m*dU@s~
z$g?KOZE45GaIWw8Rx4yd8plwx4VhdyY^>w!G^=MaT+^MEv6{+B(k*O*1Yvo#pOMJb
zHPKrDv_S6Nc5o^6gl&^VU#4`T!|7k25ZqfvVM?2D!4OIY_#RFr6+d0oL*T<o=|qQ=
zi=p&tP_bR|LIXa3D`C2Vl6kR9Ll4&3$4Gr=emW>?ic904)k7d?1Ka_LyQS-U&goXS
z18turg^Vvy9xGL`U&jh?U+j;D(2!Pue?<@^fhGx)p{@{W6hHMZgu*FJAT2l#NM9jw
z|Ike?tRDrAE;$8rP1mtvU$@Ze3pzl*mZ>R_QOMbJ>GvCQ-7L7ZPctk+KL(?GT;|5W
zWR7v6Fu>8wY&Gl+{rP&v$k2kkcYYsE`NsPLVC?V~Kc=*T$Mp_^!IRn=4wJLw)0UM8
zuYh3OlxAo=GjKO4-YL0S9js^Y=&JFjwI2YAn~QgFgnlwSE%3cu?Dop58I2QnO<xvi
zBOV6ZQ_;POPJVZtmFr`@`TDCtG5P^7YH*eUjj0)kt~c^oQJ5@DScmOJ1?d2vz8T(i
z2fxq=L>0inf2g#=ZdDxBmJ2MF{~n30Hs9YUI1r#aJ#xk@alz~SM!^7kG#EYZ(6pK3
zXe+ZsO{z7T6`84QSAZP_GbAb^NVMTGQK*hVtkSq@-jh|IIxNE?A!~5bNefELIZ3M2
z&{k4-a2B;X);o@~&_<fReHCip1u~9LwHhiw&HO;%z%F@@BzMKC3*jy8g6wha??eab
z8&v18RjZWKt(tO+kBo4zMG#3Pb}PV+=zy^yhsM}a{80d}u0{nvNzy`337sBD?NL^N
zeBEfJmskMBzXs0asvK${U(aPGXlUeKT}|nXt+-d)e1xoyA%bVK?d&aYk)!Vk?kABu
z>K$)6&Oua-u%sY&OAsPW2O`nJ0!Xk+H|v?`{nV)y?((pQA^C>WIQ%~bE`llfcXf}1
ziw63t((Oj4S#ytnaxrq!D@Y6}-L@2q_odVZO}<GoxE>%mp@Ig0|2~}ifHMP2Xj__?
z#upMuUFiFNNc>Ik3e4d=)uC(Pq6EUi@OPu;JN!|b80UF07oMKQ>NFyhXtt)nbMZXM
z)aF+eP}-$i@o}}djt>;l*}~f<&5zUBm92GWe!ky#*Q&graJ($U)y1Nhv<8pi%#dTX
zj=amFh<JPV#0=Ju<7OF+iP)&9ByCTACUs%i*xIt8&+V6*wuC}c1+Dc(xX@EB{F+;Q
zqHU&+k)VWqT8Ba6og7{EfX+A@n>ogTHck_q_O%f=uYkO)s0BnBI0V;Ha|YA5QdE!9
zbWW6QmKb(yG9}=F#+pM#MsV8INZRV$%&HydJt?T;lr@5k)SaIW5U*Re7C)eo@vR&%
znY)CSJzX)4(~3~d5<aAH(m*S|J?!yfphdKo)idI4B$16s{~)!8nMBB+FG`rw%1e|P
zSr_c-60_3>=_4nuiwJ;j;6t8gpIHYo%eAG{8`zk;t*rV%*%BQgJV+=orwv{M-9mTW
zYCZ{}nr(#;PNN@VyDTaRLLku9w1#IWB=?;hN@J)YFz^i(Z>l?}<b(lto#hjVZkxgE
z&R4FcQf%e!!WW%FhiW>dyduW#M7I^CY}mpZC>9Li^S7}JUZ=-~#{m59QxKjro57Dw
zP7WP;K)j8??6kJ5oA3w^7O++LtY#3DE(np!hv%2BAnaq`@BC-;N#%};Xt*I|GTPG}
z!Og=K<s;jTu=6;P8{B8Ls9gmwUCC)-EY2Z2phL%5h1)U;^x7ChO-v017^RYQ3d}3;
zsIa=+{Dehqs5HGvm6*Q<n-3@58N|pqD|URvwKABB^t;H)4Bsy@_nY8UX5?D*=R|tz
zs&7z3vp7y4P;V;_K`V}*s^`BqLN5jlx}8$V_lH??Pd?R%Ea<k$)$+TaNEkjv5HQ~r
z0dDHqcFg$x&ke{TtLCAnK>p;48e_WZR4*VU3r{@<n;SZM7r#Cnxz84E^YWb=9kq=<
zgvBC6b(-tABQy5hf#T@NO_#sz<oNxqpWP!arYpd*(o}N~=7HCV_Vh`kz^9rdY{jSt
zB@yIH=(Z!I?8o?W7+;9Hjzwi6C0Z!D%{8Sxrrq}$u72@i7^CZ*oS2U2C64i`%B->;
zwRf^zcw89G8BR>t7s5yfv++$`$VpE!4aML>l6iIS9J@7&SOidI2$T9bR2wU0!LFS&
z(<>}Xu9inp748l&;r>0*dryFM-p;@s96z{Xh`cy@R>)3Vt{Kk!e9y4wVk_W$=IkV-
zwJHdpk2Vxf7OdEe0g0Os2~fz<Xj%*ha9hoKzg$#$kHkL{xQrOy=H=xs6(>61rD#-;
zC4L^fo%5Zo+_?7U6mnPNgd6&$+Z3K_ji=0P|F~xpm%VL@Ay`%#z4G?KC8o*eo9apy
z!;Ui+GKB4rf#Ny3E3F@;TfW?9qp@zx!0a|=H_lKCS6?;$(b-MC$(L10vtUvK*5d+w
z{l_Ku78x<)mO`Jd0m7&;5a`5~B2<|~nZlj%r6VL>4xuuOY0!Mpu4<RVl_MuTbssz>
zcs;}-GLdGVpiO}(Y~xj7n4l#?8uxU>cpt?`@g@%vS#t05OzMoh*E=A;afPPiy+KoD
zh~!=~6>{?0QUf4!q*Sy&4Fz(4XnmqER}g2VkS%S9J@@g+3ta%EOb`(r%?3=tW)mde
z9Jbgs)Ue&v7CL?*#{#<)!lRKTQHJ41p7U+;lof;Q*~Ty&#R)Cgs>6ef-q~PNJ3u7;
z@ygH8@=`Y9*Givf|HsPk&V`RDscM<T<p$}4CTD=oJ`%Kl^5!cYprTI_qvy4%(C9sS
z)uc8%##P~udc{R||GLN!0@wpXN8EOFfE@V;fSo!FJ|pGGSczu$Cd#O41ffC)iSO4`
zs~<905`@;ywxrJ~6MkrZ&?|j!Gn_EoIf*3z@Y9!=-oHE(YAI^zI^pqAM&Ek9Oq<~G
zg#E<Y#&x~_TTyNjc?cLL_-jd4tdxH6oaIZ@FeLR8e&n;cmtd{XlE(cJMB<EOyf%s^
zMQwWQkbp`VbffoCO2B4<ajC{Sn39xCibYfL9{<ZBMD^xW@`DmF??Of0CcYbYq)XIE
z;||Vo4!0jK(?Skj1Dp@m93<U=z^+@su1fo{*T4t~z$hxlYk7?rwVgx{Ob6~U^A<A6
z8-)w%E*5=7cxQKmI&-J;sip;SKKsPy{(cXJo0$hBmt(!<y=s>En3GS=TKCmI{1W#9
z!~>|0$41kg0T-|~k-65`JQ3EW-sY@9WpsrCscCA*R@!^=(W=!<zdfUmhJKs$eIvXg
z>0Lw{M6nr3j%h}4Dr+AHZkuD!EM~Hhd9h=#4t*C)GF2AzbsUpy9$Vf~BQz1rUPVBY
zg1@QDs;HLFzi+0|>lG`A_kXM!h)u-JND!-p>hP(B?GFy`<P{G<czWszrH4Eop~O)L
zJOTx=1Z|_y-Th6?6W6{vhwX^#6t;WF(^y~Qsu8tsZOJFD%6Ub5uv0GHYq?Awj@hz$
zKYha@DjLo@2Q~cK222)e#Zy~g+}{MA+cv=xYKu80>7__c<aky@gQ4L`X6(Dn+^KsB
z-I>$#O>8`x_7ZU#S?|+4!aUfz<_;pGxlt=B{|5~`d8_|jwmAp1k~XOh_gAdiR6i^M
z65v7yYFt5fs^397MaFY#=KjQrPSgMOwx&mQS=$74u`gJIWZk3x<bags<Dtsp9Jfn5
zYI)V%9Yc*peY;MUhM`X`iUMP;`PxvZ<a#g^_K<r0o}bitBY63115c#+Kjnt3T>KDi
zVHOD<DMo@-XB2#%hFQ<_`Dkr!Jo>;~7d+Byp#(Qb%}cBl<^;JSRt)$GnMEEGPo;RH
zP?#BII|lR%E)ICD=Z}OUFHvVhsBRemc#P)190E!t^3ezn{6s<$(xwfEJwPgjDsQQ$
z=dTuVw=WyN(0edHH=@Oxa4soqqxRrhpu4X{Lx3EJuKTI+K|0T4y{4#xhPfuL1%i%;
zh^#*R&x^ZT^2T<_IB}9R9MV}V-%cz1(8-e44&mG)IffHhY|U*H${ImsPlCXmmf%@k
zNr^C)3j05%bf3of4$Qc->fF@Wh-Ot5f{<AG*0z>oUIGAD?j!nK0JfIswSwpdNPY=o
z+{U{oX~P$(_+Nm$WDIb1#4rtvD8C?uO}8wNvlzTDRq97H_kVZktk|TR>koakMo9f>
zh0YO=q`KZ%zP^2Rp;^$4g@46V9+Zn=aqPiE{GFwr7^iCd)<u3zM5h%2v|B`k+lIq_
z<conONS=8HWDPaG=c2f;e{tR4c5u?vV?<_|KTq~A(+uR(X1G%218;qZ4P*N8q%ZEz
zJ_<@=hsx+g`G~^etZppYVG0t`MLy(Wj!6thpgV1Rs_?%NXHbX*&?FFl;g4foz)|Zz
zL@?em+s>$i)G05Vm2^;XsVmAJb~pvhP)c}1`gp@n804U&3$fEijcC913M%|yqfUnZ
zwP63#3(`dESf(<MGPP!=2mb8U4>7Rh?)bwiTBg69ZIPO&7agehm&93v-kS79uFm()
zpUpuT&N#bORR&;I9=T*aPiwi?z1fQClJa*IuRxO-D6~p_8Z75;unHPlZ+LM`A#7v0
zvb+=yx@z?npQ2Hpy(U=XhIZS=0$lW1rGw3(j2CrcN3H)p4+!GL`u%YaT#$@^)?q(9
zV;2%=xEdG85N8S6P-Sp{es@QmbVz?hJgPx!C;5&_HVWQQ;t}rUde!}UULBU3Bg6Dh
z>ZG}<MSRbDDDEXYqJ<4f!rYJ4UOhRASq<2bEE}jzyT&?>TM$ahn~i}?KOYDEeYB~i
zPv4)o|Dj6p)zk-?MDsUVo`=5yCOjBHjik(gkRVK{7bq_@^=I<<sYk#J8V<oYg-|@8
zgDKn_2!wm(o70<Zy!Cs_mLsFTE3*)gh|WkL2rG4KBQx7oW+Xl}z>s6*p}(^_7a6(~
qTT`uA_jP{t%zL>U(Fg;&t)94R4Xw~=6r%MsrUH$Ad$&%06@t?g@;PAu

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map_small.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_register_map_small.v
new file mode 100644
index 0000000000000000000000000000000000000000..592454822a6a617687e8f7e733ac8c0dfb4d9763
GIT binary patch
literal 74624
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Ul>nV|y&TC8S
zFE~b0vf@$Tn$Wy<iLyS<7qoWOueObEfR@EUy9ck=)3^AiznCm9h0-6<NIDedz8(bw
z+ad~z&u_2kl3C*T2~EzNH&mHNoBIDpcZacg!B}8a&r1=2>$mKuJLX5f%2D?jII{=3
z6s$XPexx6d{MhdfUR>1(KUISS#!L$2N_EBB8IX5UimEQBwpaosA3z8}#GcTSYHg5(
zhA=n{UhBZ+2SQL(#_J?r1#sD5-(>B>-z-63;gbIRl)?#UXdD`siYn;@f&fsUPUC)O
zqef-fkN9fo7D#aOBxoSv-fRQgJClz2c7pC@D>pMuBEs6_<NRx}G@cL53e9oqYifFM
z^in*-iR>OZJ7#zB>WArt65~ouyoz(?2B&ff28S^gdRV-j9^d`GXTCW{sDTva2*0*u
zZJ%3gx?KvE#v&%(3=<OtuCCyg*;90F;GICbTXkQ|8eEGx^>tK9V2r)9TzOiQt)aHi
z__xVY0dvot+5$m48KW?K0V>@+G-O$LVceB`ym*U&JIKtsS}UqjyY^V#*So~6Pz^V<
z(c-aWWf^xrK%yL_%p3_N{_2yg;MK*;#*CG^FiaIR-STyxL(7j8v|LQkF#3~{5oSu1
z00Fd&@yIJjG0I`F(Awvgw~UPq2vh;Xi9|e_&~5A}uawUR#Av3k2zEJ4x*oFE)gRY9
za3OjEYNnfK_)5TA?ztr%f!^GD$Of9`Y<d*=uf)9R^@7pcS<%|L$ndr&Ok*F^K!@fG
zJ(Fnt`%b0_dv!uao%~f|;Y(-~9N_e4HfBNxusDEqS13U;Ux%LWanV}o!)BU#6U>gH
z8qjEj_3v4@RKNh|?oEgMr@4Ak17^h?Ze~EI<S`4blViqe+hQOIL7m9=EHVZzgw9qo
zUt8{Y;EVJ7{*B$CI)>?b&YMEo6v|{TKW4_QKARJdDB?tgx91Xpjg+6>LR_Ws-odr=
z>)WZQ%A^$f36@AlcO}@LzZ7=7Qn>(9FgV<r?{e4R3@gsW3AV*Fm$b^neXpq8L=UWm
zJiXOd@S7f=4O9@$!5%)$18+BIwrK*F<KjDNXW)ssBY$^b@G*=Rd9EjX=K|-+!d$i9
zm#Ylsr82twj3C96O79({0VU+k*2YFhM0q`OZAkR^=<D&&GDm2g5@J$zt^ZRj6y(hB
zI)X41qItd;z0ZUFi4WX^%hQnBy^<+mdhg1N?6RjwJJOKb3Qg<pZRt=Cp7ebwMk3I{
ze1-veCmJR_T;Y~y?G{nRg{8{7h}miXQXB_~S`|w)a4S*zB9Rs%;n6CrVZ&{35xvQC
zoAO&nc<W88Z6?(lXem;iZJk0>U3>xxPavPQOWQO?P}3(#$7)Yk=B`d7Yrhf6lV;*C
z-NkCPrMX=nj0exP%e_UHD7Rf&6RmqcQgG-@i}XAl?LTwE=}<(2O$9Vq7*^p+84rY}
zH_xW~$#PJqho80^98e}ODM@N1^xf3=g+8O_06XXQSw1SEL}qd(4U%M2W-I2zPzfPC
zM$hSR-Vj!}JUMTCkb<1Yop;eAiLeOoNdYu@8=+<dczcnN9po53BFG7&1y0rtWY3FF
z));Y!X+Gt)aHao>aq8FJ(r%5WuWe`j?Y@NaHqi8k4-d8~z)i`~A??$LeJ<8X%RM)$
zFzmtn2{75?#b=?Z2jJUduWsLR`4M|Y%H-E0&A1$g%yE>-A)s$yqMTF2;`p*Xb&9J@
z4y-7Ks3`Hw+fg5+QkM$ky>kf<UNa3is6=j{@%-JQy)!R*wj8Wc*=Mia@oe`=i&MyJ
z(SNO$c-XhvYglx>Q(^toBkl@$^-l1G%xZioWy4Z@t_Oj}JhpwbzWWmzzvlQWLGFiu
z+MP#Y{RJY+2EZ+iGvu;8(X8GcYvmUo!S<7z>h}ni`76bg4Ts(ORX=fEkc6?Z+?HEa
zIGu4~1Vj^<2KvQb`?IbS79E|ZJd#MLD(tqL%8r<@Do8w2Ul_PN7VNA!u6^d1aH4r(
zo*{orhK3TjA+`bYxj)9W?6Ix~Jbb+yzt{tgG{LqT+PG7xc7~2ZZV5VAmJhX%OZN18
zrdnwK-nLPcZj^;?n9PhAJB?Zu3$*;;xR^EzQGBCB6z+7aZ`5~yz+@a{+7x~K@f9RL
za3hUf_5S87(&|!H1g2ohv<&yYW*)b{o>V*+o1N1%1M8c{A3<aPZNB>@e_x$2e+}fV
zTbjuS(p<f|G9aQQx{+X!XeT^A+f7(AQ*<vnLcF9IQ+OmEg>f_f;GYti*10oOGM-tN
z@qyhWxW>*>fd0`S);wDA$XK)QD8aj63o`&<RjeCnEBN_u?|L|Mm%Kw48}ogGO{l(C
zHBwP$_~zt-w;@kNJm6YONqMAwG@<Lx8D0aNC>l^{91|x)@iwuFpsc~Q0btjk{8Ni?
z(xnT9o=TX1uGMq<Z{d@Ct|ZTc%-~RMKE+}On*o+@L7>Ro&E3h%2+t5(xuLzaEx}G;
z1&%-z*6j$drWnuvejg=r@zDeDZ%rZJBaJ>@11C`TAme!Td>rM%l7;C7GHF0rB8gII
zD}>Kx5^;i^eyuS#goAg%%bJ2iL)8@KLg#4aSG*ug3D;R00iRa|)R#d@<TWtEl6%2N
zy+me@w1n+iW8P<vBYS!=$mp5<GmAT`iA^gdOQ^iNySJ!=&)!~=egabTbb(vg=XK|d
zW|~wu3x8NCfgJuOvP7i1Cq>i*1wGyMxA@&*qKv}cd4&ljXv2gqbD{f*tEb3hxox(F
z)UF(BU#9-pnMoDeqbvFb#Q>(<J>C&6U`H4D`U9--_e+O#lG;Ij=!ST_8F*QtE{6hB
z%`N(rENXpVBP<<*RiO0wfJZl;iM%sM?@uscngwS1PW$I1Pt2_>8z<o>)xJUJ4Fny6
zHC1&X<n6Sp-?X5`Z010nC1o=^Pzvoa(#ujm?!7n9q$NT}4%>F3JWWL4RFaL}<LM)6
z8)LieGu<pTtjR`#-74eyS}S0>RO}@no1TSz3Ij)a^wbFOU@?QpR#JysjI=lh2I{@C
z;X1fe9h!`QIOIh;KK^GXq!lf0hk*S=iWG!t_-^r}TV+;ehei}<&se&dP=tnr9D|!$
zWi|Kxw0S7ii{5fBtyg(d%wzByO)CY4F%|54F+ZAs|A2r{FX}r+diEdxe+pli<0}o=
zXp^D+CfZ`A-&oWO$0FIq*Iz61-i)W?j1;B%aLTT#9RGct6Q7%|AkH@8Fs|&du}w;y
zD{OFCD$c{6=5#L7=*(b)mRG8d%6=p}&fwMwRbWG-l_^qL=@dyfzDNa)UkTwgOuT%G
za8~Drn=hp{Ghj$~MfTMy4GExtZ@m1ccUmeUIi$5CdDh3sX%|%4+rhjcs&P*fEO4M#
z*8}~c;<*W9wqxpW85@~9;G7$8ti>}0G|_{6eg*|o0~MXEnDl--JQu4E_5a8=2x`;N
z1MmX78&r9o4hCEs0-epOX#J@;A~!Q8&o-CcZu4e2ie7@<cwn}7_zn8$UgYL+1Us*7
z2ee%Mt_mT;f*oCaV`F57yzr&2<sf<b@dzmoO?FjlN1^9z3e<oxdPoJP?wzQTI`Znp
zj6ODe0jQy7$;>PIog=1OYxt}Z%3jm;CPL666A}VMnX{T`P@EN=e~-gzVKph8idr8B
zks;$(DNHPl6Ep&AB&eB(KR3TIWXFBR5+ce=;@-~YX66yXcWKNl^#K_ccUfUFNHY|7
zO55-A7Pu_+4x#5f$%ikRefPf9D?FUxcQ(?h*p4`+dg6vn-Y7@BcTy3(k<W%XR~Bnd
z&U=!lGXeQi_*6{vCn(9SGZ2@u|7)`J%a`XeFCJ^occ4yTdasdj2e6cNu|#*ud(j<^
zcjl%>J7#^>COy)i4;K{kt8{D{S8&5dK#1<rMF}(}0RFuB_{>qWIbq5q^D2d^>m;z(
z!5xxG_pVtY^EG9_20<gU25ZsJCE{0WTN6%h)Key|^2%*?je{Wrl7nQp1_%>pngJ;O
z8zzglk4zYKWK~6M=>LpcI}r`u{dQ5v98{zI&<HJs4jt^Su!>Om0zzQ-TSSMqSQa97
zEO%U+G{e-%Qf8*f2=Kgs^Chkp2MT^3!$r5tXN}u;S}k=1#3Qc&2f}ZBzvgEy^qIz;
zsAkZ>;1DR0=RGrjPMZdHDxFo9HdfV``$$i^T$oyq1AAj`Jiya#R-}jb5b2dI#K+dg
zaF4$FzH<hPJ(RfzG(+m0>3=*n{(vTkF0&)VmBDNb?nt1x&pn!Fl#V8E(n*@LHGg=6
zPBWYWx&CVWtO^!%MlLqbsC~MDQ<Z#)ph&vI#K}>5!<<A=jvd;C<Cofl+V6`rO7Zqj
z`<ZpQR;*fN1N!wRaafBV!)~lEzl=oUy(?9!P`~aCRov)(n-j|BBfm*dG^JWuzfL2#
zVe${wGQkOX$8Qq(#t12URKn}^tA7znrp-@3q7#q_C%gp(dVgE+L`C|yQ}IeEYQl99
z^@81z-;23c&jPPhZIy>#PxG^g+$H?5-vY!TQZXqW*NF*-*-po}?7Q%sBInN{8kFv?
zDN{T3NsNEZLwDVI9FEX&MVo=zU#Rw%vNv4Vxh!mMy8|T{4H<r8P+UL^raPnYrQ&fR
zd$f(I7s&OLxi}*xUOGsdKaYJX<VsbDT=3oU6cY3XGZ=pHBnAlV1M#_^osk!O-H&R@
zL`|#4BiJoPSf`%!;`^+dFX~KlRELZ{ZHo}{0&PE9IYfO@q}Okr<btS%Tlqjkcdo}D
z^L4@e6Zd9l&wMPbMUpcHA8g`OfWE=(M|bqtF#xA_Uwx?Lio@?BCqd|y2(ED{X8X0o
z>xFXC|J$oDIsnPr9Kqq}`yK(a5gr^4-iBQY;}Xl)k}addt(-PJ-xXwwKrryMr6sah
zRu=FTi&#`a3V(IjJW)vU1|~il+P`tx0Ed&266mR*I(=BTgY=7Ep5r{5_NL&^$6FH1
zBn0r%9venj3nW_?Jl~0!q6UtHH!BqY2}7ZHBZ>gy`w(z#U;Ge=n^u$+3rpNKfc>on
z2v(q0AhR?_%9v5vb|$lcJlW&SVov6XhxkyK?!Q4zWFmH4&9=Y9!WjCV#z57fE*%OP
zIij!&Juxp!51YAw9EZ=dYQVQ02fLhEB(bsO-6kEDdkHzEKe_78Si!5VA-r^ZH?_oW
zJ!G!C_1?lVz6SE}EKDIO{vx=L*~C=Q7s?fX5w&8Q8qVhREH?xa20~j+RvZm(VglIB
zHEAPN-hg#8sjV}~iHmG*j_b<)G!Uh^Y5NI#B%PsbpFdU3v%NpqnT-<-I{e&%Lv}bE
zKwbyMTW3ly`I0rTD=UO*T8aR8J5tDfIC1Dm!zXe`G?P%2@u`=z2hut~0#32uv=1z9
z&|o;9df@JYiCOEIXdh((S$4UvWp5gmy?zc$!W)lKh4*|fI#f)3hC=ydoQca|$QvYD
zbPm~q?Hzfw@a8UFdtm2++m!(c|1e}u%7i3%Xl`%itq2?4S{BEM=@-6V10>MJF565^
z>F9tKJ)xIMM02Ix8$h!by?H2E>6+2pJ>wZqD{v|HN{4eIiqFq_pEA`5mYz*hy<-J5
zS>G-)o-ck&GYqZeH8cT#KWmy`n(tcSI+8($t8?J1RiTV15vD}w3>LmQbkXAN+2^rg
zg|w^yq{=dvYgR^~I*CX5V`%cQXX;Yzuj3sc#hF>i@9P(s%ggDEMo!-h4?ov2MpJ^%
zz!48o2TF=m?pbRp73d5DD}GN)r<MTq!@cxCN{Vbk^KmbJjB`T{T6|pJLU4a9Ir<nk
z=%>N`cz)q*K5T@GiyyEu6y3=q8?8r{(1ODcoU8Pj7h%~6s10ZC<-IikmWuT;@X7m0
z%`tj3l*g)h(d2EY5fdeUp*L(b{C124z{Efl2z$9z16;sQc{Y(CqI*!J?;R+XDR>-2
zW>_qJaSL*#LQ<v+z!3nAR6v#^T}CE6jNN~Pv(y_3wlXbO<i?tH4#$Qn;0#-LZBtW6
zTJc28EFxWjzE;*Sk{Imo4wy65c!czO2t=Cu^^bLQ{~~Xk0Js}?{!EV9(cI9Tgc~bG
z4$uRtD-8(5FhDEo<dG_m)|x~YZL*&Uu?8k-wd=iluTX-Z5*@aC<be`s>1pLp4X3u{
zw18Z9Wx+MVti1f*+00o4Z&jUAymNc7Xtx}UBxMtb<bq)2T(T;EtXmydKUP5Vb#c_n
z9=7QHeLENEfG%e86#mtI!MAMcwj1LZqDeSu!46p=9*f`p(BBIT1O_-<Kiw)T7QnYw
zEPEOrt>zQ}^N8{TL)T(t&63hwfE-f7Lhw9jqmU?z=sHE_-iMVCPQ#mXBlIJ_bvS|6
zzfH^X!k6FcWPeJsAgVjm3{&K#i`t}wdz#n})gosPlHE0dz+TQme;GLn)Zt6wJcBx(
z=VKJ_7_g`%0XELTi2vIn6fsv8f9ZL7H{k-Q;t%_jrQz7TIrb+1nUrguSGAXW$C95g
zok7-5HQklSyXTF6KaP*aIJx677D@@D^O=ekB}7nzLHHEkWma7l=rFo;6;$H?VI+Hz
zOlJvWoj~N2m4VKm%{>Mk+V{kWmD~<#uS5l6SZB$oa^OWpG=%FI?gQt#Hg;S0!Nkdg
z`?*Ft+EnPZ-CT}};azmE<p}pmR$8^ee(1DepsBPZP@G`xdlZMVxuz$`+waYj_VbAr
z$|k_n7f}-Ckw<_?N0$!N>h}C2aAP4$81Zz?iL~rc>Ps8*H>WbvIFl{&|BxC|S79D~
zNN3ZXKx}n~S7k(Vog+a(EVJDj0+{HJa<7P<M|a^VjEHX(TW9*tW}=WKiPR`4;FO$w
z23&~w2?G$4F47F?JVXuGPK?mV%==@LZA2@U_;UmOrL?hASa=%QlDDIcWzEIm(3JeU
zOffF|CB2-LogbGngd~&e6~p$_PqCMfkNV9<I3_PEhyS-(op4)ARxVP&TH|d+!*9?<
z4qh$R_z)TPWyT$P&IODRFaU_fS-SR*y+W{PFBbM5i<H(y+;_&L?8!fJ`&4;G8k;Em
zJ|w*w{)6uQ-5+a$4kpwdXIHIEK-_4)SCD`r05y{)c`N++eoNncUHPE}YSYX1?k`#Z
z%pIGkTbFGhSkt8X&$SqRX-)36@%Qyw*AW?JFb-c)3Z#}maqV`cTB1lI3Z>~leq-wR
zFFRWX^@`nAlx41;gohasqt=3A^{HW9oGOb-Wmr)?ry3FtQ5eodLg)Z%&V5DZ7kpQ@
zVk~r<Ujhjq(m)R}Q8A>uo5pr=#K$#l;(rr%Hs?9cUms{>2YyJ^pjB#gR!8y~R9K4u
zTog5Rnj#Jp@|sE2Oohe(A)wmB>`}ZAl31d`iZb74UClX-X<y{aJMY|<KlGEj`}f%+
zHZ}Zc61ybV%!srL_2Vo+m$a?7RbT2YMPI>A?iAfxh*}A-&3v~m09O>qyO>CP2o+@U
z2ssYa<RtuQpMPkBaAIvES4SKSe%Ek81hi~L*4O2-0Z#-8cQ6bd!J0FFfaN%7T<*Xk
zj{uO&q#e|3KYx8c0_uRO@+0Qo9a1aItwY}oYCd_1P&^-y??o_#tZIyXdSr))xzS;K
z>2p?`co+=#7P-PIe#3><#aA(F<fXVLiOw>!3#GPkm)guf^ZyQR#PZx4jyDoioxMH4
zjCM*K4d&aXt(|#W@7RnyB%BJCz}*Dig0l!D0WOy+H)SSFC8EnfA|AU5|9%~DEptx&
zos2MWd%}pui+)#^#Q#FCg7(3#GNxrNNd+FzZLedgjP3qc_V+wKA_Q=6Wa+vFb|ATF
z%Oq|(B+?lmTM%}Ley_Ct$K>0n6$Xt9W!rz{yL2RZgr&{LQl=aET1-(GxJqk5Y8ZrS
zl_1CloP=OIA1#<9*HGNuW2<&L^~v)9IR~9#_2&gYUO-tpAPh^k<~4ypI%Wu=6ZCm=
zREUh`l}e6Dn-%$B4nC00F`5;v)MD+^HvNZA^a7T^!Ret&%~RB(&7NQlfr-3*iA;8o
z!90YtaY>wQclG+x)<anSDlU6~)d48}*Hy8Y+wI~HZ!BGLMGHXZt$`3BG<C)`jkKoN
zg2Q+`{sl|qZ{Y2hh=ACX_Q5677ph?MNgC+$-vw(hU#J2{SLgl_iWW=Oeeg^*bQWMY
z;}Q`J+8QH*@Q<5;S`n4J$2{e+-8(hPWTyjf!Dq3nR*vx>Rus@DfB6DMEEwQqJ=~Nf
zftqh%<1qGIn;ytFqtz?cb4Mx6J>wj6(|M*RYGI#r|0XL$CILB`8Qlxns5_=izT`j!
zc;4*4I-cZNe}+c@K#q|?cfU5s6x{~Dn6MAw?0TW3lbjfe5_;ozgDE3-J%=^5DEpjr
zbK>=mJ87}(#-+_CN%e{AHdru4VMbPgGzcf^J2|QN+zNB&+2A;{Ac3^u;n5$w&bPkb
zASYC>=sWLCaNkCZd7G}74dJW7X2B)RMgyKjB(G3;XdFBW6ZXa?+Nf#jvDkTE1E#oA
zUVC}%4JP;NftxKlt#PX7-~0fLC?J*b6i2DO`_BVJLL01Vek&==#uk*gqxo>&p1E%)
zNqGsH;QTwIU+>G92%FH`t6-Q67A5d-QqEjk31Vq-1dI#wp#p-ju1Jm%TnUfKY6N@(
zo6%5|2%nO3F(4EHJM7}tw8liq2U_)2-gjB+UdFno_?y~qE{M+Td`GK~H2J$BUzRo-
z9zQ^`3nt&}=cTdPy?6sv+bImm82yh7aYdh5!>>vSPi*vuA8Fi>0G-erLvDkHugv}z
zuJ!fZxvCt^UWS-Ukt?nSX0=*lw#ySQnB|1M%3NV4U#{j?B6d}u6lQevQ$Wct!55=H
z9LFAO#=N+x)o8)41nTAh;55I8S}aZPZ^<YDV7tnVcoV<?&FQ7kGOBCJp}s>U2bSZf
zvJ8q=poG}NoUN!mWR9iw*Bmm(>ms&b{D<usNW|7O1iYUBsobq)|BPZVo3r?|M%)TB
zy;|LMapJp^33N2Sir*g8+WHipmYW`}{%YTcmIiIeE;}?`$VVf*q^2j?SghPEoL)!z
zqzUirsb8m;{cB$JbA<7c5WKIa`T4*W9*x;&2}#^nlL^=a^S+kR&qCn<6e9EELDDsn
z`O9IBV_<e6+5`~{6<{gVQk8>aciXbFCQh~3+^-b>6r&TFro<)CgzVHa97dq|q6piq
z75aQLn<E8QcM`h%y2f9V4@X4kHpeL9JC{G(1d5Il>SXs7FbXGioZTYbNUieT>S~;y
z&>!33&bu7cWB12bhlt|sOTikHxx8y4po@Djl9Bhu7VALY!7RF+670Ek=Gg*y*#3kt
zQAoo|kd1@OyeYq+4ER&+xL!{h)qrrfP1x?JB+L8m{WmWKtLr6pXgiQ}RIb0Ds+r2A
z(B+aRcK1<1y+OVoRu0U(GNJtt#6It3uozh`IZ3X+L2Bz%A$&lh?Wgb#OsPCKa@(Ur
zDFh?hhz&V#c0g?xO#caGQYBOZxXNG&R9l_If-5~)mrj(rFW7YENbO@}e&Cl@pVfOy
z>-y0-f@<Y#<_Vbp0$i-##I<Z%20mxlBxfo912`+}mxv<2dm_e}*pt0FAE}8JpNpbY
z*d(4LD(E0hc)@1nIfEc!ZI71=k54BLOg}j6<HGGN16=_=&5`=c5jr7jxqFPdA|4KU
zsQlX^HuF|y%78b>12=0G(WCHP1VTskq%tsdy7d%~f9IP%Dks2r`6ksk6bVlT6K3dX
zhUa|$bQ6tnxFxwg#+TK8u-0TmF&)gt40@uYcAj`4j=nVh_}QFrJDTf$NZcfUdo5x3
zjz=4C(gcyMD`)wKv`~>3o!MiSwEWs0NV0_}B&F2j@Vbf0#04kX4|CXhD&O&{J}qfD
zrssV9$5NvcxA8rw^*|xql3YVtt})>M?F?Yun-C>n?*0v9k;K_6qLfB~?X6Y+5v+K!
z=FU|G0-yoFI#45=%NtiaKw014ezYe|?|~|!G6O?_fOO^!(PyQ0Ug;ePBYRoFIFb0}
z-Ec&5TiZ6)zhwns4r|CC=6k5^haY_mN(l!}TA#i;(_*gwhrC!T(%#)4J@6GP2&A&9
z&P;#p&NmwI51~SnB1Ux1xgMj!-x1NyLU9$B88wa}i&0yZJq^ve-S9<k0LI2asp<xC
zPvnjhs29yD;naTnulY9F+m?m!Xyi0W3f6TM4#Ri$38xFD7fE7BZnghQH>IOs0a?NE
zAjZ=;Uab+)$P!*Lb3`e|=OT#0Z`Bq}60q`QQPsQg?kHl9+khSemHvHwPMl{I>M+HG
zOtGGjB(OvNgG=QXf8p`aF%z}=IEyOUe*%{xSA#7i^&hn3$k&W=>6-+9VPZR}77RCb
zZ*|vIp`*_U`Oll+dywRH?>gT6=P&aP592YB8?%xEma5)BT+gcvo1&PqP|b#>T9=t)
zEm5eMARRE~3pQ$2_}pLx5Ol%>h+X541W1seilznsE=iG^r&A&I^%AnrEoghMdAbI%
zg~?$P!ymwX&M=2dXiuf|K1($YbEBrRkSqpTlFj$FR(`%>mt1p$slIEYScd}6F*BIg
zSHFnx$~X{$%GYM~&!nlFi|^5Rzt$D=YU>7T2xw8z!A_E9_=+isD-VXi%9tD$2`JZ7
zBum!|buVdq`&M4M8!fMRWEaY(WwL_gUwt;Ejqu=U&eh!<;{`Y|Udci(^jq<43a#oO
zxdW)|9{GAea%tAAJ0sF3o^x1Ro}1smj2*GlvkzI}4vLjMEqq!%b~Mh-fF=Na`D`si
zGCw4cV}6=a$p+p09MHpvMX@k{qkcxXlYq`1EXBw}!>@r?;x6t;pcz=cV}RGiUx~2<
zruQLEOeMvv^aeY^Q~*$uanFaEXVO6nyFuLp(c)i}{mCX9Cl{wT#`UErY{h_S<OTcD
zdLbo{p;gjE4P0a1-=F+@sZirGI%Zt=f`A`5ptZSi431CCPXQ-a1FHiSFWoY<$*Yt7
zap)!sJU63i3UhkF&GL~y#~Q)$cu^cCNrg`rO;PuGxbpKJ!Tlumxlz#7GeF<E<N6(4
zEZ#GJY;Pi;Hiovjj+)1PdHw)Nr_jw;nNWJnxm})ko`cX&SCA9)O^tQ-F*}PFdQui^
za{yT!x5@5!zmYG1YnMF++L~C(xOr7*p~kStaS!ZWzcUi=R~XV)P4fr;NPqqr_!jyx
zuBwj}kQIP!Wm2M8fCH5%jz2kjeq0vSkVl`bGs6_|%ni^$v(hxYWiPV^b`|&!3SPcS
zr{z~NT>vnase#cM7Rqq!QeYu~?nXG`Jz(xu7FJCuLmhl63{P|78fIkZH`8*3BN>`(
z1*O$)ROD?mk`7SYlPFxgnb0}#umyKl?7vW14r!6^aDU$9^!g38zySeDkO_^%wuucy
zWP`-gT9A2-=o9>W1q@G$VwR4SID~P3lJ`aWHp2GDj&1(i#Tb_*z4e~Y7rwD^yp`j_
zdf8N*_31hsb^MxUN_GUk4_>)FC@CH+cWJ;{`|~M>J@Bzz5DfIBD9)nk5y+}F4CERN
zd8^>&s_*maBLeGU8&9d9(kKNX8%#Z*-krY7yvPH!j5T0i>b|n^9|S6z>PR|I%}j<Y
zRpc7A#fqF~gaA)pTWTVL_eJH3R~u+V$h9~*=LjlK3@k%BYn14M$4-we)X|<wML_?#
zOJN2ktvG6h=%u|ufd84luCE!-G1{3Z*h43!=&{r59^&3poX3(N{aK%$Frz@4J3bOc
z{WjXt%SyD|oCY;wD6gC#E~2H{t1X1~G`nMoBSk`P=#2a^QhjbZqRxfc|M+sBffJhy
z9PXC}f$&=D+X^{~gwgsDeW9zXcGd)0eh{uHsmqnRgq@D1$d%Y`9#GvuGhT<ZD_#$b
zT^KB|ut2f_F?y*JLk;4F6wb-xlP~_W`xdJ=V~DzCFbmH~hpNR^%5#KQbzhRAjmQEU
z=q(@gS=q89{c9U)Mz!$KSB<}1nRq3wRk9S@C|tBjD@-kYatY1l`Zyn(XzXIy`(CJm
zN(=R>hX6+jSJWJOHm)bUqC-F3%gMFG3fQscHj@;O;XGl*${zMrLGro>gZZ?9Rzy6^
zi&FJ%R?f=^vT2BzYY>|`b83^MKYN2G7!;c8;)zf9x1JFO##9g{=P)LJ-1P;8uFV}1
z_t8&ci)n&%{1J3S-i-&&VMXf;DflzyD21lxf~}hn@p-}KGW09ev%`I0v-xQGO<hAd
z+N1YB*)nd9s~dAgIq=(K<6`X7UZ~EirG1C(`}A%hsr!#rE)43OfQi=%T_90d6;zrC
z7@KMI*Ab<3)Q<vXt?7I$Kp^MV=Mm0@YsL}X{cUR{3Dz>-8_^FeoWomiSl}MI5%g1k
zVulk-1~2%e_<00)eUh@86%z!qbc>}l1zD?|9u^H(Zr!-fft8{?<R%0vO4a2MzM&vN
zU_6C<L7OhlBW<(0oefBs`Ah}-vR-Y_U$auOQ+p!n!5JsvMI8CeBYpkk@BJ*6pChv_
zyd^0OsH)d>@=+0EO~Cg|gRd-!wBR37F9Skzi6KU2`;<1Obtr$k5r6yRfeEyK)rsNZ
zN95<tgEv8)=XV;s+$wx)Z@2W<@}PI>U)e*rP!?kxM^R&L2fwon`!$z&dcg&B0F#y1
z2<bNtk<VM}{bkdc0I(D4yjY6k?_^0862fv~aESRyy~By{WM*02Q3i^0w~~NQ4sfVn
z2;eY1t>ixq=NHt}haB=|*G$z3fDV;SEesj)T;sECc}qeVR@bg~ChA74b*&pwVt5@j
zCF*<uq}VdtIv5E6C6%xgomI73q=8&r=?b<-xC~{TWP1kjT)Zx(1zSQ&Dvl8wKTt(B
zRK9jGr8vmDgG3^V4)ajsU>Yq&e9sh`QA%+ktFSdu)3d2!Gksw9-Rn;yu`GmsEVG`v
z+Wb~E56a05QfOfCD`4w)%~ZSrK6l}fHOh>WvDC%M+zQ&GCde%#AQj0F4!AL*7HBXi
zhu2=)tf-&0Fl<UNQ#T;QG20S^zr53!^CU7mQ$pMPIXk!T*3_gW6TuC4<HiQ&qUcpP
z?%gX449f3R10`2J=rO(*THN-aSE1yiT8}0)z?B*dP6)f&l3{r93sGD#5Ic|f$b6n7
zB&hY|`hsq-kMIKnRTx4jey9tChM6z0FM4AeBw?ANg(oiqxjEjGR?OcP2v;!n77-cB
z%79fk457u%GNexvn*nUJZEnAZMsCAFXjVLx>I0nu^M3pf=j6mr|9A;Omd%iu(NYyT
zheh><%iowrKnwXlE$*eMSu|TzqsvCb<0mnsgoTBqukQbDx?$F7*!cyH4`jwd?iKfX
zt*m|HRe(gfRO-N;-`kVIw82}|&f7ijr84$!`=1zXnV);9(j=zd_i)ZCDf0(#&dDq#
z^cD5$m9|F0!}JZW*>gy%4{VI7?-Z=sL}P=?M;Sk*RD^bG#aGfO?u%-OOV^9cz(y>U
zO<SWzos)?>zJx==tZNiB#4AdiBwJ+Vw-U;(MXk%U<x?X(avYZb?b3$0a45y8e8LRF
zB?2SX)s@ysGRNhU!^H@~Vycc!Ww7OtQBN5OTo4^&F<USNqjO(~yNXiiK<8&(s}UXA
zI(qXiMqbf;5BA*nJ1=$sM8T_M@z_y&b-&Rj;MR!Twpm?|ZkJ<W!tIPd62jd!XN{H(
z48UGrcRsuzNWnE!;fX(QpZS(W1gwd|#9d==a+2g$U(@3MVOOJ}DVfOqxRaSC@wQwc
zJ}_O25$3Vy30)|N<Pw)vnSdG2ws3jPH_YsW>o(VV#Ya@KHGY@0yosm&z7Znpxtt@T
zPy@A%X}0k?A7(_i2d+DAaPwz7r;xVLneguuLkdQ#g9ryJl#5C~Zro6o@@?*!%+~K?
zA+~oiz{Eag_({D<NZ(v7-o5dt{*f`ZFCAgS+!TW0uBefl=zQJJu{D4Y$UW?gaHJaY
z4#%Ha0#MRbO+74ZfaJc07N-Afr59J^169sjOw@|Rd23bGvqMyq;HiRWj^~3&3(n*Z
zhUzV>0qBtOqM^9!xt_EDL7-ye%g0U@$AnmvQ09Gk>Nd&t@@#-5r!OI@!G(Qy%dwhY
zc@lFAIF%1$(|b*>LF3WCB|TCNHPT4H<B}%4X9uq4RyFfT>m9jSKOkVE_n7c4rXvj4
z<VIZ#)Iy@&h65W?(X{B_m^Ed~4>bsJ5BG_z4HMgNJSV)4*g#Md40-xKyR;5@nJl~K
z`~Q(se}VPot`TgZR8WvG1_CDgh7xXtpm@F_yE}3mhe#~;sv#K~*q%516Yw=`HsNJ0
zQcE*OVY?5%q>4J4OJ(Om`urVEBja&k4U1Y}E>v{Cb&PTHwoFC!NwA7@IuZ5h2UD`T
zlUe+r$Ra34Uq<8<@Kl-D0=;09Qei%SiNiTS128Vn+V$U6dnYyu%pu*MUniBazthir
z5!ZSVmoI(yz~BaIQ1zNA<4QGfUd7^3%P8#J6Eq)St4KXs?T5(kILZm%6RRw8PQlwm
z*%Ow9NkixujE?SXoq|_mdu&@&C2JwG(1Tm#-r_>Gju{m<b6)m0wLX$EnuI)dmkkcC
zU%?1c*bM3L>}F$3*AL5Eh?1{CqJ3#X^mHV;y``T;&7Aqv*hQl_XQow(qzm~im<vGD
zz00(P5J{xKKhQsBFuf+N(?5440%fG3yvl(=5!<brlsrMWpwY}hR7r@?tDF6;ViFz@
zOQWBz1^PuWP$pR2qKdw3@QhQMlbwVEnGgEpYAig{t$Z-<UdRkq$e6M1DeIcv4qF`q
z$H~NenR`Aq4P;b9b~-PM{GsQF0F#+tJpADs3SqO@@N4Iz9xBD&&s+P8hnaE;ow)MV
z^LDxo@#ZMC^$Vi0Chm|-4l&Hu1iIxA{@Vv;iuAemBz%e)kw)cEm~U%?eo%JpC8b~>
z#04Q3%(f>Qx+zQUS#JqAbGhj*^*T{&rlrs)K!1Pd5v2civ#*b~kTlM35G*dH7IrMz
za2}aCeXNy0TA19wXC#K|)aTx;4VF=G%p6%)mzI~N^9w5IAdF-6qYcU$!DUh8`qDnH
zdaSs2Wq-5G=TE0EZG9@vun$m;zTgr2PqiCS=mA0D=qf->n9>)1ER+jc8SunmET#cF
z5a3a8;&d;4LjU}6WmG}ByC^d~O3!y*guNXN9uC0|@nWboc&!+hrcklah>xc9TM$k7
z)#s}5asF3fNkOBaCo|;-|6odS)P?h09seP)Mrp<{Q45~@;hNea`e-S_n&^!<DTtha
z^K4nJdA<BFrNjr57V4?7?OV<;6VRjUo}CGQn58_5l{r@CM{A%Ge9k7|s|*+vl*4Gm
z+<@O|s5TbC5aXD8ratLWfTxH1a8C&p9=KOQiK#|!{SXsNu?>XgOaO!4|3vpZ1JOq2
z6BX#C>#tph*x>;h6EC8#m8k3s@}vfGpu8Tbw%|Z3I_EX`u~PDW{V{_wKl4JP1JF%r
z$i4rV@;C<AJa}5$Zrq27noMgk+TWA9d<Wel`lebE4J0!P%nTOs;$dyZrGo%k1>fy}
zZX&1%Ha|112fcW+3?U$rd%Q3e;`z1;d#H>?3^264+=Umf@s9uMzbO##)PdafC2U>O
zIE7h2;vwTdL-)l0+Tb7$wQ0krC=fB2DDK7#v2}4P0OfNDWbX1^Y4bcc!ueEIKBLUz
z@sF=UM1Kj1;jJW>5T4kpSb-(feulT}?~tSXXDfWied%wSJ7j;Rw(u)d#8;)mh?IQ1
z8*bWufLKYv!@?Q$rI!4CU9TCE3QMQbn_<4AX=%z_NpT^NjGb4oXj!ku!BYxcqtngA
z7#<TvzJOyF`Id*Sc&rhis7}7N0vz)7P_Eati~oQwz*eaB4hnc~{5YP5ZuKI(eZ=dT
zYb}dzWb>(K<ty_&IF<hsK4{5eHyi)h@Zs&m$e5)&ig|yh4_q1K@KWBjDMtBN8APfT
z=xzp1w8cXIszab^Jpq8*q1mYg&E~JoCVg_?)gc{R+_4{Fi<o8VIw`vRo>+n^goG?;
zC2IR{#otT(gav<No6%=qgaTEMLI&Am!+fRk2WpLo!p%}&V2H|suCoJJ{sr|Vp$AYV
zLMdn^H|e-4TT<;?od?TsV=XAK2BlOAeIioE1gJaK+4F;5N7J?(Srx#JA&05KiHl5+
zuxyH&fXO8cy>I&*9xYvvQ`6dZbtzo%OL})?D8l52D=Umrh|yy`7_brFY1ir;qWclm
z+H{Qp_Qf#1EE?qfazQ=7x*D??W`*9D<@}<~byBbYj7ctSc6`Ez5{*FK@09LAJG)pI
zt(`^p+m?lFzg2e@Ho=pr*mS@rU+7WVrzdTf<Kt9?v4IYJYIuZ6o|pb%3=gf}LMDm;
zA329~L>CxlIa5$aEXX|3bpj-Z7!VRx&py79(qh@c5;$>y2}xnNkyJ`tsF=_=FV8}o
zBtY8(Re%8yw$}fDc!e&iv$frB-Q(+`d8UzArVc89uVlM^-i|muN#r%c_pVKgH0Zhf
z9*^+8#p~3TK!AXec;*sbv-<Y;8xh;xM6Cmc*o1vaREjR@d%R2_<zXz6x^l{dubwZ(
z8=A?U-SfZ(sII9izm9#lB1FgTlAIsdz`Yq7oVYg4ca=9iDrN<7SAKRnkO2<~q+iGz
z0H+@T1PboScuJAZZyqTE?6Q!WYAYD{4Bx}RtXJ5GbEzHfeH(Pc43|L_(b0X`eItX4
z&cDi`$u3Z|`W|yfV4pK!I~RLkt+55kH@CZq8f{%O^(eYj5@orYMJi_VK<hrzMF@@$
zVnZ|FCY4^B>f@`XXS>ua)psF453y0Uo^DF^bL<)PX3r}*sE9N|1>#x0sypLhZL5|b
zb+kM-`ivrslABX)in5xIY}~5$-kDkjMM;O@0J1q6Acu6?scCbTUEH$?Zi@x_F5w)T
z{hq!=<DK?&;+F_$i_v?6OCg$?P*8Bywse4qxDLu$WVWEpf!()Ts2~?o4La`$q&C~J
z=2m{Phs<a@p~|BM4V+>xullwRWLh6C&Yyml7A6-V>YqS~%?LAL4k={IjJeQ!)dp}x
z(7ytVTJkVY6R7m2X?b5Q{?g-SXG)=I$}E4^^)m7+RD94hpV2;1p-#*$ViO3Mwd)h@
zx6CC@$Q@skZ6PcKZ~0W9i@&{A$o~T3B~1QUMq#T(bNR{Ialo3GJNzzCw=Ji)TRzfs
zuD#o5=s{(b!~Lij`j82d|MD*$WvJJVv)Dk1FEsH${R%tg9HahJRNEL2eGua@wcOav
z4}JzP>~+2*2Au!CU$ygoOnu?F>`&24)n-7_)vZt2M);~o<$24KAjhn5LbHpiySMD)
zE<FXM$50$6ykZjbU)g@c0?10BANOeKMx02&{xr5o7Q(cxfET?c<xC00Tcvbx$`nX1
z><%)q`|xyhuCn)h9d?-bF-QGtoz)<gmx9OM+L@+ZDr3X1TJ&uyaPG^`dE4}`_$TLY
z$jBCvW4(J*a9ZY;6F0u-^juXSb2=ej;tfq<%KVRb<gsc(?Ojkm|5Q+X3zwx1=8lIB
zhbXN=Qku*P3MBT)4>TCd;vxb+5!#qdObY6xb#@I`m$K1TKfx*AEIKU&vg-3aEJ@6n
zb})y|<xSy$(aS-yd0%|a4N8#1;PDI64=zMR2I{dCA=xkGT)XleHnrRsC|j8dRwXtK
z2&(}<^Ax-cAn@0>&%X7PbhLT&Ihaya`Ok3En=wMPip%6mw;DOkw;C9=jhX4ZBf=B9
zpR(u!-IfQZ*Nw5~o%N7|bp!u7_s*F{NrT2dtR@qHolEx2NEu}~I3QAybb>l^V}@KW
z;*479hk*c%Z00Z~Jxf16)396Z1C-N5$nzKaiwmjDH50<7jGpLmZB)aSu)!f%OpHSZ
zx=QhZXGZ^Hh&Ahx`S5bvqyZ21VoW!-GMHBrnhJ!F$w&T~b2`L7i_OC^Mgh9SiUyin
zgU<lk9)teW?yDr_g;E?{<H*3R(0tea22L`$cVu6bHs6*=(r>gf*^;wEy_1yj7CGhd
z^J>K4iO^VVl0gw0SX9;5DUy>PShU==wM!=`ad{^IEm8sG_W`0o3*Y_D(bRkg#zpp{
zJE1B9EU8rM51bnWU_Tf^79{`=7k<<ljyh2kRAp8_hyg6#8;!IkJx#LkO_yNQ-mHCA
z?4bEw9olx2w8$i-?v$X(2=I)UibzPo9ee3mA6LB$sD&Llkb>^QvMSbhbvS(s0VM-9
zRloB3LFzCiRP)jFX4@GQ+?-_QOGknfBdT{C3hH)c;gu1`!696aMT7lhPL{)n>lkP*
zn<@jhSkC6epU!pdoYg^Wg6*GrFbYE-MlssvN<*^fxXWeK3n#X<-B=5={Q-kJ?^L{w
zpq+K}2}^h>qu;Hw9d6@?L=`EL29(Hw?jV_6M@WA$o|JUihY;w&8-bVc<##)M<yTOz
zN86GobE1*M!YdEdht1~6>Osi1Vrd7wSd(P@^tZON`Puk9Hf^<e$XFO>4n$sI+i?pV
z<o`y@Kr=p*1w4TVJCM`la;M!y=L4H;O4C$jCYB$`fH!mIEnCRjuVj6nOMVZTZVM2k
z(NJMu3VxW#zS1PW=Dw^LLKzH9ZAIwdr^%tFql55C<jcHGSg*7#StGR3M@lg$;mK2I
zYg)fc75X8KwARrE#m%A1j^&60wq!CsZ>2X_C8z6sx<xB<uOPE<bkM7`{WU4j7zkX3
z3mp?ij_~(5XRn$8F_>ig7|V$qqz704tH4ZO*5IIW==mE2)al_r9iu-UC+y-KF9`-!
zd5a#us~ko{gr_PCgvzV{w@cCYg8p}!S^6|MT(RR=C}xD;BxiS}W0!F?2eWEHI0p~O
z)q!%~^K&2n<~;$ozfHVWVh9Hn8+d(f4Y-6WOyCKgqpQb#H-)x$n@ft-Te|fG&cGwE
z$b(5fmh?HgEfG7p4Dt|=8*Vk_@j6D32ydy~!;O=MTVyr&E<4af_r~7tZM}rxjAp%z
z0&XG}=YFg~J&B2!0j>DY9%S8Y{>J>8prA%aF%xKsf!`QMMY;K*wE7Z(PXV943ufOK
zte8K-G8;v}1d3BLG!Vs|pxwv3g>(grn5hqmzhV(YN57q7Vfax!=?qm&4U;@@k=r9F
z!6M4ypu^!~-w$$@R|%}$ueP*xaL#PV??T$sZ4k1<kF6vas*AD;NR~b91eurq!o^0V
zRLnz5s|3G6p~B2wBOeXS>6OtajeCkD7<t`6MCvApPuA(0&M(IUoE;yw7y9llaPT@h
z6DfNk`V)$>Ug_`P&0VTww?vKqdf&A8veMC3G;m?vIe9{h9O(TRTC|DB?b(PB5Nr|U
zlBR`jvB=zHNgy|uX|MR%?1^>VI>AZ&3b4J4{1vC1?`(U(J3ahX&?u9~WfnlcClt*>
z(sc>}Jb2W}M`NXJwyc;7KUvXE#ua(C^BmzYj+&7#c2!~pB+{Dz<&o_U_U=M#NsFR1
zPe;swA=HmXYt|Q4jV(kcPSnX?`6C9}2vqOKNdp7v!V@nmP1(XeI=w|6f~hV41g3*7
zZ%ec=Pz6{|kt&{gck)5Pqm+d{7>%X~1h)+ER~c<ZKOst1T&(?}EET$wNvg2J#0})c
zsQF0lIm*3H7nXMgyH6FE;)#BPzuP4vD{aL^^0vXXBkHxJ%IOJInJ8=NT*De1W+K3D
zh;sI0hq`ppb$-?yjh8Y~FfX~Ij)XOBnj;UVShjw|ICs3Vrnw*U>U!pO0JN~j^uQ<8
zx3XT5H5P+Dr1GZ=(+{E8=BMb-+2}V^T5}5EdHK(xAlyMz$FWpll9Pngai(+^d%y{H
zqBPW)ldw2MFle@0fFEoz2L`xTnJ!xQd{>7^pt`pGx~Qegjtq0(;W$E1s&``UNUS>J
zQjLehTYjc7Dk&F-0d=MohU}Kr*|qV8v1N>Bh_))kHF@nIH_R31hxXM{^qfg(yrVS4
zE1M6pF7Aq_OCtik_#MoZ257P<%xp5gk+ld9JAuuRvHE)No-O<YrHkIlz%*Wq!n>Nu
zqu{6fjEv5$p_`06GBm^lwfsbS?hKPY+R_S*!@2>HI(my<ZH``+i(F!{rv|)<P4b<3
z2?yt`+V3q@qUkY(Kx_9D`B^6jF!Q0r1GuMe#mj09^lHZTR_=v>d-fhrH3JrMq(hYP
z$r;J4Aq6dTO7TKfP{RbJefqbxgG54^dRf9^{{@3Trnx5rv<J;Og=B8iO7Er%IQz$m
z!pIN<_JE;6#G<hr=_Eo#nx^$cV@`e|1e9@p5_v2HMG%UrhpC+9!0KV33l|34{%qd0
z>noaI|DBryWhayC=nWWCh324nbpRuXlXEJ0;u&q?lYGNdZv)HIoSlfbyQ<Cyvug*!
z|E`qk|Fgb;P+sdEx|3w%jUchx^NINs*H5|sJq%O19Ba?>Q-eM(xi+EWr8a<)8pO+M
z_65G%eN$$4#bS7>fTP&zzaUL$7zRbL$eCs|`b*hC4#vs$gM8@TDP`I1YR!Xq*tU)Y
za<3#0jyLc<h~84!z8qbv9;w(IXu1V4>pa@9CQ%IKxob7u?uEoX2-{$XG<(}0M?Qrd
zZ_;*>E9^9}?HK~;cbH;JpF~tMtB+JW#`xhzRTVat|A*{!=#D^IrnZrmLAqujTT`gN
zwoX%FWO)8x$aVN)o1^8sGL#iia`~FTqRZAdE@cEL;Db6Hy%?v6XIc;pc(4D(VB-@W
z8C!=MJJ0bd1k9r~o<MVlUnpEofsOQEZuRhQNqx|-3)!B@z)$!-ct~g_(!%Oszxz7?
zbY|WB<IX`9YE*p6L;gk_z^3Kg?8}Ib16#cgPE!e7j(pfT)QSK?ySGR2ntg_VguSvT
zy8R)?EHiuUCD-WMXxu)nA{MAc2m$eIa2%`r=h`#=NB*hLoP9@hV4s#-%GL`%c#)B4
z=|e?f*fEB>*3|@m3;#&Ek~&EKt4V;P+jrh?>gzWA`~uA>I-x|F*mlT`Y`Qq<(d^%Q
zu-bN!<gxvfz%N2Yvk1Z=7YR#o5~5x8hSfnEPjNE?BLV9dSne(-1h$`@O2En9{R>#l
zrKWMEY4?Q8CAs90+^P&;^aj|}%vSsBP!wstU@X>aoS?2~Fhe3b?_zHK0FXyOK6_}8
z{wpF->TVs6YaBkUfrlty{%sVY?J(_edy=#Nr>R07`#-Yf8vfJd(sfnqtzq5=HIn#a
zuL*xAeIdcRtXn8crU9{an-?c_rm=z#04G4$zdX+y&ISTU$SIqKN9kM&q=iQwlj-Zr
z1wwD2^PPvFF$KFWK~5x%T%U>ZxdCa5J=!Pb?1-@N9NXL@u|>%Hea|~FDTyHM;b8V0
zP!`r%`dqA&y|#X$(+1MjOA(Iu=*TcKpaED)#8*ZlfsW2{QDibxgn;WcU-m8_xiS2m
zCDhCQy8vGfZgLLDWKoUywnc|_>SJ>!^$W@Cn<fdi6}AO+as!bOJSLqvwgg4$>cu1y
zKhrco3(G=|i7pn}G_01L6=K2S8Z+UP%N`uLe4FQOD>!B^>aKOHYuxAwN5k_MR6QC}
z$&>C7X?T+7ADS10e*eG*TK=#{3tK!OdE##I>1PNBL!PGQIrqj((16jc{Pfg}-VdAD
ziG&0>K9$eGiCO+J#EbQqyXhA<yeBvFeT-XTpA()S?iZGt#W}I42-m`d<eJ^J-G|rT
zOG^Rt%zBFU16kz~8O;-A>_55j9YI&LSg^y1AqkpTWBQM|am#d5F%djaz4|1sBb^H?
zM{2xVybKA$#`n7T&Cx?>oz(gtuxmk`@U(_DBNGTJR8Ud&j4)4Vh+e!PF%oQr8Q8I#
z=joR1pwqy3l!YMdLsQ+5dY{4@t)HbpX;x5niE(CGD-1Osb=aA?xL7_5aVUjmZT^z6
zy=I{S0xG59-AO_H?Mb0&65>~mvlYB|jNu112!9ChXy&NgN_;!*JW>^5m4M4wE*X>4
zxjL8I#&fn~EZ;xw`U}8d_#U9rDYgAQ?0#yw2~9Byx0j~xR!qDPlKCr6xHVD;CC}r9
z`^&I_5ag4z3GOA)S-@y=w>;JcMb~#iiBLu412Q`l_L|#Pw3*F3TdYMeuKT2kjs+;-
ze4M8st4+&FalP16Q~lRSA-fsJPa^jU=fi?yR_GPHz4Zc(v$38%*p=MtE&&_uA3Ktj
zxw4JRh~|^KY0O!%rT0%9!YhD-2Z7ftG(yt{D1E4PeebONnFIAaGJUmP$p!}KV02ec
z9`z}9wZ?RoQ*B%zuZEH-7Az58NjGm81EMfi>jsF8=IQh;u!I%$h?A1)#{DhjdNCre
zZ%+ZdR<cuY6zDwZh*SuRz(m#NoK2mLS@Rz>R!>n3>B!qLpx0&A5Re>H1L^z82CA9P
zWf-q)`WGvRhiK_Yv)t7dT)Wr92aOI;<+k+U@D0&}RQHubUdh8(((<`z@Ldd`pz|>a
zr{Fs5zkjSL>>vtmkxB+rL~fA<m7o&%&M~Eul}<henD(pV9aHdm+hNH48$A(7yR$$U
z(rwNbv-uVy5@G=W8a1W2fe-GtIa8GN?Tc%bD4ET8fqx|icch_feS4zK&h-lsTVp^F
z#j!LTSLsIkK+Mr@u=mAf^#|J;OCkECMK_#<`$9^ldp6n3yvwr>6Wc)xRppG{TZ`^Y
zdcl6NLBhH9an(Ss7$vIcnwcu{nW7U~*Us&J0}f@Z-1-zjTYGjsl4em;{Gdk~smh0q
zCJ`I|0A8tgEP8S~P_Ck{N*ekI4W^lJ8|}$rs%pG(MbC?_Ntd=OJNd~{%t>sW@)c63
z`mMd4mb<mup~Pef<6eV$i9h{DK2Mwa?Y9YqKW=O6_^}046~K8cK#-$_zK9?L6H~8y
zqzs?IQ)ycvkeGChh=TypP@kZYg%sfo(OGRjjfS#+h4i?=_pkiz`|H_k8MVg4)pROt
zQ$6_xjDG6FJdY+lxk3&JNW=7t(!4ag6gh`dC)9uC6u=wy(7@Rk3qlH-Omk{sziwl2
zIog+YTXnGPm6>B>@Zl?77Mlv!q)#)_-jdp}7%9R+Ndq^WwI(Q53L;i_%dafxKB6gl
zPO9@k2-tM(#Z|a;)*R#Hc3{+kq>5iPUzq(oKrD|tlp5i*yCw3aB;5$M7wiq3dEdkz
zjoZ+q)SeKLEOPrD`t!AySp@^D!6n8H<Yv||C}Ghkp2sLDzASM?$c*i6d6;E5x8Z?R
zmTS-W*lQw;`HUVaxA`mR7vRXojG$eE-@<eYyFT0$c(%b|i9MQ!i;tEioyvOqxCx7I
zPJuNMhZ0?JP89lxzZ^d6{!xop<tmw$Ed(bxkuVb84+Z^aHvug*#x6rY)o-5Szgbl*
zn&IPA;jp?o5vpqvFLfn_PmJi|U;hq1gx?aMtCO_3Pi`|Y=hotiM;@_PNq>K;NzEIz
zaofma4U#tV_%11V0L1b$@}cO4SB76lrKL!kOt;l3^ecMRzr&Ll_~zMG0<QOyf}-$5
zrDeOWJrW`wE8$40Z`H_-N@Q673OlQ55@1y7EVqd}DX?Ihx{MgRZq|qhj*XBboh||R
zq=a25^gV$Go--}HFFaw1{7o>UBQKOICx6TBn<TgWri7X*Y<BqH*D~zX#dHBH>r!(2
z_hWeN2D{u?<fzv>wC=2FD)faw2uy~$8YJ>?(@pITc{+`b@>y`_FDExnjr8?hGrLG<
zaE2{_ziAi7;qYl9gv0<bztfT-#0c&JZ#W+af<9W}N>+Us8~3X&n8AGv?H9}wi@?tw
zY2@DhWUk0J%Qfe`3S^WlrWK|}+n{RpSFzkJWSpF$NQpS{ys#8``vRKghH3mVlM6*a
zaSn3h9zwf;Cw6NqCKbjrT@%=QAm2z8nLCbVwqLSL8K9UyeN)KSnogTCa=-Qy@%E(&
zaD$IAfm~`wC!U}K-&HGfs^}FSe$K#JTnUH?=Ix4Hrf(l*34;9$$KCs%s*PMNNnNL;
zq!=k@0SZLT+Q=S<%K=koeN$+uf-4&MAaMDA=`sz?6LwTY?Dt6Qc(QU{^HKaaD`HRa
zs*HOcoWdiw9hcJm9rDQv>uCP9zW+Sm$mm3uJc{y>KF=_qBa6l7dvrrOnJ}FD*lgPo
zJtscn`T4l^mrY~ybw2If|N1r=oOEvRomFmuiEGi08YH2}Z`k6vBugY&pVad4lao(!
zB(#y&Pe7B`;h^cV8$MRi0P^l&0udJ|vPu;AF3{5sHN$a1Wb(I+;Gw0)QZLt@OdLR7
z=l0CM5-7GEpG`=moQffK7R@G;qEtKjI@!_p46kVgYUuxi;T^SyMWZ6Y{ziwIdYtci
z7=ZZ>^y?AI6Jf<-1@}j~o4zt{vCasIB`k8vzTuKQv0f@091hsa7W4n$IRk{)%ElOX
zwO)z{4aD}z6hAp#jL<{4-C7NsGxbTc?DKBK<Jaa4Jkg|AHG%tE(}cth90*r2My=OE
zTC)f0MrE%`1lWl>!$il5mbP50Y^;UC)U-sN`FHfCz34uJ=b90hcBOKa=M@U%xI^?R
zkg(u&Vqie;*0v#OG6uz!4i3*rBQqqRsGGG41`mbWQWa@_BoZB}_^ND1vTa*hW&RZO
zXDF40(<CnE2%~L4oZsgX@e7l4&wk9y<|*fDy_yVgwP!8RM;GlBe|cBlUQ%6^AtU1N
zTaYn0Lf?w$9O3)tomJjf5k{{9hX6kNdC|6fnxRY6McqFrN$KaACgcNUAZ?#II`HJh
z3Ft=6Pm6qa*b0vPIxxE`+lEh(UT5HZ_{(pdMC_j(6@fn2ER%?h8=XQg^*T$zWGf*l
zqD|ifb+!d2gS_NI!x=70HgQC6vYvi^WS$82#>G<as@$CEC`iEJa?+~XT_^#$dsL93
zK4fQm|G+2Rzaa>_O2&F0^~H}DB#<EMe5>2)3uI5F&uO!7J)j)n$PBuGrY+W{TYwRa
z-OBg)GAQ#rQCko-m%pDA;z%31;uVt{C-hkupCXtgRe+I)4AQW6LNJ~ujrcV=aDl}D
zBY-D3Aj^qtXAH8kF&em>u#UWPDGv(e+MO{@m_vnm?%bP<H;M46x6!t`&I+F6HL*5H
zK6se&mi)FTg|@p%RrIqIyB!KqJ{#)~X25E+1@~^6r>%lslf7#Brzevc$XS1}gO({$
zrL?9Q0~zRnG6tXKjr~QG!vy-LeiMsBJB;swaIeU??@@1+VDebC&^3fJD*bM~i3P^z
zjxp8STOC}`fmaR_Gk56Yob<|pl^-xD{p?Hj&2)pc!71Cke|zk`z@c8EQ|+|~^x=;v
zN1ooZfP@Ss3M(2;AOyl0%Ti}|ftx#_-A@3<sYV>Q{%t-J6pTxZRggb)U&fYBa!+Zr
zb=)SmuOt_*{Aou9G7cC1`w&UEiC3d+-I6TO7l-RX^oc3t3D`EgL}@4xEG8axNqj*b
z;hvXrfMA3*s!3(XCnwY8G8xrCYRG~|j579AqxJoOoS<q(5}^yE$kEVw^O(#%Xt~>U
zXPAXHxX+}4qCtMRyqolOE(DuwI8)rZ8XUfamh9o04wn(8`uylqP*{bmBUUpmeR9nc
zWsjKnLP8AKuZx+Sf?mln%c}|g)7n5_n+4tw`=kiyDg=iNA*iBtN~~Wrr&T!-r*qbt
zdZvvxzcGg~=dkLw&^BcE_*P~cLD{%g1P$)z9gtHK;BD}u=PrRNnz?Q6rXP}uSFUgm
zY*|`6xE%RK$)Kp0V9*gS&{<Gl7BH_e>;ysPm-+W|1+fU@4dD4p5;cD%&wsw`20vsC
zkA|sT+(T8^a*lj^ep=c>y98c<{4S0D=k2p#tmP%GC?F&_>YrZOe3bt&Vr?YK6^@eI
z=Zx%6G;W6R=i?gTyex6J{lQDCwG6oB(Qg@6u$gIGVjvJpB)>v+RuD(hggK?8bQ0kp
zyg>Z&)H_=S5|s7oX_Zs+u6(WGS0XswWO=CU<+H{ry=aP@4jaj&+N*?(yyMVvM&Ahu
zlGy%k!@|i3#t5Whpef!1z>vN%t<5T<vqz{p!g)>G1p{b5Bk<;yaR$ZY8%mAFq9GJA
zKdc0!P6y8|cHQ7_AU$qk9JwY#ThSf54$RRhbjk{t*r{^lhhS&d2@|uS>cr3%V~Nup
z?tI(6mgomFf1iL^eW;nT^|y<<KBL`0Nda}uQHusjW1t)J>{Mv?3a1!R5&EGrG<yQh
zOb`QkjAL6WtcbC@2_1_qY#d^(&*}vi11lr?FlGz1s-CkbyyEpqu)6KJap)F#Pz&y>
z!MZTH+IBhqGH<vDwSU>8>wp7gYNW1WvOvA_v70SObeEVMl#Ek0E|6W612L&KT8IbP
zuAcdDtq8Xck=a*>;z|^0x@NcIShuxoHPW^iBR*&TgB}hII<ur-M@GzVxk;T^Qucad
zDA2M$_0`%CA(;BFF!uokuQEez;tL&3y2UaZuj264QE$wuQAG-_<^kXUd=HK+4eCBz
zo5d|8a)XJ>$lS%fVz9nAT)6#gctkxJqfLtIK{}4`In{^vI0p;WWMxKA^bs>ff^*=A
zBZgj-cJVFiFWWWr2Gaq3w3k5jEg#HFABbU4q+*%MMGFSGhiD*H&{e7`b$Q;L$)={u
zkAVXYFN0-D9r0GO5poMIQ68<rDYNE)NV#Ih^wiE)y}NebgUpe>RzZrtQ?kPa>5Cor
z6PiOHUG8<4*E3eVDeSKp;;L2X4*|%r+Av<09wg9QoT<L(-qxB;C#tN$r-am?<HC5d
zpu7273n4~6s%+|B)6xUz242?xG|E?n8V?v<o`^RHO#S9~Wct7Q;H7uL{z~|QZfV@Z
zu+p1gbpz<Xhb76(*UskRy~&8KNJ^f6i&dCwf83t}p+V+Kkdx(<ZY9J3^W~^oYOfM^
zXpC>?P#y_7I);R;c`rgsqJNQvi4q%4g*-1xxG-5EFC5kGNl9iDD*VLVEBX#1W2|Ww
z?;&Tcs1nAkvR+p5iLGIXE!}KSM<^MA3OgVG1H_Z)twm2y(1Dj~KLz`u;cTbbV*n??
zDd>(!0cW`Ke~q_&56}2D!sWj$n;#Qrpy#d437khjc|7CwuE&=gz!%=bb=Rf_rcOJz
z*6ZdVu#v%6x^fA&0P^?ZKSkGCmseP_i>Wgua<X!z>buDic0XFl-UHJ|9_#f0UK7Ou
zcu`>FTCHh^<LDJ-M`IX~MK5*Wtfw{@FFonK3*!W%_B1d;mB7`Y`uFgR8NON>*tLP}
z_ok^Wo*>sMdbm#r@}q`q6Gx~mp(5NBmdq4v_ap64Eo8)#HQ($b=!j0*QWW}6?jo#=
zB0X<sP=z$?0+`ux5}Wqt-oKiy4{sF|xjwR&n@?#4DoP=wj6brCGrx<{{fXY64DlOF
z;wtEgmP@<W!+B3P>dSemHWni_oog|8CC5ks$?{RIjXv#7^r*aHLJX|@p?DY<H?Rc2
z{KDT<6z=|st7Lldx~Rnu1(PYr+t+|*UIti(c28&CM&m%Vs&FtvkO11B@7(=smD1N&
zlBFm&luMkYmC(h!tWcIx@Au{pP*;*ThJ|GURw<Cfp#~%Zyv=r(2JSF}JieZw==XeL
z)Fv@W=3}flGk-rNl03e^7wSO<-E1-I!C(IZ{25`HnQd8csqgTL(Tu2#i5jPqsnV**
zXI;_|^w?|W$Yp~WOekeV)SbN4{m``k;)J*@d7?i}G9k$*MH7=!4D~1<AN;f-J@G~M
zI_}v_Hg3~TaL&IvVW|omxNMtFAp~+^yxLTL&ra1A6fbgPT&Ex6Rgx5=YbqW&evohv
zs8EXAH}Q6tJ$kCuhAmd6Kq4M9qQ{F2u3(kl2Sj}PhUi%Clt&wdK@GgG#CyY8`7Ep3
zX|jf^fQH{{L-xJilyo8KU9Gps#@4qH2(<}~tz07W&hqR3%hK(jl<8NvMg^sT-<2|2
zlV$nt6V35zom@b<if`TLw+oKzMI1+7ip2!?viDa(I^R)1jCw4rQ$0K#FiM7}GSPW!
zl{F*dai|wjpvw4<ov}30Li4(j3@Ykgd7VBW1$}j)Yw~cQVJ*Ad=gxzl`kU~E`~~GT
zxuVJ(e%J59L@%~$o))sYX|+a=HWvQB@&nnhBQOSx`0NeuQa-4|<}PP*p}|$fohzhj
z!tz25NIE{h(+e%2ZX0CgaQlFRcyG+s8%{XYrqPGJx_9A>kuTmECeO5#%1d4aA~1Li
zA6fLOX0~z6E*Y3_*Sg}eZ!wO-2c#8QMrdiIIQM#$ZhCq%r4LCQQ#5iGWP%EAVn}_G
ze4=frwWmP=uh?16IpPD%YMp8(8gf~FJ6AptSO~RgHQN4jBmaEUUpC37WNEM+CIGOc
z<S*BOYjxr<o45<<<hZFq6PUPIRWyd>VG~Zf;fH-+aitytVaztC98{ogJKG_jY0iS<
z#H2y;aD(2C!pk)F_|xg0c|5+KGSlt!kTg7z0sQg)pC|;lX4m7am{P^q;gPOB6z{E-
zc>*O^u4E;qJthz43@Nl6?%%BSY`VY9n3ZXK%?eK5iyJ@sJ_W=cQwGaFiBfXWOSUib
z-NETP;r$N6*}KX^BUnG5j{Zmi4a*hS0r3LEzK;Xl&M9hjA0^Tlau7f&B6$}T@xyK)
zQWsX3*%dQ6R539HKhd!4a%hf+uN2|MX90jgQDRaJA=LO>pRLlk#iaW30X~N}4+(p;
zT^gFTD{?gc6u*Q^Nf*CYf8l4-sp<>i`%~3L#$|;fKjX)&qH#;qosE-sB2n7WxL}I2
zog;Z?xQ@Ae>0F`Q^7Dx9G#->h+iTCA=|}#YTq0F|nABlFWZ@m=ifmlZsw|)>jrAP|
z71{!i*R){X*bK~4Ws1Vq3Z~<+Hu3snfY9zKl__f;_-}p_ai9!J|7RGsLFdv>(2WAy
zi$@nTxx~I)b(mv~+M6$&G%7eR&bm;Xy(OgpOh;*TS7V^#`W09Zny70m?sy2xekN>v
zBp;hYigrb;luqJ!Ui*{KxFj`rEtdjgG+ISg8%b8!bi&<2Kt9)GYCM`@FVnv!o7y{&
zw-bdaT){s6^Mi)TR_Y`RoOS-dIH^UI9D}oG9W7e$`g@yolOBDu$lgr+|LTxtBh`gg
zUeK>mSk;IV#v?c&6Q<%ijzkVQ<Bu>2B+mGpc#oW;GmB^4SES{fnVCB}0gmQd)n-y@
z6&3@@&a)&lPnWS~C)MF$?~6fuouE&CJ(&mRRuil%3~BWla_+;!v9f^z{z4Pn{MN?0
z=WvBrxX(?LjDrzMH(#Oya4}A@M1oTXHTZ@>EesOuyl)Q*{l$&4k3vBMdJF1N&db}T
zQ1O8s$W6rY-vJ~2^wfx8sszpEVzuEy@6a|;bQr|H2eI&-;@4PFiqNPun<(Zw4wTQ@
zy8yL~e{2x~hq>N-Dlh@CZ!zsCiuXMxmdo|ymcO8ZUQfMzM>B%4KQ;mo=3APedacwg
z6a5I6ogO0N;IQ?Cy+RGS)(wpYoRyI9Rk}-{U88%r=kG(w>a$y)OGR{C?5%xFd*{6v
z=GMX_rmxMNXPg)u6(te<)zSNi>37y_@`F}_7XlzK&S8h43*4irU}J=7C(iU|lW!)z
z+R9K4Q+7W=#5ZZ#=X^umlF1p_#wh6dv#zrwfh~bOI+=DZPHX^N!6jhu;(C2ZfPoY8
z9c7)|tjLc)`6b6DDu76-7RIkaDh2iJp2UW;9yj`J_8Lp|1*KXIBBVooz;X&O=f%!E
zu$BoYUx~uU<1VlJTu9={4}(3#XMbDO<4y<sKy;R|oe9T#t8@?Iq_!$;|4P`OwlGli
zd!K1ml6kOp*stM+5p2`N(SB!V`0;@3vrQpYr|pAfHm+)C1=ed^WrW>eAp<1BG6tpt
zl(Izu_eLU4M$6eZ`I3{VMQJyCXsQo@6T-jBTg2i#UXQB9eYw6vI_h>Bs<$TmO_$kl
zAc8o@aeCrY`K`>IRR=Z_tk!t0S^c}7*S4S=pBp(6U*d92RL)Dsq-fh?JyR3DJM<I;
zRT}Z`Rhqx0TMtdx+PCAxD3Ucp*)Et0;iQx_Ie0wb6R76wZq5`6=v`wOci2sixFr0?
z=#Q8{YQE8Hums1OCt;cNn>@;Zu_$1=RpdVCRXUm?KLa{Zh2V8YFhn1L@Ih<=GwZT;
z^jj8;P`-qN6y!R$D`tLe4D4dHXG>E-p~!pjQ~-5^HYn_^64iz)+}p)PS0Iu|9@F6K
zdlTj86{1tym+bu5YL$dHS{9rwcE7;W*lxlT+H@Z?yD_cfxF-=MkvZvj2@xC@j4zM|
z_Sv)B_WA>G%ctLOkf@4C7d<}zz_iA6Y50;Q*{up0F=zHcj$F;scy_8}#n&L@R|c|x
zP7k5QmAzO>aZ+b&wmO@Xv`9LNV3UB!P`B2z@CJ?)xJMN>J~kOJxipQCd0v1UHw#Xf
zx@{E|gs9o)!0Q}ls$?2ZStX^zDVqE!vYWLixgjp^V}5Pc`yNjz7cT)>Y^_f9BhCs`
z^WHif2R;Y?-c>+!W~dBPP(a^%l*EqqtE<bnjVIb)VMo>c;9uN=6Y3=Oj9f*;qQI-;
zY>Lp=@#BI?Rzs5${4IC;;K2H$)Hwl5Mt>Som4w_}zvE_<b@XKv-lNu&d&KW?Rv_qF
z)?UDad_Qk3a+VQ(+j)8n{05pxzFIc_`Et2pYkcwWpq92T{3HbS>?OQdQ^GV?kxAM{
zKrltGXhXE`<H=k1yi1Sw?<j=*mZ*Ja+w5F|O4(X^UF=3xxd%rE$H|zxC}Q7Vl3@oK
zX1qv`<^50R9i^m=PibG~Zi9MpXaL{>tS_XX)fP@8#Cl@dz8npR;_pUf#-^gW_Aa^4
z*9LSgn`lWp7<-*q?r(Epvnc@Uc(_#P)~2`po<=%if5j_S8sI8TeT91tynT2|pzD|T
z^#Ba1r-o0R2zp%UW<vyg=N)^NCjzEX1qZ+H>dV~pUX`~TgIjJ2jYe`*4-tonHfEpW
zYv_r{uXj0FeId`#Tpa<s8SHx$l*A0@6^34K6j7s|zA=wVoWYy#sVZZN`96Wd&`VAb
zsZGNcG25m8C8?l#r#BGLg&i?fuVR!N=1AUR*WoFmkn>LqnCLZj3m)rRn`rQll+!VJ
zw3ifgl%RLE3_1qGMi?Qgzap*JjJharApP1i1ZEe+ch@!*;uBnD*c73V%-hWu@N9F7
zRXL62$1v?NZ?w&op__tSJ!iX1h}_HN&yr^($7AbBM4`y@n4MJ-*Dk7FzHZJS1iCbV
z&|q=pFxpsE;1R&mC|o!i{r!^Y%tgVmK<WK2^W<^1Cd~sy9(LwTMHQSKX$YSTlyw(<
z(v4ea!0e5BMYQ}Qy6Ewsi?n2JG43FOKrMpY&@8Rq(C8{G^T?gzL*gaM7j-yRWFk`(
zjm$u_mL{b+0x|&nhpMk2;+{q1S8&^x_L(}z4i0(jelk)o4()U%%9mmk$KGOtV|d{c
z8$N&hfiB~N4Ji30mj%Jn`P1ccAq?aNY*vE~feqN{&=+yFwa<n-xzbH=omUs^BA!px
z>X+O{0#@oTkVkp>Tg^+?WDPWdW}jKZQG)@Uj7_2qJ6>#P;fmvz5cHGMQrZ>`9c|{^
z@ibgTGG|3aC}p!sTtn5>&ZeBox(vy219vh7AF2GDF{%CSrgcOcNwRKl3n~uaWTxcG
zOUQeCuFOU9R+V^5IR3K<?>DW%3mBJm!waqB^Sw9RzgLUwDelI@JJT2lLuy^rLoGsA
zSH>76`k0qf8#%5nlDpno+Lto&{Lq(9b`(}zF<w;reQtp1Nt<i3OSDfECdtNiXef0j
z;n2pxZa4Nym9gPFG&5^c>NkhPbS+d|4^(YN7a?c99Z0(-1&Y!wJfCxb4E1U;JZ&Tr
z4XvclL9~zK_J5nb6CpK^+%k|Ffr=J}#G}=9<t@8LO5*<W8zhRIgo<3}ln9RZ1Wl>F
z=d!d#w4PTW4=G{KyQwItrNdIyF`%nCMC<J~ZI&1c7knw6d2|Ywj35{jGIVV-6QwgD
z?tmOs2WnwCYl2UeZ}x{X-%sC1`1Eyw92!CebIk)2#qL1qUz}^UE<a?Tb*r~Msl1Gg
z{Hn6TDN&*+!|2;u4SWep7-@9Fx4lBTt~O%{L8p-|Dfkr|HLqbmwy7I$l?I<1;$rG(
zuWkcY_jK1nM6SweiCKZ@3}fDPTI5GemK=(3V4tPn*x&lIDSgteR{6{6Y~kC{w93$U
zX(HR)<)e5>43g3WAp;VS-e{%0$>K#Dl(Pe5Y0Zdp{OF16%R5qzy4H~l`)KG$B+J{=
z*V0$3&ZBob?nqM4fM$o$Dgz;4(oK&Eq8Rhn_>MUYiMk^7ykr$rMh`QZH-f1;V_m#;
z0R$f_`Gw1(7rMv8ippn!C(xSOQ_e&37I6FzLIw{PZSbYhEn^B>ARpAJ0nPa(_EBq0
zxn8{Of((8<!9(lKpO(AaWtj7q=RgjIwI`_TZoY854&$OwK7VRIwj~4&s^RIk2O+eo
zu>Q8|yD)#P+d|K~!u1EFlG>wf4rf0a@TosevjyRl-8(o7c=a<rlv)%z$ijpDwjlxR
zdDeGkX*RKO2R~SUo>l*VaLJHhxhgKmNt;x3m<Lu7Uq0okZwV`PSA*dAXVse^rXzVc
z{*5WSC!>CAc340&&)LvP1M+GQWsJ?S5P9^k3nDqmZ=G8m&4HKbG%T$i8zGXl2I8Gt
zN;E!?U2O=;AP_)x*vn&#i8wKan!p%$O-Yn^ls=|@0r4~(_#fWQvcRYT@BGADPd%vo
z-y*9*0_KwAF8zBFhfH4%${L6xp3SNb`wBiB=ePfkEV}QW1}+{E>+50#fnxRaula0n
zn!ts*w|&jbt_xD<0sybjLdYA3tQU9|80iC<JH#yBAAMg0ge$*?DEtX-l6<`xWfo`;
z>*kwuD}5m6yjn6-_J%D9qPZk+Ee3D9K?JGgpn>nNurkz^k$-}#T38lcz-K^2V}2&Q
z!ha9JaCLhURij0Kh-z7TUN*HGl5r|}A<i?u5!@8<KU#{=(w&i<Dm{SvKOYBKZoh@c
ztCn?|t>L}q^h=8@zCu?54v#k$f|36H^E#q{{&YsPMo-IxOpT72q#Lyb+o-l?oqnbo
z48EGEY>^D!{5&xD+-8+auRsL3R77jK33FIBY}mh3#eEjb&}1L(8(BzQu1vXD3PaWr
zn|VwNV6{Fgmdanoo<5Js36DDnJ;v3@cCQ-E1_*&Sx|{o4#TmNX<(H~f(NK`WR3R!U
z3dT06{0PJhHz=k@9aY`0+vv?Cm&A)7eGduH+jI!sNvl*;>fD!hb}i<wF69=ePHlP_
zDnHC`l1si%A6I+o4`_cXd1J<!vG|pR->LKd#`B6$kMy<>*&Y03wj{Zu<=!WDBqT^4
z*MQ5LayXA)H@B5JJx;K(>W>r}P_>pm9UY0~l?)Q#y!hac7XWME`<iO+xCIzh`^oPo
zjfJ^lq-&CRiu!q&I5nA%@fkZ5wf?S<6p_T{Q4Fed?M0*0XATF_J-#M2%CaU`*HtNL
z%q5NXdo9AjR1)52sQEE!qUK``6}V*OEh|aukgpu--qHG-9}ET$uRFEUD$DY6Xlh@j
z*y$!BNDAZEK~M2|s{Ael({~;ZoZ?2K#fxhSH0{n?;$2b8d2v+U`Z_nsFO&nIwKx&V
z_tGEP%)Uw?#L5!o>=h>ihz@b=-h)B!Pvp;2t)3zYY?^13=f3-ED66gnOZ7ZQ02vGH
z790)YgqPqM;cqg6m@JipxER|%&)Dq4)7Gn3(hH`e7M3)S%WlTi{sA<5JEa+q;(Z0P
zbf%aA%CkBOsl8@nRCGC)UR|8zL2{-hER1qk*1|80q$K$q-DAPho$kA>>byd6V%l9f
z+F=V^B&V}IAF8vei>nIa?ueduj(zm6m)t`n8A)pRv#Z6Xfk*L*m=a>9*%y)AB2aZ+
zra8^hT?QJyRe(WO{2vjPrYK2$OhHh<#rCBgXS&r;<n#JvpOdbavwhI8>@odmX9v)^
z2xRk5*=HtEn{)9SDpt`%QL*4nfIJ?tN6b2pZfapnykqE$`Yw*Rm(>FfqZViq@HqHy
zTb|;ln$TrRh8bfbGVp(~EufHTMLL!Q3sh<yI@q1KzJZ;EU<;)y$P>|3=1}5oQm(T0
zG`X8YZXYAW%UZgQ6qqYyaPuP^dYUUA!?p(r6~B3zR4)g4f7LM=n-wGgl9`=JIg1q~
zcS3j9^IlLY`xmGw_%UKh#S>_QVaj{1tyJAhQ=FNm<EO>ZgJ!9928ly{Zesl^DGeCs
z@2N4`FGFmfT-V?C;K^an1{`LUb3rU?eqr+U)>7+o-0PvYx6R04V-T#08tS=Aw>1Vx
zDTHKyl5)JelOaRfb=A_ax<{t%*=cO~8?+p}Uipf3{OS`~dU$d8->|@`c3<ldQ7&vm
z_AW_C6FrW^jj4GHcX}Tda+r&+TA7W0G>M4_?y^<mM{4*!rcYqCyCUM4s)33jru=I*
zzgg5bpU$VV2n*}|sc{1fr3XsrTNdeqkH|)E0KpYe-5$D7l^QK#LTd18RsdYFW_)Hp
zO8K+Va*!nxCGOn@6}){W#WNG-%q^SiPzHC}o=JY%eF*1jTgB6LFw~bdg9Az<k&5n}
z_WZeY=eKltk-ICArS~@oP+r|r^+OF18qSU6jQe~=6QUOyI3u6mN*RP<CMvG!zsHHw
zQ}nI-o%vGZjz+JMzhbb&O>X$g|ChOI9aOi6EYSImFSg9^#Yrpdju1u2&AhXApCQmK
zP5H{*hqU)^P?U2hg*t}178kxa5&qfa<=uAsnjmx~WsnvN*Rem*Cc8t1v%^vHZeR^^
z*NstE!`A6j6zoOrnTbVINu_s38NveU=grq>%)-fVwDWFt2BGpJXy0fQuYc;o0j2UV
zpT(+nOi$h(oy&i9>UhlI)GKvCyGVHMS;Q09K(dIG>nt+<$#npQwW)p5%#Q{Ql8_S!
zeYxbrIQ8o-b`4M7nocko*Ob0-L%ZRkL(e$(QBQbF5=h2#hPdmySB@FSmYk4z$G@Ln
zeH;%trPxU~Iex)a{&!c`=<I+-I6^{uJWh2W8%x(uXY17{DBY9_;tgYC@NL=+;ax4|
zJKt9AQL|3dH!n(OM*7v~C>%(CRnC@%v$*1muaC^OPYL>iUD6e~$k;fyXikCWLd+^+
z;8L~t#PtlGM$5-B<*Lk%7dTV5KvemrQ5R^9v4r>*_+ANFNGp4Pe!Aoa`-1GfG&ew-
z3W*(@(kLyuoT_dlf9?QZL=6tqqg@oW92*fy_=+<{oXI02pB6h<16(X&<=zJ&yyoaW
z4m|@iX-|j#IwBG;@e;F}NnM(uIbD()yEb!v^d?@2M@{P5?5sB(ZLaa=MRF%fUJfvv
z!{Q<(d%afEJfHC!obrM;-@;g$L^4ZmF(+rA?SxQFJJKYV>QLFmU1x?cr<hPJK_l1?
zZ~#0BvSS&1>-G2k&Oh(i@4qA0h6DX?2ReUMVFmHsaxna6NThaB7<lS61sutljmJNI
zIo+2R3446?Gej<rtcL80oBin5Jf8`>aI{!0^G^qJFfHn|amX+bB(J7E=w9?EkY=kc
zJC$c=6dGM{crYu0hTbqruOH9sM8b0dJV`#--c>kAT*Asc@90hJ3cepf0WeDaN#dxe
z=MT)AOdYN13G;;^5yM#6fZ`MQLN0!2H-i8|Ffuuy4d&ZxT>icCRw$wxHJ`}Bxexq9
z-|7)!LMHPT%s1l}sUEUhQU@lwLj3?XRZ$*<@VolMjniaq0G4bj1m=x3HFmyYN$g6G
z<?8hBTEw>v`gkZ$L^rSUK-c-ud}9*W!w5Z-V5U{#Qv3M%>6pY1*rhXJ6y)P}SL6ZZ
zs1x38p}7W1<p{_*F5?oix-o{Du%nqkjZjlV@+E1tKSh#cre$91JDu+=H&$6yG;ts-
zr^z4e#bqQp>%RVRHy6kPkGOKMB#t%s-{2c%88NP;Vj6Y!h7r2KrA7g>^R4~67)89v
z2KEoh(B6M?+E%9pDG>vn*SWijYM!RcLvMBoggvsQT01)C7gOCBm}+NsuI^#m@m%v3
zRe#Sml0o}cl%BK9rji~_?mc(R&c`dlhj9IKV>rIID8oEm5gO;ajo~(HH^u6%?oCuc
zkJCfZ@^EFH_2zicP1Z{zCpVuhD*=G>B3H{JYS_ZnW&pDBHjPf|`ne98i||XkbzhQB
zQZM5>%wuwF8Sn%VNz3x~LF6G$10YWHtcH>2C`OnUF1lFhm#*7`iG-FAaLoO|<xHrr
z;^Ls(bft?|v_x<{uRbcp6l;{B>hJ}_`^0DlOE~eNQg2?fOA0J~jUq>>_u#b4N#6NH
zZaOlWFJD9-IdD@=*LC^0<r!l1q)Ta{SLQ5&rH2@p;z+<_;QhVQ+6+4~Ek#xCesH{?
zWDJLkQpJXno<}j-l=d`bKU=?o|0FL}sA0M^aGle_zSVPsT}?U5<jtKi=U^jaGHc)2
zHvgAh#j?*=z!p{PscyDl$dwMicEmm;JeQMiUvp&ttl@tGN8rSyJP$aahkc%Rb7icF
zilFi2G5H1q`@GnIXAp$x>I72lB58rK8bsG>y*c|DHrV=T<&kKRSQK_&DbV#-`#_}H
z*?Y`&t=ostvDivvZp(H{L;*WP6R%_SuBQh)?(CVK31i2Yn*pd6MntLAi*38SapjEs
zlEJF;L#Bs%4Lpsu=@2};T1WWTIlD5JtpwSm-tVzbpO0cE%-gUhi#TlmMAmV=LyG*Y
z!s$pHKaV+@>W!DOUR`4%92y4+;@FTp$hPO)XEh4_gm_Xf?mB!%P|y5iG^`i>j=$!W
zTstahW{iZhApm@Y_2d4yxO_HtohnP&Nx8iEtu;nc3D(P^v!WO2D&C}87pg&>{1}HA
z49a{-PgfOnMi(XuyGRs;mR#}HjXy3$B2V}-yhox4kb_yORKYq@a_s3W9$VJHOWchk
zpQmyiM^#W2hwU5}%yDp1CTZwVOnO0v9Ph--RkOsK8CM=bSr860P;+vcWQK||kR<A|
zRtO`Yfr`N*sT5n_?Gl7RPj^s`!)<Y=oZHP-3Q&CAd_jNh9AFYV5VN_%r*m!uwzXq#
zNr@poUYt8R8Nj=|nA{Q>Bs?6e4TM!biG(KmwJepoC7kbL<6Nln6YJ1k5;%1`C@9e$
zP^_wY&3N_{i;`A8p~bO2T`Uev8R!0i7nSlh%q{v8xz-$Ms^UNS=JH@xxTVS4jVwTD
zniIsEE8<{oO(H)S%Bdoxju1i}_vku%MZl0ek43ZaH2^z>{6^5;)z&a4IR$uz)xQ8m
znbp7u`jw3B1Q>|2nsP59UEl%U#njLq3fS&8KY?b>_p@-i?UIE|iOWpT=n4?YfEbj2
zn%1nv+F;4@pr=+c-RR_V)$w4LYT#PL)x!+zzI%SQI}POjPZ_lM?|_{#F`w}Q1nKk)
zY{=c4S{YJ3a%bGRYcEuIaf=ej_E*42<Ikhy!}gL6yI$ZYQN-F+sY(%UL7%VN?*9=8
zA$vA0bk@Z;=Kd6{Tia~o%r-(~w_2hGv7zsm_u@uIo|zot+Ke1*`w_iNf;eJ-?GsV6
z5p=WcCh67E%``>#-N|$F&7nE5!{6*{z5ScIW{H~pa3%4eSu|(j195LO@Kk1xdv>WY
zM#nK5oBJy;C*OpCKL@*^6l67jTMrm0wdv%H-uP$T$M+FUF5zF<dIUA@p6%$h5`^HC
z5!8{tsfC==>$*1HbiVqvHGHW!mLN^hd}^Yo)Su(h->Zdp$RMbP6bm6aYd6oVRDSED
zDG@w)udOV@Q8Z-eG0f6t=Te|Y@x1<7B^}tdIc+!k-ZudJ7Ezyw)9uUqKdZxOT=ik-
z!eJ3~eK}rF=hm0MaX5T&E9I@7!P|RwE^-E485yIS{>NZ!(7KYAf%@CIN?M*t{Exm{
z`#`BUe4j4?k!h-6i7uze!Cp1A)F(hfeehZSVji!+^F0q4h2fedQe&J&%$j`vc`*~!
zq<e*kER^Vrwa4~6Zh=elopgwIh6Uq?x@0j9YdR(5lo~e-v8|8=Hx!rO!5{fjFxfSt
zIgqDjEhy)!$VGuek%!4<_9Pzh^ii#K+A@p51Q883h#e%-;ysS0|HIh0d;ok5Il4Tv
zrQgzwkH(eCVO;?|HQ5h&T<?6S!G6=bV+QiQB|}F}+F<@cDfuId-&pjTkuMzUk~dk;
z7zrW4nG?Z}V|EYDJuZ<EaUo#l9?gScNgMOC3&B?=pI)cNdwzexr<Wx52cF^SZ?+aD
zvQd-!U&c9AIAh`t6LPldcpSEH37t*BZV}d68DCNBS8GCm^S4xuBsV}IcPin~j>>74
zJDVh9?}s`$7`ZmP=7<nm=!M0>9ZJ@emJtiQK7{_eW}Lx4SrY6^v(axL(dI#`r~~~+
z90_pQKQHIg{ItSESoL)2^5&4bf`;%^8T1|(;6}GJBzA4#P#*GS6OmKYO>OjKJ1R;(
z81+enm!F8r*QXqJ_AKBQ;HcvpNl~?&p__)osf9gql@qXmk3pz%cBgF-F)cnd0s0_8
zIN>@z|Ise_*jSox8n<NxX29AV7BqjH;ZFun>WnVSxE;j#YlipJ8{&`~w#>NBLHt^J
zu&k?YxnAJr{4+9TVWSM1SNMY-ccjTH)A_oqIZfcFkDvXb$1{h+(*2GOjd>0xZaEE9
z#D&6BwXVwZF2b6Bb)RvPU(8D0zMvef$@9w=3;w2o4Nr$u=~C^c4^%lm<vTW;y>pOl
z0|+(UUcn#NvGBJGl~M8J<2G8E&DS$+5LuT)X67jd>I&0B@R)(@@g@}Q0x4;o{$+M1
zeZ(GMlMk*JBijU+sA@#k2Dh*(;I6?5>^pVhIgPWn-TycN#y5j`%}|_ufzzgyP@9^M
zuqO#FKYv;^$;#fqbV!c72gskC{KNwumU6bvCmhC{uE&T)m=@fT9_e$XAI;)j=^0-)
ztB2lQ`kRn0m08CJPueo6vVkNsN?@hf<1fHx+Ar1PUdMoS4)G91nWBq4<Z~P2^y3v0
zgv&kfccA!W?nTl)G9J`6Eihoq9)^?fG#ZXMfw-33P{m+OadIzJaz%cZ20+d<^He<p
z;^&!pMQsL(n(iTyzd$3;d$-`B|00cz8t7N8WR~)*_dQ4uTs$n4XQ12n@#i$Qbr1E^
z3Q?c<5MbVf1|COYTl~01QE}|pKfm{An0}Gul~DwX)7{AvE(%<3vBLy|sTbcu&ZN!9
zz0mp_^HQed^0e=;CJb>GDgqX25LGfp-kdxiXlkG~yVPuWtqO8@(fT*HA-1;hv4L9J
zA%PcdvR1fm0+7`tG$939+y{RS$tAj~X4LERRt&d~^f4Z|m%a^aaXUxiqbA5OytXO^
zKHgD&{M=!-<TW|Ok7;`LV1D8{YJ-YQM}Qi68dK}v=ecQyV(;P=gb1W^|4dHa`pJl6
zHA34niF(IlD6z9e0~DI)EglsR6om!UDjA|IzBVS;5V*606KZrOiRC#~m)R815)ut_
zw|J-~)HPUzktN%dIBIT|xq4Qc5(v8Z2Nbo*(M0YWOyK8!qu*p>n~4UOm@6_U$r!+C
zwc<Aa+<YWo&8b^m7JDI@l|*1?h~K4jK-QO4s~>%6Oq#(uEw%onKrJa?<pSw+oC^zv
zc4!8N{5ahfdC@MNKp;eK6({h;WplhIs;ZZb5wgXzUr~!@a>YI^(l_5J5GSSkg5pzZ
z8r`EKtUbJVe~Cpl5mHv&6M>C31qV2al(7;?O*OX`Xb)b8^A5R5_=vi=#Qt-CI%D$#
z2Af9B2!wn<ryHC3CQJl%2l=oH)nG+2Kl03HKwlnK(h*H9#eosz1<i)YHe{~`)v>zp
zBV?H#ytmUyA$D4vrliZ22~R{p0w2CFqX_GFAItiMywf`e(8pjCdatps#?4R$)2VUP
z*se1v&-B6!VSvtdx5`oX1!dJOl8+vILH`4ySAq%5XGTOTw&$`4a4=TNOpHM%2jP~%
za5ZgDgW<$b1m#X8y~>OW>kV9St#E+ZqE+BbPU`cz^$$ya<+&T7x8Df3@Rz@7;D+nF
zw>ru{S=ZM470VE8=^tmWb22r@X3<Q)4Rg?=LN+N82SWUhk0fhB!FD+_s|)ey{6ml@
zGa9%e(r<VjF}RPgK(ngLBMmD48W%P$ED)Pz8=B<|PnA{G#nEf$fo<DktJG>1xW39X
zUI$UDG_3dOLc4oM>B7KCF6T-D?8efuV`ALG8^c_?AB&7%P5;3&Bzrb|MD^&Ilun))
zPj)k(Y8cm({X<!QVR1!}1fdo>L}&1aS8>)FvPD5y`S0N4`+?e$)yccMaJ9cSjmace
zb*U6p`sQgv;BHvH$<E=F)2ZZ}jA5$2;P}K>VFBfJH?G%lYdj@YAF1|R>>xll23gbb
zlm51$8`c8F#TTjpUS<RJftF&#rte#+!wSH^i+RHk>8ETo$>O*RJmeEbNx)f?UdPf8
zW%|^<%N~`xiyUwIsP<%83L*%DuUx^*K+)c>J7CX5EbeUCX$-v-EjLffRj++%XFl}T
zVzp4<GCcnARU^%;WYal|K><N-u$y;r?THx?9rG^5bKMU!kAx2t+2uv6T-d2-vOa-b
z{9L&^>fgVX<Jl_(2(eOyx;5&EcV`zYtk$>Mis7$86QS&cYUfJPWLN3%ke%*ZLF8-$
z7TNIr&a5abPHn4r{=NarYH#@VFXazyf2vq{kkj{_r_m7P+}wXfhYvtDl;hnk_tQfg
zscYJ&be7x>(jM=K9qR9<{%IT@>>T>bVEI*B@pyfBV;BtzNfeZECR#wF2H%R*i-`b9
zU>c`m@;WFb-liOTo&8MzBGe<2$+#`E#|PBuLC?2dk7eXZdinKydnMF>268U7#y;OH
zsphQ}nH?Yro%9<pQv1^2>F7!B(Lm25L5ZvbGHy)RnGHF8x=B3A+E2M1pi0wGN@~gy
zF$X*q*<>ZDv6w3)o!SSL$x*vcls~&NDJC?~H*t|c<TLQ^EYNaQq5>YA#I~F9Me;pR
zbjx5zKjPK3tEZBQK(?<Xuamjwj4s@h6*qB-I7e-4TYnQH;4rROkT~t!`8{^gGi00h
zo*S&YWD(}j*Jat$wG#IDOO=Qg3+ZwmVz__s{2uH%SXfi%i&Sy97pl?QzpmNK0wh)>
zpLp2`%|m2**M*G145|q>_NI`wrGYDRQzU77#Yhfa8qL;<$jvB&JCwGemf#h4S+Skd
z8%o^Wo8+>#&R#;GJ*HjOezN;2qu{=m>0RmAaBDDRmd^5+o>8<Jp-C&|c%Xe@6CStu
z3Wj~TOkv{QtQ$P~5||yF4A#I7>Wyt@%N`xRupv|FOqyTb6}ZN9k)HR$9wKq$bXFZk
zW%-$-FxPg+mj-PXK5QB@Bd(BfbB^YQw9;Jm?29|{gq`}B;cR)a>_JAJdq2;A)aXQc
zQ$Hi)y-Q=bhLN4DSu*GB9iHPLV>2B;6j1dmN8B9Ty>jd6xR8my?b?f03P`YEHej$-
zVgZeI%&o%ct2${?_2Mw+NLF*xr>BAYa}R+v8FwJC&v*VbYZHLT^t!p4UEY{;8?>dC
zj*UT0RVK4PnhLn{ckxdu?0?7{WvshQX$hx>%qC-<GxOCJ3(e|rHtx{M#bhq*F^$4L
zZEO`5_<uyab}L}gG?>gNIr^WkP^W)NTgAqll%cQ~q|X*7blVpw$}5SYJ2qhQn6C4J
zVG9K}7XB{j3@8<Hrbq9t@x)~vdFxK5P{;_R=N0BV7?~@)W$-4Cym5;4#Um*PO5i~|
zXaLKVO0f_!x?={6&1$WE0MgA>bPm2^ZpC6+T&59~>5BL?N|s9IQsId(`JqfIQ6LQs
znK%f5&t!|mDjC5E_EqzdT@4SQ-?fJULdg7<5asYpa!B@*Me|MWg5AHrKXzSt1CV4n
z@XHT~;d=dY!W6mo4NU1+GD)xQ9&L%p47Rtn0_XS{r(mYuqu>w<WfxRIOJX}?mYFH6
zy~szYeCqByqcuXQcN48ZBSL?1OkGJ|eq6Hrg`_9o_N27grzS!s=+#8q6sxxgC1Si~
zOGhji435vdpnXT7yR+TFb`5Y^!o0g2k7$rykHUa%k$6cGSj(AGX^|*Ku615IgKYpe
zSTJ5og+E9*@qRtMt?W@0;6;geCnYqz^IQ1h@9+}?`eaA>A2QIZHQ1TBvc+wq!F-(S
zT=t%=K@3ivJ^kAMDZ$+5;*-K;>6hzdu}tlB`4<S(y*phKBLNf*Sjn!0z^^}6ONHOK
zwKZat67X&z30^NAZr4j=p!rdf@MyxF^<CbqcOT&uqy<GU;1ojW2~-JbDo<eW<#Iei
z>ezYEdQb-~;i3Z#$X5t0{b@xL7)a#)FHLB1=JfcNfPQPwgScMrUlNe<*bABjVB4HF
z1B@3;OVJ8GQuFX}VMr>o8{&U#K56Bs=gWs2pr9(9+P98>8H!v?lI>nt3zu2JKXSYs
z4ijqYGB+!{|5oRcvoVdO@3HSHJPx+)LUvx#aJ~I0PG_p&!N6+hIs;C1qmypSA{#1t
zb3VhzghRjac2Oj^KEfeScOvE*!b=1Tx+!akWhyM!^v?B6Dhm}^5ERpOw&PD!UzbC&
z!Z!R2LgJRU3ewTE`UT#*3o40r>Umuq&ga?m1;x}6+6>DEp?R$g^_tJ>8%s&q6&wn<
zC7;C%Q0Y=MZ>iwXx*141ndF!vsLDIv3+3Ev2RYkgMkT-r6cU)TexFMws|F53dLm)u
zUEtpA!ik~o_c5%9iEL}{d&x3oSYyX}r}JO(wQ87Lho>XZBT%TOY0KKm@%J!9;!p!O
zF^F24QzRsIFyH~Oxxz&lA!Se1FQ!Ofx_m=>ahfZSnstEoS0h1<{-H-@M)d3dGR5;=
zqdw=oPl%A+Yg#!;+Efq5$-6q>Q}~6Ar?yaWqrv;l%PZ~!<^cI-gZvsI|I{2rpfOkK
zq7y$^XAk79pHofb8-U*<FyN4N^jI%J#?xz{iz*v8<|%VP1aGcYA#mq^D1$1qEJq-x
z)9LFNcNUP@##VO8>Wm?*To%C&08K!$zqDlzoOqN1{!}b&ky(Wc(S2iZW2lgVoqtEI
zduBwpy9NQN&aEO;p7VKb(_-5eV#*^YZ4zw4tbqZr7g#Ad4s*=ntLPWVr*M-ATisU}
z9Q;R$${^ly>&z)&^qQ?AkN>X#{*hJ5Z+)uj@WZd@w?8^zn~0XArw1!gznJtRy8Czz
z0RAMK<Ifo)SFOaLT-jFfb8^js*e3!8O81t%G~}yFf1aaWZXi2zVa%iiELca&*ZTnZ
z7%W02ZI{$$0!t|+S#(vaLE__-HbM{NIPX$gj|nrYaue0B*L!g(bw=Ea>+QlBIRMi2
z&%uk6_PlvpzdPQ+NJ}T62E;b}%LQm)f4%E>J6NlO;Yu&`tp{^<^HK%u{P>E^v~|>w
z1;7hYUR6y*ef9+U1)rVvbBXWabplT=);0wG9FFNQzx32Q)RCd($j$@hfHHwh<4+=6
z@>GbUsN5UBB@ugh54&OiXa~VOq7RoM-S3Q*@Sm>yKMJmdn=?6$Si>%;>OK*W9NzNy
zdY}(U?qkP>b;i%UQIW;7Y1!2|BNrm;`P18SVbA5AqN(pjt*b4)*dUan14GQXUI-cH
zzlgn4oDZ8%RiBhz^2|hiL<)G4-Qf<MA!^~2LgD56WA+B08gt5~I-m?T=|G?3#i4mu
zLW>m(QJ@@=RsDbW599g))A?gT1#3~v(c=mU7cbVxWk+k<*QK|$6cD;LhrR*(Y!*nt
zA!t$DmxJ*>^RQ5nbN+hUDY>#LW<hG&3uUgQf|U473PEfA@Ph7c=-eQp?PfHwcIY4i
zgK9CFS+B3?5W|9haj2-ww=$4D_J(a0a7WiCzOBj6aU~*N^$jSmveb`Ej+I(Lxy75=
zLh;XEUeTkcA6VR$I#G1K>!dV!bKyKFX-XFKsTt`xe+3OjQ)=4JZL`cwl%hl3&_e~6
zrVxIYiPHv&{3NqsDT00Xv8;ltZ`3TjYJ{{jFZB`pq}ZW7lU(5{GkOByDL~UOh-pAa
zFnxOI#o1NTtQ4pNZA8bZjxuHGXR1Y4@(6q0$bi(AP@DM8a_nz|3j&iX*Y+k9ucgTp
z$0TUfRs_vBN*f&WOSBysNOyQ79#_7f!Li1ARLYCRKx4fyl_n_wNbbux>*K`9QJ()O
zbE#x0|H8c}0E#?S2<{PEw5_kB8R(d+M&JzB?#D2!|5zOa_E?YepKXB>!eqzf$V9H;
z=S$E*1>tVR6&@!3LLzw>TN|RA>65F8$_(^u#ga8=7B52T0MJ>b$yGZSq^N1Y@;0~|
zeB4!DC#V-y))~D?xa0oTk5Pxh>!q2oJ^J82Yo!l&lP?_Ci4!N8^BI+Oo+gA>j-5Rd
zJK{X}A89<`n3aitbnu-`@s2%2RzmnTY~v*b4`!=mm3Eog3G&zB|GxZ%eG=Z!j5-4y
z(XFPlBzs$M?kH{InvYh(ZB}pZXWWvw$%^IqoN|2qp`p#reQiNgr>wgoA#*l8=Ruia
zmS8{9C86am*6LAps5!nSt`9vc+{DO{Ld-oj=X9Dj@7N);M-z>^CHkKIL4xDCbNo0i
z91|7CQd9qSU*Ul7KS^7<+1za*RS|l@b4zUSF6whfd*~m9v(3~WmemxWdXzbIMByTi
zIbB0=%KIbsB?OF_Tu!E402>^oY|xvv$ZI;hdMKR?%bANI3LtJ)h_+>JO!Zq*@3-+5
z(ow_=b#^c*LRaQz6X~0t7DDtf%C%16#O<joUxYchzrP`w%l1W5_n~kSN)TL{s(pro
zhkVCJFY~qj#MS6sNuruSjITl4i1t+y?Ug#WXbo-&gng#7$_P;uC+Wpo=SSYOgV6Fw
ze#sHd%ZG4c4SfgV$X5v_&d2MiZsm8bY4vjstqkhwh!S~Z4<;avZj&9E@Lkb&5`Tw(
zx}29yW1`FiUICp>lJCiAQd0~b0{*-Ox~FL{iR(ACbszlH)TeE{l!h5of|AxH$EBI;
zli)%r-V48qyE!IhUfN@dSlV_m@SRh#cdKGtL&z2^juNmR9I$Uae5#oADwNu-9W>$m
z5&1TrK3s$ic)E4OfxO60<%32@ZZ?*pU6C4G&<rL84Y!AANsdrD?-7ogZ|2QOVIql*
z>uk$dAQAyjUdoeXkj}hJCYND~lA#$dTng7ffCdQHc47xr%Wff4;*yxRY0e<S)bKaY
z?G}6;baQY_Nn0=rAk!#W-JlzZkKcVM3Y}>6lRB&kKMbj_Rl)baz*bC7tfcS9*{+X{
zy-vyd&BbI@2@Kl_pu5cE9UA^#t|?!;UY-?kXAQt2(6gs@_tuMt^X!qMLzHqqa64M{
zX+OvJuwv2GLsv!JNZJNWZNSC|5ZC%-_gd7^U{bc8(h8D{xZHe+vm=JNxvdP?1)8?W
zr$bLziw#f3SvcM>?R19VV-Zi$c1Jd+Lwf)>>+oKP#%ltrIg6i^7oXCR-u!V>^Ohvq
zEt$$iYd`Dy50`>bgReHsU!hpDy==qRggSI=AjCnvXS})-ceK6AOap<E_x$wk*r*kf
zge!$gGkkq!&#tw0&NW61q6b<5s;aeba`4yUu&#JR)iUE8s$=aHiv$w^zjE*;6a13-
zJ-$C<C_(f=R>S3zNp!;IS(+9SY2Y$M%#2AlYn+NU#}VZT?yi59_|d=Y+oysP02Pu@
zbRWEH7=5FsPGW>`NZ(~`*<wRFN(+B^k!@Hol8KsFjyF(A&4AuIF2B~HMka!aMRD{A
z!ID>bk#p}@O1;hY+8E9(fy|Ao0g>|Jo$CP0PFB>IcQRq&3+6Jg!zpy_O<CeIQbk;%
z6&V+LWi08sAjfu8HFojH`H$+2xC8fm8X=#a1{6?=nfKv2mxOvyDDd<pgvDIT3@W1G
z<D@I?4REuriL6=HjUmLz(ttqnnJBA+3m86ypO1L*yZNfpSfvukGq8`Sh-VnW9s3ya
zIGaUHvy3`L%Q^PzGyETpG4Of@gu<Wbhx01Fa{i+QN}Ja(1KijN$CUJjiF$&^Z6{hW
zx%rfI>1MB05?6Ci75|;UTituME8=&fbHVCrNy|$*qftD%Z5cxv&R-HD7ii3+sQODH
z@~_a58KR(;4!p<~X&cCk|C=kVpV-#_4LYD21SS4!YO_Rj+XYdv?eS7I(IIP%nylMw
zOzR*X%gmVN=K@hbi?&dx6Hl>q0oS;}7jC;dHCREsK>j;FPFts;nQ?gf>P+skRd+kG
zBpwh|Aj?QlB4wRQNXbMLDRn)uO;wZpx+2Uz2hD|_Tqnq5C5m_JiBcv<ZeIC0!19$#
zAX2WM?xPR+6WAA_Ll%iv+kz8LWe^2ZlF>NhOl>$T7@H20_auxP26Xo}+Uy++m`WLp
z>}tRPt1>9QqhPA0L^e!$l3pqjsQ-T%08>YwXfL1M%s>($el%|l??&kHv|{Eppoi9R
zor3?#dDBgJ#*_6NC}Y!=u?Xz7d><LZw!bF6iNQAb0yQ0n=QPWq9liDWfDoOkZ@+0;
zPVE@S9?oPsgT)UffWUvu=nLA(LWuqV9_lcHt+T(SyuJ%_kF(|$%J~mDQPg7j8Xb`P
zeVg5Y+RZyJ4Hh&MfZ7tyH;O>udEPF+K{0Zt@w4pi*jyFxe83USNeu>d_5H{_2xjhX
zs8leegh53hq7aS5m@qamUCfYKnsdR6RRf8?%a(%fn}p)r-4*KA&v9N(*L=LI`Ahp%
za1QXbEtfHnSp&Sh;z`fX!@LXyuN~gk{!MYO6r>)I3*>d9ku%@8>DMY+K5+JS_D7eC
zN<p9cu^9TgLLUB`?RFH2>9=F_o=h&j3J*dm2y8d$UV;-%I<LmOUI1B4lRuiQQQ6)D
z`>1av>@>G<gQGYy*$K#rU{R5{3O-<)*+Mp8_N{$3W1@KjSYh<iK3^t%^1Ldm+jj)2
z(6npg)OXCrP^e6zInwHh?JIGOAe|~IH;e_ED(|L8m?u!iTRhEQC^>R+1-V4c_s{{p
zc2TNxUb$Av4d@#MABKL&M0#~<S@IEpWvSf(Iqzn&HeG;Q@T2hLhYY5aa~d73MKd#b
z$5YrgOyjW35}cR4hYcZDKvyLg^~?cQ9e@S6^j~d{UgPPHZ>pT@#mpsNk(rqSfm^|r
zJoIfQo165~{IZ-}tO#;}tXFjn*JsJhDjS;SLe)jdV$n1_e0D?|=E6YeRdbPRVMqc1
zQ%?pihQOKOu%un#xw{3M4UU!*<3d)Ueyno^T?iV=P<ZYNIsTdsl%rMzh*Q@r?wa;p
zk_))^`KGs?c57MIH9@E(;3Zz9h{SJAhC9ta;#w+s_a?U!5=srkrhK|9Y<i|V0MPLf
z;n#vYwGbj19U5+7kPuF4KrL_|<2-z9xU#S)LFPP;cJ)R)EX0^m7PGX1a;cWc>)cjF
zDF#auEl``|I|k}jO>gjiFS@gG_FT3kT4Wz}(21p{&`d`)cUzi6q`>bW0@-E!-jiz>
zlHZF>ywno$PTf1(L>maGjE?h;+f~c1L79pK1Tv$w$cI98>z-0cZHXW|rycr=ipV|V
zy)Nw6!`Y2gde4D&&lbogaUW?UiB+1HVf#7-8^sxQVG%%#IEHXtxaCwUrn*6kspwdA
zaS>1+jJ90_Cm0Xp;Tj@wSPGjsNnKSpc?j-hfn(_je(}y1To1{L>Xebu%Mrn@+WRKY
zb;<Bj83(*HmCiz_L)=8;#+bKe>~kb}#^d6qE&Uf(llVlrEIW$D=BAn+YUYD%$X&4T
zwFmX-3e@0xu84+T0Qp?=rkMw^iwUC<EH-xiCel$XM9O*}qGXQPU_W{NRgyn;Ox<(_
z_SME6Hiff*ZTBjieXT!4Fh@jmtu|(=P_e{(wi?d6q&Fhp^FeFT<+U6kU*3#2EnP`2
zG?OF2dYSAP2kb{2e8g2$sKu@oO2AaxvMGB5KDOKzuf(-2<f(9KoGHwzmfRv_Dw(G0
z7q|=7#P}4;uVO2Z>&LGX6-P(71e4*-S8%6Z+}vNGb|vCRFQ!F`9~Xorn;isA_Desu
zBRzK{xT6k`9mtxMJybcWmg>1zGafjGs=(uVnAtjCUJ(;qH-yUNS79%=orcFijp1{Y
zVc@BcFo8A;RpyaTaa&sLhq_9I=G|7nNUQIidNnHWEcg(Ap8{?f!n>?f|Ac)gw4L8~
z2>3JWnn)y7oxD5h@={_-0*m)lyCnaNp<^^GgBd>2JWSd!l=+3Ym{*C5N{fS2VI#z>
zZm#8NgRw0_`4}q>^AU5tOfaVkMR?_`Z`)_XBBq7aAPi45z7-CoceUGGI{%Bz)HV(c
z`_VIH<p$!X(*xr2-*Wu?C%}B+&;F@M(n)8Yy06fNqfM~^M*k!8<8bm<O)SO?iOPFX
zJ!XM#$vML;$uEMZDa|1zs!nmgiSxy1<0AT+W4xh-Xa;JniSrRUqD%em#t`HM?&KR@
zHubir)pPGKgDACx<)KxgV$^FFP{5;5S|g%2P!u8v>pKVMV12~5!;3-3S><uV7qIA6
zpBWm#L=Lb%zf%FI`QYF)9%Qx==ovr4R)m<&gYvz=&K{yoV)@1=V48c-PP}?aj951j
zSg))lyYkPxRJuBEBw*~K24&25;~=}i=}4HofX^+Cws+$W>yjl9$rA|6fGE<@(f3##
zFpGyW9r9Rm4{&?M6tpg8Yr>A)%f8D#rf><8|5JTr&hD)|*|q4{u*;xSx8=+8kL2&W
z+2d5EAj=t(u^Zs?RJPEkCIzx_$V_@3b511%JNs6r|8lYoAyM!U*^931CLVx0{*zzI
z+N{}_{wY~<wg@Rk(p)!5!jo(LaATMt8)qCTqF-EpE)QiA#@MAf{oQ97x*wFT^ld=i
z6g8LE_yud;QRYe;@ZFSjmo-EThAo_kZue-m<N`!B#^=Y)<BshNGM%dTXAYU~92FPj
zWT&2n05-bPU(n#+dV5m!3h>ccLJ5;+^Xw}{wM&0E8jj;?O3`$GvVuX`7E*DV12VBH
zO!d7_V1ac69BX1nxu-jLeaBq0e{b=%elCKibi%<&lN{k0Qa(&_%$@NL(o8XxU}@Ej
zQ%d#-PXQPbQTH*PfT?2V5F|0e@)Yp=^*?7=dU$BzJfVs~=_>+#xyzglZ&=lr+qGFI
z?^f0Ms`go;Izh*^v*0&ax<$dvt{^ulO59=-h>GO(8%%T3E>B^@Costhz&PK@a=WNz
zsCvf1-<I32C77wkLdL7aG`QLaX$w>4pk+`_nA<64nsp*<B0Nen?OVT>HPODn2boS&
zUQvu1lqz|NcpBf`MU`!i?&4eHxKbyjvwP)9s_R4lNG+^E>CgCJo7-n+KMGEY2J4by
zi;C~~xg#vJF7^fPK{V5S=SF2fcfgOzE@^Cw0*yhI%?B_A@hYvlLk$xvb_5`LJ3Vek
z93E8txv0LE{Z%mKjiFV~)@=^E`p-LPzv0epia!90Y!00A2UOEVv_Edy+JaG|oQv9Y
zv=QGlDA!|#<!96h7nrd<YY=_GBN}<mk3Ji$(_@YVHO<2ShhZelsnI?YpK2T?#H9r%
zx5`n;4i%#9HCuyGRY`|$A#nfM@PEem)7-yh`yTZ>_Z?8F>zugClPB`)1aMf3H6ZE6
z=WA3h;o%vU(#g93O}Y_*_~2A6a9A%tfJwXIb){c*i44oDtotrg%jsc=QpUau!}&;?
zN71PHdfcA&+DT)_?mmc206ykD;+UhRH5Jq{a=*F204H78&fb6d23{P0TfK|994-D(
z@A$K5jv?Sh*L-TeL_ha*^V}8ZB*ne5#&Nc)iWUhn>N{>?fEas*XDTrgSbmTt$Pqqn
z&4iHxa-*JU{ydXfWc^sB24&t^tQhY#&R|8oJ}lDJp)?HUY2-Qp3W&(kygk7)3CA=o
z_=uF2lwH;$Oy?N*=SN#kd_Y5QWr&4?;qqP=l%FM`ovZ68=TJG36_Vxut5F+-jPC*)
zW1P;(Gyj|r4rwuCJMm-`c`Id|lTb)ZTr2fq{MOpQFShdo!D8wFAG1uG1)RK{m4X6R
zesk<1da>1P@^hKkzQ_sZhsZQ_VipHIXlkb%7}ai5ucRPyWS9{)tZ;1ed0f0<=|VKt
zO>B0&VeLl=AVNl<T1P8P%&+tyjL>DjUKD-!x91z;>Ew}xp^#wXX<yCXk31Jd`Y2+A
zhh5W>?J)%7+!SnQ(8mrd^>II|bA*Jn_hVTncP&mW9^G`8lyk}mI2bQEdR*n^zX()M
z1OPw&$2_d*x?fCA<r1decJN$}=iy$D#6-lgchNxlT?tzNNC39larwoW1o~f1;HB8q
z<kefDCK}eVZ{8FG=_4OxiY8JCSAb|?eo6cI>qlyw!N|a#eBt%@a!K-VxpEuG&BW(Q
zw2yho0TK`MN&4n+JXT9bw-`3ilO1^St*8*+_*_)Y%^Y-rDTd2X`&wzI$aQFlqmTB8
zGUzQLGrMgEvRaq>??(IIP~e*AQB0kSo*I<L;0nKR*ns!<rO-0ydqv8!0yVuqECOuL
z4&q?=vekHwP=cQJ62&N(1lOQ3Bvehtu$;~eEeg67Y}8Tuj6<88IZJ<5598mMRviDS
zhuOl_<;~XRmI49<5l8B$ov`5y&?PG8?Mzj9<PkAa^b(e}24!kd39(zf_v^1~C-|)v
zNTCSOZH@1F*wLkY{1m#|l9DF~D;X;cAv7gcNLrNN|D-Yy1f(J>-)=eHbVz&d#03kS
zXKOk#6VI|MzZ*S)xTWBcZ;C9G*Z0^d9Xm_vh4P}npp90DZe$SJ1C+D3fUPDY;+-so
zob^ysaPCl`=MZl-?m=Yw>x>mjRtzW<k#bwerotk2fMA+*T;+xCzq@fSMIh~ObUs+e
zTts1Pc?NfSxHjDjAgvVO9Z7ihzW{4l$eMvov*`52y=l^h`92SXbpE8@<dnhGzH_1<
z%;cTh-K;LVpc1U>jR~@V9pV^L2DtUAcekGoJ%j~sY(OnzSHSwhyobKT+2gd`Q+OYY
z4z(%PXs_R#e*{PdIv$)~Y0Am`c#7a;i0GM82jj*ZW1t?9B~m^u&7uMwsTZe5XrzD;
z>&zx)@m*0bS)&n4=j>Kv`5Us?hR8OX2wZ$G_V&Fi+)tdd(*096)$ihcF?^IZ-S<za
z`yIu#ds72VmXERcHdpX6>4|~)Gk}A%^dOPJMPlSXQ3hbJXkK)xfrH*Ff?Yi8UVwa8
zJ9~!aoGCrFSUB!G_7tNH@$4&RTxVQPq*)5gZV3C1w^x#2mM3z6yO<Fq$)&Ll`UPpm
z{5S2&G}cY{<n`}R>Xz9Ar9J6>j^;iT=AD+>uHG?4(S}ytd|wky_y*c31q^;b?Io{d
zzX)ngVv0k^TlOt1uLw#Ky4G@Q$5cG6JJt~dJ+pgK!n267Rrw}GI$dVSJjX=V`-D_+
zOx^R*Nj7o^iGpTXjxoF_1x(BsJ{7d^4OiOhZE5;UlCLHwCYpjaxW^D_ukHcR1NX|>
z89U<LfJccsP|Xv=1l#{nu{}T&Jyc%4esS}(=HPkCaR-9|7{SeKX~n0CQJ#xUcxxZS
z^sSs)cn6<#*$JVCe(U81V*8uumhpgkm{s|w#C=pITJltxafNg=!&w!(B9229y!PqD
zw{Y^qbuwbneEm_+zR_Ylna?ELj~R0ONm#DXmt^$Ckd+^{8DQZ<BlGyemqAIfm5IQv
zL)XSdJ1KOug5>#&JQUnKkB_L-!Afg9G}!_HA`-xky1!6;)hyd)^>Ks0D=YyE{AiVf
zm!XNg)c50A0FrL1)#bHN$wbr>Fj*jPNGW>%=mxj36EoimkBerI6@EE;z=5RugM!1r
z&)gojkuixz7N;`Fos?t}(c7V*u=hZr5NI&H6*A0UlWe#B+1A4!oCn-s)tMWKy4c&&
z62vQI|E^6Wzy>sP|2D+o5m4+@KlLB(V{;O?K@PT3sRt3rd$KhUXey|+1la5R^Tt0I
zsQ!XAE{`BLP&Ns>d1{kqi6cQ-!)d3dkC=S75FOw<WVA%D<x_j>V$$}mR4>RdGDkGh
zRE!Cal)+Vw#tm@`NX^$Z6A-X6I|*k%<U;7tpx>m#N7dI#`j&6XM4RZ?h7L1dJE)vm
zkde`k7h<B`B%uF(tKfcG1R*aSJ<2C{vnph5;u0$pZb54C!@YsGHZTcKCjopE$khC$
z{o#YdeviU^HP&}+NNZYjzTarT&u1TU!}D8&L$f^mPn4<91XkKJLepL~5LFIt|E$LI
z>+jbCs3dbxz^9cX;xksYA<sJKk6QLkj=v*~I#zesfeBZQ4gWy3RBU4P>?izk4~|kY
z3F}g1X$Wuq4XcvO^1LTM(Qb`^d?q0Dr{_usvbc_k*W`jzvkR<kl68#Jn4}_a?12+h
z;A@uW0IF#z>|6Eo&qcX_IzKSB+Y~C0+kz3Hpo>R#pyW~wW^XyqL&z{ykKERWRYPZj
zO*c4{r>S^JcqeK<U0TU%1~A-oT5OP=)yR&VAmZKoqzN!UB<hjt>#9B{b@CN-I&0{t
zUw_s5d<5`Zmzers+rTz8;$`97Y5j>08LQg=03G_0nS*c7WZ2S~E+8gntN<zKbr!Kp
zy)n+a(|tnF^l!N1d#*@q9IFY63lKZ!l?NC9cRVdG%@F`J)j{3NN`?Z1Zuyo|yZpw~
z#Q!?ep&wM|(BwX?gC!BJ7cF0hbG37^1oe-=6n-++o@_P=KW-l&V8$IrQ8>C(yCg#N
zFl(fJnU*NQ0^T1hSsyzR5n~7My$cXI2n*z@CeBQy3$~&*%Z^50mihhQn|SyDu~@&G
z<M81IcQJSt?$=gyMBL9?OK!XnCq@>+y!C^PA?xZQ6eO%!HNNNEkY9_SDU&*doVTV$
z+9T5zG2|72u>Fi1ul$Gx#aU9fF7WhC-BXap0(7U`s*VO0@R?6<M}w{`7E_h2fSD<a
zod%ajWQ3c+qrgQmO^tN(Il@u(vQ3mr(KJaE5exW_?5^pvsvlNYn#I&1O`aThMZ|g}
z54t_nmZttX)nq00$Y^sTPyc<wUpeVMqe!8BHiG`t)<ipK*qPVnfLZkG!kC>-rywj6
zi2oW<0I38z!9n*ZuXFQ``amQI3we$0^s_69+h<;eUKvCRSGlXFLSx&^!u%+9?NNKi
z&Q;|CFWwAcOxEaynI?9y@S~d)`TBB=*8|0DNgp^Q;QjHSca$qctc?Q}5c03`toQrm
zuLQbY2=-Aqqx~~7o%!=DK`)Ja4dru)ZeHm&hS>u`%yw5m4k1?yq{T>#kEltJDO_G$
z9XT6-xQIT%ynIsvJ@y;Xom$vrZbryu$>BAk=XhZkI#pbEEAB4C?L7QTZ949X!nJJE
zYtawvRie^~A<eWFHWVcKO}Fd9lFf+V*m<379HyXf_$8c^TXEpc9rDXR7q?XQ`G(wv
z!>CpKxCe=j;*64CEie6lZKskW(;w)uzkihDq##U;hTK2_Ak;alqlVYW;p)@Rv;HlS
zdM7%^$})tc7!{0VsG%WoUa(ry2z;o1EwRt<T)gHHxl7boat57`J$UOCN=aqfwG_>f
z4gmcpy=+h*nB*BOqE4%rsXYhLX5LczV9pwn4%KY?>q&n@^~dzHBF?@0ipiC1U<xPh
zgxSt<M?8s213(Yuf{V&#=GSf*gKOG_V;1mZo#B#9p-BEa?u+Hl;EzY1e)0;bb4vk!
zcO{W4FLF@Un~#s8Ec4_WffgY!mZwAT!6eU<b5vB1pDZm*nGHqZiJt-N9Ry;S4ATJ?
zN+aIV7GOg<ge>QvXdyHNSGG3t7ldK$Y(d?5Ctt3%MqgZT0#F>`;~@%A%CtDjac%Db
zkaBuJ?I!ix(WRkBH-D)<1u5O8aRmJ$|J}FFSdb0F3+*ez^lSuJZ^_-obg0NvbJgnp
zUtvW=b{{Bmiv2?<mQdRL!z%zJNIPN|m6xj(#TO#rNO>N*P+RkhZefJL`Y=5gfg@Hj
zaSc@9L-gp_C&nU3APX)*_03-A8X{YPxlw!<$rj2^_8RMSsv*|y*{5e*(Vwk0o%{kt
zC$uoA<S$In=I?ZSz<wA6E+F-A_g*9`0;f(3l*S_x;~0d)f1P-HUnL)6`S^Z!Jbx@~
zil$?aBB7;UCqWeJ(^`H;h@}Y;dajtVsAVFn!_w$i`nzhZ*LUWVWL07%h=N4AKacag
zTm_zS8r~NXp-8yCNB58_iie4-IJO38Xmr5m$jy(3ZV(JtC5eaH$&ZPs*3EI^?}$``
zH#o9TdaB>0HPKKZLv0*h(>{cihPX{Y!g0Px={~6weH6Us0?*g8()ru5eboffNl;od
zzflH&^4(M{zTL0oo0_k3Ksc);6vbMNpS%z}!u1?En|rs*{1;z~x|+62X-kwlr2=!h
zBphp5-h2Z6DS=CKlW?0FvsJ!2`(MWe>Xo%BNA*(fRG4vN<^J*soyT0%l5;D!qHW-U
z6g3=m-2h+c8&x2vG33oxrx8Ablxh_$6W2K8jvNib<-p9ZmwEfgrVU6OX|L1lRklbz
z!yUSJC1c12j{cS~M)B@H*lPc$dpW@}f+wpy2C$=2TK%=ZKZwoKI+yz}A_ar<&YDZS
zMN#^E=zVSbhUQs6-G$W@A%f4?NSnyK#-~7O{F+;R(PWB3d)|+%Ppbn4vWj*p)0*3|
zCt5<~HukR#IY6xknz$c3d%fP`DP0Z0-Ps*a{y%CUry{OUf6i10*z~j92UZ0?=Phmu
zasA>BIOeWYY=*nf%zGX*?=8u7e6Esu_EFnCZYzt!0!Z5Dj)nVDUF@j$9gn8ux@-~4
zt2F_i01De#22<-rIGjENkez|Rm-WhrnDW1rmjWVQKJu672~g-)4a8HdH17UxhH`1t
z3$L(cZIy#YTAv2q0>DWj^7O6fkJ)7TN7EIpiy(Viym5Wc6gLZysn@VMX3^ZcCRy5C
zRz)o=@Y-OZ40p^R(1(Flf?QEy=7<b?Va6L!S#U^w6i97YGy1iV91cc*QaH!d#XK~G
z$LfIPc2k+@Hlvzidqy+v0?ts6owIP6z>hnl42%D4;^ec3yZ!SYt6zI>6eP7jI)>wn
zY{C*9Ur=Z6W4b;2Ex3UDmB6pE;%a_3zqA1O1Y^S_1)|f1m|vKB=~q!gm+9C~`NT#}
z(h>?VDK<bohiQ*t#ecE>4^j?$tIwM?EoR+Gm<lBxjB=Gu-64||Ve)B~ioKqV{_JKO
zvv4?DeYHba668UdP3`2%&;O>o_kXi24)s}3AzN+@ja?W`|G`8C$Ws<%_F{X;n5BRr
zHAN9%J~LOb_Z*vjhb#{@5~>>&Dg6<3Tl)q5JwO?6o`jzx17u-BK2ED^;ievf)|R(o
zPHg3jek;msx%!6=N*9a}#3DnE_|re2NoY84d&<I1TtOA2y)i(T>lZ(vLXkHjOEs<D
z1{6XPo5yuSd{+Z#EDEF+*L};-1CLuCAlBdYmm$ghQsnr@69EuAr-HbxXOAnh-KOM=
zb#0~KQ`U&hL!$q-{ImnFq4#Ga6jQmbbh`upmDCyOGq<gkQ>J=eM4${ZB@P_LrL<D-
z7GEzqwChR9W%+oEa$}l2hHS}vQ*{(tmGD3t&w5tYF7*?87=0<%Iwb-G=5)dZIG4^9
zMhpT^Y&B3LTDlvjM~2~3s%Z$#`1vNckKeBD;)_^=Zv^V}sFd79qN1C60n$?Li3re?
z(MB+*s=wgklO}c!NLPlYIUPs(lq(7@=nx#K@|6O!F8i;nXgX>68_lt3DIi(_p<qby
zo>a^C?^henC2Q=YA)#pP11%!l1uHK1_H&E!r!x3Z=<kR89qe8-(ODc4O=w03QJ}@8
zNQ#%`y!aY+w?YRZrS70J?GSxCJ}AZ~TyeYOT81O`9O;B(CZ`m%-LU0o7?M-?(7#g`
z5azR)Beo6=sp5w^j3*RTCJ^%h|9x84cinE>r(M54!9j;{;QVe(n$C!O^yBW3#<oS0
zN8k-4{>|<z#h0JMr>V*!6yzl;kppPALyHZ)Ze(%<bbnz6!=xRQ?5E_1u!a>n)&x(v
z$MR_&2YIKx3<f+%<BcY2DOyk`4T}F^wIkK;Lq~e{nlXJ597Jlqlg;>pcv@Vh-2&vS
zH@`jpXLriH+yZfbqH<p)a6YOJXCL)517avFXGr8wna&h#@Z@NYoq`AG3;tev0|bd}
z@weaf`LcqpsjAckkjLbY#rjcBO9C#n1NmVZsJKL8;iy%HaU~T1oDVSsD=3V03n|Kx
z9l0s^DPJM9+6d?+-DOD#RbTqo+ZlO}of)gD)xoWcpCb{E0*Tolq~$UjI+V2TazjIa
zEr&d}2rA1m2V1@nSsF)XglOaASBA=5#z_zh^{dUA?)u^hcc4}8L!wmmO?rFrp5c>4
z`zp)Uv>Kz85Q5eGPxjn6z`^r&4c$i1B#?v&u2ft}+1$~~9R1Im4D0S@c##}Z$S$}R
z&P<-@2~&5BeIMR!diUjGu0pq}Lu5e-8j>0Qj*gZ~R`B+E@O$B0Vh7U)b>K2|{cib5
zw(|9aX<)6Y_8lR680(#?AT(HV$M1LCBinGNVC&65SjTtPg;+JG$GVZ3UBoI`wh~_!
z_g?$T-KQ%R%mJG|OONLHsV@Ko0A8)m(~8A%_<l~BhsjfE8y8j;>s4UG%_qtwtR{t^
zm;!m&H1uqWnWNJf?5&n8)@|c9b_YVSSPR?XRKY0@43<oW!fL3Ei3j9!#j&l5HRJf=
zW!X70<Gbw5e=VS@a$W2Ga)tlqS<SM{ZdatK^~QRi=W=1p-5c#MFo$5E$W@;}pF+X?
z8TBo+w1%9tN86D3UI6>Bxy(;YDrSsS;wM5??J+)c*>HpQEjT-AsGU(hlt)(Tw@`lT
z8YFx!J1ae{FehRL(ot49B>ZD|#kWYOfkkJi+yTFiPq4L2G0FI+Uk;@ZeCdyb7kZh4
zpHknAm6~A0wdIQ=uHLchNM!7<_|kdufS4hfoHRG^qlj*`GbOcsoPEzxU|57$w`#=t
ze_)Kz2FLH|GHGS*p5$UBpI$a+4Z1vg7N6g#ol7UjU8NDkcwS@|iz&v+?5CC<r4-4G
zQgk5L3r<@J8skx$TyE>MxiDV@&nhgZj0(_7e*gO-!z48$>kPmxbT$>FuHX=N@q&Cw
zn#T~h>ZC?0-iT0!gOo@<%NzDO+vighj{1RqBOJNv07h%I6<H5y$8((!US_$Gvva~I
zc!WU6SQKK2)2DH*$uIQy1}&`JP74))n^vo>;yejTq3CQ@=={BN_=)Dj(yB>yc%&(W
zg$RNBn5+h|4{>i^MVKcq|9dfpW6^a*?d%q$yp?1Zn=P&GohRz50QES1og*-?M@*A;
zKvs;$(HS^Fj%xX}9Cy65sBQ41`s&h69#4dyfNWUE4J1`lwo(5MmAYB>@SOfrnmPv$
z1o5qKFebb~lN03bL(HoGX&4XS^;+V#?bL*?Lb3Qz7E5%D;U)Zv+RY!qsQ!Ps3nzGg
zk%ip#8(stH^2D;Weyv>vEp1~p>AS5C5wPfAq-Z8|jIF7K!X-+oT77x`tdzlX*qEzx
zNS7YIltXV4L!)gL(!27B;|NKc?V8qmpdq%b9Ou>^C!okkFgb1Ry6T$oW$Ch!mdiLo
zlu>HQWPDYJi>=r2M>yI;$gXwO{^bef4l$MZ;H2|?Vj~;W{h$}NrGvh#C5wR?1dPFz
zgBku_oG;ohNAMT>Ho?c9Od=s6R#W?bIvc5Mwp)o=<i+9#X}-Y4VBy(W>rO=u@$7V^
zJBNMOsyec>N{=+0Ks~2+uuQOB0waTmr1#fKMOOUZs=bz)EnNQ`GYngwECE<r?GW`x
zz^NP}Dh&J$bajE;<#CI#6kXrW_xDy)cUEih4Zc>*GFV&ssUnTN#H^cnw545l^NQKP
z+e5}jt%;dg*Qn&JW$;zv_&MW#O&*p&@a<_q=5h2Z`7IR-P7lp+eG#Qrz*=35_u5_w
z5FyO<uArwVASm$!8K$tX4X8By1C`4Y42nh?uQscJG#BK>0=+(sJfT~ugK)C#VUWW~
zVzu_cH4Fh3L_}~KY9QTt;xzfEQZ+3P7IDB2*338Aw&5l5$WrD)KTY+32MjeVFiXo)
z(mUmd%~4V$<cpd=5dK;CPewQ$*z(o+N;mu+2zwPSkB6wYwC|h60>HQtOqy|OaZtRh
zF>+~K(pW*>;W`eGVIl;VFYn~m>(>C1V38vGqHz34yTzi(ffPNrUyBvk##67#iB@>m
zlvCaD|84!i1Qzq@pt&FZnr31pnrdVO`~<Elrp^`dn@b@Z3D}4`P(z|>eqW__8=_hn
zzfR;1=gtd?iTqa|>So2HcnWY_EiDjtQ=yVc^u7XBk9X^C#h1w|uCX(*y6*k)P?2#G
z{eiVPhtMC9VMhl0)RAS7%~&I*9d<H)#T<V#j=@0V<f9^;NlpW<a=a<^+VboK&S_Xg
zp}?(eKacD{E-ji998c*i<XF8wrk`!zYy1NbwK|I?rrQHgKBbQcYkGKK4Ncip+@65@
zzD}=8NLL96CPS+k&ulzdE&Db~B5@Pvj_IA;xa0y3-rJ_hL0V9>mw`WCb`|q|93|pI
zJS_aO^1&tRuPvpqkY?JXI4oGhRy=#d!2GLAL?hywlM{VX>%mv{mc+GXroQSYNJP`#
ze4{5jY@qZ*1(x$^I@ZRaw#Kr3%MjbbPaFB+LJsrXGNI-hzSQLIv%EoniIVX+T&}$o
z4gahc&~|laDXaUN*8J!neeoFR2$MSSia#|fh$&>xRoo#;s){jxLLFsF<cNoJ*A{r|
ziblP8aK;#P^?+K3M6<wV1<Ye_OVJMAgjo4nJQK_DSxuVA<ykKT()iF0KK>=m!=@t3
zT2{672slRSE0W-uINX*0rGIa}rx(==M-(pj*A$AUaf3WroaZY~EYDqLZLdb~2U6~C
zlN~BVfqGCt*d-tooKLh?s9jEC`d1VQYc*Cp`sItWs9Pu4avm`FHzg{3I*)3Sf^`v(
zvfo58M~3A1qApQ2&T6&rdK6Df1NCF`G$1CEv)Ux+8WW2B-k}_h=gQpRfMs8*(?+pp
zy1w1x9lT_ya*o`q<{A{ue4+-)WNFI3BtvTQTM(XZju}mRMFE-pt^Wv(3~5JQyCX=y
zYA8n`9DCAIDE*GymOM9JZ=3OfD8Q8bFQ&=75<-uf7__Vn0{l68tmw5<ePc4mcvc_Q
zX)U950X{t>rnc92q;j2LbO|O+FQdB^Pm=S1ikax))b;y`PSKrAWrP51dl0ExoZo&J
z`vo>_n<!J8$!C2j3fog)U%H+GKP?XH<%&tF;f$1(bF-dZDVhkdo&qC!7<m`iEDB<O
z9^dUX6HoIN1)ITJOt+Gr1G2PB!%a(0Xt3^FHE8={EX~^_yuQ?JDQ$ePeoiDLIkLXI
zk7pvRA2y2rRe{R$He5cX``O4uwlJ2)3F_h0pv&xZ8&OkPk_u9qS_%{n-zR9^hP3}L
zkXgM%R3HR~FxB)fOaz$?ig`~(AKT{fo+I&5P_JPQk*XQ6p2M?%Roe~@{M`IPV<yP9
zFz5a?4XyTB+#Q>`l>(S&Tdt`e$0rQir4CPW3Wo>x)rNG)=+v4eVz=I7;dy2JJvrXH
zjnf+>TI;RfCN{685_m?cs2yRq$dCPP6>5wQ%&bde+aTmW&k7<3g@_otJ2oeC*zzZ!
zn-J<$PxC&36WXbqd;%y_<`GoYV+KFVp+@2nPTu{8$hQ^vs_Y<)%)TYhidcL{ur|G9
zY|9HVP`Drj;oehaLd=7ju6<nS?^>KjAlp%P|14-J)wbV%m9bqTw>grO;hY-VxuEF9
z?*{aJ(853iXKSylo8pf|cTC3Dk)ESrfJ{maDS7gU(kBq=jPX?uajKvZg{}&1g#V~y
zW>H66Jy){?VTK)}UUAvw<@DijR2e>~_(#Mgv@_yWBjC0k-zt&4Rl3+ck|cpz&6Ml%
zF-Tgun`^B+TwH1QxDoIom$)-Ku3SCdO_<!ZLH&(vTc)Kz0wwZ)bZ>sO?xI4F1}JkA
zoxxw1mKx)N<rF9cq*Gq*2)OH|C)L`wAm##y&coA95Xi5Z0Bf{YxZT~h*x<h6sdRW?
zixA`T6M~VYW-I*Qm|!;BJFJ)~<aaL9t7G|&7CnICV#gyfiH$Y-|Er-_A)(QiwQ4yU
zfqDo`T#wl(&<rB4f+q*Af@0RH`pT~pBztc-HS#D)wgcVc8E(&2RSX!R%3S=f0x@UJ
z8iFWMfO_3}@-6~F61+k)=myo<oMpg(@B)FgsQl!~=8yWhGTm<q9>m3Mvid>6LUAqP
zT~QJS0}VB9X}+x<7pbs$bGrntg^s)b`&T0;@JddMg$`)F4!bX*3OYyZI>yN{{hOTS
z)bw(^L@1^2r>`IuU`hQlxcWrItEN$KrO+S=Kbp?oultc%i_cqW+Bn@md0OK-sg-R#
zI3-A#(OLI$+qS-5sB=P~Fs~}&-WQ9n4k;9-KagVvK#Awl7I2sd+{r$JSiV@|`%e~X
z9X<~1TiVf8!PT*P1|*Wt$)r#~MPS?*pnX8)KIw>U=%4VS@F8KKMOJB`)((JA(wWoB
zx0B9E_V#YeY;!dDAr4MR(Tk6HJK3>mfafkp$@S?t+h6{GUCm}^d2)$twHp=patk^v
zyy_~(mS;-8rjOi_v7&J(_1)CGvn7#vLIUzQY=-c^ahwqfaDWcL{ZuYfr3O_m3pSeH
zNct&d=Kz+mjY*Y=!1AT<3a<IXxAG>wi_}qtmW+5S?EVYaNL$8semXsbW**=EdZ|Nq
z<^7TUWyTuhIGxD_w*OU_M^4^tcYKrWYAYm}77Q~N0oXkjuGUie!eg!dE5%*RPLwg)
z-TX!-J?WiV<ftX-4h7UU#~)k4!N~2)b8NmPiw`TnlwzoI=WhcVPlR!5($3ov^K&cc
zf+en~k~)HAv8yx^^OMw8tM;Ug%^1wWyE#3MzRWVHFz)z-c7HmfdB*M{JSwG)gucxT
zTt_npaJ*x85Q}9lb@+*X+X!Y(JH0Q#FoqWmoq@=Z7PEmW1_9tk^;S`yp<vIbya^>M
zcH1N|^BK)fJyuy^yj5mW8fHFZkbj^{J0Jh22k<OtspCU|?!c#}7Sq3~0D{I=N_fQ`
zTvIo$4<Ob{8?F5PX>2J5bbd?1ewr*zqsQ`UI2xF~Dk31(K}*%O9^KQk2<sXWS78?r
z!eG(AKFogII$v8{?X3(d-jHT%A6C{I2c@eDxB-6j2}_rcetKQ09#qsB;5Va5=EM(E
zod6in`lu5#!*yKj*?_9qOQLfjhlfM;vkI*}p6LtuVEDEy-`qwcqs&{ny5*ZD$xrtR
z1NypQN0Vw44p=kJBbV*XQeo|M2#6uj(JuS>!lNnsG9UI=CI-tu2>Qvy+`wY%OC45Z
zPnqQr!CphOw!tDJXAcZJyV^7CwJ7iCE7Qex#~12ga8YQP0iXtdJr4nqqCLLQTdj)p
z!7}+`H%%c=06mds6=d8q$l%=Mbx-9<gFPr)fuv2+bmRl1{q@t$;Zh2s8y}x~)wKnI
zqJm;<=GjOm9Hw3p_m4$XlO^5>6+$4b8q<UKa4!ZgRj(}+A8j^^d#*3bZ0=qsImMe%
zWI~he%YguIixW6wsw=Fo7s`fFS?yI!$V?-Ey#|XBi^-mD{g3|P7XVP7rE_-ESdI!|
z5yC;m{mBR_loLN=0a&;_I;Ut+RMtk7S-0RxI!2uwGS?|78&Tc`Oc;hSNn*wf>uq3X
z#^3M@3J-$@KbppoF+<bro>tqW#>WEk4HfJv_Exp3gg0YiT2h0dl5Wn0+NOE?$G7hJ
zDJq_Q9OZ%$*>EVkdQO|B@UlAwk23+;+S<CWk(P295f{S{@ZRp#iLMP$^b?I&%45I4
zfX~Ru?@GAr?fMs*BpmNWZIip5;PFUg@Etn0bzzzMKuQ@ljx+XU0ddgv*zYkxcu`MW
zixw(=+)XB2U-5O&NzDM+>a!Z&v5}@Qy*`<b@|?=r;Wz^5k@{=(!pXIR#NfyDjMhzn
za_!VjJ{E}v!QJVdcIAWKT*&bj$s;tUazm<^x`r4wiB_*tz&yS7ej&m2KnhTiPglEK
zl<#*?gc>lwe-J`G;ql}cZL3yUKHTS8iD$7GPpRzi;{S4nTqi!m)?x~W92y?qv6%>`
zgL>6-b<lo2yDQ*o5yWMB3IGAa?9_Of^(>jCbq1VT)ub9&(_u_?dbFAjDSdN<H;n;@
z8IiyBp4K?Iy=0ue92VCPpC6g(LVD?nNr*>H%;pzq+`9SKgX#Bw6~_!A=+a)Lsp+uZ
zJOAY(J;Km|-e$~`|7Q8-sED{L(;K~dUWZX%tI41f)}PBS#Py5I3R1X^v3*D4Wn)Y7
z3&*SlB3MiigZoRQx3xtDIKEaUDBKHE$&ACwaRh(+85kw{WxZ|m;24u~D>g^`XyId3
z;CU3X0nK1)ix536i!{?f;o?8#;=R^vWHQ*DGFxlC{2tK{Shoc)SCO{e93iP%-HqT~
zWVd_NgTuvv)~0__*b-**P%xt+q>AjIc)9LGZ3WhToa;eNnrJf5lKsC5AmtecAs84Q
zd{O$&TCHeHQb<&;eOwO#2a7f#Jt%ppd|TBS{^c*k`Iu#pfH=q>rg{{Z&f*hKg4QIu
zU02+~w_4*dzb;Pak6_~_Z=kM0Et&}bbv6#%Rikb@AW_Kij@KDy5E+#L*C0PP;r~FO
zcA@g=OnGid*AIv7nXxaSqpDLx?Ul?s()5R4I^}ri^4+TR>FDNrl-F+F@q}Pt7BV}d
zLf+4V-F&-3Yno!%pF-VeAcTfUQWtof2Nbvze1%e@+?OU*Qdr~pfIh>rsagG)L#mSC
zY$JRx$vwNqn1)s1mR7Uzb~TBa`G3d%)oaI}Arii|8`UVdrqIB$P?+6L3rB>K99O9`
zDZU22ZU~3lzEr^JBl6o+ugwKk&|NfAKJ`N%8z{|54KMC-pcL<LuaP?UgX{lbHKAf<
z^qoumM^`<LfUsD{7p$CrgNu93;}N@js$b>70HuaAk*GwjUEzt1nQzIto?m}$QRkmH
zp$h-d3cI}nuALqQ_g>B?;WF?m+bt#X!r3&0rIdP&*xH@^`L}ectLfV>TNwc51B$}M
zi^*JWuYzxb9FtCu*xai86=WB69<>nA>qxEv?xB{)gA0^Ij-wVx32&C2Mmq0XcOLSL
z{L|Mr{wFj6HRwjPS><(xaTD;f<K50`sU&HuiAsD}R(sFrG`Mez063KNR)ZB8KlTxE
zSFSou2Aw#F)eXhEgaUYiyjX1$n>aTA@#ip+971+}YByHZNvVj)m7(#1YyE82oIp>9
zYu(gK<i}G;=RA!K2CfwmuMj2=;A9O0u6jQi0hsC-b)UHqAO*l)U|wHU5SY?0!B{Rp
z#o#7-&`p1>{4$Ces4{OJsW8e{eP5M}ggy@tt+=92b6VSf7qq&DrJVek61$eo{3Vpg
zL4X{;Gpq};pAZ7@0osRqWNUm#mZLl%ouj?eA%1(-3ya$WtkLy4X~j3cSpIZKiH3)y
z^xQ^5to=ZF<Ns#p<w9U2;Rv(juY4e)<q^;n`D)y4%?3!kn7LVlY#caY(!*^k{R#%l
z<Z>a6Nm9>4tXF+gg|)4pm6_2#ghh*8B~nD)V1$AGSd{Px_#=ISx+pp3l}&FZ@f-hr
zy=>Wd%j)aKE=Pd0P2PClIEB0`?m;E;jlD|o_%wp;h7Jnd$`&1HN1V)-FupHLXf3Y_
zG_A7q_2IUX*xh)=oT!=_+mISAVv>>&`RnJpeSK-IQrN2lWuv^WCd|1g=U|NH+`Jyq
zL33Sv*>)lG4PU{e_J0OYjwgu??i&Lz`vZ8aiCNiDV`MTz;Z%%>_aB{KRI-pU@Zp_V
zu%#!^+_ns2&Wy}P=~zZXJ_0r#2!L;w8%63PC6p~Y+uZs(zVLMh`!F-5-yU>|Mm{z9
zmK_FN@Sueu7dKdQMdky^`Ss5Nr!iEE2o_C&nu3d!JT4q}dDO+s^bL3gb=jmv9L6$m
zA+jd~>*|8-#ki+DIa2Pl3_jgBQ!?$P47n{iqNVniOL=6<IoOw0m0|a&485eIywUh<
zPbsSNyF}0ni;{(LfpMYYsh~iHttWsqm><aa5CIEBfh*=288<QsXBwK|1o3W&`*hCM
z2V9cA!Z}8j)aHiuOP%L0hs3frUs<2uI;@GIfeqox8EIULhyc+c@UKu(W&>GJ#9aKy
zHow*!=TfKA7d7A8L$1npiU1f=QeLjg>^#OD9IRYEmpBA+%fBfp&eKcKxE6OpG;R=n
zsd>23UJ_cqhF=>*IzxE?wY=^_0{vM@P5{Z6SX>J(v97RT)^ru5SNWV!&Y?S*ica@*
zQ@QF}wLFusFwI%JY=xCa&r^IQmu(0zNByl3OAsHW0zl-)2*W8Yp`(S|qdz_<I&HrX
z$<R8zBVP9lG&trCTWY4*BiyS@8Y~#spP(rRj;X3wr{Zfs0uT(obW`?cBp=nlUP)3A
zvxSaD-0b$)S~Af4G<wU5nc?S3LN7ZqbE5@ro?JPcI;av$v4p>96AIFv4MWK?a`?pA
z-Ps_~OW^Mobg2LxM_Q3$sh=b+e#D=|Vb4i@jOXLe*;ctWEE_x;-(u#|jyxrCeN~!R
zwb0e>zqqGa>d^=IqSndt%1(XUg7Q*PURT+x>Pxb3G`rC}4BXGm<r~4m%vS9${h$kO
z6_}e4j2qDYlX>HZtL4;+36_2|RRC_O2t(W4{7=yS9k;B4geyLaIZ<9^b&iT8?U9!H
z$DTzsLC2s=iMa5B?Ozb~60nTOg^l{YYzp(U8+s?D(NlbZj&+kFU@3eB<GlblVbkk;
zYZL%6K+eDE?89m5)Yy%%63K0R>vb5%Izqh+9;V0`Z2$PqS1|xI&B#~lK^iy&-+l7`
zrjH@BzNn@rI@=Q<mS4><LrGVRk?oy6=C8@z=1%_rtH!o7L0#d&5i^JGWpCqrT$36B
zy}Dj~#TH?>{KKFjtmUMBdGeTc$p7;-l@R60!Whb8b-GL4_REk5t!eoS^bXhGjK~{g
zmrC}#io&hD-;atX<vcD)C_l3h9MRW9&=IZ51tWT}*mmWaiq4PHtk6lbX#Z#iut}TN
zI2!|pb#1I#*fI|rG$^D^p-CQ5xPf44sfo#X9IfX+dbZd8kjkms@>AkrzO;yAu@O7Q
zaOcCe+_X?-MZK+c@2#9E{P3QFb+HD3#n<(Fye_%)PD?qP5uB8Mv^q=z*HJP+&j(+3
z#<W&`f<V$JEeY$X=G=<;UR?5Xe@%Fv4Vay0b5>q;?KnahC#R2rU461APRC%>4TYLx
z3Eza7b3vvO5%YfW?rcyF;i1yTIKfm7%ybZHiOA@{r(xY9ckO-?ye{(fG`3yps;g~;
zlF{0E65lY1FuYg#<~q*%o>5WAZ27XBiOdmGcRk^tSRW%Jg^4SHXOo58yU3VHOoRd}
zH^&o}T90^d=<wf898Q`LB??1E2m(tz;mDx4n2ZO1-`<J!nmrqI>uo_}VdTqAhGUba
zfg?1aajR;qe9`8P%ng7BI0H%yJ^K||NG_mW8&CRAX5G)SUPN|gjpZ{j0pw}CkeNLK
zyJ052oF5AGOV*b?0s_WSz^IO%g=SE{rsTonZxw1Z4H6I1<WyE6RfAcgRfLK!z5t-p
zcyx%FDfHiDav%4w$pa0hFO6NEA%SjaCM(2Lcm2SepU~!ou){&ixq5ISk_#CF4kXYm
z5_5@CTmq-Fnw?=n6}#rKX!Uuo6PTJr8Jt>{Xzr4?CQ|b^zRz-D9)j|SRLp?524?^g
z8SAYQE9H~}pSzyP7ejY5M@%Nb*dh>9D|9^JuQVR_EMMX&Wp#2SH;r<<7tA?}ybf6r
zp5hFpQ+<Y+TjT(MR<0@z$OH(b(P!07!-y#PZH?|t4v$DCG~y?S3kP^^T)X*;q9npH
z5NK_Z51oR#`(EcNcih6qG2sSvHgt@>a9R@NJ5`*?Scf*Ri*XLgOboC~Aqw31QC2&G
zI^+~n%87Qe2@&q)BkCeD%XDpqDh*Yp|C*!DE}&y-fUFmRxE@N=T{`hw9Kh2NDX2@J
zHCn;QV;sP1M@$55&rBAC6?RjS#Hll64l{n)p}7*-=%!HaDYdz0f2w$S<Qx?!es~aA
zNtO<Lt56;q1OV#BKtkZ$G18HCq5jU@=_ycf3W)>&_Riv)JhCl%6lE0CX00LU8(CSj
z3s5K}vTd*u+(Y-b0HY<NopXmgl=0v>u}gt)Iw;62^h-=@&cn~?%q8+dwumy7<9Pg_
znwE*|JxF;tWfj+wC$x9WFTNl^Oy>?UtTRWi4U4_OebJ?jkp}VEZs{;^DS5DNk#!Ok
zL2Bf@2^?yI%ipqBxd7&_<CMK0enK%Pa!I^YQ!-e12$ZGxU6z4_saOl8^8vYJx8+WX
zeoy;pThk**t;0r(7oN`=b10Tv9zOPDps6ht*9az9XnuE%bFVtyq{akc)Um%5(|dxH
zeM3?-k<pvsCidg`JNmbEP&D>XZxHEuR{(^Imo(iTiN$U*W~AQ>@I`DC{$Kf-AhGXS
zEH6sU&Qh(dl@(cHs4wl8w`hW$A%9&N3RjD!@MN(-8sf>a`3!x|v)PZ}kzX}*NpiS>
z8&1aSU_JQ6w&G`~^!J6lS!Nsk<3Ae=emqobZ(Sh@e0wTI{LEgyh|0z~svZ9*(HQ~g
zkj?C+hnyNDKK7ApQ}P|t-|j<*r@8>;uZYFrpwL}_ZOyI&?~=dQ+PcIJ4IY$JkIA5H
zWv*5T(AXLKhS<%N2fHSnw7lgT+(0M$3+}|=$$qfV?~w|+ET7%?uLu11!u6@!xv#SQ
zB6YihczYHb_VaB|HZX{RZIRh*+WGl5^hE%056zvjA}$U?<0JEsbVajBd(PQ|_J43r
zp)g@3{}UNI$DSsw)lfl)4Y31eItyh56a$n(9EJ~iYSz&fR_7wiUcHc!a#a62R}uAb
z$RjVPcBPMX<afa)jUX<l?@Hc=x$1FGN}Qcm!*q_wMRyk1mGSQs)uRh#qRS+<eHSx7
z<C`dEzR!66wRhpuu?q+wt!mdi6Dc(guQvYcJ*cx1wSAQozy+Df&UJ<GheVW7EvHT$
z?l`u}!L$D@uG1YjcQsVx`iBCEO@;0~IPnBI?o{!2X`G%4?6<N(oBe+~cI&UiO6OXd
z`a`@2q77?0@6yz<LVYS#9D;W;f8|W7)nh@CF6U*8BZDCCde&$AZ_l173lsxqBy+aj
z#P^}-7pk;*sfbxDg1)ov=+><N6Ym&y4CU^>Drgvdb+II|Zr|PZ#>bMC$G0C4l$kL&
zaNGjc*t8tgbKi0k#*F)D<;OM#Wzqqo#eZi+`)vdR*vpHYDCi}dub8}0Hh2S|afa}V
z%UO=f#oH1?)74T5O(*YuP{D~3QYiZ?<AGF%Q;DwEVmh!v6Msr|)wj}^HCFQ6w^yXe
z{xIt7l#2$Eg^S)-onvNZ6okg3;ny_+4eE36m$BSn>hXtmF!0-^Fw%4&s4hH*<FI0!
zkv%tY#YLwCKBdkmCWgLFe^&7DBD+A*V>JN0a5B&tm4?BY@9R>G41~;q7RskW0&m&>
zX8SM=RFF1m39>A{$j97(%H7bh;fwpQ@&cE<c^3e(V?Y_c)N1-M!7m~b#(<-jew*wN
zp{pFvRl+&i2iOH>oN_*8mlyg+AUSnil$6G<6T^=q{6Lg^*jhD_DJHGQ&vSg!uPpVY
z4kBCtNh7aSe&t0!=#wN?q#uF)45Xmls#XD8Paxwr;Vvw9EsXa?SyLtU0+vj3yvBu&
z)UYZ&MaPV^)OQXVtRd8=i)z7(l#E@->R0|yG=ITt$tkcUv+g8MXq|-FJbZojT>4yL
zp21<wL^_U4xvVUG$Y#ZUXDbl+xP3%Hh?8m*r1a5y=_|19Lu@LXP4n9BwH_lIHfh_>
zAuE2ZU%i(${Scm+&|&<Pbo)6j*lee8L!n=7m7ni=3&KsnJ(I@u7MhHbPv&%^_*#cR
zk}qrmc#BFT1gzG`pEn7$3^vNJgPAP?7RzrUx2FIytWNQOiiM{P+hk+KGCbIta%)79
z>>-+$5xf0mI^#)OWRI0p)CUwub+oUSGB2cot6GI%v@eO;MR;d1DgkSjV!6*(zJ=Ri
zN}PwS0V`mVB#70T)RqlH9$Fs7F$RhehBq&+2XgTOgnLZpd#WfKbHuNU%g?_v0%_lx
z5ZV8VH6nlETdiJqUaUfkB9frYw!1u1eD)m&e0T9c{!cNgIPx<)y#1n=vzFp=lhzfG
zi;8_P3CQUltT{MzBcL#c6yZ5cdpeXJxhg^#IL&c)6vjZk6<TRgC2DT`CFSp?)g@Ml
z#oK3?j&H5Fh^L-kPVpJ9A$7H^iuavs4hSsF$!pPPIxsSon~dD*gCWoSC}0H;>5^-4
z7ZZ2F*hONjo5TS)9(=S9>XaY}uAGb(IMAYeV-{Jfwcp!eVRQ>28aN*vDAPD<0M=l+
z9W~w!B`wZI8wilKY?+o{b{(v`FU<OwH_Rx){cf-J;65{!5V^o4u<1{k@+1uEzqg}8
zy0+p8Pw@`SqnzPcWpBw#fhE@At)Ui(SLZ45Fs4hv$Rfz`ID67x5w4TinK^M#F^>86
z$0zNCVJ$%Oe*b2!)0hDMBA~@-1E#x1RKErkbb!r%MY$gnh+8l&cYEuIjW%<xK`ij^
ze^t#ts6sMt;YS_JRz{BB5E4=QgTz2dN{CgkED|*jR<T@fO%>JhUC{Xax7w}n3^{Gn
zPn{wbJH#P48S~m4Wn@t%Tb@ts;KVp8OX9Kz%?tG;)*j}5m<buHD|ZnK6EmKZ8c9<4
zIo%xaUAgu0eJ>OjVe@L)V3Gw3Gj(^*G7414VwZ{-TDj9xs+td<TAR|i7$>uzmT3*}
z3SNYneN5uPK6=rHyiz;ZT`!~O*Mm>q++o|f29)mlt7GD3s}2UPD-(h>yxB9#O1M%4
zuy&t@=NgBQx<UAAry$fLg)kTi#Zvr4P2ivkra=Tn!&4^ecHS+!v>Frj4y3@7L=+Dh
zvg0^Z^%dGf#lQl`!n3zAR_$LlAS8npArjsqLb8k;XmT7>Sm|d)>b1DAX`)Ua<sLjF
z0bzxA!1+h?jsUmz{tSn{63-@MUF4wz^tY3{b-zLx+)VS;d&$;zl!WzMaBu1fC!S<V
zDIR1%!t_wN9NQ_pAK3m!vMcRt!S{0&9fG&bcjHmCe#M^@An8mT4%($~14Y>AdoXDf
zOz7$wZ5nodq+6lMFHn%T(c*sj$YG<vvfHPO0A*(OO@bK&5NIXJiA2lj``%rL@#Q{^
z*=yVn3am)&2-SsW1!YB?Zsl77%@_2<!asPZy8G@nhTq*OKy%RobI~j_u8(p>b-_R7
zTAa-tnw+UBKqa=pqp=08@eSvDdDqUfWPikK!>=Z#yPTR7gj<jBb{1hNJ0OTc3wp5a
zx+zeWadGs!xm3!|2f>*A^S3dyrHQ<9a^m3)1E@21-f^^-RAX6S7-F13`x7O@e_WaO
zU9o>`@O^O4^jsr7l}AG0uzp^tnz-oK1}D?EbXz+dCyveyK}v&K?6F^i)1qvJgvC~A
z({1LQJe5uxg5YkKp$+1hKR~tvyD^Tm>2EYA;j8ZTV+{gO^ADuYCU@fkKkZ13{;YU&
z7oaL-4C<yG?XIA(o`mU%B;vTf1f8j$qp5{gK;tTGu6`2&aH(jI5%rq*n2^4JEbMx~
zbu|@_iP(jgl)?U?Cg}fU!a)jPlSWwPxJm)x`%&uJ8m9LBy}HaCU%)<};lSObT+fb8
zIMZ)|IO_A#xqZ73)lZ$qgdpe4`wt|B)0(DFZET7uq(&zRwSamM0jN*kx?`K&bCSzy
z{XC`6+4yL~GK;qKGt&P@BV$PQ8BK-lxsJ@_fxrs_yV>Wb!Zt<sk<#a<s2t-4xLMc;
z0WuWdH)!DJ`u!3w?G~2SXG;8tg;BfgeKhQ$8H6}=)Cx-RbNh{;^wLO7)v*_ijVl6$
z*x@YXen#CI+VXWc!FVwdHP&Lj*(8(>EI3A%-2e!RQr1oZK_v8i)x>2%&&2i)m;)OS
z=lD}ZmrA=~C);S;!#}Y)Z%x0AZ}KC>y97$Kx9)^N4ek?aO4r7aVVnawJ#6rywvG&s
zGwZ?M{gHJ*fnJ6i&nsYj7=U#viEeDA22omC3lPD1klB)&itzKo-p#Mnjrw4VFKqS*
zUy#D=lAIgYy=2Fyl;qL0$?fj@pt3x7ks#XDQCCIZw4fbJh3r1Hsb0P24Ab{y&G6js
z4E<;fVK4JGLfx0zC`vVvwt5QDooV{2_xf;VpL~>S7M898vXi#B?uqZGi?)7|Dpmi(
zC6PJe-)7jf_?uFi@9WKaUD27Olian6!hUxAaMIb|C=P^a9YFgfBLk06l8v@FM~pw$
zQ*XXNVBiY1IE>ZhKFOxqTXGjCsvGk((a~#6mXAcz5QMb)(@g)Dc#TP$BMbCKxnr!X
zJhdjl-<S;Qk$4$<D(1x`5Ie46Hmz%6#vk-Tt_8XGnYmJ0!Qh}e(LXA5lUGanjpf_U
zmZu8hO(7{0i1>BWvRPWp|0x4eqp>^cjb@N{U0>O`+UsZ_J+l<R&MF^2uXC6hH~8t5
zYG-t&Bj!qw9VO%?uhO5Pe4}xpp}{w(-dp)tYYQBAaDIGSlk#jmRdy7M=$-=D_0DRD
z34NDoGqNU=)E`x1PIE#*+H_4(#`Y8oSe5$GxXg54>CAy2i#7XIhNLg5d4CSHV!C4i
z-)Tw!3LHmLP7tD^z(YM2#;c+(r!0bq*@t?p_ek37goO*wV8Z+@6%LWE6e5}PGZ9&1
zln%JI09=Is2`{|P=P*T50TWs%5A%u}q%?`)Q0Y!;HY!z>(f2JyHAGP~ppZgLE84T=
zi3PQ|e3J3yUYg{>_2c;`;(RHx=?vivo8qJ(4+%fv`E8xwy<^alXWY$G{rsKKbRUx2
zAUvQy8BFL6qq*ha-A$`>=R4}8e{U+<z`~0#12fc&sMJ?Bv;pH0tWT*Y;%mvzZ52Nk
z7*gdW!BLD`NMxqZZ8p&DYLGK~ZNu%*mZC5dzOu8+r>zH8ao-*X$CYmY(<VTX`tWXX
zJ1J@1IRwNBwbYv<9&cR>D?tm@-S^P}@Op}Y1cy)Kr;oCCdiu0Psxdx8Lc-d{v^^G1
z93SEDIX0zKbWA5PDRkoy?FYcp6Iren%&ecS6|&PH{fbU*L;S;EPs~WGamPHMz+kxv
z674}^g3j%5je7G_2OGB(4;=`#>h+iwGJ@JPMrDg-&F>oWSj<#875=d1J+8-To9-m#
zFg)Am1~SP0+;IFvehN?$X!4zi!85d2eXn(}Z<Rn3#`wq|aeOGLN3FeEoN`{V`Q2>9
zZYe3Q(!`PTl8y8{Ud?Fx(}RBH;yx1*%YBF>!OIbh8+_|GNL-Fb{!`c7fQeGRsqBPf
z0f4$VtyQlkFLfWvs$MLdEH7KE#o_Yd?7<fh?7*i|4`J<HlO313Uc0;NfrS?y0sQ3;
zSmYo)0Bb#jYHXWg6as|ES`H>oPoQ`gq`j7Um-=1W^ogn}fASUmX0ot14hh)jwttq0
z1Mzxf+V<vVF~<$!O0mMx2H*jA-}ZwI_)%AkARf<#t&E+f>&@;?9B}Qt%xTC1P^slg
zRwiHtJK)%RxSLY0ZhvSv#>ps~7NuR}-(yT4+GN14PRvw1b6f&<nT0m*zXLAm)BeGh
zc;o%mJX+_#khSoG*rHYfFu-Qy3?gkD&sy0+D0rmR^icu!o@eAe{%_CKN8WFUX)gZ2
zGBhvu<NM_qd+b;zCfqT#kLeCt(?APSJ~@I`!yhW)U#D^tWOSAgW$@7X(THeIK<6}>
z<5dwn)EoPsTML^YN*7V`R2r+J2WeRR_Q}0Q5Mryy$kI0Bryz9j$SWjJZEoou0wI^X
z3Qqh-2T{EE)dYk#TLNcIS1rP#unh-HBGv5Z?OWe8fR9QtiUk*mEj5l<jm<`KFWuWp
z=@-MY-4q0`85NTcma7fF@A~T*ZuNhyp4F1HHPM&L-BP|edk^#4SIlc01-t8$yW_ol
z&x;d(!VAuZl9^gOO0F;ZHh)6nz<$FJ9@^OCQiL`f2y#$Ix9c|siE|mzsCz2FMWj+(
z-A$+#8T*00#=k5#T`r|ccS*fCqC<o_b<3^@<?SuqP%|FAiDrx3fVa%`p;v{LMBS++
zpnlP}o^Z{DHrh(Y3P&`3-*1BSyhDWhabyk{B7i%4f3%F@a7$9IHN<&4VSklUn%{ml
zg#X$_vM@MhbB4$)EM%Q^Rm^Y59lCPoZ~25ygD}2eT|t21LkW}Bd{@tNXj|kj>vmzj
z`JoMD`A7aveZ1_#hnBTxwc9PVCq&0F-~Lhjn+pC`vDaQ;?&GxtM4yv`H{}Oz6`4$r
zb(l{lGg_7*x?eT=V;!-m5j}Jcu+uAGDj5BR;0+7f#UqE#L4nfO(}Fu)HfSe_5*Pxe
z;LTVTzqTTLmIH~`X~_+0%W<S|5LkSgoX;Oc--oU%hj-j6EYr^N4qU${%K@7!On&lY
zZ4N`Qc}S2GD*FEi{La#5hR;M;WM<iF+g>b1)6yvXyDeV4I)!C8Wra0+?@W5`J<AIi
zq@55dDeqEFxhO=nEWOZE5N}9%t7$R8P@eDDt-HfSOWZ=!&cosRRnL#N$hvv|FFq=o
zZoi&YkwvJbO)P74Jewvzt#*Y8lfQ>XXgK13&_Oar>5LBnIGE&@(+7IT#Gom>{ruGF
zzL2Ul98IQ5Blp}*D(Y}%VK6S+i9=JbDKmv(6xvU_)BFA1hAirskU%xW=Y@Qsn=y{*
z<Trju|Iz`f$(m*mh+3h0FKzrHu7jAZtB~KFXCTX@PdX@Eve&&9%*1w9isd(Y`Fx~^
zcT|07<YDZMI(7uZFsAn_`V?6xwN}|fn&j<G*7-0KwtA&dAPrc?14vU*$ZpPhb`M{t
z5e?HCV3+MPlh~0UP-IxfaBoi9I9?YACqVn%NOehVuV7-7iEzd~-HzV?;7_^k$D4F_
z{;k0SnpH?>s?Ci;h>oPut6k=$<8-IH-vV8LeGox!>OBIRMq%1jsqycJQ%)S2e8h+>
zyn1x|p|7Y*yRu1UQMf!BIc|s+HTQptDG@34!+Y2pEE8xbEAF#{O?d~52UC5MV|X(z
zAJEGlU?WJxUo>08+*gvJzm%I{<C%FuC>2NZKCu*6<#RqHYWUkoryQABEn3-;21yFB
zN!eBT@Fd*KtBLfJgtM-v16U!1T|?hH9e7sI_VBYHNEIm15qI%BB5T=^pa=Y?>3QyI
znfX=^*a8FAbOg)CV^9vaAfWpu%2;}Isal5GKh>gWEf?Q~IROimb~#$HmGerlew6ix
zIxWf#R*GVS_E+ib4NZz(%<o2rWu9O+8-jAF*Z?KYW6+{7_bVC6^LbU5V>>fZvcb;Q
zUkU5rVpdMpnyG&7wZ`6r(5jd~Ko6p`MGQ`$;jM;=Xbs&&{9K?wtTTX?fa=~yGSukQ
zpw&Ix8%A1k#?#pdlIFT&=q<a2)w>Ts#Q|6zK^X)cDa62ZNvl1NriPbsg5@RjU<FmM
z<;_VezmLiY)xSVSexs)PI{Iw^qMl%ZJjm_N*ttqQ>G%M_KDV$3s!x%G+we*fO9Czu
zBM`ZM>D|k(zl4h12IB-_*xt!&4~j@@py9W~D5`MEpVAk%Vx0G`Z5eJ)@z;kY_|XHP
zbP_Z{v)d5XUIx%P(|22jl=7rUfa%1kl9L{qK{1<b%R$3#9m1tze-fN71F_})M9oqT
zV-mDiV&G5YwOr5Qt$J7dCm1<?*K8`fv1HOspN(D_l>G1;&{%uxtbM$+^O(zPw^zyt
zvepAZTy8@cht$N*FrmYQq}<wcsM}Z%MCFH!YlMT?2Io#ep18`#O^pRje7aJcOSKP?
zuKu17ls+higxP3QV;6RqUMmsYj!)YE)9ZuE*dZRQ^62VJ51cgKQ+n*!F9#4op%S7t
z<>pFxJx9~K%U;@WVw%9Nd!r`HDnu<90BVJxEJoZDcsCo~L*UyHpu)pFp6>z@vZ|TP
zs{ecEz*C0l>$2rlNpc^a^k0D@{@c_rg385%>^%X-KD3|4IIC|%659H3?&N5Ug?0tR
zq72%@G{z8RF}}QPgN2sm8#kzq6;FO#hmGdxjYI_>u(^3<nU_}LqQGi)gknKqESkz@
zL_;`0b#9{S$=gWxYuGiJ*0HgH>fa(r3&x|YB>wp;t2>m<Ccvhq*;<od6?;*eqKwA~
zV2Yc!MK3>GwHE{!h>4Y{g0rn>CjRFn-BS9qe&pH$*Prjmh%B?XiY19QzoP{SI(8IO
zzOh7L>dKo2@Fn4K6s<f5Xm`|QB3;5(EkVU(aCdtr{Lt3?!Tu}Qi(Zb_)3ib&P!BJ0
zU_IK}8GRf5W)yM(J=`SVs^?l2@OZW$gJnE=iBso4N#~!Jcx#7hrJp|q&;kE9FPp&M
zFR`;ag;@NdR!$y`@G~`AU};gT49e_Oh<9b1*i5zNm;=7)FLAm|!trXFJFK>}3!HSv
zLU-o|Bh(Kyn`4zA!Fn{(spo9n-f+b_qkc^&1#J;I&ni6nts@C@D^*Pk__2LQpkCQN
z<-oReRF4YSfPueCM~y`s9odq8IZU>BFXTYXb-5Up(b4fD)ndyPLzA7f!>5LLFjsX~
zY%KIDwe9T6Wu7?xLD+HLQ)Y5{+iekWcFXSzJyD?%EHMsrs&(I|yAE~)c#1W#=yi?j
z>Yx5?K`(nVh&_n$8(7Hl)=zH!mwxv7jIea>@xn!T%ssQEB2&&w!f0GU9pP+2)UGjv
zQr&d64s54@z0Yv8Z4!zYdX$1_lsW15ZZfQON_T(`b)+8UbsU!SK^^lxEkbN&dU!#=
zs<${&?GYea1F^oL75J4vj@mMf&fZb%q9;QIwkokX>WxzD;H-cDog*10Si`v{9pVIg
zO@5Hg7q4S|K(mYoi;H7yuet!>3V2wW14x{b3`pG44J;$x1Xn|Bh`;qsj=^yIWX8a@
zf9yunA+Ld7w@@5rCqwc)Ai&`)s9-+%Hr)JGQ4-k!)+dpH<tOQVm5{+dRT(Da^LAQn
ztdGt~ybg>k>~_T;DcFEZv+b2El^crqu#CK!r{94Q&#g0;69Dj=EsOcp4c#~0^lfY#
znfcjpr#SBAkt{s|m#vLNA!-R%Ao{1v|GFX`*0i(9HL;WW>19%k%WwZR5nT{(c_7^p
zB}HF6_$Kwz2UfD-soCQmB`;$R-lJCDG;l@5(`Bxj+QERF&i0Oy-`<ev?Ao^%RR>uX
z-=TX^hl1L*YK%bl*vzdWFr7QcpwF{^o|_Yp4k}s{t=s;s5iDItgQd`aa7zW;#YVfk
z27`oqX4XXw{jCnOE-|GN4wzkq7l8>r+Bg)EbjvxLVd}{o<|0>G_bBT<Jos3rApO><
z!EVr(U&q7p5A>LBdE{u=5yAn(_?q5p2;eheN{kj1u&pfXnM&{vj0cmNM6p=2D|!<D
zPf$wxQOeYJOkbH#;*^sH`9Gxc@DsKJU6)^Uaze&Ml{ygn)G&LF+w1c$E>VeoU-a9J
za|o?AdE~7+P(AvDuXQV}qqxlhnv$)hH=)xw3UuUH05LHN40=DM!vc=O2hF#N|GBp$
zC%JHBQa;3w%M9<91ukG3nb*Az)Yn7;aJmt^BU4R5ou8==y(jkKC?DUJ`7FmD+U;V=
z!MQo45%9FWpU1+Y^#sosD`Y%k%@QGW1X<V4IJs2-0vRr!c4A}i?&TeI&$$V4H}~yK
zX7*|<r!M0jaP2Bhfp04trVz&YH%JRY)`K7M#`zRTD~vQXa5K;qN30<V`z9pc3f|Fo
z{=HF>s+<_=MMtROmAx0rJ<x53dgaC$1OX=|v*LGftMsLDJi}Z1z*UOSBErd2l2|L4
zIH0d1wBF8$4_dCFuoJ^Oj>=|gDN;%=q-g|>Rh`s&W~GtfiU@R!@e|~0J8qn2ecyhW
zVWoe4+OfT%j}pp=iLoO_age;#uybAFj;nL_!y^=?8v^;3QmMe7N;Y=F>en*5H*x>@
zHw4U|F8T_-LJ0QFeI;F3YdH-nP}__;gGnZ!w$k$alYtEsjk_sUc$wls7s~2Tr=Okn
z#8BBPieg{*y@vZ*{}tJI(x22riDoR`Ccp9p%E+=(`dC51m4xJBhJDxUEvy8QgO|P?
z{i<;7q^Nm9()Sgb@-hy0y>)G(gr*yYXtCP>Do3+U*&D%LML%-2*J||4o+EnI>-Q#M
zwf5Fc5?c7t#jao0!7OrV0ozv0SweC$Vv~R_(ySC!G0Kh?2KOGbtmHt^0_(|viBBqn
zh2c54m`hHnuLOfuJ+fDaTBE`&Y3m&Prngo@HO~I{vdAE0{Ss4%JH;KjzK)>FHEnT<
z^8y|(_>b2w3CC6PmC5Cp3T=WkS`i!OTW80Bw9xWYA+c7Bz`?UUBb?PPw7vVT>w0Ow
zi~F&BQIGgUNdwqBqHOq+JfWWNZ&Cz*dR+p<@;Cb@bcn83AE;3QI(05P+6_1-U8HVF
z^mLZ@!eGSkrT^g(f#fh813xuQGeG`cQ>Hs!YFh^9twaS=gVl72S5U|#XP}5O1dr`y
z`P7Q*IqK!;cZO*m;Wcw?Ts?K+3ka8_@il~N%U@OY;K=nn1Mbm^`EPNE5X8wAB08=Z
z)2RFqEB<DFJ`r~rHlO%YLUa=BCJ4?uJkRROs%KlhjH}qir7cEqCpxbTqULy3rt(HR
z$@_6!cEEf-*dC-6Ap^?b?J%lES3;w(fi>wuc(AAlfX%OxV%*-5#%G8qc>A~CexeX{
zxo%?N#+ZA6g*r{D*V@5YXu&JXuHs!V+L!^7tj_eh!K7^`3OzB}Pu!_EYN1>O>W-S3
zxB=UfBc4mI!iq)IyN0!DZI)(&275u@39sJfBozsR$>qUcB3+>+L53d}bLzRGnGf+^
zqYF~;8w;Y$N`5YnrjGLS5#eCm?ew8GR@gYW^O(=0O7N-jf_to*uz^voX5O7i@6fS}
z*meFO=C%Vt!PbS7zU3MCo6#QKZdWCdvau@MMF0xI!1j<5{Vazy+ePOJwua6NuI^Xe
zY&YEDpx`Y2<ZIvMvIsp2V<tMiEp_9QrCS~2Uz8CXkhp@2X|H~Rc-;ya>Y~Gm@6WAa
zkvU=ZnrZD1KVo6PUYJaHRZ+z2@G5oML)wS`Es=1u>YC$qGkg*0DLnR|XW!|)!rY2M
z;)*d<U`i_{%fLs7+(z;SiEQ;CA=t-b6dS=O8s+lRBnfhHhe_SoL3Ah>k!bNx)gOQn
zd-SkqylVOg_G}M2E~Y~gUgd!9xl+0l2KGO{llApOL{PG1E5h*kt=nSyFfYqQP7<D{
zZ6)`5H53?BhW?;c*pooXs>R0y2_zOIyW}j-aN=J~j5;}Hb$|$HkhZCp56Y!2!nsa7
zj_QLz<<HdAFCJ;KrJElW!#oQ0)fNt%iqN;xi4ru-)qH`okdJkA|3Ooqh*y!R0f0Dp
zYCq{W7ePCC*_ARb))q?|VOr+u_02p7EAOv>|BQrLE+T^cLi51fh6=?X7w!NyHpMiZ
zFSpH-vgJy{hiBLg{^wusKil$nWD)UMV<*rbyQQ5UT`UOXNb;5maDw0KQt8fRRr9NW
zMQ;wb&${3ab4b!92PM`)y?HqP?U_f_-#?PxL{%04PQO!xP$mq}W-{sG%sN0wX&Yl0
zPIcr_UJ6clQzRH37AW`?*>4;>2T?1rJ!QZwhmdw0zQE%BQ@<UR&(5B1Jh!_Ezg`Nq
zfk`zvEo=fSdYTpAfI65>pY%f#&4M$FI$gYV_Pv9basqQN{M84_kgQAh`OAuzJ82v(
zSEq^&veZV=yZm^q3@7hkL0UKl@5P#p2Os@d`f|HRTY-!`g;X62k!kn4GU}snjuj{!
z)^p&SiICY_0dw>1^VhM@$#tSZN|ti5nba6y)@6#riDunIqmwLSC462P&I-A0!ju-_
z4{krd`pmqG<yoN*?-><Sadkj?Y8Mx^CUSx@c+@Rw`kU94ljd0fyaW}=;pR=bjNy7`
z8A2Gm%<-d=^j7dV+2{XIll_ejJNt;o8P~z^C`m6S7yt=Bb9XBh{Q{_}T(xvh*NJl9
zyn8}@V$(rtSr$cTR8<~{j>pgCK<)MTJ4lCsFfFt8e*eS+Ue4ZB<~(}1yF^|^4Pb67
zd)XH?`~ee6Q@s3_P)$e>bAUyd5G1EcXN6VnwR10<aa6oHp`7SExx}%!#Fj)Q`>jPt
zyZOx~8l>!Ov|a}(>yE~{nMveb(sI~h9f$U}5sDB;n!kV9O>qh)M^lVYZ^;ft#2V;U
z8+i$x6}yWMV^kx<Z-IMKmN7)|-r8xRN{+RSt_0)bbrVKzQu3{-c_t!0u;Fp7`qD8h
z5}u8^%&di?egc%NfMi?;0&poBD@TpVO)+PAbg;&*;B3w}<`OFGbBU77tOEkG#)_;A
zpUVr7ck5=fV?M6$FVm7h5a31i|6KF-#84S@$y;g&1ew3YwbG8RSfF5_b8Is)YqkJo
zb9CG1b+HZrA6NTiN)r{e4j$7Kx(x|Q&6jFn_(p*K3zT`Er@Uld=Fc|umlL1RXmHsF
z!=cEgT{i%C@sxCjlA|nF`6}w%J-(QjE3v?^iA5%8PP+5g&+{C#lHXALc<V2GYq}3f
zI;et8B|eJDq`=A&H!hleg-=jErKQFk#L7aXJ*@${Q#>>b8kK-7)!U2l|2<C7N;(!y
zy`q{78j<<`Cvfk#=B5-29`mOF<2`I_wlh7E>ZH+`5TCcpE8Yq0ZxYdmsqKFx6upC+
zmp1B6^{Vu3YXSL6{ZKiDrsDdkPTL$Qr*5Vf&Jr`LNjOo+$g7p6)ST{}yFBGBq)m^=
z3e*VgiV|$-FnX_Z34=ERpXCHof(LbJBP!};!_li{q@OI&iY@@^-vGbIBcb<E%@8Xu
zJ&rf~@!C31*739FI|2q<-vRw+nQG-ZR}s3IrXGp#Yb20kM<C#~g=`yn4(0&W`E-W+
zk>+9obW!XpMkx@Yf>EUCQ69x~Zj}Y!l$MFZ*<T9_?%_(a@DIWrjt^2+ui>hYe;Gn&
z`jY$tcjiur#f&!n&|Cv`ElnB~9{2Pmhw-xk_J3z<XGT&mjz=mAMsLL$of9o|H$wI~
z-*2}?2Lu6froSP5=C1xA%JLW-X1jIsBxfJNun5Y1ksk0RCJYWGhZS+Sb{&^&_(!N(
zw|BZjc;(KxGdVl~DjVOGX9?86*#7Aok>CL3HQE#BLGGCyVyfEYl}Wy3(p**li4L}B
zpE6j{H8OK@vYPGMY_n^{Dm3`ubU*sZgJlu`T<X?K-<Wa|$l=zOWsi`>Iz<fwD9cXZ
z&J^@*Fu&)UBCBI^{(bL3^+z|{_Jgtea1E2HswFUnQ@$s1ubSS+G6=dcB(3u!Ivon~
zp3$07cAGIB*d)lSIXL;^6z6E^r%?p%vdtq$j)xxClc9K)Snsbl`~|0SB!S3K$hn9o
z)xtAbjiO3r35tyhHv<m-)`xT!&;3*HR<w**a`bMoYI<1iu!;^TkdpwEYG8_02)g2$
z)}#i0*95iw%MN=BT-S-=YsVbXiVYx)GEHb;<1blM(8mVtB-m~6w1pt~lxmCM@Ch|~
zEKOPJI|Qiy$-dKB`i)RqZgvYbm`s9@J-i7r#Q%;&bmv^76&7b83WG8;;yhSnAL&;W
z9;X^moa444<kWQT?!_467JpF>;B_dO+v-H}5DLKt?F2q^-@^Z_^;dfSE^Tpx!Icgr
z0+mqNy>xfZ_;V@rux9-LhnL&6J_4Q+O3X&s<h{-CQ$Gu+!*f0;H}tNwy^Bp<ijk;s
z&AsZa<@CDn+F>GT_<HZ$Wczp7b2V|+=1UI79`!9jrs_0mh0M`dM{|+?&bR8>!FTxa
zJ=$WQWSxDVL3-6LwOnMGBSg=<t40jy-uRnLDL=Il4w^BeVo@Y%B;Iw2nI1qKQSEOP
zA`U9^dRKCJ`cEd^$2G>j4Z`m~^mdNWP_fYu4EThtvz_qrf9X?MiH3U&WcvQ#LV!@8
zn9-fx-%by%H|w?lapI7;wJ!W1?-p5yqydQDTdLL>epV4$96D6FcJ)b0BC!=?#Ev0U
z=IJY<UW7}*XP8Hfpk#;Gr0%tRlw9dHFlBqHTh-r|?1|S1Q$A@CB9OSzTVuK2BTXWf
zR^>Mc8C;3b1qa0g+7~N^TCYkKvdDS^A3?cH$}6K`Qbd_0ZWxCUxT{EI<*L@VNL8N+
zP9KDT+jI%!&!Bp`|Ji*IB}T_U=T-CeC%s7-gP~F1MlZ$WDkHzIUudmC+>r@=;exxn
z9jdB;PfQ#Kg>8pME`O=r-nZSrL;`!-nEx&C0U{)XsrKY>_3qN7gmgFJ{7%13(YF^j
zL90i@Y?oRn$)IK?gTJTW+b$AgK5yG+8BbMjE^S>_3(Q9*_NesWJ-mc2Uj<f3G^p^m
zp$_eN&VNUAZ-q(OvlTQ)7Xn6<G2*!9{AS_Z+>`cf70`bwZ(ybUntblXTV(yqgbH!1
z6**4s?A?@-^Ivq$CGmJBi-SfWuBx7>Vx@w&TV83t`&F(V&?ZQm;_hX6%+TKa<^%n!
z1Wcz0%o9APcl%k~ngs_?#t?wMqG(8ULF}ZZJNB6SOwoa5|EII;T4U$EO-NYAEh!`G
z0)qmidN8!3OKBok1R*y0P|fQ_v|Si3WFze8>AZsiqj4-I`tD=(%3lc&f$0ILICw&)
z$dafxKsGXjzLUF9JQXR({f-KgtTg%$mZQw3=<c$58ZkGdh=weOA;)4s>li0gHKL%_
zAhE1B+Dv-25koQ14U?ayBI)lPem_`yp+e_yScwFNMvl1a=DftYVHn^t#llJlIR9|{
z$bs`})%m(pH8GGhYfK%P?V!R1S+%x^wIU~D(T^LaZPmY|h6e$#pXkdIasM>uPeGo(
zCQh0tZ;__eit%6)Ph=sb+JtRGau;sl%J1B|?~Pg2gzA`VgMSw174=E=(~4JB`dPS;
z^~<#*M%MD{=YQP`+fVkFMNXlTo<rMGEXPaXU+<)k6!cB&a@1csi+a#(L~|SyHzTU9
z&XlJLfrzlZCK0kl6Q5lpS<sQ<Bnt>w0%ahL*G#wW!DQ%RxeyHmk7+3x@-zro019S_
zUxDYvQENmZCb^TT&H~@Yr@6CrcqvpfKJVXWif&F-)QpS6@5@zgX|p;<DgKite^jW(
z+Oy<h*?>|Ye!@SGpG06-r2Z8xy7O)BflSMm^@wwiYQ#V6LCDynoVaKKsqD30z#lyn
z_suO#^(Jv=J}y7;Y?3|)4Oe2>6WxZt&EZzJV$^%I^ruxgrld*R2UlH3s^ijUXxXCX
z1kn_zI5*^Xsl~)ye>lmfIGF9WpSRIGKLXzS>oYj*791<{Jo-i}c{#zsjDsPy!Xrxw
zI`9}O^jG7WnMXaVlj{a8!KqJ}(s2iE4>#z&S{#TWUY<{iBLRp?&kiZFRl!?`0CrDf
zL{%1kQQ|rb_-&Afsh#rHWfjee&rDy{Rkj696i728VJ}2FcJ$%*h7y+Ii<j0&Fa}iN
zXl{RpYp?U6iQ{_sm*H?l-a}7>pb}-voVBPg*5RaHCzKzU6~|H+qfm~_8m-e$#4TZn
zGaR@Aw`c*T_dY>WPF5V|%R5)pfM?6weifiztxc@T+;Sw*;{1^<MDUy5m$oD~s*j9i
z$c#8LeZO;?cung)9&avAJ_*e9bp0@_yfYrkmhkZOgYFeR!pObxlLE-(emfj$Tjl}?
z%2(%;VSB}dG^MzxlURs!(-s2BUUiC7R7iLU(DAfSW{#p&rwiOg8n}=!==U!A2?_LJ
zh)rx(H@APgkb3V&ps|#ZM||d9Z6InXmgdjV0|gRIVkUBeU*K$Cg&{Ke8(0NB1@Lj;
zEOvxq2-(VHjbA>fEeu}{DFJTW2{T<TNxhP<O>})<WSqhf{0Xts4EWcf&F`X8D!JwM
z2K{r-FI&f%KqVSSXY1H7N^mf*6!4P-*<sS7onnQ`k5QLEA;Dx?R_s=(N>X3d8kpTh
zsSwo&^~~!;>`}$?(a2i`i6-h+?>-~sr-$X_;~d53G)#|ONyPA8WKhk*X3LIp!@RBm
zp+bkuLpVXE1Z~_{K9HMD&%BA7b;46xnwOk?J0lOm1%{<R7;rvcad%b+;p&!=sTHo@
z|ClXDoq42K$QJu96A!Lye6I}r|0XnNK}dpK(vPZZ9bRuWk*ZJ{VOAzAywn+|>jc{2
zD^`TneC2{zMtMW^y&1Egw08{}P#fh2RiSq;%CePA8HdXt>=|Te!_{Z%)Y;s?zAE|Z
zw<iG~!>qI;GR!<u4)Nn+R+jjslJUp1xy~a$7a;wp)20srW}HT|oy1Sn+vn-_#D3nT
zc~di8nxHO=U48P-zyaiL202YSmQAMtGR5G|hzH;hN{94Qx{wpEI30XLt}bGzD6XoS
zQHSTqXmOUeCThyc`{?55F-Wqvzzn4Fvh+IngI^8zg5?@)IAR&?#x^C~TdGrpNN9B%
z8txMngSqf<iP9Vld2jDX6-B7b#@OM{D7%jxE4cLu<nAK(bLYJzuuYm}T-_gDIGcxE
z)SPk{f26oY#*uy8OoHc`FIHw(La)ZBM@M=z8UBiQ8ldTuAHj9O)zLhLC858$gXH3{
z>h*zIdF`Q60!*4uvy>QK4!8uWzJG-V0qLanek?u{9zM6W&L#8VL35(crUulI2mzO!
z!i?;v{(nZGQoC)PrRNK$=8gRD@>?u1b2KADWDvekj*b^2x0`x|jt*|84gwo>dc3DA
z5puMn$F)Xbf3$`&i>AMIW^PC0mH{)T4rRf<TKV8lLq84q|Jw;I%H6A^?ld`(pybH(
zy!EXuEQyG|X}@YPU+cP;p-wq=UedTSF7dM(qCgRV!>g|M3{V5yYN&J6&SXTZP@6^f
z0>M`igx6Qf5Bn>s<Q+N0^?SolQ9<_~XtiC$4+c~V(ldq-X#%)IoP0J4>o-Yu58SG?
zb16xrhq{jTQ(aE-3@{j8?iV3*;@^0#Z2yoZtAyY4q@cbq1~_at+42p{?u+M~Xf&`f
z0h5zsvQ9DdCJjG_OL4aPjd9qYOn_SjQW8Ef$h`)`fF%h9iv8-Dsz=uzND2(nHSlBs
zN4u_6JnAU1fSQCakH&bT1J;juDk11(HP}W9NcL)F-|ay8iMs@67eP5($xc=HOqaRX
ztSJ9zDuZISg#Fg-nT#Tb%Us8%d_1`A@M6cpZ)@JZU=xm+(7N3FTV+kN<DHn9m4HbK
zaLATJd!{#B4&Wq_jT$s{aA)}1NHTB$7l%8Eosq4X&8t<kW2)bW9JYZq{>x6(4aWg>
zmW>^$deNoaei<0Qp98$DW5ob03TAEKmA;U+x>y|3ULJfqTW}_bTwT$v!4`lr2R)nY
zZ<d@Eh=!R}1mG3M0YIp=BpEaPxpDwpEs7y>U9G&f0qSec0ve3yf^rj$B76j-cHB`7
z3QCSMT2G^eX+*26qFIOh0z4+@835nceUsJxr&?#IFCKLkEOYaSVO90vvzGo5(a&?*
z*Na8hA4K3Ez@Rxsuj)F8(d1bVN_CAbn_zTnk?2|?AV}6ytQf)brSb|tf~A;#TN@*_
zM^Od=X8P3_sjy|L=M-iofVWlPf}~ydKHJ$fU9K5Mu>MoWC?Q27?UFaL+(}eZkvLnJ
z#6TB2SkQDwQdL}#tlqCpm4CL%EvHUINivDi?Ft|6rUfZ&(0DlciLjTX_L%FM2Quk8
zST+rw92$M9%jJZ8vaD@t960of{;n=bz!3d%yxg_@i7kI`A-M|EFRK8*6Z8p6xPI4z
zKfg~x;WuE}uDKc06dwr^o&#0|?SP2I!`z@=A0Ty8J68T1TDDD~sp&7Ut1>cBO79WZ
z4D_3+$whI3WN8o8Mil^Rka6(J@UdZR$hBp$JCB$kn-dsF--UC`x6mO(|67SJ2JwiO
zZ=v^BoGBlfphWTC=$W>}AYw;u#^h<g-D;tXAx2l>CW-<l)Q_@asqR9dhf0lm-67Oz
zpWPLN5B#G-(m|B5N>Q-!WES8ugXKcwbwlZnQVzlG*X+?M2CpyYzZ>t&0~g?&30*3H
zo9DC;Fh<(OB*gnQ<jjhiL2>KFj6wg=5Ct!Sb>CcoT#s1N>Lw-K36zgFZD9y5gr4jh
z&C^|oicE%Sy8Fs0YAVUiV{?{l)rd1<-P{!an=ayf^Z{sBBz)Dj$R6`x<_kL{rA@^v
zdTE<ZI5|wauNjV=>^qb8yF&9ai;sl7Y(bx_(};QPLbTu7GR8JsUA_C;O3G`)Qn#f!
z(?MXFLO}---n(~5yc8^-xX)T>#Az$gEBZ|N^&;9(18f)*>Y)kE^$d~TCeibu>R<+J
zzfxQM=6v;=G7-~W#UEtPbBdGoL$0#jZDd`15iKrw2ho=k%Jgxz0+)HyJkxP=e>(S;
z?m{LU&>ga8AShKe3e%WS+gujTi<?0Bno|Qy!GO6r>tmr<J)r8c+ESvAu5_t-G-A+A
z6}9R;YMj!X8eQ}rvQFTK#zEJ8Y*q16Tz1&<OyE#8<mHAv?941JU{7KQnQ07FL-?rs
z?b*`vcik{?linhJB~f(~_NcmH+J>p@OT&%9K?5XiC=O84tM7|D6@1YVO2`y80JT2Y
zj}0D1Xw5-;?-vcUG~f;{gSS=F&@%OKcJ)Q>_lpvyO}C@ui3Vq{ewIyB#XBS3Xy~`|
z7?l3HL>{d#8WJDj()QpFFHuZpaManAa@AElgA2kD!xzZd`Ihy&Gu|uICk(V<6AR!b
z@6OAk3`=^SIvk-+%F0=*t$ylH`M}4#Xy>%dg;!-oQjeFVH>Z+clFdK&mZQQAmp$3r
z3i=@obLbgQjAsCiINDne47h1eqI>?CQuR^tKK1h{f$D7k*&b>0I}z1nHQ>>h=7rOw
zIE;Rhy(Y~FYJR^sg_$u`eN0mg3D0UiZYTUBL)bM}LA$$%41+x?@^sr5ZdS;|vIrB2
ztzak5;SEmKiLJmx24^_9vAT3k8t!!BGts{vm<>mS94+yd5vl7V81&EN;KKDNGc(LE
z``q$ipa`cQ+VJh0XLq(VAir@lxB&hJSWb+LkAY$Mi+fNp#S;w}7zorMd)71Q)lb~%
z$kA?H!DT}aCKVfbbgYy65mt-2oc$;B8nF=%NrdKq39i>2oI_la);ovyMr}mAfVgDe
zokF%xigQ~FVVG$QFi_V(OnY%I(cqFf^hy!99?#j-na+bJpQLY!$9htBW`IP1mK^^A
zn#4`Fr4l;t$zNRG1Li(9ALh(7-?k89C{I|?M^iJ`(w$m&3bk=6EF)X{DbG3_1DCwL
zUNm?N0>rvlWZ<NPK65jDFJ!<4)ygGDH?y1FJ+9{l$1DEh%|T5Gu4_cEUaNqo_NFRR
z?X5|w>4#2e2RF)c$B^pD-7-N==UYAZps-HuI((SkOYB+kyyW!k$jnMgf^;I!T#k@{
z$~E^RIqZR-FT-)2_7)Ht&yAE?q?E3*e~4bhT8!Am3X{Q}iS5C3DD#xgLqH8;FwPqE
zjXF)UxESt^q<J3R%x={WRV2{xUKC1VE|Q2zfM6|z8I0A)lzmo>=2yq#S;r)l65?PU
zy9c9VB;pKT@cJFS7#MC^tjFs3aWO^vv^1SzP2Eze-#`}9$8%T##;5nU1Sl8E?Y&A&
z@;~d|Qzv5KRrH~4wB-8_%6Iv^Aj$9OAy=X_sGv@UgWIsbXvagxJn90f)1BB(F1zjw
ziyL;)f}83+y^wyCH`=xkFul$>+qMq2SoHx&KUSXceCtps6E6D3X)k=^pp%<~JDtJ(
zHM+wbK4MJ+f39pUgB^?sYdGwo0TR>xh0$#=d1#&+6$rza8MJMGl^*QM?`|iLHge@)
z7J4!|sC!tbSd@w4Eg|sKUVEFaM;FLdkeSH~nT-AH74y<gwhPoWwJ8GAM1sL?FPxoF
zvqUy89L#aZp%6%}A_PR<nE;J4=g>l#Q>sarh%X@xzc8~ryODf+^tA|NE}20CVBxxc
zd*=DgP0&0F-4MzuxJ-Ss!%v?!)8e95R`7iH=rcAG93R6unJwd9qYRV?yc{_GYBB!c
zp9k=bwpAE|x3hW7ml(3y@xb9oy1b?|)TP2MqMY<Lr}p2aHbBXZ>kdJg`b422`&zOZ
z|JMW*S?<-9d4TGv{nFjBOhNbcD@I@|2m7@H*SNYn$}3GEO1-F9$$)HU3{RuI_dxP(
zM0TqKl!Qk=)wwQpgVC%MT8UXu`F}`gKC*Yez7I^0-hx0pjm&^UkH!l=5{ITkBuWrA
z?w=K?_^_lTgMdfd`6LGOr5j!Sv1J3LPB$jq>$krhtMspd*TtOP4Hq}LU|;kWc5kWq
zo?o0@D1qCS-?zkHpLOy4Cxub_+Yw3eEn#Zn-FGULaPBff%K0ybw)Pl^cY0h4=N-@x
z5|@Of#;B62tZU;*q`wiVdE_kexkjcojql%1a|3ni>*@Pb?xLoy8-igvw6CHv9XjCl
za@2^%%Q1e8^hnUi&ok>rju&~k6y9S7-*s=T80iv1{3kX5Gz+s|Ec<^w`x(r0m2Z-o
zDtiMjEW!f)0nQ>Z-J^kLx%{|!-B?o&{fL70W+)Q-LL?P*5vAU2NC<dgC!rQ@BpDQ^
z!SO&5tt{`xinfjgt+#zkXRCB)>FvllfePVE2hA^M()F$J3@gGye;<M!lHb?~?%KIX
zArjU`_yGXshw2}B>Br^(`>*m9NsSxan-0)i9EkT+tT-uRmYFu8T>VEM)icq6gOnL4
zIE*|Tf{AgBgZXg-O7@sOW%~(nxZJDNv~ljv4IA#L$H?jmbT{u@=Ppvo50@99_-i0M
zKC^RwTvA!R<41h9zhHE>I~s};w^XFdAH@xg3Ej^b_HzDPtasJ}KV-5(7mQtW>HOo$
zt&FSl5x1)f>*d~*3@>1f@UFMbe`q3fBK&&wGFNnu%NKdNfbO<s`IhV@ZS=0lkk9?%
zf-T*9GtQb$H&&lNaV6N<%-%IiyF*sv*l#M2^1wg*4-56xPH`0ZlIEt9m=qQPD=%UN
zfBcP0Wb5Hna*0hW(NE!9JAjw41~;viPz008)KUouLar+WjlSy4-M(;9Cy5XEYM86%
zK)=|XKQ~28_oy;rP6F{6Pr)M?1VCRAmlwbiK<tjvQ}1%a{f!)Dm3{b1mUW16f%9>C
zGRS^ROVr()tPoPM*t*aaPL;5%qE|JqS#CGllexZui24=>2(|d>q#)s10@dP)Gz(vA
zPqkWE$VMWa@F`j|p3sHZ+2bPOMcSZEY5!g6SgQk}Q0Wup6v5t1f8)1;Bi)x8k5z{{
zeznc|A-rVQ_`{5!A3tfXS8|kW*;5R73c{R|i8An7igHgj;BQm2DQ@MHby);KH(8l;
z9Q9WM(GlAVTrm61NUiaD5L~-N8Z)7V)?Q&B>WC_CAy6L_Q7pwem;=9iR*{TjM&<7>
zyh_~W0YF1k5Zy4W?ZLhbhxQsR&arV=AtSV|+%H{!_*Ji^CR0=Zt3&GFlx<N?;LhC`
zuyp~L-Amchj6dr5U8J<s=KTo(m8R1)zCfuqD}*d*`8om{6=i;jhSxI|-qWXgUa@A&
z9>HfDYu~>xi3GJ3o0;dg=6|8H;OErq<yiwt1p=-Nn<QPF$*g!vNdhI1)4+!@jT)&-
z8vpilD+?!ocqeC1@5-ktW=-?C)v+FG;WR@h8=yRF*DZ1(LczYLuJm^a96k8#(Ph?2
ziIE*;*TI??<T)d*E8PbpYunQW>blJs0BRkbj(7MLXY%CiT^0n>CS{dBj?WHyfaLDB
zQS@-HWPE#HV*?o{ynD-dm<%Ceil;y!xRBd8GoAhj8)q`rA)D0w?JbW@wX1AlZ}YLN
zle@p$`(akGl7>@w(%uu3&#EGvqNMX3(Pz?cVJ&qxmf#}`s11krla|i(6wPVtAaM0c
z1$*3{BCr$$1V5_xKBP<&D3e}8Xcm*#Q1CO4A5Qy~^WH(dc@|@HvY2!lmRf>xMTOG8
z(4lq=dIVmpJq}vY7~dVO%sZR#t`oiWWZ%Wsj{{a_B!DiLC~9$1%8I}r`gno~&<;S2
zhYEl1&JCUzHv&CnGqvr8CX*-i^Z2nSy*6>endtRy`*Qskco$EV&ZyooA_2f^LE~Yn
zeliyW*-`E)f}o_c1_^d0cOP7!-Mr2IpfTB2*A&r_U3qqKrdgmm*l8X{<<X9k8rzzv
zF=9}<;$p$xk70eaqZZKVz^25OpCTjr^|NXdL&d$Uwvt%$$QTcQ4W9PqY4h-w7Ij3O
zQb)Itq!4H(2IUSRal)?sIk_LHez0O%w$*;IaWXS<$Gaz00I%F_@G#J`P(hnEKUmOn
zI+MdeVHe0qENs6^b|IDt=7`xTG=F|=GJp-n*?9=*TZ<StGo-KeO)y!ZOTX1#0fy4M
z<zrym+lDU-b4THTs{9hmDtuQITrX1GP-EN6_W+4xH{EgboSHMtYwNj<q&+5V33DP)
zn!z@<6-u~{MMsXZY9Uick?iG3!2FnPRgVYV!ck~S;qX_Oc`AX3ev?w0ZVHEfmFm+u
zI<Seyojv1OmaT8T|NGxArH~c|Z~n3$Y$r1WXwbK`0w!t6kW;CchHp@d*xV3`a?W+G
zxtYyX7}t}Yn(mxkdCZPrE?uc-uC$*np_MF|j?3g{?ea-u5xUI5r{m7K-0tviZ-1s=
ze&x$3W0lZEm<Z=QBLj0idMQCn^9n~%q@z@O;O%EUqoA0MI}J$#=}d_|;KrJ!BgbhV
zvGJX>lW-fqdMyWUuB~^Ry|R8g0~`i)f2-252Oyz#;uymi>slS4#;u1AGkpaT#M*Iv
zOKu*9n(b}x#b<H?<9yU>M&V%wmihpBEIN<`x+OId6aJH^9avy6FIrqhUQytWFxG?i
zT%D_+Wm?85o@vHAN&m8}a?DMfYuuDW()x6YgAR#ZUnN=nITGwwTQGT23TtZa5=wwa
z$NOg{lY`{(eI9HYHI?w+)`t__Or<$-khMb5v|xxosFf3BMT1)fCF32Wp_~+9Sso}8
z0Be&N`n}iiv?$GtuBxpMF=2(gh(B~(@=E_Chp2n~ZjE_pfaGEgv~U=ot#0J8Oz(BY
z9EaG|lUH@khGn}i*GTr-J8EsYHTi)gYap)@0D>-%xAO{DEPHU-F5Ye=9@B+MZSj<e
zj(0E*)I>GtSJR%eu|@Ny7umOmVpf3RaYgAx5W{jSFDQzuPfru_SI@+6WY2-;6l%j`
zQK0!fj%f2VRs{!7Jn9mN?6M&XldM<oT=pl}#!$8r3pwn4PT;>StFUx0J3SSaQ=%fL
zjhDIBJXB1C3N(+~!4z;U1=KpOVNWE2<1mMO4i4;+Kw+~)AYd0#{xF1c#QSVaSUI$t
zJJG(cNV0s8Fa)$r?n@$zZ5}OwV9eDJnV}7=9^DW)s4$c>ouyt1=dH^2j(#y=sj2v=
zUG%vT1d69808Xr};H+7AEN_2};0~V+yN-4+Vexd3>d=2im5(zn;|}BRL$~67279?<
zu8qMPrTkG{c8CcUgcH?ppdF&o4=UGrP5uBk-l;V}Sl1E5NlmxLWG_U=VU3Ik!0wXv
zU0e0b7U62J1Ug@e-;p0C6UyAqreIqCkU_mSd@EeFan^u&xrdJL7~X{&lZ2ursk5*H
zrRHKk`i9u6U+(N}I7_my1$Oz$R@pBUl{cvY#R93_1z8c?a&zeIbrVC!I4Kb+>*&vS
z*=9L8rE|<Z1UAx-PmOP3zq+Nl43pK%27-_4jDTWZ+J590L%nASt{+i?98rH;RNgl_
z@kQZPQsP`5Cpp8QA5e&BVs!Cn%&!;K;^KMyW0$g%cIBX458nXP8{?Zp>t5!V3M?eC
zC{cgz&FvL{!<mn2$Ybrj>0#$u;QetL=f@8?i!L8gQ0FQ}U2D7f>6H33PE-kDuAF1P
zWh8zI>T$em{s@6BLwOQtGSo|R7~7<M?rj~kI6cBCQH&(+PFO9!cjgGu&g*K<pKC*l
zZs#UzG{wojmAVYi8iQ?cJh+fwE#CP#x`!;ZG`nWC3+^JA{JAon5<(%H^JV|b*q7&}
zL7}a8!itdaXornnD%PEofnRopfa|jg*_h{2x`iCw2ON@e_!FoRm&7j=CClhdxxveC
zP*0o3$)&p?<6xMWyBH|%b8`Sn?~J_rBExQNkxGK-e6xZ8^96L0oAO43nGN-a<|hz|
zTSS&nR!ZAJdkIqI8~|D#jL$vt2;mC)*Er#g$zTWR?wbNV0NG|%*AtiG4xB%reyU;9
zKs}vV`v~Aoq!$s^ZudB!JD$2|ngnzMMNQQ$#;Sp1LA#ty66&V~Mo`R<rBVn^D`5y+
z8X_qeUH{{uqzv``fhCWJK8GsH6;kea2t~m3h2q{EnqBtnHcN0yRke((PNGI?HMRh0
z3y|TSYu#XlAyh0B={`Ix0}C3D)V5Rtr=;$Z@!*{x_%`l|8kgoFz2ai!QqC7>yI&%*
z4VaK`!fVrcSQJjojA;b()Ik=_!PRRyA20PuEHPE#xNV%jAId;us;H<@N<lCj0pG%t
z4^{w3ordzjtcUd0BR-$FEcKh>oqpNdHiTfR7%e(MB<Cr5<m8+A|F<2??%6&#;f;9H
zvb%epD_R6+Ez#gR*#y5-3ULotT_l&IgyMLLkvE531?uO!mnm%I%(eLQ`YLNEwB;K2
z8mja;J*T~ZcLo{{tZBCSCWGUhf;&3y0~1)W`O)`c>Aa%4@HzU`-T|o3JJB1w9xvMr
zqa#?kxHi05ppMM(GnhVMo)I*KcG;@JA6$0BU^;3GvsM}nD#H~zutmEWtv~0AP9QRj
z?auuxelzgP39J9chSvr`2)F2U6}%+<;%do$KI&ILVa5LY1HKX}n8$Dk9ENW`bG@$_
zPd?pjI36x_#_mP7L{O-b|B$KGHwh@T7F5g(Mn(jEL6BoSPL`B)d~G_H6*aT#_YFU^
z8yd{Vrc!|*s{VpOkZKhe*5clxd5(l`;CYM%n`L#~;q59~Hk(G*NwXTtoEx5O!a}pB
zX8gYYwhZqr!&JF}qvH!3X4*^wEX5oBKM|fnn6pyE4JerrzpwkmCV7y@lqa7(x;O1G
z@bAKf)d0i*L8`q@yZY7zeUw-zI8|=$U>-}gUm5!4!K+`n+wvuNJN<;mAhC78rsfrF
z@t4kUvTj(c-=kQ8Qa&VnPJMNedBdIMg>na2B%2gwixu)^f_B=V6y(Y$JQ#8WDvg?@
zwq8I^)Xkir5F+9#Q4=0(D5>W!IvBLU)l7F2X?g0{5}~W);DsSVfRXeA6)PU1&8a%>
z6&!{ma4QWT2;WS9WqE6ZFaYMz8LKD3s#6Vny3OF4=th|)%VNgrNmg>HI<p0?u~Jt#
z)1Zporlq#lM?<vGxAZ{whQFq#s0@@{kf*qo5jR{os$Td7h0DkllL`W^N=;B|3M}`#
zhLHk1|6aD#%-Y?Eld>XISW7Eg+rgnVm066V2I-h@6Dz=0fA5o#X$Iwe=ybT1d>$wl
zR5T-0-9u8zB%4~%e}phxUtMT$V_Mpa_k0Fu<yrGF&=xMysu^|EjNc*R`B)>8%9Jwc
z^DnKCgnr*YUM)%SvE(&bySW8(z<S+<>kNghLIGr)gK(oNDx)t}@}BVYSBJIgSf;LP
zeFLb6yc(QmloEm-9bQz;m<235f6#9*`pG}myP*DIQnkpEbSn`DsR2kEJj&|Hxb%$o
zC>j9BM-X_cqUJ0Ofa?ZHfv+MvTS(iViw}D}v-}$8bIXDdc|2R`T166#(`B>L{2c+^
zjsA$=?658x@CyAr<QF&>;<~waew!N`f;$ulaqk$bm$DQS4?&#t{=8T67hSa*&05kq
z+vyT2>Kr_|^3ThX_qQcfwY~@JE>M>=Nnj`^1f|75F|Z)?xLP58s};&g<7B{XL;||F
zH;=s<F@}cY-FM;&O2JH)Sz=~&Q_)pXW{*Jk6E!;<6gqdU%wY+;2^+ZWaFwrxs$;*L
z*dVteDy*wJTDwfX7^}P$#()IFmvR|RU@WCIzC4q|pl>rnRIhaB1#DnNTim$D(b5~m
zj3yDto^Ml`u8cn1+Mu)Hiek%6>Prt2%s_w#`WlcIOr%VXZ-<wI)+P4$ygTk*HH&!z
zEgH?OvT<2<iAX<J5XK;|oM&Q@xe{CZmMAlEAMyE=1gRfv$i=ikY&T6EeE~|E*Ow<N
zk{(kf+qz@yEm^dt&8N9xp6y8|n$)`K=zBRf{d{ltWRIgCsV&3nG=#jQX|(vD#hiMO
z3#>NSh24f#t=W_u;v@)r;%S{{(loKZ2>U88gj?uRhPe#Nw}=ZeL3YrgGSRLuNu4oA
zxx9fAAVDaQE2ZOS;D?>?Fh_&kkp)K^Z1;{wV<8_-1cYr~T2`>E-U)yMX+LL&^-hl$
z!w0RcRf}{^G8BVHp4k<(#YH0JC52@#82xAL;@p|T9>2<NW$avdecd_-bdgWo1R`Vv
zc5uYGiiO3db8>ne9r8Bx?uGe4ZzlONUW5Rvg4ktSj$B_hQ8za##)|I->uNbbD}UTI
zSe-h-Es5b%TPqa)t6nASbT`cV$<X>+<dytMUP}&VedI%?bv(CI5f#gA0q`K=8aI_y
zz-KYf$%RLl%8;9Qq3t2AZ}a6XES_(g%l_7pWiHcjBs(v#otlW`vRr46c-7o;a$(%?
zZN2a&2D5#Dr>~B6fv&p{n#l0#Og%~HQKBdbEpnn$&ll#=y^hV)hq9h08Mi}#Ukn4%
z$-r%kv+(W8R;?#(!#yKK+q`GL+QI)d72s7s3~~R9A*e{Szs{nxKTO6f^gfw(LB2!y
zIw~ZW&Zz`5fNdccl_G)`*J!U7Dl)xyvUsXhp{<V1(!LT$%Bk2$Z+c~Uwi@n>nNJ02
zgkurlC!=U0_ewz?^U|ClB4T!y8Rg}V>UBRlx~n-SY&>H3YOtf8*vx$zBQ!ry+c)}t
zsex9h(LX?oew?IR--*p;D8#h>%JS&*3jVsS@VdOh@X)iijGPzhwddxIJ(Bq%Em*&p
z&r5?_Bzs-tIAGP2f}P|LCLMY6?LSV-$`$`)+r4<k@c#H->%z$WD6zFFpxeTIb#Z!U
z{&@s^e2U3h5MK&;P^oQH!;^QIH^%j<yMn}ua<RQb%{>>{@q>ZT#arI^9X>a;F7&^H
z8ru6(XmCv(x7K5&8q*`HFqJdMGom9gb4l5?sQm-`7UUcnkUZ!d;Fr9CZ$6?EWB-ku
z<4cz&ceHl?7$Stx6bQpYKfCQ*LGo+&K4afknSW1o%W*)RR(q<cGmX1jiu5cvHrE3o
zT$}%;#0s-cGBz8htEmdl7y#h@07cJ|nn}O40Y=zgj<0}k@m_=-(=ntzCQRhk_JnK|
z0gU2VR=sPGzic9RL+ajP*0dl3xJFOn7+S+A=>ecMH;bkxA&9ch_!K+6p9V_~m9Oba
zx!Q#)iben8<gxsid<lsB17w6Tg}6^}5SfF$K#)f+2GL?CQJrEec?2Vm1ViH;oWonO
zM|;p=3VQ4#wBG)C6B+IoQ36{Pj03uWrD4IzM)b+_M+)eKyHHY5yck#k%@LYOxmjD%
z!)4~?s9gQjw0}U%x2ad>!(f6Q@2&dutj2sdIjN=FXFFQHX2J<%ATh%<*;0#TXyCT;
z@*CgNoh+Ww$b}eo5=fy^l4-yHp<=O5C<eImeI_Z1w-n)KTjZ{0z8dr2qK2L8H4p5F
z<4I;d3+)_nEs{6HjA4^JpVS21_3>c(DvjURqhasntau{6QO5F;?|)ASZg1z{=#nAj
zQ`1YgsCDDtCJL>Oo?>Tl5R`GPn%j!ahK??51w#J?rgL-Vhi-1o@Q*gK^8PjYgQQYN
zd;Z>2(!7$P%ebB|;ByU2DgrtN0Ss&}EWDt$pL=V(kCKpU=2PglBX;(@26YZcb!;X#
zQ@FZ!J%{r_aizBU@+{m+GARvyM0VP*OVan=R@SY+3k)0}X;xj}WvZ2}SCDFh&^DV<
zsOyqw8}kyu8#DnE@dH!J>lkJeT7PcNoyePpjMwrNcV&g5$z1zIXFRN!>VWXRnl)A!
zo6ta^W|SiJWF~8+3S4=<i?#)^wx}KCh8pjcdM0N?4lHF70Ry~Ls6lpWux@;GQ>lA<
zQ4}mz$s_X_Vk4hQ?(~uTSFKuf=pa{kcM1tvbiv3r+-d(0%sf@>RT2i0c;Qo4+I<D5
zAFrfmaKne+o7>A3eQDv$z69?ice`<0Utu-#1KM1u`%@T8|B>>TGm9FuMdHQu0*Bhs
zhvO=zj4M?`a{~It^NmDz9|XybDT7fZ*%W~Ulu7j84>H0g5Xk`T3T@RhvsRVdc))w!
z!cY%7S-`wa3>e<sWQ*#9w?$|BL+Q_VpFPh9HBvZ90!8boFwS@Wqg6ZMVeQ9bR?^M7
zR%Fmkf!CE;x|$2ySwHh^oHUmD{XsRbD~-6B=72Zb?TusneZP~Y_-@ympz2n<G!EBw
zzFvCNl`b%H=u&|y)vK*yAC}L_mQ6#WqX}c{NgvkZTTuG6;A#x(AZbaacD*5=>0zKp
zY2{PYv_4wqK?GX?fT?YeO$A<&b~30ohxP1-@ctRwcz3hpx3FIp&K>{?p+ADw;tbpS
z7y*duUvL!5&>bw1#k|uBcnukO+H=NS##W-F>?(`-hlCI2U5Pwx6BGpO6CS(({;(P!
z88)!66*P#ABj8zQv;$F-y}n*op_K4B0yrlQy1ElKZV5ZPSEj>|#~IwRwz95GcX=5p
zX@~ZoZBJ^O4jvS<Lhx%S1HRXJ7?0wSt!wFgntDW%fKz#U+aBzSvC0_o(0u+|>?=J8
z`%heRw(D>Lf7r*MiTzE*&D%>@!uQ^nIBhtKYTPg$yW99ax?rm|+n0t<0HVhWhl+z-
z|Hvj*917m}L-EB)J#a#_dLB!9DUm5ClqE}L|FSgRiwc(@<-WUCEqD$u=W#MnDvmG5
zt;(-oL>@+io0}($E8W49faC<~Mkt<1sCTd=es_=ERdcAU>1;@CwLxJla^pfE9hXyo
zANrcBH#20a5pOAQsAAB^d;Nf2StVW#div&jaw44Rau{`6g~8P&B#$MShrLOxMXsCI
zXbO`nnWa_+V@MHgZvRNweSfN{tN#-~8QxSORs)lT2G(+uBuA*T^{y?iZVBiMrkB>%
zj_BxNTq7gfUuV2B3Vb5F4Thx(OlA}B#@#M^bQ{Q}7*Kih938w^3vWli!l7AMrLhZ?
z3<sC(EzBc(7P@F^Ao0FoJZ!Nbgo!LbXGT<u@oSWp6bB*k4l`tyGHdC?l2JOL<!efd
z2`^4(DX)gZFj00s5=wZX103v9B@lODPJHb${$_1;YkRnfvFYrULFud`(PMhjK^?$&
zDmo<fdk!1yN>)r8H2Yk}jXn+2uOrU?^PvlIWfK7)-%&BF5qU0T6{X3TQdU@Xyko(4
z{)t(c8N3$2a;f1ORLv^nnb<v~>>HHbq)dAdvF_TXpw-sWY{!56Mo@Nc@C$PHfH?l2
zczb`xozS6BDT;@{JbRBzBgg9eW-LrB<a%a1;PCN+^@;)N-{ahus4izFiTmD{femn)
zFq=>)Cy5U*!?891QU?!k4|jQBJs!0&{^^J>2?bb$h(VOkmF01}BwU4@P^HsCXfgJJ
zXuXKSvY8=q3)((<eREN?5X>Of&^>^tZ>(G$p*m9Utgvoj4q|JW%jaE1MFYy=i9MJ&
z7kQb2tW-W!s*klHUF53qa(S;Vp$P)!doDlD_JW~S?UKjFe+(9|H+c3~mQtnv(ot_&
z1O$n4C{)^a`ZEp0f@y*5#N~4fL)4{fcOYxHRmt`ZA(%dZ5nX&X_!)V>0^au{e>BMk
zjoerWTTA~PkVYa`$CyHjb-pKGf(3$8scPm}z}<W{iERtdvlqyGY98Z*@|B<y``)Ip
zd^}9a`F(7%rg~uaNOhpq*GwiAue${!r0Esh-e1on>Dd-{Haf1MmOjrGzIL?8a@j1*
zowB<lB+ipAAw;dZhe6a+{UgrZZg<KTEczf5A6iEH+8}b+S_~dRicBYRQba0dSZiLo
zuQ@gzqKoSk#rNm7FL49--CmBOeWc%tLJXxgdJ)Dy9Y#t!$^grOl)H2;3N8JJ%2?&v
ztrv}#CpeIS@Z7cMyw5W8`b%)T`rS9$bjhokez(>-IX5pLzzr$at0xA_LX<#4Wa*Um
zQJEo{7cWKs`P>+_po)zj2m05Pbr3$~M+9S)r&x)pw)Z9=`h96IF_Hs=JlFXkOzkP;
z-~ML49s?o!2-UXfoy?9stKl+h@*$>2(Z!I=ed*_&hi)PT#qbY<)<HDhnB1QCMjj%J
zZ~hy3y~#|i^y0ti$YXlC%}F1QfBx<qyGNU~g~Zg4*~^Wk`hL1dcz!3iT_y=vK$f-T
zb1R=txsXewXN5|6IiY;k{@9^+>>Sv$=7z*Zi1Q9pivqN^e~L(X3T{)C_#X%XSB(L@
zV>}NPRYWAW>gTr0CH~GNxAq+(`55BA$lsf1W;^*E`o6!Pcip;=zBe^USp}nZL$<hU
zA#?cx3@WI4!o%aE?CK#&1e8zgpEM^Kd7YnL#(acCAOKDSHp(eY3=9R=gCW>*)Qm5l
zA`y}hD1=z&<lV!QkFYg*$oJPxomMW|?4zW?nz*g9wAo0KIn%#?5AcBA5Z1|Lu_u4S
zEh>k#zx0eT4$B~H-&<KRg4OVa6U-O|&YVgMr#bwbV>^+i$-9g5dn(gLTlf-;5n`Vw
zSx;1d@`84hIsaYt3Fc_1K{rLn*avhYU-b%7$Z{XokG@SZaxpTd&wV3SW9rpP^4x46
zo7n+x6!ixIc&RrA%WCfh3P8Qgw6cGx=1FnFDw}=_PGK9aLhroboZX7$Kp{H~J?7~Y
zhVWXqsWdiga`NDHoy60`(pGNoPF3o4c1x(dvpqehSJ`PQk}#!9#hr9B{p+OuS!X6+
zf1Zm@VNnD?a1}WxD7%OwpNCkN*;F%p9C)_QV0JmnwT&@cl><P;6?;5v_c1VVBj9$n
zQu^)&h77S$Lp|T|1gnb|eg4E;`S#s5X(BwMqnN^gR~SzQMe?N3^a_j7M=ZrGqrT~>
zl5bYg553IVEHVQ25hKhLr<|rh!l+)0&qo6WN`igRs9vUay`#n}DPo$9$eC;5s&Jy#
z%p$hJ^f;NOjmq%eSH^voVu-nAEou)S>)3Dv^(=2}Ly+b$2c^m*QhFiI`o~nZ2#wf!
zS5=b^c-%{(c!P5V^m=Z66LJbcdm*St0&Xxg;@BPMrUm@#SKRG<&LKK!t7=qkJJws(
z<5oVR+6p;M#CirCpH?bBz0O~3ql0!DP_GHf3<gAfHxhY1g$D#p@4Ut#{1i4&8q)KD
zR+e((?O?K5WE50J=z883cW47^Vbrkdgi67FE7#YDzbGA*GrKMkKnksB26oLA-juP3
zYQN8G&-i0=if3D{Abh3?&g+-LT1QfqAah`E=FMb=Pj%#VmC%zKcxn!nfhg;FnK3mX
z8JzjA3{u~;ZE>ZSLfip@rX-Uujn)SUEeOq?)|sM9O<E%PI85RbX@Yh0E#!EJ&#*=~
zvtTeqg~>(B746A&BhD|AOfB@{i6mn|JwE=8T47Y?+h7kFTR^F#jlJ@pX8!*Ev6~+R
z3(M(_mH|mGZ?``WaSU4cvn!N^hCtu<l`wi~gmeIgCPyv3B{Lq!@oDGO@HuXR+TR%Q
zzix|0lW^QAj@XMOxekD9XBJ*2^t*ktPiBHTDvC&B&=)8&2x0|$L6dD}A9R$RGw<5T
z<?(V2bVY1V_X(>vfnGeH0>j-UCDp>SFQ5qyhZv}?;Ar8*Y&E_5akFQa%;fFJFB*SV
zl9*FhW!#N*sB;@v5aK_;Dp{Fr^WdPOfTO0hKJ1Pk&*t?3(e-Y8_j^oSXCkNp3SXR#
ztpX9a#6K}3@&SfU-c|=7%ptlGZ_zoRK~j?9XXNeM3!paBSuwa^y%DXUly@U_@H@%k
z7bs%Lx4S!d<&wVyDCgFmpm+lIZzD`^r`NPIc-$@(;~n~Y8oj{&>`<65A|Lb6rp<Xs
z{LW3mDER%hqemSu*%tJJV__CeD_m`Y1UUprN;uwif^(XX(Ftx2|9>NdAW_h3Mp?Fi
zN_ew+?tt$%8v^f@(+mnCPN+#WPe}88X8?)-V2?0G(<0b^^C9Syq=^V<D^J6rrXr{1
zbDa47M)N({GHH=veYWDSE&o;9&rlb^zb$3a2<6E~%VDs9XMVdOj<7%y-;d!P=k5Jg
zJ#|qJoHt&|oZSPuDlYiNjf$oMvsXLSfIH_xbvMNL2J_EjWIsQhA#Es#$nerrAR%eS
iw)_-++|MY4$eC;-)ifor)9LpZMne~5w)pMdN5`o^&>$25

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_retransmit_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_retransmit_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..477d295a2de87092493de02238f89feff39e5baa
GIT binary patch
literal 24384
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TiY@*p{eXy42
zL9d`xK6y8LIL3$;`^xYg_C4C$jV&b!7s+CbR@<G4t4o7mgjczR%4XdUVPe?!CA{ia
z&A9PeG1l|?k%1TPe>q=V&j5;a>y{}BmW;P?FpxskTzDlS&s-#YUjys#>o!nUZ?g9g
z=n<gGIGae9j>FQGh5)<H>4{U1Fm>oM^JS|K<GupyioJ))zii+RFy<GEUD_b3EyWkw
z=HvNoBnbO{(H;TH>;U!xONhlbY*T%e>zS1WnAMIgu2#X%1dn>G<7E53;f(LPcssrw
z;JE1q9cYFEd*Yrw<^4y9a1qvy+Yc(*X#)8XBKcwzpl4t4)c$g$-o5e+LcaW;?xe*s
zhN`~;4Yg;a%qnDw&o7AZ!5}IN8>7ubLPDjeaQE&bp!i)%Ao-JDe|R5`k!*uYeo{Qc
zAZd>(@@BbRL-e2QV_2Nz6?ZC^ZA7)9NNF|dCyz)+RFbplq|65SRy^F>3M;-5#;LIr
z09CUy;KLB+Ge3tYNVLG(AZ{Hw#&H7dNg$A`i?wDACFgZw<YG{OBtgMJw^Wvyzu`Dp
z5nBgvL`XOL72VO#)j>hyBT;iUx#3H5<kcvxj6)|-x+n+7{Fau5Moq>!cXM~i_H2*E
z&f2eP$9;-O>Xe1fJdXq_;jcz;<aOVRH;*rt+=^B*Au!_$)Y3Kz;OL0@ADyGqr6*KY
zHeh+~suJ&Z8xJq17?`~^N_Wsn#|^@k>g#(UL`RlwlLy0kW^-4<^p>E@YmeX-8Ww5;
z0e$~8eLJ$_I~{0t{ua)AMYl3wA46CJS(L)28f%6jy`s=L45;jOrnNANHn$)fh+?di
z8J#ocJS)K<-NK_TTb?Ov@~Rek=OjpDbQyK9rMbF<szC0KoT}2<ID{p76AW{Bdh_EI
z09*r=z#l%0$WoFtf`<XW>Fx7N&H7LU1IYw6Ts%?+(CdF7*|c)HFZLE;=V*01hL%d_
zmbN4_wy)8(1N?*(vok2PouErizf~-QO;EA-JQ{e|v2I<|YBhZ<1+4~r8%3L?CY=#~
zMz>ua;9B`F2?A7$!}&M_h4s%aR{{W3S8FP~dFL2DxqvKm)nq_}6u=@U3P1ff5rI)7
zJjaxCoyf9THb!_K5*~=azno&?ZIQT(e@FRt?iZVX*vuw-vJ9MZzZIJ{q*0EwG3bl6
zgRWp;h>J|-qT*G?knA3OPf?;`-}8kLZ5aLZz^fWKHL%s3lkYaW6Bo8PnhSp{OpBj+
zxWxH%xteLT1vMZBpBd}ceVyzZx<pU7@JcT=rrSzXG+4Q%@fHb!p|W?3oV#>2c3qfj
zcScsxV>|r-<borNE7i>Kmd9Uw)I^%SbdW$AAr{&g?{z><Z1iOo{eWMO+J6U{D{mZP
zmx++h=}Y6mxhJ+ea_r+J)qx7$*@1sG4^Y5E;WM1Nq5vP3|02Svi6tcei^eN0QW83=
zTIo!+V1q3Kxo(6>#qbH2?jWya#B|(EAAfqVOLNwT;pV%9-JDTn82H=ZkFSYKo_lK|
zY$^`@a>>tFoBNayyTSb8Njyl>zZsWEt-_I=8)x7$19k7%ZhLYxV2|{!kx<%ZTFVf4
zw@YY*w)*VWa74Bg85mYj=lWII#fyb4%EgD^`UY9l+y2bde!2e!=nB);v3C_f%998&
z5iqN#;9h+3Fb_p&0F=Ew43zj39qNzZ$<4u+DrcE4QMZ6ze#!f%GD$y#m~#@M!CdNI
zqpIo7p1uSZjpXZ1k`CewhPxM@Px(MTJZRZDoDfeyj5EO~RX>M*msnb;HZSgEDvHK`
z5<&=50t$vfqv85c*ptO?sud>>GD3ee_L+;$$T!DmMTT)w&Zl;s!LYLR!Thh#>d>u6
zjq`=@db=zc;v46zIM*FX*rMSGa4ca)5iXs+8vYS)HwxdR&EQD*<;F~QLdvKJTmY14
zOwnEkbZ+{p^8X3O{+4jq=Ia3(jx+*f7G`+^_|a9E(fNlS6%suek^hv9_<?lC;5#*G
zzJ$d{j$Q#reBy@F&@NE^Gr-5v!mG>wfm<QVhtIC@J}|}Rt+3`b#`q7)ASKJfe{Etg
zGShh1go|nO^x@Ox5~Sc!iCzvUn8;~fXNN`H*9xXKy_*BG9~)4P17zO%>pxm9+8xc;
zwB!L1jY}&^%oIcQj`O_T@-p_zP-1|XvgIpExzRnkvbJNT|AKZA*`dc-OR|rzhTn-9
z6iI`mADLmgDUI@TBG~gS_pua1PCGC0SkVIOywwSYx`HV9#{o#+_@Xy66`3{d_DVu2
zUk`q!*2v1+a1m&i*cW{Nzh{>wI6xSW_u4&kllYo2i2=am^-j!>X(&vbpaCiW$4#rT
zWmvc#HT>j~aVEu}JNPq*HRD#}yC>~gcuuj=4#k*a!e7zE6Ke!ito%<|0RXtnoQ4E8
zI(ID^bn%=Q8I5DG(Qk3lsJP%biPxsD?iIpCvKR%-SvN_eBRROoWmwPg0Lz%V?X3~)
zMu}H*TQ_dk%jHJ~HL@kp-0lBWk_(S<ENgdo*Lb3Q-`$~|V5@n@_owpDw85CHp~MI-
zC^PodZ$1nLA)ckWyrcAtn7V}BK7U$-ejW^D?JROgKPgqfxDo!B0!}HJ?5CnGFc0HH
zD4ZFOedN>>!2EP>NoF!hjc6{Wj$#<_0#GFZ$k)B_J6sGXIP3T?GF!7!v{tBwlZPg3
zLspA&L0{}6lhM5K&a}}KE3<^S0Sq=tcgv+hB5<ovbKhRo3~q0X-gb#`VcYi%eR%g_
zmY1}X;EjFruan}bm$V^J-XqNB$r;>D7t8m_+U)-~-*~@D$p`YbmcFI~>cci{lf*7=
zNiq?o9w21^GC=KQn7@J|o)eBgO1?#`zHH+pFhtPq)upmF{|(zcX~J|c0#eSGFoi?a
z7j&F~Z;aT-wq{9srqBOm#r>8zfw2$aJlhg=ll%^HvsC*QYdk-xT9!b1Be5D}++rm%
z08u3u;q@FI|0dW4DDR38Wjj$`r5|q3-cxc8>Tw-$IzQCEDWmkEb&ds#Bi2I!K`&rI
z6uv^5d8>#Ju3!s%Z^-th>xOSP*N_Y_sFi9x{kxABF^~Znw!L4GAPK5zm+r%;CQ$O|
zf}Qyo1#5;-OJDP#>-!@Snn7DTg<UsXi?|x>UN#KmFVSz@ah;Ke9Q=uB?X?1$J|LJM
zusNPZ?h&sbME~PL8jbAut<P)rlBys6&`W~<2gb^7kzVx?LC`56K$wArt5f0yNoRW}
zyYAtl2O&r44$V{_Z(1xBTl*x1JYRUupb0qF3@h2Qod5jQqWD8~VZy>#<yAxrl@4+(
z!<3<BD^tEFb^l}CjLPrv))mwi_64bR>b|f~|HQVrcA=D=$IDJuGX0L_*8^0|x>2Ie
zSrm7*!1T0PJ<Q<-TfUrdNSLG<{$+{(pO8$-0t%TusRHOWQz`|SgH)Qfot)6b@Q;2h
z5r_Yt#pBQ(`kERCf;VA?c2BPdYoVR!Aq5yTa1n4iY===@^BT`O`TdSXr}_Y*rw2p$
zw_>VlU}fm5uz(PYiR$(%>FbBQJ$_(J88-`a&|uPl;{mI6ODz^URCPmy25fS@E!CQP
z{aT{Zfj)MP1;zb%O`A}PVG_Rv&Y27_Wd^Wt(us&fnm;if4_n4Y_YAy4>?&~P&8v=2
zc+1|^B)V$lz#~?Jv&fr}p=ZBl`62PULP}|#5lYp|ZW~hKGVbU(;9{d_Njz<3s&(Ry
zTTEn{DwkeRwI*?xXp)!V4vSmK`G%q-s$ove70+&bG2nZk@`}@d?P3Gx2*p0NqO{Tp
z1J>LqX9rjz)AYv+Y9ies^N>z-ox+RhrA&3-WCiONryYK!=9FU7l85s2Sn}r2)(#In
z^<3;nI&SN&JH_pl^SA7ujSZJ<W($f|RLS^qc)2LCXjEl!mO3-u5sT#k-0#K!ZhM6v
zaBD#QAz}Bfs-xiRs^GmM&8r43p7+LF)}B^n><do2>&NeB;ae<D>c`4A(4V2=f4F-~
z?p*&`#Zu<7p3dVEC?r5@;<-orf#Y=W@}lUfsJgfA5Im=n#*BL*sWOK4uU16aUbvlP
zmxO==Q(SkB6n8V7P`d2C2XIrR_oMmfy5RC15E<SKJf&&g7n#@jq}aZ82!CxSM!X`Y
zjW0^)Hd#PrCidvRo{lV21_Vj&J85pu#J!LJfe1X0#!cFSNHcy|{g*W_HRb1_SZ$t_
zU45y-^~RFA9kSprSlTlkv7!@ry{`v*rJ<@{602we>_``bU|tPo154X0pW81%T@2Wm
z+VUsBI3PyHB2Mq}YxSOmyTRB%((aru;w>Q9V2OS?q{}7GydvyQ0L&9?hDBjSAb^GX
zcFLL%c(;g&EHm#!UBE!C1%Kymmp75LQ*|ic(eZ#%nv`li0>7qSYYniW%n6FgErS1M
z5-=lI`}7Qu=PuViA)KWPDPn1+=w~O>l<#DDQ6jOXE?PKI<Q~??U`Ptc9MY|fD8)Wj
zz3tDLTBLFhV8g8{a7jZ`kQ{jb%9SxMKlJ^1NTA5#m#xDYgp&feDv%0vIRyJCg3GXC
zv{z&@WO}5lNRUIZzJ49s3jw2m=h!Kb?@)&7gG!j~$jv?CMFe%CwY-Zp+<E8YK1bzg
z^LFcCGKywdWTtEuMXGniQ?FtJxYrl3YRz2f0uFrwaOQgU&>rk5XqVPN%>tOiT6qnT
z(h>Oub(~LEK-#gm3C_h{1;v!toqDMyMfK%lj<buQcy&PH6G>f*=G+_`z{bOJ-Q2Ny
zF^1~D)$(2ET57&N1k$-XW3K;cHIDH$*&es!=0R`XaR#?9lEK~uG*XXaUtXb(4PBGm
zWzq+E{o%HZK#iPlbTRZ<%Ei>6kDsm3SS0V!8EQKSD=+|=&20qT9}rrDg?!`J3gMsM
z1AvkmzAuaqx@Up9HxQd<c}jm69v#E_=|@5aGW|q)hXc*3L9%7;3HNwd>lu3*3a|(!
zv(a=$+tf+Hk^5K*5H6d%#&Jk=dv+L5L8ywgjx1wl%Hbe-u%fJS<sRZ%<ioY@PRadl
zpNNiuc1UK+Bt!RIQCU!poZ1q(erO8gu$QP}o%>PwAe|ba@<#;;D5|Kd)8~fnUwzN?
ze-rVpE+gILD2%q%@|1sxKypAFtOAUKP%twr!$U4T-zB2=J`_)Wnv&BO#lW~SqKC~M
z^nfRb>y=abWr4WFLL@TLa&G)MB@3yw=7pAbg<Xs7H!E=31bNMEY=ZHsqM>6OV2pU(
zN)EG+4|ZT@!6sn!yH@jgGHOVP%B_Rbou^b8Z6t>1G=zM|YIGC<%FH?piCA;*=HpKl
zh2%np`)fL^XG)RJ-O^ai)sKh{vFQ64!fvBOuJ2%B8^H{XQ^o+mVA!c!!`O;chZ5c_
zNFT5Cq}_GZ2dyd!vN)&{oXSN2l3`Ws`)j;vE=oc|+*zzZ5A^ysi*kqTuPK710ltFA
z+vk!BNdIzC&9bjiN7puL*$#GRK8CMh9HFgeN085MJ*jIKp(#I~q9EK*5gI)Lo4l9c
z3SGp0b~i&B{klD5V1)x6eNP0ZQX*QBGHWXzv;61FcwT0PGjL7p!~)8e%)<4|me8hL
zL>J+N+xem)Qo;4#h&Q4>tU}_SX_>Pg++lG1oR?<j3q%h=m%iwKepx>PX04@MsR^c4
zQjRJlPjts8@y;AtQ~X6);k~r}|GM4*6xdU%;SlTP#fB_h;}$rZQ-w5`5u_JC2vNXv
zecLytXC3{&>;TAjR}0BIbZiV;(j@A?*{Cm}zbk!<kT-T8!~?pq9z*v40KNtMA9};M
zXuq+>lVUz_mOtMr#Z7F-Q5Nl!z?*)pf=h10G`LtA262MdG~S~`?GCoQ*vr)?V}7`C
z`&M=92Hds@&%qm1-SR>qGw4%qh}$1tUjAIen@W&tq~lj`+8TbpcGiE;z#5`0?ZkO0
zoT{gUts4Woklyv`1(fOKC0}`<m)@wMWMj-^GO)oM_HQVxA}^0=+EgMh6OQWI50my?
z_FsvDL=gY?-hy{sx+>K@!@nl*+}W|t`j?;4P-}-lJi$$>+lUM%P6?Ee_E_Wk>^vG2
zK%^))mAT|CR+m6l*bd?|X~X#P(an=pI1RM2)7zF4qb0}nq2!)#J}+C@+6G=zv+Z5l
z<;MuO6B%MvXyBTTC#Ij9gD*3mk!hoAK~$APSnM`&iK_H2(zJsdD%_;tkLv;N$axL;
zC!{MrocG_@xt*3>uAa<GN1N)BQib4wjXE#tci--YGAdpyG;W=KT#9qQL9teW6=dt<
znqs?~ui6!JS(x{dFw57%XNDNcVXrh|2tSwRS_$WUW8tiB)(kqDiy&T7huU01Rd;-*
z`V}fJ<+x%r&hz31zIK1Z>=S=m4<gDr?dXEq`L!ek$J;G`XgDqRC?$~@9@u?!f}=OU
z!04xV1+L&+rt`<((X2Mht_%M{m2_VoG9TosQ!?z5zOCECwFuud=)?04ghhQ06sUB`
z<a5sP9z9UmoMy#&T()BN(!vgEheaKG7Dxq$NN9OStLxc)n4Ro%kkv5LklF%^1Sxn>
z5gMne+beG;YrC|ERL={48;UH66S39aJ!g)cpG1TV5Sxnc9Kq9JTWw9zZ2`vkYHtTZ
z+xm37&ySITgi<&z?A>k(+++-2YpMT>RF4^6*3}gt=%H4(RJMWl-hC0HNLQfv7(?r3
zcPAfUPw>c~I4qVm?oW_Vl}G2%sr2N<nMtX7p4~s-PPA_aGbh;}{-}lMCo8z?SyGTk
z;>+V6o?r`~0=DfC+5d^J2|3e~>|Mz6+A^^qbls<?=RT7Ao5T0t;n(p5xq;=Lf$UO=
z!L;Eqfjz<|5RsvWo959^xUmP>ienwctFP&qIvq9a#K4FizwxdQxgo1|2eD>edv-J3
zx>Z2xD()wHg;5|Lkil{F?73O9>?qr`ne1E<b0n<@Hp^mp$U`S^IyWe$Bpdf2y@vsO
z&NlZ(1_cOk0%xWAbS4VB)=J0S5QX*=H+xz^aBO-^iPf}GG@S%`e>Xkqzc+Qw(~eA7
zVshoMsio8>kCAzd#8&+^Wm0V_fyU;3Ea5mVY%Af33>MlOy*m6!N|2<J1>N%^iLL}>
zG>uv2#{Zp#R<Tj!65)zJ7?M0$vx5BO+tqZQSw2PcVgt?^C(Q;D-~!2esUhfzYPbbr
zfhpZ0cGsJf+UQkTa{4cBha0KJ<Qnq#q^jQTCZz}%xF4#=Z*Qv?!W?&-Hbdu+_Bmi!
zo7mRc#`tq_=q3yfxK1dZe$l&91M!G}It%wa*Mz7CmG&!mebkY_Q=TH81nl(~T3c`e
z+;(NY8IF`*!4}=QP}X0r^uU>d;E>Sg&H}+O4^0_EOiiBPyIntk4sQS=mchWZR`2e-
zYK)M?gQ01-u;D=W0&T?Ly$5%!KTA5g(hSLDY-Z`7sJNs@L{ExfgO>Kb&A62ar(^xx
zoavOV*m(v4DnuhxqiYl+nl^&76uBkKHHb5<BV_?ebDF2(g2V%A`hIt|vbi06N)=sr
z)2MmR;gLuc-E#8N2XO$AL-S>0jEt7BP=czAWMuNaKjVSHcd5Uda6DBG<3saFn~`hm
z7*+z@dJVUBUM>|$M%{92#n1debeXR_hkr56p(`kpH;*dbS9QF9nsxyqV#g3<Qq?rp
zXvK$v9}U8UlJCTWE}H+MgG1N$#gY4@9;#e4o}cSxp`!@_j9tnl#k;`53eStlQd+Vh
zoTe~d;`UtC^vc%=i=jZHqjEcnvfD&xY|b7U)`A>nc{|)Njf&oxGo1Nia~vX1{?jH$
z!w|Z4LZi)0WZ{;^1P0mo?rliNKC@Q-|IR_9V4>{`7pF!3B*}>s+&5Yo0LlE&n4=J6
z=RAe6YLsnLbNEGJHc-l)Sg^~qUEGn^W?&?EM<!L5vL~L50Y4>ThNb}|;?G}SOj1A8
z?u0Ux-qZp^`>(C_xXi>~T`skz2pzu}xy68;r<r=>i72B9YpUxpbOhTi9zUjd_-%LX
z7bPyVo;1jBg*DDK`g5)95u}o&WT`w8D^O3ir}L-kFtihy0US#csDv;iIf#D>hW%=Q
zmT>JK@v3|T&RDm_f`?RwH_PLS5$B`@Ds8w3ZG{BMY=So}goR|JTiIpK#bTMjb^xuZ
z!73{CHAss8qa;;q7Q66#&5~TGB$ST5AiLqpjM~JYu0B==ujqo%`Ac&bCwI|?Psn(k
z9`QPVK74%)Ql|nTJp-OFC=nrSRiOx4$zu(o9OXlh{;!_Zt5j@O5h%F(a30<tlifC$
zhQ)_ex0EK3&gw^7;&{99-PfNi=vF?aSsf=W?&!vXfpnE5PT1;Ejb|#A%t3U0l1qfj
zDiAdRHQpZ_B>v-S#iy}Fj%xRct3Dx*oVYPDnSDa>wfe(Ni@Uo@k^1cXTbs2US>jxb
zLWrZL+39l<MCQ>TE<BV>1ay+3YMpYXR0hlv9Gow6uLp;|Xa~#stLIhZw&{q8X>BXf
z;P(a3&h6~YRmZ_3b(14j=rv8J6sXqfu0(q&=Q^6Io!*UekEU$B>HSZ@N*u}xhWYEk
z-Bx@bnG%Ltx@ol+&c#3S8f7GK*?U0veF}n@Y8XE8;C@AQ=~8rlli0E!zEZr!3VG`S
zcKFHq>nle*lLsL=f+4~9{M_9;wF*4V+wLWWMpd~WG%9R)?fKW}K2{vF@EYp>rK7-f
zeKgwa1ns$a&>W`?#M&W8qT_3E7NG!VtdegqQ^`0c;QZlDa;|U{c7tI(a`~9<a+PeT
zFFmU($TPTZa(9CBE2DHK$oXi$pcZkmBIN>6J{qgb76`cH=R9zo5g`CT!*Q*3XNu0i
z?$NIRf<rFvCR?k+p$ahJ$lMTNnz!7`GwwAiGNol2*sRlihIW`ub%*A(`#ID0?spNX
z@Et2a<A)ejpLjI;MfROpvaYt&9&8e#W?|k&;T$R*TpKqT^zy`GN<d=exvIaA3<}5S
z!q=iXE`L7HklvaLdA6{krv+-<y`Q&FCaEM-gkzKcAa<cBbR9y5(^>$z@@`kcSt!;y
z;-c*|bnYQDff`7^3_v&&D%YP=Q^prqGbr~><?d+Xc|?!jZb`e|^8b_gJ?C;HwX;*g
z4Z$;Ql!t+wOU&ITNL`f0CKshJPDR+{Oc4#*;jZ&s)SfKOy&*WRaMtJS&&V6#a=Z;p
zXaSX)j62*JbM!7obD6M6=P3dchecMt_9T6KQkM=t@%cj!%svos%aM%@dgP{>5;lMu
zoi;RjeR;YrQcg1m%j=&Dif#H4Dgg$R<>~GZ^7<R8MZ^Avsi9yu9l$Le#}oIJt&^l(
z5l+mj2|G9P)9<nu#~}o#&4{yPop$7|9=#ttnAlZn=Q?AOkN(6dSoCZ}>Cc}}P;$f&
z>!W&j{b>{1!M5i1BxHw{A7ei1A7jHNY!ogD=7-RCtbw{Mqh%bCd2%)h=U{YH!#XOt
zsZs#*NZ-OY_+fRl%z)gUpp*k&cm#|3IF$PuM^J#4z5bnWA8wfpaxZ6qGVX;p1R^B!
zgkl}4=SPgE@r+^d{5RKBTbKufcj%(-L_q$U4}G&M4J0)%F6$E1qTuPLylWx0(cn=S
z_yf3iQ&R4ePF%)J!erMsm{+K=wdS%7N@1_ZPUz1|oiwfruHBj>3SpWCHzJ*iKW@yS
z#&Gl7N=+a}v(9b@#h`kFC_(n<q?DfoqD1xot_i-*NX1?nG3h=ltskpuTqfP+p3}i@
z%0P~rg#Y0?NQ6t)r#LOoT5#~__ZJggQTcl2ZNrRIyY~`BVE+>cvX%{6Ir*wPg2K)}
zYzO@SL-9zZLVj`?6FHT$1C^Hoz=AstLv*%(!nHBBq7tI`f};xp<EM^Va++IlaIr=L
z#3DWTVKlYg4PEaJ+P@^qXQSh&{G1tUe<jH9x#taaLaS!)3DO8NOpsxd{U`QTD`i^}
zAR+Ra#&u#!7AFes=%?aK4dai^_Z|J$CjLjCZ_?$mu=una%nQM)eF#Vt4iY=Z`AVH_
zcV!uuI@!$6z4=X>K(X5SJ)Ym*Xa|!i>`EC=2c=%Cj<8RzV&f;1!jw$hLeX-=|MeLc
zp8lC`5!o+gW&RUo(T4z@yU;Z!467RAlcA3yWo;jJ1@OYQ+~KO~!`@D=xSuT_C=MsK
zpDP(sUirZnAr{kUbqMOA{UzjKjD>C>{E(gA_IA#WDQ&j`mDdh}qjQNY={X%{WT*)(
zy?7q6-);dfRn*mZ!7zm!dcrebqv?ZHVb&vlvAY|dptEuuDhJG?d8UfXn1+;HYgSAE
zxA<71$9_~MHOz&myKrZw6wGgt)K88Jx>J1teiH^$0NxsbHb4`fCk<g(rN#qaRX3K9
zkK28%luTz1dYAOB@T|XJUvkii9V7?qCCEc5ld21~6+@}sG8Bxal54gP14pKxOsE4u
zNuUD%Mm>K-WDvyayEc1CPj4{MCcWY#+~U))2*I2Bn6*#~>*B!=taIAmYM1Z82=(E!
z%HJHPwbSha&Wz=WNBo{N(mnW=Qm2896z|5+UriIi4qzXGn$0hA$VjG+;bv&Ta77ua
zQ7>SAi?ygnncsg_42B-k-T4v_J$2I@ua<6big#nCaACh1M&u)oVg>_>vVpGwD}3oK
zdh3O^StW*Rn-UL>v_1JF5g77mYjihLk70CV2pFC^PpiHP1}^PRJlOwyu=rJ(2mYE8
zwV1op(A9t2fPgz1JAm^k(OgU0I<h1g0I~5J-v(X1WrkprFIGO48S*Uyev%=4hN9;V
zmK(NQ>I%vQOe+nU%sT?cuW(Z?H)fD!gh7WLg(%{m)x=~0)TK>sTa&~>k`5oSO=9vc
z5nOKE9*g#-&Q?lJUgIFqkeV@$UF-<9!)GvHj35oRVu8Fy+`_JdaW#dfc|g?>%Mfhv
zcZ+7a10Vl`mYs`&XI<VMC<A>lyP})+5ky7!?=X`%gA@?&Z3qGwh$vGy*GdoDVjq3H
zJ@gSK-M{vMa5YH)RiU%e!m(cxCeob>PR^KPW8RTy!_;%!IIoFY-0_n7o$4tCE>ImC
z6ShJj0H-}&v7oW_`0fzK9E#wBdVGJ(`Y+?|dwm2UG$ofXT9-Ex%606r4ku$Hez6EO
zO`qJ5k)ONWH0R5+^$xkFoGpuiGYH2_$p?@U5(fv!x5k6*npzbiLD5#7c?wRjbZ~=6
zTPO?&;vIt3qxrdlq@b&O6(lSmS%aFJK@^X4u7&`M0W=sKc*;B9(<NY<gA|a825_T&
z?b4Rdp}h`sj3JZX-qtyOTO_U_kK-U*ytjjL()_i6RR4PrP(Utb&fFM?FB|@Alb0I#
znbQ^}V@#wt8zFlXMXW3HlpL3G#X~_f+(w)~jn^~GSNRx;y{+%$foCI3xa7MHoW2_l
zK410z2wkjFNVqnjX^~D^-_MGBHWj5|uV?9I?mJ_DmaZPwT8$jn*}kqEdK`UEBN}dz
z1=$TNBp!73MC|aH6H&X~-L=~if_g_J4u*m47uuJ2f5a2s6v-ttQ!rAlDdy7$aL;@t
znCbixQ#^94s(b;<HjCho<2qZQPDz^t`m)Z$6wTkW_Wb3#Ct+s0P`$9<PO4#qQSuY4
zkM3jPH+ChlGQoY9cMIxGq>Q5im%(Yy+%Vj%dH^W=9A({cDGfDBzLQkL@{}p$jI0z}
z5ivdi1bt}ZC2G8Ms(QkNHls$EAfnF4M`OVkDd!9JE8RJ>r>f1Q@50ICWqIZEzM_iP
z<#_r`HQcGd@geXehOrkUm=Rwdrw_H>WTF_st{yR<!7@0h&@a-V5^=D133yM{fAaIF
z_R%(uj{C#%OGzO9hAA@rZC_L}xov2r1A6BS&z_#q17nbj@L_<f)knHS5Eeo6g=K?Y
z<tZ4$$=*05=CL{8;uQlTfxw@T$`%ti)!>5{%$mvzW8gCMdzZoFO)nP`?THv+diGs*
z-tR!Ha1{JYD@r+b>zFM|n>u1dr2R|SF#v*+jhb6p$|Cr4idM~QM_CNOzw2h-ujPTe
zN~OJ1R}CIY6ysfuGxnYQXt*BEzM+I^c{<1K0j!Jkfn+_vDbQ!Re6KxO96C1cgdzMl
z!=);r$5kHFx*>Dw{K-pyPk&Qr)J1QM4dA^k8uesm{dh~E9~I6muv-(QY=ktr)#nv9
z@lj95K-m8q-incQKk>Cin2x?tS?GsEhsn@;)MbN^{rKl2Zt1(X8s=Zh8CT~Jq1qBP
z2_xGmle9gBs2{2>%Kx&858quTxiih(S1}F+a6}zV!m4_lk_hVc-kT-UZ78=_k+`Bq
zUJF6MDX&hg$jEJKVfhclyTNTf{<KLM!lPY7KHBWt2?BUM3(N#@o2)5HtDTfV)F91B
zjBSv5)h7OSx}5|ed(9JV@>^xX2yYBRNIraW<uhI*ksa8Dm5x4z{4OKdoMd~XHTYf$
zl9x|n&|lxR#1OTjyms&f52X%O$rBE5!*NepuA`=AKqA)GixGh;%|mDT*txwP>t1o-
z$;hxMFQUjXC}zqt$gWkw^+>Av{&(m`OPk|E`hv2dX$w_|?p6AHlh(s2lG8iHtL1B8
z%1cq$j9GH~o0M>_dpILME!csB5JF*0gR`f}g<J<^j=*B}@(BU0onQd=h}4JE+|iR|
z<-e|eBNJJHTrv$5Y4<JY*zmp;g1%~#VFRz@FafUx6(5T;3j#ZrNLJJc_EDd-oVF|D
zJYIJ{{vKwIFD96lODp?~g=NH05x38(c)_$P8bgQ&=KfkFE!T~Lpm$>jIwiQpZTw-_
z(`q+L*pvzP|1yrfW|UGaw@Vm&9)$H`5uT66N)3sATi!y@3yK92A>jjZoRhs$`69!N
zj&U}iD5n0|7}*tv<od>*W7zt02~oA;%pU^K>GBs2-Vg^<M&65IWG}pj*EeD8OsmA=
zTX?EL7AlCYIWt5NJ~Ww)t?56$w04C)pr+qz*4pCo-R-DwFb}i|y-~gp-;Rh<Y|(>}
z$A~Eyhs+LC4fQxiZt6iB%kp%-GDVnNQQ{wl^d3!Z=SE@aK)E2CkDM;vF3Z%B?i^*s
zaIEK9_l7Jk0z%QA{(`th4;0^52@1Gl#=GF^(;oBw3()}V^GfEE0@k%|FaLNA^XWqk
zNyl<E4r+`S=wR+`N~~Iil6W3<Ohq1jvL4xq&i6&q(ef26qZIH*YvdrsFdti^YDrat
zKh$}xVQq|)<8_ka6l)YBSk8=Z^@e7wD^H-zR{?VkVhJiO@JjVPE)m_J+~%q(=zV26
znCB`Lc)k=!Q)tX(si!&HPIX+|dH+7GW|br|KOaRgoT4$V7E-)mmGrY@b^v&Y>s3yE
zR5hqud6l4t#Q8`U3{JyT<@S^NEKkVk6`dRL%}kon{+s<>%nXlR;98u7v4Rg*&x1$X
z7c5>%Opf+PSM{(!4D!8@(5?Jxyu*{y@bXSZYrcI+zIE}(POGMQ&}nnPIqm))W+V4%
zd=N+fi(JB=`N33@p3Tdd-G@h@KF#uD`|x)?(@KWi*=MLqq(Z28XXTL$5B@DAhgdhj
z+VP@eHmh&SMX(D-p-*)i;s<e3MfxG&`KOMgQNiG|7u6Way~p8X2TinFqOX(q>%_hR
zr+#nPhjsR44oKrNd0So4H&AB05Rw%`5#T_-XSa!0BbDM5s3&SGCn)=WFHY(osN;Rw
zN9~S_0M<;Nt{z+Gh;S{c#+&&#*yO{)1-5i%uEVdAth5LLCZzh72fNtmvFss4IbGw8
ziz(g|lA1`1_;ny7P@UNcU@Y1-wg_nEd$^_6i(mTz&@p20RvBGQAF%15$G)1@2lbCN
z?=;0gLe%mstQNI#!Rs$`L=bo-g*U(uyYNIHDiz+rK;7R^PyhFfa=h;ygW+aZct=g}
z0CUUgt;XGl<rGYQ;mYu0eR){-za2>sV$w4sn;cE~&feHr4G#HbZs3qQl2Wdp|ND(b
zj(l<X=ga1FLgNru0p%e5CX%c;>(?IFty^KkSxNs#Wf{_?D2q9ooL8A76kiCqIY)px
z`HeusfZwLu3FZxij<&;TcfK`wXoiVAW)8Erjvh^l$MGrhPbD=ezw>bVWKv4E1b|u%
zaC)U0ys^(vw>G3QL4~zUOF?rxThe(LK1oc07-DAa=TXzJIDdZY?8*NoEC=Qr>Z6gz
zDTIE>Z*#ka8fYMA@^}hZPDdSBq7j(BU_aSogDPGetOn1rjV?*hMSX~7vMcm#0swvP
zegZmz9f%)hXzCy%%8tkOMf0imw9iGp<kmz^oaNm9T7}A;vOx3FuJZh3JBsb&a^q^r
ziK{JiBE>n1vj#XR8w$18rkk`->{WqU$hA~|0~U{+^@n1CvDY)tD{&Tgc9}#6lSsul
ztQ6*>sT*Et5PRE!<NnUgUPCgZ&fmYu%pbifH2_z!Rd}&zMfCON_%&<&?*eD%M`M}(
zOWlH@R~hs=^O1b+MiLYpmA_4m;*#m2MzRS(_#oO<qzEGEph?}aL!|)oK-A#Kf=CZ+
zeAq1-RbfLW%I(i&gZAx=e{x&&wG5i9u5&{!8FRY6I5|V(&1GxyoG^0GBv9<~N;H_(
z92kn|1KR~0SJUk;W}bUqcWs^L((?8R)O(R-c0E6iS)Evf0v2O39P-x!O|<3WhPSvh
z=0zob`iWkCjR;6o6vW|(o!Zq8W~zqxrNOf^qr_3-r`Q5MYRfgwSIKZL`-^gA1pYlu
zeu~7DxK#I`081lOAB@00&a(O>ijN$SOs`-bRAqjTTeZ!+h95snL>i-Ui@zp3K`M=6
z(EeYfuDTuNgHirmyLxZXO)%b~WP!F2x@Jj9D>1|-#!Np+O3j$dQ|?WR<^KDn?W80W
zXoTbUaCr?m7qbZ!$K&&QFd&3FW|0h<THvTX6J3l_W!*how$xu{8r?tLA)V;d7Ww5%
z#MKqx0!PsI<i&lz`wDkgA(r^&ey$4s%CtGxOmrD#g;pwR@qhVkHW&KV6_$rW1^Hzr
zR5h7Fy$^wW59#0g9uR2L2MjnZ5*<3p0<T0m+~CM0eNHS@=8@7p=w>ZxHszPO_ZKb0
zT$C%1*e>HT2>Q&X$ERe4f3CkI0bC%h))k^o?aCHi3CZm!IowZ3VkV`KHOO0>0N0qt
z5^C4IGOlr)?8SSE5kUiDyLzqy7RYWcsH}<bl%jxaq&8*@M)3Pdlcu(`ST!<IA%APv
z-@l|ZO0ZHc1#(jJe#Rfav7KjJjB+b=&RLpz$Kjfzn{@K;p>yd=C@U*sD|Z|Aq@p+F
zd76#sPdD<k^exk{?*p@FqL~lW?_^L^mLH{mH}2}<wwWwXJoD|C_d~=*3=YiU42gye
zIMzKS3doVi|C)loIcM9{Y6^PJ@)nJ&!*lB!%S*Iz{e+Fg%*=4U`Sf?fMmT!wdb0+9
zW}2u11a3#|`QbJ2K{Z9)hrFYEAod_(67o>qo4lUdnyziq*4)24%B}rN`dxFqU({y{
zP1&;LcYZL@akJxIeBS%~#Puho%rG*A_Y)HN$QHN?pZ_7<K5zOddEtJ+3t|M=QO0kB
za`%;(xqaU|kD%Ng@&i#6E+X+Z->;(+nWXA)e>hB#zn@X-)w5zp^`*Cw-g^Z-@_OTU
zA~UQVt}z;}*mWVgTSVz~Ne@`1s|9&`LB`^gEf^K>e5KHOZnQVLh3_gnHOP%&U`%|P
z?)Pa`FATE_^r`=J44<m|*M3HJCM@DE$JIU=m4OR!*wKyA+I(a+dzs5{W_TVJaGQ?o
z6j31)+q1+t{diWxbPq_M?vCui(G5KHy~rmmjsH;lZD$IRc_9S{rw@RC_tA+-kE5ij
z9J}wRy)md0&{=b`9%w%(c6PkfaN4f)nuPcJ_$!=r5TPO4Q|tG}aZ<ZD-T`|2UG2OZ
zqx6{(-4U?E)9GiCgP+z)nOHr7+;F@Mbj-+eIn)D$!OScXUM(~2AI_?|DtF>eD_nTj
zd!f57b3@;3wQEVa%K3sR2j3If0ZqvK8L8X4ee%L`>b~)-pR*o!^WucZX^$^{M6(S&
z3+5oL0O~jUqo=QN+Pbaby|w6hDdEtcTC+fsYi9HE=c-nMYa*y-EIH&m<Kb2M{ra7G
z@0aY4L+f+HR9IYgM7vydjt|#P3XN=AIa|fup8;>X_aaJcX(OFeB93ml$}-&4Mx!{i
zNHUozg`s#<wVVJI!?{QVSz|YphbuO*h@w?77F~6RWQv7b#`#Rw){&?SlAV-RIl0Mr
z7GeOJ>8YvmE=zI6v~{Es*z9OzkQ=R@6H05FPeuzWIp5bec1x`fi<qglliUGfqOt(n
zFfL~llG4VSO|`fsi7NgJlv-huxCAxxK`Fhlr=G-aN`Q=_oYJtXpd>z-1Ii(Y&-C7~
z<GCv~PZ{Gh)TrT9t^A;?3ZJMbo84orZBI-HLpY!`nW<sT-3VJYj7a1+1Q3^d=Usf8
z3Yq@5>pa+YfQFh2SPfshm}$U_cW+Va!&nnS&Ndo?sJ5EQ{N}0wzPnOXwcj~FqKawr
z?>ZQ;P^5j+)CJ~kZPW5@tBa)@rC<X0$qd<CGe*Ac0F%EDr*xvgYwH}IS`A*&%Zy<&
zT5!b!Mf*0pgOZwHy7c%`C9gF8@>!_eoZp4N0+l7qP5xgquhIHQt|6h1G;==w!5>jc
z#_Cn#38jkRIS~LX&cOVr)+T@``@6LPt+U=Inzk%+l&$18-0I+X32VSGT>^>3vAAWS
z-Hf`$Nh9l?2x@0V+9fUN4FrqRyhkj*^WR-O2GYopxeKZQ!(DbRBkrwdld+m#`s)KB
zT6k>BMBy$meoDAPP66W&@mSkR=}WsRGk_I3uZC5^BY9`A`dfcdxk=(9fV5`WJCj1B
zTmXAoB!K>nSc}93k)0sPV2)LTc%oJ|`xSyrPn&1n`l165UDpyvbsqBKnpiViyPC{{
zHWB%$6WYV#<ps<*<7Sa`44VXNqJ+4_1tShFQ6t)|su4d*qWxPuA&S?w86Ug)l06e2
zUhz7}b#`r=D32}uf`A~dW$hcQ<pW?L_`-AMZ_L-``6-BlKOnzdQvd4N_j$bgKm<h=
z@xaFo`M7=OP27v^!c->g3eHk$qfJ2TinzS=Y5LF{Sg|cP{qdF-3H-k1F_Ge6cbDFm
zFP=z%+eBm!4DE&ao<=zZ?SDj;qKk&?yd>Br`i13l2T>9QRpPkpR>oPAknC-H`$7XX
zhjRxl#DfhhQkgtS><!A_47Qh?6dz5!HFzgCsixabwA|It%Iet9i4(Qn!^;OXz3d~u
z%7tyIlES+gQlo<%g=gR1dbqwb4Nfvz%H=HQ67U%PKp|6j7kYvcse5(>A;f#njl4{m
zQQ_ca*YuBXrcr@1c+XrN*M>lb_uw-$wxCsjB_Id&OqL!qfsM%Mu|bP6o-{fDdb>^)
zM0@kudC}%bdn6{3AQ>%a#$AI72;Y^pf$q1`bi~sQGrtaElX;U={(m(-pt?2}m_6`0
zT_;{Iiu#TtlU9&SpqxJ%8Qq<CeNUX<^Ag<s_IK4AZzd?_jnL?u=z2#g#!F4NApYtJ
z@QgckWL46OJlPjRxU@FMN=dH49fNLxtMpi0Mm7dt1GyX)>4C^!#cIi>&s$8yz}okB
zu*0MJcV>0}jD$7Bl4u&m8N19<9A+J0Jd51Z6eBfT<SSWpS4H0cEAHr9(lNxTEMY`#
zdLU#{IXN)O>#^1-FOXmIRb2^{RX`NVCKF2ja|7KpGhmJlbIErY{-un%Sxw+*xKKkQ
z<~p_w^ey-4Rm7FIV~v#mq*xwSfA&o=E3F9Lks2d|*hdrglKqPF2A<fsBuaw?EBN&J
zL+f5{V4a1u?uHe%xpw>TEROw6<B1^$l+9zQOtn#eY<GYy<>o?kFqoyM4*#ay>nKh}
zdEM`}rif)rHQ>{Ae0GBm$9g25!sn#+TIdxy;>s*>8dafrxma_<i)#B}We-M<-~l?|
z^voLvZGH)_DUwVA=ho8$!E#SRb>y`;$Q05SxUD&<FOK5`ap*?9q~^d>>G{{$@}A&l
zGW%OkG@x6RgbJvXRnll%yWc+eDCnTSCj5Sj5#ax86F_5(Uc0ae_%-L?0~gjG7(gmQ
zcK>~+Gh?k>UtmMn1H=?iHPhKi?&J%%KigSszU_DQ^#l*fwkaJGInkC9uab1+4ZD+c
zS~uM)=}z1zDePlhR7k4Xx5j*Oh#4y9!1|J5!9U?sMvw;1mTO;xKk@%I+<?spu#hOQ
z+K=_xWX_L!@UjD)84dSx6Clm!-ic5GbQL-%nlQN@>&Zi<Q)%YEE_}$M_33(sN5oml
z*$}3Lwn-%-RWNS5AB)v6>X8Eb=dGk*G3A2?jV#WA5<eyM){Y)BbSC@)O#j&U&JarB
z%?O7izG}su@^3cIozZbaZyKsq@T@pr0R|tKUPZEJDYEq$ZvgT~=Us@3jH->BBEZtG
zIAyk6+ie^Z%~)l6!whSkkhDLC(a)ZF2-*N251iP&_@K7lx(=r~6_CP<^=5}S7)qjR
z(*-am(8YYE5%O8u<@9gLSvQoU1Lftx__qaOHq^5mMb1mj7^~@!FYutWOyR|DfHsFB
z2*ExJIZ6EwG9qJFce2|hZzgFWx3_9Op~;3ilZ^ZMfY`=7{lJQG2j2bM%nOzwg``zH
z8oOIT)YWy6?&)y?=)_-P#zlfceAGU%dDi_I>6c3d@dn@%#hC;)$zTjnGRYf<N*zF-
z=SR`Ap3;lYNx0_LKGM-|!5m5`N<f<;(5Ce;y5JUgAF3)NK`a$@s<G7;U7Ae&0DyT#
z?3G@LkeP_$AA>fC=MidCbPku|a@)^lO^J2<8E3K~Fn0LsWdjyD=yk>#XT+lUFvUtU
zIDs5b=nmy@fT2i&L^T=@Wq{ln7HHY_;D9FrB;u4rbe7)m$a6RYG%`@!3IqtM7mp@N
z<Ag5pS=5!&mi=MVI?_Ln&<ero)J;-#skil@5k`^x1VADr(}fIP>dUq@V<|9;>jk(R
zfIq&t2XUcU|8r$*n`aC3J#OR|9W1feAaiJ<g>N7$m<_LNNN*X=80#$Fg`#jSZOoHu
zfZsZ5tt1iK1tn$9>sDyuH540NnOk4{FCyGNnigZt7>=(0^ZPh=@4;XwiKhHh57Q!{
znzNa^=vAEWweDDfFxcfda85&ALBTttALEaB)bozi0aD~X`Ze8lt^>;;6dg3CZ-9Fp
z4w=3Cp9i;4hc>DX^`nZ8B`mNV@53;_6Q4Ih{`ni>yKtRJLbwW!&muk%^p>N%wb{a!
z+1(D)E8T`iTp8z3qHEZ+mA!EWP{YSRRz$*~0}2L+TZ5)?b+xaG%NP)|Pf4*k{JKD$
z67z@$zd!9berP@Z2HHXoBE37X#tY<x%_Cq|H5McW7PG@vc-Cu2vddfldADhh$Z%6n
zPxXq>Ua!iJeLE-M@ET`K-p^lwcifN~Eb<Cs*SlL)uhE$yND*=YSK8|1ew}OHEOsC&
zu$zO%o6oYSTD%F2V57TmqZlz9b#2qdiaSHhw0tzmM=0N#56O12xT3!`gyqGo;>+*~
zZb`H=7W9QveJ+e*(wA7XX~V(7K*w5*5HqEps#v&Z_v$fNH>Ma+Am?Su=_2nOR?)(8
ziakk2K9u4xr+%Ao2jbQS|3Pn+OuU0L!66C9U3@`Es2?&_RrWaYIBC5GbkB2Pi^9M(
zETPh%WCZ;p*{-BMzCBIbzy6J-nkP5L<HIv0z$9pg=Ai5#JYLrq3rMgc8+IZ;i=BhE
zJY+<gjY|$-8`A^MdXbD92UA+W#tJ<|XqCcJ!;CXsPxXwwDIA?U%~ppjK{;Y(@>2Vn
zAlUFlWwqKXYZBzMlk6rhjUy>>`Vo30*b28<1V^y<G351<>5J@0`B!c-5LfSk-Yy;{
zUboHD0JHmR|2xm$$B|w2W=wJB9N_v+WFhjDAxe}$73cW#rkp<M0Doz()jT?q7U_oq
zEW6tNYv;?K>R7??eY+tWVW}0GnY}Tr0QDfZ>h&Y$TKzsCv(f-jD&vs9S0a<Hn<M5K
zzQGd&f(cOqfC<qLg;k{_yqmoIar5zu)w{E(Pwpmy)r5$a(hI{O);&q$Cp)t!_Xmh_
zJd!9VZL>PeiV|{lMj_~A6hgmPSWXX$9XApSWOH<aN^b@1pyPdwi9p>1Yei#vUc|YY
ziM5`vzNrG;P}-Lxwre=o9emks(dF$of#pvdq_d?;KLd=~7GDaT>3AohL$eC<Un&u*
z&mnd9_~?J%(Ub~XWA4(Jq~-<)7yMl673=mx5}n12czn9ow>UXR?|lg?ujNJ2m_BM5
zE|S&ZZv2-EtgkR@h++X>dIB=L5RbQbQpB$t`<Gs@IR9#x@u-h;$ZK{yggQW%WV>(f
z*<56;@N%Ie62fNl1V$o*z!V6cC;&Nw8IW`Hkmo!YyG0u@mZMN2_s(+?+&!EH#I%cn
z055E;+SUtqlb?;W)J5kPQ=ynmfC+#h*Y!L5NZfW!&^cJ8WxstN8w<E!q*Yk#Z-}v0
zTLliwqrp=Z<A4U=jdQz`>+86vK;F7bXgs1_LQl4>T<3eSaP|e!6?4K4U_2t4eGWlD
ze>0oI^Yce_16E0aE2<UQDAx>Cw^21Lz;uy?ezj*FgDoE=OS31L{McYd+d^JqW#6XZ
z56{-sgTTz~JiEVol;lC9)H`Wo-$3;liB-w@dEg{hW_Bf$=Rul6T4(HzCtN!l1yS=V
zznG}y&QXE%G`mbA+MBP}pt~@Pf`2C1A8MQIg$TT?Bm1AtdPdypuJQB9%Y_kX@Pe;f
zYYlr;#1aC!cSj5gm=Hy>_cr4__s;*hNm`<g;zP_zb0mJ+-4ws7;z{vHu){@KsdC(8
zgqu3eMZ6dh@ET~gB^Qqd0ZAf;@7Yw3$`oWDzMH_-D)1;cYvWrYbe&3IY+#8c9@e!Q
z%)?!N?-{x&R$5Z!HwpX0ARot1boV>AZ95q~$WxOd7ru~-Eu`8wk9#(XrbGrbWm0hQ
z#hOc`jNK{rAa_mk>(cVCI!q)WDWy55LYeSK$w9oWZHi<olFoQq-`Pq;WDAC$;YAg-
zmLfA&VJy!82%FpLsNZ>#j;uD-{VkgXA}rA)|EGm|lF;SH>n9*)8z61Ykhr<GKdUvi
z7F|+@DC1AZSCHK(ff^ZX7$jSS>T@t}9u(z<s#7|T+PI6FL%(8!M?4XjY>_~Sj4P3)
z5yl9Q3PpPS<r<z{zg(?tVPk<aN@)8>x`+WWAI{)<Y_EDgX+>}o*3~R{q5hnsC&bxs
z`OL3xNbiuk`0rY;@Glg+X_^ZLSj%~=^Z`}iu~WlEW>c1qE@n1s$^t1VZOto_b8krF
zg=rpdnqjJC3bg!1@qKXrd7D@vJc|Y4^uzC8wee$3pY?<;Yqeh_VvFuU(vv;*w`bGN
z(JLjaAe|!mGR%VtlF%T*5pw+0^KLdVuM$m>NT)R#U`8IfDY1CE4i->P-cCydr$bIi
zd1xUIw#wuMmfmCTMHkTsG`05L0(Yq7`*D@mPW)H1TO!y@{fWL$t<4Ui2gN5NQIU-f
zple!Fe;rnJ)EkGV{Y3R1(?a;2fr)^0-To+R47ubj`DmEG{}M4F^yyvmx5w&9r!#9q
z2Dce%GxJgcyaDb97t=EMf_%n-B|+Ykz5gE~wH}E4c++f!3GRM@`RPNN!nUfDW&GPy
zfI+Cg>2*mFzjt=_<+Ot40#*Z>Z|Ds)v0;}N(1{%gu`#g51~82+BSmfvUBxIw2kgHB
z>uaPQywyk#Y8hBh4C#X#7h0Tcf*!sprZ=1Flk$`qn%PQ)@l43aY2Y7d!MF-JHl`WA
zcUxnV4g^N{Iy!1#s#!D%T9oj9u8H8%S@xGvR&Z|~TjKKjfKyHy&0SO#G#eAYGJQyo
z&Pe^yNf}`f-EXC~&FbqXZbHm9;{B?QmwT1viE(W9od=BX5^A@<D}mlIgZ>)|+zZEi
z+SpY17bFhru7=fcS|CAWHq^-(F*RNf-%trManMOnj3>es{Zy3}5sx@nDnO%5n}%61
z9iO~}d4;IGUuFTtWTluM)7hbA0#ED2c4Hc{m^Bgv@sIcI8<CCo%i_Bk7Cn$txYTX(
z?zg$MW~w-|$=$YMm3cJe21|Oa=TQQcjw}FJ8SYxLX@Cs=49t=9-~?B1^WU1w)>dRY
zskG%b*Sla^PsyhLCgDTn0y!taYu>6SH%wMht>p&1yEa$!k2Eeg+Vy?^#yS2-ynZ`O
z9%i5#&aSlQ7o61>n)yKhCDx+cyU<Rdzz4;-=~DKq<?~y6nm`ny%9oU~U3Tk8RPV^j
zPYXJ6C$=6WvH?p-ASdebt~*yZ>eIo4eg%;R5de(T0jKHNG;Hu8hF+c-+AncEpofGs
z#_eFKy4unRk*el5<9_`{z|`SCdblQ_Y}E^;Cms{+yM(3O5}pS9?W-wWx=LQ>LCoT-
z`k;oj30-}6Fc>q@B96@u>O|%WblUC)-c8Chv1#dL^s$xMl80><+;jhvOJWtKNeZ`J
zS=}#Sng%t4G1_P!1ngDXOvmuHHNG;GG-@gt4XJkJR-;C&Yf8P?<8><Zr-g5BHx(e+
zYcwdP{w%{5PbS|s^3!TGEBII`NeD?%+2Ik<F>4wCQ-Jq<ewMooWe00$@;}xWw!s<?
z#j|#g(e*1wA7yxQh4S6fe0hO7CZG@2!9(6xky5`t+^2Z?J{b`M5cs|3`aQzZZ7Ki<
zm1Ihxkc;#n>1x_D$4o`E4pw$w5(+SMbca1%vvpVsZsX^0-6Ah<ljaVXJ-~%ySF7g+
zCnJf(fZ$Wz>_$hZc~;+R50kO_FpZb-!sC;(W31O5$h*S&X=z@PhJA2b9uIY!vs@c~
zDum{hj4C9gB>4Z!JjQ7kw`<MdH>`+Fb<U4#QFML_6^Ixx;nnO=H!nF#DG}eDfg)Tp
zG9fFGTogWCSd*)HjGM$1hF9+5-%~hTpYEY9(b;i>XFSSq!&h<Q7ZsZj2qWr@^TC!S
zUMgJ>i}%gg2LC;6pA2s(7f;?L#KOEng$<_xvc@}?%`o(wRj<xS#dt3Yj-F(~leW%2
zWq0G^lBaz^Fo|Yg)~^%TKyEhRs1`JGVePbNV0~@y)9pic3DG-pqzR&?`pMi!9_i}I
zGx2~M<iUU!By4!NBX{FV#$S-2gDoU-OW6(rlI#%VJu6Tc_DeO%Su0az(AgEZjkI0R
z5-IF+e2fsq#e!wW2%jkN1ejxr^XdK36*~ht9w<&X60Wt_QX3uIS{5;;w(F9kC&!au
zXmUQ)%r4Gl-nE})oGjZ{si+&ZZBz)^v6WT()&lfUqFZhyuwmW<JhAdJJt_DBL*O9F
zZmY#?ZK{%KVh)<(s!Ai?%9&n&CUI-6P$~b3=9!k0)~I2-qy|+7Y_OBz(~=hku3}T~
z=8j$l$Wn1VLvcAPc;}Cpwd&PmZxH?I-jA9dOFY>d{ZspIo@(pqQRDGB@n*phn0_j+
z`oqKjJl^&z5c0bWrY_*1zR=W8$FM-4rZo~eyKu%~?iK{MvA;`bNI9e}6wHv^4a1yu
ztqFNBj?0ParHhoS8QGm>e&C0h`!SXAS|$%Jz-oM98b=DBIEIzySRHYQ_FB!8|NKyG
z#lgSFCt^8$o#cNzYPnfG&Yrk@PI=5d=UUchsB3@;NdDSh8lg$06H%)LssgXv{lHt>
zazTV`rKgN$0;`><=?S)ELomBzF~opPP;VA2P@Pid6NoDJY^=?6LoHpp0VSRY*MHDw
zCq2Ka=e3O4xq=7XKercv%qevk$b67~8pB<v=Mm09)7al<Y=COpW5F4d<X;yUnBI~n
zPtRb)1J84iB=162A}BEAK;G&%8=Wq;QesRhc|jzV8rcK>0?ayyzp-kvxT(ER-uc?G
z^_`!q&|ZJXQ5GRzf*|3iiwI0Me=#gCxz<ctF-kibOYbD>v?xeG_aGh{YJwI=6-kqs
zy_V)sa)<ly86b?ow%fT<{-h>fnde$urh%NIT^~BrgB?>Ce6*(}4l&2Isc@I~ZzZz$
z878Hk{b0~#H|vL^4ms0+Oo6)n@d|>DF&hq_WXBe8yTq0p7N+n32dAUzM%f)&`xi{b
z>k~=-Iq>(0IQQTVZqdKjeh@BA*SfQPxL`HarP3$N=t5AT+9-<>R%7^g-Zh_Z3_=5U
zZ;(?+y4Q%!ovBc)*3zEu%<m*p-S^8%iG3y{C&O_ykN#WW{9j=Eq)eIXmF0DU5$&{3
zAili)fTKm@e+}h>iphbA^&AP(jUkUGdZ57+%I!8jJPIb^k0g?C49o?Jd0exG$M{9f
zEVHY(xP-=(BgYX&0An4_L7uvVT6FUSKKMU>uKsR~xCWSo2u(gJQ@bs#c?R{W?N1H#
zN5glqHZ{-^qM{-JDnV9Uoj(#I0Al>jT+9%6_}_p0zP{5>7bmHzCoSjSle?7qUL&jJ
zF<<x+U`@``ErBIR`AZ|5bP0>bVhOUixw)ATq}rJj?`=I;Bm(b@H7ip9Wql0_j5s-N
z?r(7r_Ed3cj+7&YD#87%#$6MoL0oi_9)0LM7;zQYi9B6b(cxqB{3S|czNlw+AcPdT
z^MAS?4o1pQ@`~g^;Pwuax?Jh0t&G*NP|e5cz@Dg#gVWk?lux#yPnP##?vStBo#L%0
znqz75up<79_5U1w@2NFnj592x3FwhV4~RSDxI26yQ>m4PMTnTU-WcTY>3t%zj?r?Y
zi#MHs8*E%aImG8}q+d@Y;0SpTl%(+kZ42MmtL!~ZzG*VCjf^scioH`F=In)zQfQ=M
zfvw3F<9T08BH9opu#Wn!;GVqT9PW-E?6p6A6f}%PZQ9ST;OL`6>s8Pg|H<DlG^Ku-
z(^_T0(W}6=p!7d%B^}L1=T$v@vz-q^#n~(hUWlN*PgJ!-=TlVKPIhtE8X}_ET;CWm
z0>=PN=S+TrI;DAIla@_lycw_>G5#}b$KsBEk~9%oa<Q}xm{*cZ8P@b+w3cIXAWHO!
zB~6T+@fu}Kefd{eg_Ibk#nYv30&HF%_2uA)uXg`ijbimM6XL&>L;8uNW%j7TG<;j?
zXqY_BTCB4L=B>X3V&e&p0MF#70`|9P#0hPBHE575UlEiZQiUNMS7NLM4ix@c)MwJ`
zh~bCBD$>@vguZNk!ouREf+_{Y)d8gMp0}heZfz={|KSR1VzURMfF=92e4*yR#PC^<
z`QcS>P`Y4fk;wDy@*u0TS)0U1!OXAr>OV5yLmSEZvQX2O6)ct`s6%yTAKl9%OtRyG
zYRnV7w`sT^nqko;KA{Oe5yvU3*$>m?oJrG3W);s3l!T+{p-QpUy_g&Sq3iNSR*vn7
zsC738fX!4|C*kJ1k^sb1N(4uTNpn9)P_X`=nz#xfG|0=yf$Q2mAw0jsx%`a7O%l4>
z)FW#!JDOX`N1i6sk<LY?_@`ZCd5Br(EBfF8mu4VvVS^0mySRW`?oje-P#E|zIs09-
z8nPk&*N+2TnM5Q2NH@y{0X#CILTHEHOjf{g4+~!++9jb@?2EJ_Fk-Q(Bh5%_k1ciM
zcNJ>U8^#*g2?;=Qse=i1XO_Jr+WcpqjnSvHt;Syc?&IytW^?^&qRG^$7mAoAvYFcb
z-6Pb?EhV#}3&g?I7p-_$#aTV(j(%>kLk;D1^fR*&h1A!x33y~;Ra;$$brB6ui6$QN
zsz<k-1?IDZWH57HI00ujO^H~yAS`>j&Q<GHwr7Oy|KE`H&DH>uab2rLyIkdU+H&T(
zN$R>;%0h7_>pn{jopdjbdQ@%um8i2ZM^$f9+&xcoBt2g8r;vMJS^qLACw`@&)K0L=
z^h;*uF;F(fJFqG7lwP9$<Z9q5&XHz&GpxfC&`p<s_C0b|^FK<=&Hm;77Z4ecz`_En
zW+d|XhZdal(%34LpJ#YZVJ#vU#6<P^6B4|R<S*{LClb4p1wd^j<@>mO<;u|ynWv6V
zk&Q}vO4X30(P#Bo)wJc_`^dss4%p{yS#U%?1S5a|4enyS@w0*LUM}2_X@B3`shv#W
z#$JCl_|eJaN8+|L-&3Q7KQu863{tDT5HCN5(eETN^}}BlkQ&S`H%f<2AK3hB0h2w)
zrWu&QgN8MJ40x1PKN(`Csm{*pemQVyc7!txs%7-29Vf1E(<x>M_rJvH^Qo+LlM&mf
z2@Wj}yFY)@$Lf6R@T4!Y4tVr05dAFocDwx{NK(AhiALej=KTkIl6)_r>nWW&nsw`0
z^JM4uV{M>v;#8yBm|QNuS+hyC1-cz<GuIGuWG$O=xaOgx6g@x3XrV=U5aC37iwMvf
z{@8sa;%ZBZizXeR6(U6N{5!DniNXiG=&xD^%K^G(tw~$_+x*%MjToM+4r+ja(?yHX
z+h@M1gQ_`R0Lj0!_c#cGi)V~SM>0H6Vt~7A>)#J-lTYA?$<xU$e2Vq=m8^p&*hW~|
zgU3|9AJ2Ymb8lw(JFx%+j%oaPRAS)VcxKc_Vj(#gk8Yahv@{{8d^`sO$<c!%7jF;?
z<xC0}NKS2^p{KO!?z~sGyq0`QR7T+~1Y%ji!reMzMl+}Pk+E=ZJ@G1N2Tc_g$>d3{
zvZNU%1MON3)5EeGL)UpDc1x09)c2SIeD?<AMUJ!Un?5HXjqf@KUpW>Aj{+q{6@cox
zzfB6Fn>Z}Rp4rQ^$^d%hxJNC|U88@w8^S^T0x5r2Ad>{G6UaZez5XDw-B*o(BA4<y
zqhz@nfWq`S`J7jN%}lZZa27|)-S`bVhuEgLECd1;FG;Zvg8y+?29%T%(h&4>w1pgz
z$jUoyJsX%awu=twh_im80=($srA&gr3BU0S<LOAwFLGV|ga*555))iGzoD{m`AzH>
z_PMIM@FEram3y1ku*HIChjBIl>;xIG$_Rqk(ZagaN^$*V?)b-3#(EWEDt}v!V~qgI
ziI#FHl~-$>j)VbMl0^1%_CqwMGkup3Uqr%+0-1ZP<Lt-8MwaCWlw5&3=hx7RQ@s@5
zA$Yb9<cSK68aVSY-RRCOq9n)cThzVrMW5a<G9&L#?3x4zA;gu+OSlZt(p>5KW{9SU
z<MD%$N*sV6`qx_?uof)qmw!oH6V0mhm^hl|!cIN?4Yc&F&@Dd!%_snF+oNg6084ht
z>c3|qiSO)`yvuzM{aN`Jtc$Q&Ha{H{YGiN=l*z9u-R5`+UAj|YOgX$C@h{{Qm=n6H
zq(YeoBY`#z+Jbcz-#>8BE~H<GAt_VGbVce_#F80d-HSOBJSZW53AoZ~a@r6*wXVB~
zR$>wrhXse%bj^R?*RvWqEy}gOd=5sICvb2JoM02Fb<R|qAO7Ri`FD8~S8s79e^v5@
z&G^GOvx||$dl|!xJs00_Q>TtAd<PJ6=zfT-gLZovbXg!GlkULKz)K>K7{pluet)8e
z6OTT|cYtBnLq<MVA~4@4LhHx>fjf+aShk!Tt4PE@UvfZ#*S5sRKOL2Jsht5_1_IE3
z9ifXRa;{8+ZuN~~MkR}FUnBc$Q(s8C<_2|Zqf?l){kP(!7Cr{M*1$%BLhZlTR$^UF
z$XX{{ER-=MMUF8_s^h?j)Ar0eUr+5Nh&!QGlV_8{IOYE+n0U*v=)icfS_Pc}(OkMZ
z?hQ$iOxSuJV<Ld~2WV5SiX=wUN={yb1O-9J+>%r#<=heGisl3i#7jq<aimIs87H$@
zI1KP9&#M6`0+BurZb398K1p*MV<H{Cv{ja#?8ig=pK=%U{>EkWL6n;RTiL0esvd@@
z=i?!DkP7Xv2)+mO2t>Y~S6EdCgE8QZvj~sG!3I&8JW0mq7fW39s(XI%`(F=d9U?+<
zDv4Tfs^U@+s+U0pIM%NM6<bcN^^*<TdxvH+2YiSp)s)n;W&#BKtI!iJgN4QtVY~qO
z4G*Q(QOmr#eLxNNAgg;>K)0O^D4~<Gvt8H)uq{{B9?xP0GA0e8)4oAI^MOq`zwl4&
z-(n62S3FF)x=d@+AAfQ_VSe9O=9ulMLDLqf5&w*ev~_~&hFWM7ApT_bx5m6C7+Xzn
z^i`pX9SJLqg((ot7-)0Q=|$@G!0#6b?rjo}3KSYLv&uoxs~MJE4A}~4S0FH|p&nC>
zjFtNiP@$clY|ucaRX1o-onPaG;&$|yKob4^J$r>cD4<@~@pH}_rIEG}?p+k3A@_dN
z(pi|je=G!6OKPOyb-2`i*FGA-kn4FO5`!H>Qd>qO-Se<4Hftt}&6{Kyn}H*qk3ZaG
zVpIw`&?cKj#@ff~Rg>#;m+1{7CZzw<$=j!{4p!**yw2~~&y{%%%tMnuU~BImNbr7%
zzk!6ondZK>BOc?+Yuo>(U%sZ~u*t#b-+3AYZG?RJ=>5p?kUy&gn+)O_*oRk_-RXUo
zk%6QtW=V36-FE&vgWoej4~1-5g?@DX>eKIPxh)u*6l4&7>Rrd2nJ#%2a-$I$8y3YR
zgQQbPT}unfekXo!s$+w#ym3_qBoTdB?*78)GlI73fr}$+IBXgdwY)ra>SgagF_IvW
zC<KkR1OZacw^J4{&1t5`{PJvghqm;`SG~AcV_7zVmq$sxO#n`7Yhoo|P?Z{r+kAHv
zhapk;tF+^tAJLA5W|05Na$v&u=$vEdpcT0_l+wa0qc-GV?*C`ZW}sa>g=QS685iF9
z<GaRLd=tSQDM7-XqHorsOZ^sR>=F9*@L#z#ySWmCy1V>#=8}5gLhm^0c;~x96^@uz
zLg&qE5oKHv&G!rx3GNA;Q#EE<zYj|Fhi{O&1fw9Do+3?kbd(Qz_!zV%?6Dji3n{TZ
z!~^Wd@iPlM#Bj+~w-86+<*ftTP=q(2zcZ5PB}&tt_0?2IT;_3-4U;2GB|o!3@kWnW
zfEV9**N5J)FX8NTkf+#ZKQUXiowdi!Ne2FF3afT}O${amCs;^51NbWtE_hJ(?_-Ph
z&+IrGac__eh{eH>&)VR#dIey4QUo~uVm3m;R?*LeOW{R%3LBu9y@US(WmHsix=jJP
zoKMZYm>cl`&AQ?c)>#kNjV{<Z+oOW)m-<z`Jayh4UmG2vsb`-W-$TLAG@N%@|NQ>*
z0oXP|ayWVxlJ#f$Qx@0VoF|S56k2}WRmS`wtpHajAND8xHz{4K%jBfdD<y(mXkY$>
zMG2AC#oH76jh6x<D+0wHYAq0Broum1jL}{`;ZuNlqXq&XxeH}KD1%GfwK>p)V^1FJ
zX!2^^H<ty#p5Zw!g;{*9*kH<YW~<XZn3DGck&~nvM?rcyzSn0Av+gUce8g)J{!mKD
ztv(;t%gUm;%HBgGrYtph@&cQ2=@T-m)O980Kd3xRW>Zoek|2yIaLi>K`-vRqvB<|<
zpe~RVx$dA~0HYJEC7;`m4F;M)L4gi_69&0`ELrKA79T1|55+*bC<xFWREupBFAL_f
zsP`>5YG6ka+Dt0F=v#B*GytdMRy}<A?-FOJ<LXQ0=%eOD!bz1#?<>Tx+5-~RP?*fo
zEU`(MSRdzvAQihZGsMkyV1Z&DiBy;(oq$X>t^H|jt0T^{ls$=#QpV2mie0^mZ5X&q
zXkLZUAh6&t=)p9jViJI~of81&Kx7|53SDO=da6<y#}BL=8-0`mM0OT~PbX$HN02%H
z!)`j?hTvZsdGG(gfeZD&u+am9V6W$~qgqct&)T@)btsL?b%P^wIkgs^^-(*OB-^Bl
zf+j$JDqC@WhCS;U+{he5(;UqpnVs{#0pIB*8(h`x6a%RN)ouBt!Exa_lGdTmog`jh
zIY6G|G#6oXQj)?V#%LjY{-)K;u)=DW<}~2D5Jz}J{PBa}Hi~HT4U#ifcg9amb>pF&
zYvr+UQ${juTcay;94C)FOZrO5yql@-;YgS4qGKXfFM^?dXd8B?fE>5V%e#ci9e@Qx
z4<D1%S;ID;U?;?N-1^U3n`^S+Oxh54Ff_;Kjx`<mnWO0aeR;6I<a`zrS$aJyG(E_;
z5IzZAI(bVJDI_05SN*kIK|o6)3G0em-Be1RJn4n%I23H&ojB+BpFWDF1=cd{3!g^a
zhV9*uT)4u^+X-^Vj0@F3#%bIzl?Fd-^B9Hsy_g2B*Ef1#^xbUHsLsM_94VdMZi+aj
zQ@#juRkhvY;+fWZU9@E>-@k;s!KfM*|M{nLrrW**0ER+3)<kyr9S*sSR@~&&LTuqq
zWiU0cYk2lFJnjF8F$m=Xm1A<m;kV#uNl90Y*FB$%S!r8FnXPSojjE9+lY}FszEPy3
ztZ+Vyr3MN@)ga2mB?RhDTB40tymDC1a~ZST;N+$n;c1Ylp#QBXBNZnph8FFu%H?r@
zxs=)+^Q|s?o`srY4U$z4iR~8oFX06p(&X=KPCQ$9E3}Q=UbYnc2<`+$#*u}1+n{zE
z*s7h~!8n0d$iNaJH%ueh%%T-E?!b!GyFm_qil{6Rs;k1I#5zF?3D2oc7DHDGaR8KI
z`n{3uu-5Zn-ECe$PcF-dk~RExbSCOrq~XHDuJ*gFlscv}4CvoJbmFZcKh(PbQrN$&
zCNxE`iX<$`jIrygsjqO?qL`eF3k&qh;vI%$uSGYyt$(BQICGli;!B|%iJhLvIeJU&
z&T=l&V2-ZAGy2t;{JP=;1(fqY&ewtqcIbF^*m=u_5^&PPdP_|&hz4S=k2eg~A!?uS
z`m|**<HF}2L!;z8_1}sFL5RL_xteU}%5DF@skASahgl6~umm_0N@FGeqq}235Kl$n
z@som9EA6YpH&0EzU4Nn~Q#;A~zDX*E6O)L(*|D=Wr6j;IcaM5jcF^{G4U8>p1dl+D
zqaSxyZ=*3pO0Bhf+TZ((Xh`#<9sr!S9lm2cs7K3N&;{dZlsc`JN_4l$44wRAE`w0?
znrwb@9jDwf4rGL-hl%YP{TaNieXPGAO%h4}aJ5y1#xSXy%oPfgCy%-8QNI8tS@=8Z
zu`&NRy;sKW3w`-#LTbKAkFze`4ee5!+N-ptK$}>WPS?x}r(jmY!Iy=~HwMLNDbsKl
zf!VdE4206^LFy(ify1c=L3S8ZRrSeje!7Q03=*N5&}2m)WU=9z8!sAaz4tLe`}5kC
zW1B_fj40QJwQi#{lJY?y4h*u?V_R%gvGwa_3mcw01>MkOU;J$Wor)!>1(+2-v;i=)
z@DG%8R=RYyI#b(Y48?9QZ}eIp_Vl5q>ip%cr>{>a-97tcGnq-gb4<tVy1Wn?oVGth
zy-hk%*gphJP*1&2YVs-Kf9YC_HS?b$h_M6yX%Fu~tPy-CRgno9R=}h{AxaQw_a#C{
z`iV}GQXguGEz9_;r+?zV=Yh~yJF1BWe(jpBx>n>b5iqv(Z1&OA9+{oEZAsR7TGQ-T
zEh_G)Af3D&a(Jp`sgeBxinfy{MunQ+$!s55GP1Jxm^v@2D)kin@{Eu#cv7NweLR5q
z`I)B}mfq0q@UcSz1ZFr#C<2zPtJ|aa{Nd?)`<M|bX}7pC(101Op~#4EpIDs4&OwSL
zB%spwnIo^L%2uAfjWJ79Ce8ZM_n7TAqvN}#zdzVQn=^JC%dwbws59t_Tpwd}D?kGU
z+;^?iHN20B_-B{R;iyO*Rh~8+T|#G)at`c-xSkdHt754jmZVLWH!I)a2?{S^sV&7I
z!-Jzc0CmDjT`4h-T6`hCvXikHkz0IeR0e3Wj+xK7WXSDOZwy-YnFAPCj}2>)qg-bc
zQsdb+ydH5w3RRVT)!#V=Zuttces;f>_A{0B)NL>!67^)Ve`zD=snxG}j#{zv!j3yY
zN+KfU2wDCHWh7~ol4dg?Ws3<0J+(wNXn<N*^Ygo^26)Fmg3uoE9X>>wVbKn#B(HD7
LQ!6ARqMHVQm`0Lr

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in1.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in1.v
new file mode 100644
index 0000000000..b6584448f0
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in1.v
@@ -0,0 +1,106 @@
+// megafunction wizard: %ALTDDIO_IN%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altddio_in 
+
+// ============================================================
+// File Name: rgmii_in1.v
+// Megafunction Name(s):
+// 			altddio_in
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 6.0 Build 176 04/19/2006 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2006 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_rgmii_in1 (
+	aclr,
+	datain,
+	inclock,
+	dataout_h,
+	dataout_l);
+
+	input	  aclr;
+	input	  datain;
+	input	  inclock;
+	output	  dataout_h;
+	output	  dataout_l;
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire2;
+	wire [0:0] sub_wire1 = sub_wire0[0:0];
+	wire  dataout_h = sub_wire1;
+	wire [0:0] sub_wire3 = sub_wire2[0:0];
+	wire  dataout_l = sub_wire3;
+	wire  sub_wire4 = datain;
+	wire  sub_wire5 = sub_wire4;
+
+	altddio_in	altddio_in_component (
+				.datain (sub_wire5),
+				.inclock (inclock),
+				.aclr (aclr),
+				.dataout_h (sub_wire0),
+				.dataout_l (sub_wire2),
+				.aset (1'b0),
+				.inclocken (1'b1));
+	defparam
+		altddio_in_component.intended_device_family = "Stratix II",
+		altddio_in_component.invert_input_clocks = "OFF",
+		altddio_in_component.lpm_type = "altddio_in",
+		altddio_in_component.width = 1;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ARESET_MODE NUMERIC "0"
+// Retrieval info: PRIVATE: CLKEN NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INVERT_INPUT_CLOCKS NUMERIC "0"
+// Retrieval info: PRIVATE: POWER_UP_HIGH NUMERIC "0"
+// Retrieval info: PRIVATE: WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: CONSTANT: INVERT_INPUT_CLOCKS STRING "OFF"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_in"
+// Retrieval info: CONSTANT: WIDTH NUMERIC "1"
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: USED_PORT: datain 0 0 0 0 INPUT NODEFVAL datain
+// Retrieval info: USED_PORT: dataout_h 0 0 0 0 OUTPUT NODEFVAL dataout_h
+// Retrieval info: USED_PORT: dataout_l 0 0 0 0 OUTPUT NODEFVAL dataout_l
+// Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL inclock
+// Retrieval info: CONNECT: @datain 0 0 1 0 datain 0 0 0 0
+// Retrieval info: CONNECT: dataout_h 0 0 0 0 @dataout_h 0 0 1 0
+// Retrieval info: CONNECT: dataout_l 0 0 0 0 @dataout_l 0 0 1 0
+// Retrieval info: CONNECT: @inclock 0 0 0 0 inclock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1.bsf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in1_bb.v TRUE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in4.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in4.v
new file mode 100644
index 0000000000..f3e1f8be5c
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_in4.v
@@ -0,0 +1,102 @@
+// megafunction wizard: %ALTDDIO_IN%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altddio_in 
+
+// ============================================================
+// File Name: rgmii_in4.v
+// Megafunction Name(s):
+// 			altddio_in
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 6.0 Build 176 04/19/2006 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2006 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_rgmii_in4 (
+	aclr,
+	datain,
+	inclock,
+	dataout_h,
+	dataout_l);
+
+	input	  aclr;
+	input	[3:0]  datain;
+	input	  inclock;
+	output	[3:0]  dataout_h;
+	output	[3:0]  dataout_l;
+
+	wire [3:0] sub_wire0;
+	wire [3:0] sub_wire1;
+	wire [3:0] dataout_h = sub_wire0[3:0];
+	wire [3:0] dataout_l = sub_wire1[3:0];
+
+	altddio_in	altddio_in_component (
+				.datain (datain),
+				.inclock (inclock),
+				.aclr (aclr),
+				.dataout_h (sub_wire0),
+				.dataout_l (sub_wire1),
+				.aset (1'b0),
+				.inclocken (1'b1));
+	defparam
+		altddio_in_component.intended_device_family = "Stratix II",
+		altddio_in_component.invert_input_clocks = "OFF",
+		altddio_in_component.lpm_type = "altddio_in",
+		altddio_in_component.width = 4;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ARESET_MODE NUMERIC "0"
+// Retrieval info: PRIVATE: CLKEN NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INVERT_INPUT_CLOCKS NUMERIC "0"
+// Retrieval info: PRIVATE: POWER_UP_HIGH NUMERIC "0"
+// Retrieval info: PRIVATE: WIDTH NUMERIC "4"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: CONSTANT: INVERT_INPUT_CLOCKS STRING "OFF"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_in"
+// Retrieval info: CONSTANT: WIDTH NUMERIC "4"
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: USED_PORT: datain 0 0 4 0 INPUT NODEFVAL datain[3..0]
+// Retrieval info: USED_PORT: dataout_h 0 0 4 0 OUTPUT NODEFVAL dataout_h[3..0]
+// Retrieval info: USED_PORT: dataout_l 0 0 4 0 OUTPUT NODEFVAL dataout_l[3..0]
+// Retrieval info: USED_PORT: inclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL inclock
+// Retrieval info: CONNECT: @datain 0 0 4 0 datain 0 0 4 0
+// Retrieval info: CONNECT: dataout_h 0 0 4 0 @dataout_h 0 0 4 0
+// Retrieval info: CONNECT: dataout_l 0 0 4 0 @dataout_l 0 0 4 0
+// Retrieval info: CONNECT: @inclock 0 0 0 0 inclock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4.bsf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_in4_bb.v TRUE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_module.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_module.v
new file mode 100644
index 0000000000..679b8810d0
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_module.v
@@ -0,0 +1,270 @@
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+//
+// Revision Control Information
+//
+// $RCSfile: altera_tse_rgmii_module.v,v $
+// $Source: /ipbu/cvs/sio/projects/TriSpeedEthernet/src/RTL/MAC/mac/rgmii/altera_tse_rgmii_module.v,v $
+//
+// $Revision: #1 $
+// $Date: 2009/09/30 $
+// Check in by : $Author: max $
+// Author      : Arul Paniandi
+//
+// Project     : Triple Speed Ethernet - 10/100/1000 MAC
+//
+// Description : 
+//
+// Top level RGMII interface (receive and transmit) module.
+
+// 
+// ALTERA Confidential and Proprietary
+// Copyright 2006 (c) Altera Corporation
+// All rights reserved
+//
+// -------------------------------------------------------------------------
+// -------------------------------------------------------------------------
+
+// synthesis translate_off
+`timescale 1ns / 100ps
+// synthesis translate_on
+module altera_tse_rgmii_module (   // new ports to cater for mii with RGMII interface are added 
+                      // inputs
+                       rgmii_in,
+					   speed,
+					   //data
+                       gm_tx_d,
+					   m_tx_d,  
+					   
+					   //control
+                       gm_tx_en,
+					   m_tx_en,
+					   
+                       gm_tx_err,
+					   m_tx_err,
+					   
+                       reset_rx_clk,
+                       reset_tx_clk,
+                       rx_clk,
+                       rx_control,
+                       tx_clk,
+
+                      // outputs:
+                       rgmii_out,
+                       
+					   gm_rx_d,
+					   m_rx_d,
+					   
+                       gm_rx_dv,
+					   m_rx_en,
+					   
+					   
+                       gm_rx_err,
+                       m_rx_err,
+					   
+					   m_rx_col,
+					   m_rx_crs,
+					   tx_control
+                    )
+;
+	
+  output  [  3: 0] rgmii_out;
+  output  [  7: 0] gm_rx_d;
+  output  [  3: 0] m_rx_d;
+  output           gm_rx_dv;
+  output           m_rx_en;
+  output           gm_rx_err;
+  output           m_rx_err;
+  output           m_rx_col;
+  output           m_rx_crs;
+  output           tx_control;
+  
+  input   [  3: 0] rgmii_in;
+  input            speed;
+  input   [  7: 0] gm_tx_d;
+  input   [  3: 0] m_tx_d; 
+  input            gm_tx_en;
+  input            m_tx_en;
+  input            gm_tx_err;
+  input            m_tx_err;
+  input            reset_rx_clk;
+  input            reset_tx_clk;
+  input            rx_clk;
+  input            rx_control;
+  input            tx_clk;
+
+  wire    [  3: 0] rgmii_out;
+  wire    [  7: 0] gm_rx_d;
+  wire             gm_rx_dv;
+  wire             m_rx_en;
+  wire             gm_rx_err;
+  wire             m_rx_err;
+  reg              m_rx_col;
+  reg              m_rx_crs;
+  
+  reg              rx_dv;
+  reg              rx_err;
+  wire             tx_control;
+  //wire             tx_err;
+  reg     [  7: 0] rgmii_out_4_wire;
+  reg              rgmii_out_1_wire_inp1;
+  reg              rgmii_out_1_wire_inp2;
+  
+  wire    [  7:0 ] rgmii_in_4_wire;
+  reg     [  7:0 ] rgmii_in_4_reg;
+  reg     [  7:0 ] rgmii_in_4_temp_reg;
+  wire    [  1:0 ] rgmii_in_1_wire;
+  reg     [  1:0 ] rgmii_in_1_temp_reg;
+  
+  reg m_tx_en_reg1;
+  reg m_tx_en_reg2;
+  reg m_tx_en_reg3;
+  reg m_tx_en_reg4;
+  
+  assign gm_rx_d = rgmii_in_4_reg;
+  assign m_rx_d  = rgmii_in_4_reg[3:0];  // mii is only 4 bits, data are duplicated so we only take one nibble
+    
+  altera_tse_rgmii_in4 the_rgmii_in4
+    (
+      .aclr (reset_rx_clk),         //INPUT
+      .datain (rgmii_in),           //INPUT     
+      .dataout_h (rgmii_in_4_wire[7 : 4]),  //OUTPUT
+      .dataout_l (rgmii_in_4_wire[3 : 0]),  //OUTPUT
+      .inclock (rx_clk)             //OUTPUT
+    );
+
+
+  altera_tse_rgmii_in1 the_rgmii_in1
+    (
+      .aclr (reset_rx_clk),            //INPUT
+      .datain (rx_control),            //INPUT
+      .dataout_h (rgmii_in_1_wire[1]), //INPUT    rx_err
+      .dataout_l (rgmii_in_1_wire[0]), //OUTPUT   rx_dv
+      .inclock (rx_clk)                //OUTPUT
+    );
+
+
+always @(posedge rx_clk or posedge reset_rx_clk)
+    begin
+        if (reset_rx_clk == 1'b1) begin
+            rgmii_in_4_temp_reg <= {8{1'b0}};
+            rgmii_in_1_temp_reg <= {2{1'b0}};
+        end
+        else begin
+            rgmii_in_4_temp_reg <= rgmii_in_4_wire;
+            rgmii_in_1_temp_reg <= rgmii_in_1_wire;
+        end
+    end
+
+
+always @(posedge rx_clk or posedge reset_rx_clk)
+    begin
+        if (reset_rx_clk == 1'b1) begin
+            rgmii_in_4_reg <= {8{1'b0}};
+            rx_err <= 1'b0;
+            rx_dv <= 1'b0;
+        end
+        else begin
+            rgmii_in_4_reg <= {rgmii_in_4_wire[3:0], rgmii_in_4_temp_reg[7:4]};
+            rx_err <= rgmii_in_1_wire[0];
+            rx_dv <= rgmii_in_1_temp_reg[1];            
+        end
+    end
+	
+	
+always @(rx_dv or rx_err or rgmii_in_4_reg)
+  begin
+		m_rx_crs = 1'b0;
+		if ((rx_dv == 1'b1) || (rx_dv == 1'b0 && rx_err == 1'b1 && rgmii_in_4_reg == 8'hFF ) || (rx_dv == 1'b0 && rx_err == 1'b1 && rgmii_in_4_reg == 8'h0E ) || (rx_dv == 1'b0 && rx_err == 1'b1 && rgmii_in_4_reg == 8'h0F ) || (rx_dv == 1'b0 && rx_err == 1'b1 && rgmii_in_4_reg == 8'h1F ) )
+		begin
+			m_rx_crs = 1'b1;   // read RGMII specification data sheet , table 4 for the conditions where CRS should go high
+		end
+  end
+
+always @(posedge tx_clk or posedge reset_tx_clk)
+begin
+	if(reset_tx_clk == 1'b1)
+	begin
+		m_tx_en_reg1 <= 1'b0;
+		m_tx_en_reg2 <= 1'b0;
+		m_tx_en_reg3 <= 1'b0;
+		m_tx_en_reg4 <= 1'b0;
+
+	end
+	else
+	begin
+		m_tx_en_reg1 <= m_tx_en;
+		m_tx_en_reg2 <= m_tx_en_reg1;
+		m_tx_en_reg3 <= m_tx_en_reg2;
+		m_tx_en_reg4 <= m_tx_en_reg3;
+	end
+
+end  
+  
+always @(m_tx_en_reg4 or m_rx_crs or rx_dv)
+begin
+	m_rx_col = 1'b0;
+	if ( m_tx_en_reg4 == 1'b1 & (m_rx_crs == 1'b1 | rx_dv == 1'b1))
+	begin
+		m_rx_col = 1'b1;
+	end
+end
+  
+  assign gm_rx_err = rx_err ^ rx_dv;
+  assign gm_rx_dv = rx_dv;
+  
+  assign m_rx_err = rx_err ^ rx_dv;
+  assign m_rx_en = rx_dv;
+  
+    // mux for Out 4
+  always @(*)
+  begin
+    case (speed)
+      1'b1:  rgmii_out_4_wire = gm_tx_d;
+      1'b0:  rgmii_out_4_wire = {m_tx_d,m_tx_d};
+    endcase
+  end
+  
+   // mux for Out 1
+  always @(*)
+  begin
+    case (speed)
+      1'b1: 
+		begin
+			rgmii_out_1_wire_inp1 = gm_tx_en; // gigabit
+			rgmii_out_1_wire_inp2 = gm_tx_en ^ gm_tx_err;
+		end	
+      1'b0:  
+		begin
+			rgmii_out_1_wire_inp1 = m_tx_en;
+			rgmii_out_1_wire_inp2 = m_tx_en ^ m_tx_err;
+		end
+    endcase
+  end
+  
+  
+  altera_tse_rgmii_out4 the_rgmii_out4
+    (
+      .aclr (reset_tx_clk),         //INPUT
+      .datain_h (rgmii_out_4_wire[3 : 0]),   //INPUT
+      .datain_l (rgmii_out_4_wire[7 : 4]),   //INPUT
+      .dataout (rgmii_out),         //INPUT
+      .outclock (tx_clk)            //OUTPUT
+    );
+
+
+  //assign tx_err = gm_tx_en ^ gm_tx_err;
+
+  altera_tse_rgmii_out1 the_rgmii_out1
+    (
+      .aclr (reset_tx_clk),         //INPUT
+      .datain_h (rgmii_out_1_wire_inp1),         //INPUT
+      .datain_l (rgmii_out_1_wire_inp2),           //INPUT     
+      .dataout (tx_control),        //INPUT
+      .outclock (tx_clk)            //OUTPUT
+    );
+
+
+
+endmodule
+
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out1.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out1.v
new file mode 100644
index 0000000000..b97e1d782b
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out1.v
@@ -0,0 +1,110 @@
+// megafunction wizard: %ALTDDIO_OUT%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altddio_out 
+
+// ============================================================
+// File Name: rgmii_out1.v
+// Megafunction Name(s):
+// 			altddio_out
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 6.0 Build 176 04/19/2006 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2006 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_rgmii_out1 (
+	aclr,
+	datain_h,
+	datain_l,
+	outclock,
+	dataout);
+
+	input	  aclr;
+	input	  datain_h;
+	input	  datain_l;
+	input	  outclock;
+	output	  dataout;
+
+	wire [0:0] sub_wire0;
+	wire [0:0] sub_wire1 = sub_wire0[0:0];
+	wire  dataout = sub_wire1;
+	wire  sub_wire2 = datain_h;
+	wire  sub_wire3 = sub_wire2;
+	wire  sub_wire4 = datain_l;
+	wire  sub_wire5 = sub_wire4;
+
+	altddio_out	altddio_out_component (
+				.outclock (outclock),
+				.datain_h (sub_wire3),
+				.aclr (aclr),
+				.datain_l (sub_wire5),
+				.dataout (sub_wire0),
+				.aset (1'b0),
+				.oe (1'b1),
+				.outclocken (1'b1));
+	defparam
+		altddio_out_component.extend_oe_disable = "UNUSED",
+		altddio_out_component.intended_device_family = "Stratix II",
+		altddio_out_component.lpm_type = "altddio_out",
+		altddio_out_component.oe_reg = "UNUSED",
+		altddio_out_component.width = 1;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ARESET_MODE NUMERIC "0"
+// Retrieval info: PRIVATE: CLKEN NUMERIC "0"
+// Retrieval info: PRIVATE: EXTEND_OE_DISABLE NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: OE NUMERIC "0"
+// Retrieval info: PRIVATE: OE_REG NUMERIC "0"
+// Retrieval info: PRIVATE: POWER_UP_HIGH NUMERIC "0"
+// Retrieval info: PRIVATE: WIDTH NUMERIC "1"
+// Retrieval info: CONSTANT: EXTEND_OE_DISABLE STRING "UNUSED"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_out"
+// Retrieval info: CONSTANT: OE_REG STRING "UNUSED"
+// Retrieval info: CONSTANT: WIDTH NUMERIC "1"
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: USED_PORT: datain_h 0 0 0 0 INPUT NODEFVAL datain_h
+// Retrieval info: USED_PORT: datain_l 0 0 0 0 INPUT NODEFVAL datain_l
+// Retrieval info: USED_PORT: dataout 0 0 0 0 OUTPUT NODEFVAL dataout
+// Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL outclock
+// Retrieval info: CONNECT: @datain_h 0 0 1 0 datain_h 0 0 0 0
+// Retrieval info: CONNECT: @datain_l 0 0 1 0 datain_l 0 0 0 0
+// Retrieval info: CONNECT: dataout 0 0 0 0 @dataout 0 0 1 0
+// Retrieval info: CONNECT: @outclock 0 0 0 0 outclock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1.bsf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out1_bb.v TRUE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out4.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out4.v
new file mode 100644
index 0000000000..ae35c5a840
--- /dev/null
+++ b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rgmii_out4.v
@@ -0,0 +1,105 @@
+// megafunction wizard: %ALTDDIO_OUT%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altddio_out 
+
+// ============================================================
+// File Name: rgmii_out4.v
+// Megafunction Name(s):
+// 			altddio_out
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 6.0 Build 176 04/19/2006 SJ Full Version
+// ************************************************************
+
+
+//Copyright (C) 1991-2006 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic 
+//functions, and any output files any of the foregoing 
+//(including device programming or simulation files), and any 
+//associated documentation or information are expressly subject 
+//to the terms and conditions of the Altera Program License 
+//Subscription Agreement, Altera MegaCore Function License 
+//Agreement, or other applicable license agreement, including, 
+//without limitation, that your use is for the sole purpose of 
+//programming logic devices manufactured by Altera and sold by 
+//Altera or its authorized distributors.  Please refer to the 
+//applicable agreement for further details.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module altera_tse_rgmii_out4 (
+	aclr,
+	datain_h,
+	datain_l,
+	outclock,
+	dataout);
+
+	input	  aclr;
+	input	[3:0]  datain_h;
+	input	[3:0]  datain_l;
+	input	  outclock;
+	output	[3:0]  dataout;
+
+	wire [3:0] sub_wire0;
+	wire [3:0] dataout = sub_wire0[3:0];
+
+	altddio_out	altddio_out_component (
+				.outclock (outclock),
+				.datain_h (datain_h),
+				.aclr (aclr),
+				.datain_l (datain_l),
+				.dataout (sub_wire0),
+				.aset (1'b0),
+				.oe (1'b1),
+				.outclocken (1'b1));
+	defparam
+		altddio_out_component.extend_oe_disable = "UNUSED",
+		altddio_out_component.intended_device_family = "Stratix II",
+		altddio_out_component.lpm_type = "altddio_out",
+		altddio_out_component.oe_reg = "UNUSED",
+		altddio_out_component.width = 4;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: ARESET_MODE NUMERIC "0"
+// Retrieval info: PRIVATE: CLKEN NUMERIC "0"
+// Retrieval info: PRIVATE: EXTEND_OE_DISABLE NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: PRIVATE: OE NUMERIC "0"
+// Retrieval info: PRIVATE: OE_REG NUMERIC "0"
+// Retrieval info: PRIVATE: POWER_UP_HIGH NUMERIC "0"
+// Retrieval info: PRIVATE: WIDTH NUMERIC "4"
+// Retrieval info: CONSTANT: EXTEND_OE_DISABLE STRING "UNUSED"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Stratix II"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altddio_out"
+// Retrieval info: CONSTANT: OE_REG STRING "UNUSED"
+// Retrieval info: CONSTANT: WIDTH NUMERIC "4"
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: USED_PORT: datain_h 0 0 4 0 INPUT NODEFVAL datain_h[3..0]
+// Retrieval info: USED_PORT: datain_l 0 0 4 0 INPUT NODEFVAL datain_l[3..0]
+// Retrieval info: USED_PORT: dataout 0 0 4 0 OUTPUT NODEFVAL dataout[3..0]
+// Retrieval info: USED_PORT: outclock 0 0 0 0 INPUT_CLK_EXT NODEFVAL outclock
+// Retrieval info: CONNECT: @datain_h 0 0 4 0 datain_h 0 0 4 0
+// Retrieval info: CONNECT: @datain_l 0 0 4 0 datain_l 0 0 4 0
+// Retrieval info: CONNECT: dataout 0 0 4 0 @dataout 0 0 4 0
+// Retrieval info: CONNECT: @outclock 0 0 0 0 outclock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4.ppf TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4.bsf TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL rgmii_out4_bb.v TRUE
diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_converter.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_converter.v
new file mode 100644
index 0000000000000000000000000000000000000000..5a5e1725a970f543476a9b60973bbaec542bcf43
GIT binary patch
literal 6472
zcmV-O8Mo$(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Soo1^&)zBNYQ
zuOI|<dn10A*;8XBj#DU(x>GvJb>D<~2UYtVQ<~?tSB9B0xW1x7{MtO}x@uoXC_QCm
z6^#Sdk-@(F((2!!a0j5;#QRBu9MPnHrkgOFvUn<6w$B{n=9j3ePu}@l>ZwLkfk7C@
zHWw}mfUJ=~Bvmc+)`?hgJ?ecPg(;)*duZI5I?|7ouXDf4M-OaGdsRR98qMduyO1zb
zo@2Vy9Z7;{8ocn-SeJ?umw0}+6symXjhk%tWdh5v^8k#VyeHu=YTQWdfyyx-H4YtI
z!+_;#|2eP+?8F9uz^;>YOG!6~XnUKif<a<^*>FNCbjTsIUpV5@gspnZAr$yuz=5Qi
zo4i2LAj+nDM2LV9{OSBdphI^xB@|I#3b;=v#NnrbcztGux+k_{;$W~#nyRHNd&~!d
zsFxq=$L!ym2r>Zqp;rS=5?uTCZ0qRfpCs|A?=%oj35#c(!Zl=XMF`T;sfor`5xy|o
zk$!RzG!ffTS^{Kpu>xG=dOr;QnX;%*e$3<AZDlrW%y|%Y(cbNb6uMt&*YO(Vk0OZ4
zm(Vk_OMxL;s!w06Dfwyc^#du6eUx;2M<^$;1^=6UNbeWfK#7+Lrp0_K#Ir!6sWWzT
z!$!(_8p}(8ROyOAFKe2v6bHqn67-rgjaC>;)h<Fo$;gU)KMeW$0mKkhj#a{cSo?3t
zzwzeQd@v7KsyH>ROy+TRgo1^F^O9CnlA3B@sU)0}K9lKUzHek6$v!!gT3C9e*>$vM
z7Ul0}T)!PPEfHs_c&E8_o5+<29;_Z9HAD3*m!o7F^tUtqKeN!J2y0P_*b21b*L9X&
z3#pYow^a^4aO6(NrE4Fl=R}X}b#B@i#Rw2=UeI2Lg=;0KENEx~ZvRvI8!_<%^uE(=
zSMjZ>BKwz*p<-Y<Y9!`s=|SfuG{WEWv%7hzcEx3hBc=4>uIj4XNec@yH<!F@_F2>i
zhgpj1N)dA2HuiMBg$|Ii{mNPv;jyv|?b0P<_M00}Ezv=4!^nzp4sK4fNgg5bp4!HQ
zz!1x$3~X|U;h&Xe`v*uD@G_gi{uB-d$fsOLI^|d#A6DyyfZzc2sy@!L>Q<xg**d>Q
z!yx<SmyqE|zQ_jCC#%(sLcUL#QH2LfVmYn4L+~z-1Rqhmfg31B`BA=oCjkVMJw~ZZ
zK;t4(1E&j19F~@b*yYe%-E1$@%|PTt2CUq*Akd?v?(IB+BuYzN>_M%jk?2-zd5Lfk
zzCD@pUp=R89pJ0L6=G}O=XRxr*P^9xY7E&Flf~#jEoyXNIDA4RPl0kez-csr^2j>@
ze1VpFoXXUTbBfpszo%J|pUF_dy!ZD}qUcv#W<!mPecs7D&!fD!x?R1J<RhllzaMF>
z82Qrun$dIum7ll~*4ee6cVqc)D{jA<sNOvIE+aL}QV)Muu+~9|Mx>kk2!y)*zOq#k
zuV?$xbIe;07wiu)A{%O#tA+*?!emR&?G&0*>(d?D?YjwZVpik{5qD8mbg@cSi~6-G
zo~bbXSi~T-DGm7&-jqkFXDt7oJ$rAsO;k{DXK5|GpX-tX8aSkE!L0N7A5gCuJR_3p
z(V^C_DRq4pmGLBT`Dn^7axydPt&$%TwG$}cl7|U6Vjm(UbJQgMo-a(gq29esUZmjV
zH{nLP04c!m0DI7`c8385R(&{i`I7I@@)YS&ira{W2JCbFMUqYOs)lNx?m<KYZF#^r
z|HC{1cUd`J46vl)As^u#qNdCV4c8Ib`zX*8A|w>U$Vq<%`>{L1J3Ju$9A=jwWwg<z
zyDXJRdxA5LqBj`RVFK)0+W03&ABHakmerx}mVOqT!MTi+lz%m%I0#qKGNyovXUzj8
z&TM=4*L6DXaDqFLoSj;zQ4!~usb4K$^CnwwRDqWPxsg8<X)AA{uA~hlZW|-|3y$t0
zL|!g%^|+%s#hLWi7#)-7A2p4E7oT`xiKt>Th8bCeHP#QR!CXX32<H%rXfdsu3cq+=
zuj-0h2v>wq^(r!<0DTGOz2~zr2OsUm#|ylmH*2iK+RjKp7^)MeQ0PzEwH)GzTHm*_
zMYIT*k!~`S014c@4%^)TcbrBw0M$e-9;W)v<5gEQ3PKY$yzpvMiBKm>UuUYcQ8Fpd
zd%jNq7tdzXnpk5i@_t;ytIy;SNg2cq3gdH+1FLF=z?Ik;t=vqUuT7(AOS`UDyP&qP
zJ9An63YJ0G(lOm#?QcP(Z8Ytq34?Vb*lw_V|0^rXjMxQkTpAfUL~-13%Kr87g$pZ%
za_89a_6@Do^TKVl%|CRsgoOP}Ec-H$+5$6Y5NHfk_9?z$Fkrp++IHM(I~p>Q$LTr}
zYEp(K!~%qTo*(R<Ge_}xZp!IRZU1}w0D-%gq5AuT?NNE4rg{u^WJG?+p+~lVk8MV|
zS$<2rYrBF1%Lp$)+&Do_2gzwqM*JlZc*gSNa&`+IfckyVmuC=<A?!l)Ep3<oikZqf
ze73W%%M-^P@2TCZIR0ebnyeAAuV!uIAuY*th<MUK7EMMT28Evme>LKQ2I~;3qh2s#
z3<EHNAI=ND^>Gl^aN850AR%o&OZ{hVDaAL0Ki+bTa6QpxgFvV~uEQziPvp>)Yab*q
z!BPXU<;OzPv-Q#=j;DIfP|6F(+3+M_F(+i&`mVl(5l&E=;kub(<_NJIWYqp+%QMC-
z3hAecZ07iS2&i2u_gV^DBxo$8<Uw8Tog1GB?qt74j9ATK!{q=r@a>cesjEtdaUgFm
z+E8P}jQ7+Z^Dt}}yk&=sN2_&lm$lvD^zRpDKPgaki7@lpSWgEpeokkO28)9kg!sV7
z9QPuH-gF}4LcvcW;4=<95Uh)`*t7&iskbM4W{$2haWu;NS`iG1<(Apq!YwT-qd^Ql
z<AsQ{XIpHBY!AG|WV_sD8>q+7i)kz3+&lb~{)A){S!7LR84c0UOa&N@FnvY>H*_$h
zs@0Jc6M+EBFN8*$i+r#NOH$n{z#hmuz3D)U9l|7x)Z=!eS;g1nBn)(EmH&@E(^2?_
zGW3_~z}rjp)z%_((b8W5?rDtap8gOSQT7+Uj$B?D2KpXJs@9=rmx}*t$9mwe-+SIk
z*kNC+I|e73p6Bn<m~8$fKDjM3eScXYCHYv^TSU;I4t4Sr_&u#!ScuSu#Nrycm7R{l
zz^^*9(a-SEKg3>Y&4v)KYGaDxan#56wy6}t;#RE-IBY0bfSb$ce$6yKTOv~wH`Yq2
z)GmkInvXjt(~Mw1bZ&yK$Qi1?J;$7i$+32RQD6r<iD}4~-Ixa@9lnwte4j)4B{GgU
zXVNobyk6m($m*P^#ro{<+B8tHkiz?_M8n;=h5{qeiHJVGId1rE<V&?7hKzJ0EdgrC
zFFMde0Ky6QUM$vKqb5`=9mMQQJlkxp?faOxn^h75#b6NjbJhSlUQ>H<gtYsWtDSXt
zwwT$=6(>r29CEQkERc0eH|kA{irF-}Pk^zI;{W>o*&;?*arDghAo;KpkO+m69ppw1
zBf_>J_1ssmQD3;Q`@^5VD3{0*+TxnZCqHaM*B`+z{smsnma}}?#Am9PGEeSeyQjfI
z&WLp%M;!?M0G+ojt;F$kSzpZ%9kZaY>QHD67WZ#P^vznu@ECbe%mOh66iv1MQm@(Y
zGu;tXV^u_N_9Cx9C=cBc%l2%y<L3@JH<X3Y!sYC8f;}l=oONW9gn~t^Z268q+z4s!
zxE8@zK#*s>qem?PFD!1STZ?&18C$#ZbmcnOuy|Z@4ZYwTRt>&&uH)(&jo`B(qdbNz
zyyD!s%P?x<Ev)Zj3G#xgD)!ZF^)5m%V1P`Lp|HX8_XRqZj(69-3_)xNOBhs-Mzmc7
zGQa4yZE5~+I?CGU2ASu>Jj}JBR1&HodeKzK#W>>;8>aZ{9{L1kGLzd{QontPrEPsk
zqd31cLZVXWDyk|aP~Su^8Tre9+6=~Wcaf^{R?F`;KvPOEF%`uEvKO0#?kFpww!1}d
z_X+4WiqDYsxX!Qidge#i^o>^&z~RH^PL!CVRO9f4R`(x^f%-P=qb7G)elBb|+?vm%
z(^_GfFgw4I9xwb{?=@hUTZglG`?Y8VpHjHCm$ILigtLhG;Px2F8PAQ2k1<+;ftLMi
zH?2~$pkX|*8nFMBUp0Yb*LS)T2YAf@z00JKS+TVyx|V?3lGCUVKBoyoy(E6(N=Z3u
zxcKDd9h2dM?%VbhV5O7D(6sMFL2u!)B3gPNO4gUA4)!P+4kSQ77(d`_0mxdgUep33
z-N3*jB$qfpL&TC4(Dfmcd(-&~ssx!-zA)1fz?%!^1PU_liIU<oAeO7rpO#*;2Zf+b
z`56fXTY-SWyIX%{L3KC;(zXgW;2yf;o&~iES90lAr|eyC!J6wCAXHlgvappZ*_NC|
zYou;LG(4*KvHU0O&1uISK9M9Yy`Pf!@ku=V?}dST-YvnzC?_%=eDwFUirsv7d)fSD
zrF=O6V%ho;IrE0Ra(Hk+Y@9Ic`%0=-n6XGi;zQmf*)h|Ue5YEMt=f&HG29cXoC@@J
zA9O@x$uA^QzS@KFlKS>Lt<ZdnXi4NZ==wK&*F-&Wf%wJVmSBPfivkUj4IX2I-SMS_
zs7vvyDrDgTChJP8lX94HzfLG{BmNxhG!dZ*>j?T4Bx4~HQt?oel=tKuV91?|3A~lm
zlNjO(fq^TDur_Pml3>K0xDw4G-jF<LAGa4b@Iw`*d+@8%<35I>W!7bKOD_d(YPaX>
zHIRa^ZpWVg1EME@cOf-V8R4#nqq}D%w?b(n_Wz2M7q+!r`ULad(TVR3c6H+@rms0i
zyKCuC#@v)MOwYSPy%yaJe&cdMT`g|WaWE14M6xVHB)H_Ssm>7Il@yMJefW>#(?0WR
zhbK*>Fy4(XvNF{hQz}Nocd3?=U0qIig>*YgI_Nb|VBL?xxe~PtnLv;<iK>u|PC7u$
zMbmU;Llg*nLYE3y-m(BSn$(R1je53WZC)X3(_eVH^&g_QT3HmixOdL@$w{s8N=Q1N
z{vdtdZukGD5i&t4FGfr2Xj=QMGkA)wZBS1hrrN>*9jzVRfW}rLDmhHqg3}%HL%Xo@
zCHHUTUs;F5pGbxFI2j_DB5Jh2Z}+1k!3gh!fWJx0p8d|YE$A|*9Fj^LR|{(Vpd$NW
z%rE-jcd}7qdBcyz?xB)3e?|1x?Cym60vPh{YwljWQNgslp1Kf{G`owC^YZ75|KlXn
z;kr#vD}3^B*+l=Sl!hgbG_wFrwN@BE&kC;)!&9txdkvN*@Mia3jjG;J%$YDizOHNK
zc=@knw!m5n(#1B~=qZ7QuQQTU6OEIBrq4~T7-nzPB>dB)qW&t<aR@Z+ytmy{i?Y~*
z>z{#CpJk`G-~^J1-JC3!wjfx#Q1>7R(Tz$FTr%0Xjw_B!c>JvTanWvZ<mZ3n@1)mU
zt<*)31X2`@e{8(m6!sm%nw~xouq%`0Nf~S;7q2CEV58YOgz2=(Prp#LoyZJpbdYGy
z5{4{9_q3T^P6#zO1)lvT?ZWXmWldsiy%)v;2hpAEaM7c>@GK>*rLM;(0mIz{8vLPT
zjHPjw+T_H^MDezAtIQkiRP0e)$uD;qwJ4XpeD%TGKXDcX-$Xq5w6Kq8K#@P3%l>3o
zxNV1Lvt^xCenv;4Q?fAWdL%mAcwMEUNF}^2fyUdkCrJog|JWG$eT`Qn9Jl)?op4_o
ztv9vYox2kmZsAW4bf!Z-h(Y4i@`j{6ECk6$M|V0X9p?w=;cS$pp+VfPGH+SDYCTr#
zA|R_>v+1sH9o(LJ!q`<cEZc%Gqke$j8EMgrKis1$GSiQgat<vs06G4G`U~ydexBsz
z3x$bXqFJm*INaHYq>k^!jO8>vf>C?Bjqb&Mqphp-cJXTqp4%SC-`aK)v~OC4^86(K
zTDieh6o74S2$Kv-@^Abi)cc|d{nzW&%=0-nK(yk!S*gGWD9bW6x`gtklsW<m-gDZc
z!e~Z?zBD!cjFJeP$W`rAt31`w{9vluFw=l)`M8i*kGj?*#U?zARx%cjj}ZAWW-Msc
z!lKF$+)Zs_9^DCJY~TQ3_M@1v=l@z~U%nv}e@#x+y_emizbVN!?gx@}gDVt`xX;=s
z^*lFPz;k!|T?e8YDauYn9Rx_8Q|cR1&S;~41L)l2Asdkt-m*re+wG{FT#Uw-*5&$n
zm$^}s5SxduM<5x(51*!jEncsgxEWxEj^$=~q$#KDjCFR56Lzd5r{l5*G8F-eluYtg
zH;$TX?Sp_8AZ*>Eh&GIKU?w(_o^qd3lhAbUwsORzZ^#;4SrF}Q+o)M)lbyWhKQkz0
zjF<CQTw`)oV5K_}3<~(B)wB)Z<_<fEwgY%}PeEb8=eyHmKK-#Wl}}rHuvsps=-OIz
zyp!AeRsUs*rop3#{dKX5kJ0xw)mX82;L84`i)TYYAD%|Dr~?qnSp3Vv(YlOPVU7%8
zE(zD7jJViNk@8C5$UZQ8`A_Axp5qG*BXXw|0qsJuK!N{rbhS~x+k68x8`g2?SOuv-
zUW9h(TpYSNv>;+y)*TLZPgMXQ6sZoakQqPM!n9nmMl@|rXzKkk7MDYVaekdw_AAJ;
zPV1pE{GW;ZkM`EkW&7BGJ)+piZBsP;ECBCf(XEW|Ela%4j~{&M)#NdwW^jLSw|GrU
z`7DX@>L*uYHeQ${Dh|Z)W9gUoIUoJxoA~b%SfYu#Gk~v>u9Ti@xW_ob2bjh=EHY2=
zo*@PH!E2o68#`k-ngc9eAJ9Z;4;4nK+1r2PvRl=f0J%n=D4hl7`j&QB>{PjJU0HDV
z#;bV<c#2ZTyG229xLEu2UowvK9{RzBXuwK4)65w@E`@Hj$XO!F<*CRb<7+S)KU3P;
zS*FuNPqlEwm|T0mRX^EsUppp?sdZK8PH<>Z5HSiqzIMYNoI%p_4@&e-wsAd>S*c$Q
zI%4{PMi1fX&#4%%&4a|gi?<iR;sEQuStOky7E8B53uRsf`|G9~FG|?hRT_C>bdeEU
zLj7g|R^`@g)s40uDP224uTWEc?IReDzH|$zC@i{%O~<bFO1fA&i=x!iB_Y-o-lW>@
zBiVSRE{&TjGbPSUs_S>20BSoCBZC-Z`Nw6F7D{cv!haVG-c;H@s2Lc50`sV;;Yda=
zt>NMHy^6N_sMS&91lqs~A(_P15dd(QpY(!PqUt46Vx_FO0#A?;+C0!UGu$$X8${u2
zu_Gi)ee33R{__)!Bb{r*v9IuGSK=1&4z;0Ci!FsgdgiNZPokWq6%xGJ?)z*LbKp8a
z3mM3+C~@tnNBnKOUchblx{#SYg1l&1zJbv~+X*|(O(MC9T(|&t=L-uLP#Cdz{Es$!
zAqYfFCo05CvRGM-q!J;Re_|NCB&B>|7mGjqZ7fSeq;R!sOML{s(`u|UT2uobt+xY(
ziu!L1a5u?=7P`a@Tv0X<C^bocHTuK*|7FVJ$``k&JWOk^Q*^;}N3E&>yQfX>y}cJ}
zR~zAD8&&?3ajTs@t!KlpA_$bJFf^T@9V(jo!xOiU;^pr}@IY^#(<)Zmjbv;2UaxO(
z?cZDxMi80HmBk4YUCKrBz@agY2q=l$A^!H!>}Xcea-fnI$a|Er-yv*J=kjw-={(-6
zUNsfgcY#DkAo~o)QyP3vrX5nq1W;|ex&Tox7109;XCTGZqmK0HLyf<HiozDd-;a;v
zDs4?U><Y)!Rs5344K9QA9e%IhD-x*42C<e_OSo9t-<nQ63xclye$6-<gzq0?a^vM>
z^x@`j?QxyOZB{dLJ2OhWw2`Cw)<-U?`(OslQb%duBo_R3WZuLYAA?o@?=xcfZvWP3
zehD>bE(KdS0y?7~V}HC|*?mkR-oaTetJmYY!OkYtRKzRR_goomG=)Qd>xup6Q*=yx
zJWO*mtlKUwAspF>Mg=BX=aHpfu8>k#@XGbC*Nykh?+az<mFU6bhxNth|4{XtD=gbU
i!`1Ygf&kxna|A_r$si_kga_8vs7MqrncWG%GOl}}QMUpB

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_counter_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_counter_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..9a2f4a6007a1827914f775d2bff63ed4a992f7cc
GIT binary patch
literal 20368
zcmV(fK>ELn6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TY&BXUBW4&H@
zF-7TpUTb0BxTilNaSM<$#HTFCM;lQSSR$7+qIC6x01JkRwHzZ^2_Sv$>g2Q0#a$?6
zdD-=Sn70cZ7!fMTmo@GXSnU-q3SSXE1$M*aYl-8$&a=rB`s-Ww7Iu<IGX?FQT-nPF
zY#y-q#BjQ-#ww~DA%D;m_qn@X^#GM*r0b18g3PQD-=3RZpZ~jHu5g@5Y*)WAAl%E)
zv<~1fWc}>ehjwT&u>&zZWfly4lY?EF){9Q!4bOF*=WQhaGgKZMNt0y-eo#T2*()8a
z)R%dEFoU{Y=p`W2b(u+t9ks`>VVz`kOXr%`lw$caVb*_x+GZ8zqGc*L=P(O(x}Ufi
z(H>-<;ZuXFqHm=>X)Dm|P2qLzY(XBO-5kS#e!;J#guhA-xk;XMmqTJkjgudJV{$II
zib(evXYGa~Ysvag2a&U-)`MTo950*FuPHzvD0}W8gS0md*L`oM;4W@>K*FN90)gw#
z9Dg&30i((QH|xm#b}e2o|8X<%MLY#d88f%8r5)e_@F?s0e$TXhAKa?Z@X&E*8jD?8
zrR!!>QE~y}l?6Mg80ArD1fZB@ITXL2G3RRp<I()K%`S`@qa}nKlSMh4qCGE2tBIuH
zy{nbn!3Xq79Gxg6#DGU2&XMX%!wV}4pk~2;zd9g`ehUrU&3h8XZVC8Kcg>2z<O<s!
zc^_MNy{AtNa`51f?uET#vBMkrUIW3ZF>h1!G#%4yD-UHGS(e&CT5`tt|L|BewOJlJ
z=_Gv&xk^oO^a03JLoh}LQu80yRCjkrD#Voqqa);8c+cVa28N#dmhVb&<wQg=%h!Hd
zY)W^iiUq~r#TJE!aNvBda+kcXk4%0XNT_jCKX@u?n0|)@;lu2~)EFB;OLr{(a?;Oo
z=0q-W!N8Wx^9H6ZkxPr7+4(6^CNlzKy*GX6Ca%jdU7kS0LvvospC#x^3`J^jT<>jD
z&Aol$g${e+8K1MlNuvJmi{TVo3uKS^O9`bVXGngE;Gsq=MSg|LR%={pjR=<h632F~
zl&PE1-;m!%Q)5Qm>QIu>65#|ijGTsktKg!MbvS7g2@Y#-f`B);gJ9(wSm*5H={~t!
zBxCELjK6S4z;CRbDJ?Wn-_+o+-X@CbmZ3W${pLzvWF9>m0@LXaf6Q*|t=S0b42IV-
z)1JX#Jc+C2TqaU#yupjFLJ_T8PxZjNE*=&bM$UqG-R;vtYZMKdo7QDUce{R!1GMxW
zR_X0)Iiz@jaxwx@ET%i?t*xdQQ%N+Y3>Yv4;9zP)kDMn&Lds7S^NfnuHf}E-@1az&
z@i4%uAns^Lz>Jvt>aXNmLJJ+239`Av6>}FZhzd}a_ouKR>$bfcJGg48)@SB2Tp;WT
zMwRA88n4sK`dWs(In~cN$evM{hoFM$u$$kWB3djO`U~17Cpn**ym7I`)mgIO^4b{V
zQ#8>DBQ-diTI}mV2ao9RKw}vf;RUH`l?;`79aHKkx~|{KHeNHx*7z6*rvR0eO*@LR
zGgdr<X8{zH>Kk|xyg<F@N$!T-BgCzwRH*s%V<m5RSR?bgxE>ia#JMpBoUp&FR#I+O
z1qbQJ=Sw9>U5t=L8We0wQWCuodu(b0qP3On*>yA;rsIC)Mn(f@a1d&q{LSLIl56@5
z14Z@ERBu1dGKD(HedHm47gvw#IJKPOUIh}*UQ^AMl_-9^mraVVkL;z@Mt^!Kuh>^h
zQElo{vpuLwz6rUIpTyec80!|sB;8Cu7b;6AZ2q%SY6&(L<os!gS8M=g!k6jTN8*pQ
zLV?}q3<5qQTG<dNaShc=l;#!d`?_EpLpF12YF<A?DR#NED$fmrxTT@EB0xkz7SCVM
zaBp|JzwZMM&Brx^aJhNWR|?L$>D^N5{~g74Zgi!8uW9d|>=2|bJBW_p)-Ozep_nE%
zytq?{*DSFuC<_$k^SO4GvpVH~3u~aQv#p8T`XImT;cpD}HZ|ke>~fFQ@1#k>pH0W)
za5L{bZc44VvVrKJya9r-5R12_3xHn*aDiON8N>sB1@4O{K#b9>O1aZpJ%mqZUCGZW
zT@L6D_0#08CX1no2dVuj74Xnk{Tc1%huHd2qtlE=j5AU3?_QI<nf;QKJQFIA2((Q$
z7&e5xOO+*S#pPZshcTcZ0n>;~=$WrlyhTvdAWj$9`W-gI*7#7|CRmk(`EDv}sO3)G
zHFplHeiQ|zF{hoW79>1BfdX$OhBdcuf{MYHx;*!tC}5R0*CYtN$O=aAMs}L(w)E7Y
zULih5krgR07=Jpob4u<SGpU^FS*=Mh%QdEP+vsAW2ChQSH%Iqy__4l9%KQs>qR|E~
z26`h|dchn5{5=;s1g@C&1o^##=;92N9tiy^oxWX)$3JLMtZ_f&l4}C(bBa8aGy@h^
zAhXj&fLhvj#=$93#>GW(q^%SB-a;Y|KIk{?f0Q_L_dWr>hzjikC{=-|X#&2={Xbgg
zn`#zfOk1*;2i~5^FS9RNn_kzPESOSfSE;}@zmFZiL1-inp|bd0hI@&yoc5*QK-ZG>
zOu$=1Id#wpgjuaRGoD-42xB`cOpG3PFj`#r22-mE;>+SmavibcaGeqw9MVo^C=MLm
zScfbwV>YLAb(u5DR<bY*;DGyU>8zV4cr>j?B6llu3!F=Nr@le&%5#`_&z<9d&<GA|
z#kFq*Y3sg=#ngA|D)wq{ieS?+SAP+xfE?eso=u!QtI)5&7*9ciHoC@P#-0JN_{G)2
z2?t@?-!(v_S==OKZ7D(@&42Ts9ZlJJ@l_ZPO9qW4)Su?<=ExF9v|BR8=<YUII~lQe
zacqeHsN!P|g`$-DjK`1B<o?YK#~oc0({tOCg=|U)_qu|1#G*F9<<Zo{he{z5!F8bK
z6bi1KL@16;O8UC-RG-3*FtK!+nsRGK|0JvE8b*nu7?)qZE4qg<!q0gk-c%{a1yoXP
z%;!RTOMRR-;>u=y7E?Ki_1;_;RIZ6nAR-m0xHMc~9UeNznH;AwL%8m(){laHzd#m5
zHoH0Z+F>%pvwrSsZ@!B9*nZcDtNdr6%k21%`bEi}HV|cpELjX=W1nCMLh`&UmFOlC
zOl>g@N%s&82vmc#8$sz)4%vN%{Y8vy{q_!=M|$8nMcCW#A8vK(q0K1NzQVA$kjl(%
zx^}Nz_Z59n;LFWwqY%dguK?&e!i>gL`^+_Xq=M4}k(yss#mO<h{DaaRvJTx3I-39)
zVdB<>H*<(ZJ5$#fjQ|xZ$k04ggC!=ae{x=nS_^hQC1Z`d6@zXt(KUV(#zu;SC)0}s
zf>iEJ>sd;inzbTy8iFKbI=6cdytnN9r;c-RYGqq~!fnl0K;^6~XUUpGqHG1@GniX0
zcrs3=5cUIfS3#mbJR<MKr=ZE*wsKcQfr<C*@D;8rZw}#vu^}QEOAal%hgc4*QLR%e
z_u~k;3C?QpdeS0T_*;!!)rcA(ZaS6$c*{dQ9k+~tR1T;h=C*xSg1;iaYSE}iv;uA>
z$>s}DnUkP_5jdpQW3=QIpAGX>wDXqkQH+6m$c`~#eYae2?p41gG{Ye#x8ufAeFnRT
zdF~E4h{3%u{GF8iASZFG3h>k~b8Vyv!9-y4qB|TMU|s+8$3djBNJPh}=($81Z!FWx
zkYPw^4Vo{hXFB8LR5QZoYjKPce}h{ER{1Vtx>f!)zgV$WIG;s@FHKs$NrXY{4&ZIt
zxpX4;-4aqA|8;1!HQZy1TpH@<%<d9$aR@Nd-5x=yKHG@SRYpt`6uD!o_q&k<HAVbx
z8xYsFIS~8_X<ubk@w!qER7}=J6x>{~@UMO4ugeL@Rts*xUUeHM$ym(aO0OgxeML^E
zOXoiqZHaz-UtG@rZdoLJpXL5$hx#!NRt_PQLl)%t-M40GR-q_{=*9eujTtDm6^G55
ziFc)C{gyROw}#a=^m<6Jk^I3Gefmlo9A`foW<(sv$FGcm#M1xki?TKPu=Wl3@O_`}
zGVqk9#!ivNV)L~@oC&=kXsS8<;|K~dS@6#R00YLv31yPDrt<S2?C#<D)g_?^JUJ~6
z_E0Z;N<w2h<$vk?YK#!73mcql5}xsC*q3-O-cTO=eS+)uPyXHs<WI>Xk4d96_fk#s
z4VY8S3!~D(WaOiEodi@$>zsQh|NIItFW7(Ru-z$egb40INYpMl3(OYTOvj;H6i^;(
z5IRZS0+S}Y_bR`%srE;2C62hFX-IjrnQ`8en(F!5;YJcW9*7~#>_Lh^?f~bh(r(2&
z@f5Bawp@%!Fxn&PX16k@gaIIFevwa}UR)_70Hl%`=YFZuIkeowDGz;%HUR)XbmE5c
z{fm`F=fGBF)SdCS^gpsZ1rvtb)%Whh&SnV|Pjb@Np#<4xWz}<FAC`VesGZ5E;sAU+
z5a@<s?0aI17ed46UG@4$G=1sShv)Y-x_rT~9t|IF>2MPKIePmzdRY`XyKSs&0~(2;
zXA6^7S)Ri7H~_{0I(vrch&ktO$Dm0MpDhy`#6vOJRRy3hm&O4~0zK8>`M|HIFS+m-
zI>vC!du<k>60Cgg87z1d?@7NxqV)YbLYc}yE--ka99VP%^vu(Kj04|4Zvp;kW8PsC
zL)h^*k^O3QhJKkAiC#N_DW+Y<lCGL@Tb+}z5tI{{FS2-D-eS@CQ8Mv;a;Gub%I%>)
zlIm`vn`KEFe)MeW^ddpx`A=i*YEA@2?2)vM$r~*J0iPHLVa*L`MXm_U28gbAq(sfZ
z<9yJH;tB-QM~jE#Cly2?v0q~}X-d7`+Om9D3akuye*4p5biX-}^_jh2vefsJ-OVKY
zX+{j^Ogyg6w}(1%w?nIxl8?QcjoudRex}L&_#U7RG=JD3j#3i~n)61bYKvlaNluFc
zBjOe_)6Zz(a)`<ePITfnXG-!>VAvu%_@oA-lBWrO9VsbU;zF3EgB4q}f8^H4DFLsc
zQKonfP?OCAIp3pkX5`erX^Pn0a>h9Y8>;)ZH)ju#N3~U)AOZCXN)LhqJGylgHjEEA
ztvpUGI(C~&8H;Z!B4T4B`}>Ku&M?R1?U8XDk0~kBqqOPB7i^aYO8IIKu-_-xpPVaO
zKo52?pru1#X$sZ69JqB9U9fWbUTlU8e)S_5z@v3F2D2&I;cVV3`$$|Ni0%Q{F{#9$
z?<A&R#Dq^aa1j2ZGhRyYJxF%MB0bnWQ??6d>3>z+I#wofzdHAxAAxvS0nKQl>5JZ&
zc^<iU3xQ<6*K9XTOW%tb46B4h+ng*$Pu-UhW(~9E;a(VdQvEEL=jpCnNhM{}hjEz=
z^Onn2iIl|jo?gNrlvwcFai9Ou9t}yC1kp);aduZajtc|_dhy{`;c+P*|5thNq)B@x
zOng#}-T*nwj3&%1Wg!@HoWLNMgasn_@#J^j`l2$*oW-9vm0$o>+qd-7=C6?Zw1?!9
z7Vv5JNd?8f3dE-fp1dmHxj@;;Gy+a$7#q>xuBOESo4U<VD7c%t<aq|%&dd$sa!MwB
z^ooChd^6;<yWK1{IqHwmF0R}k83r`;>L*#3bBJ3INSj<A-ZcUhprr*ore+D%P)+eF
zTcev^g4FG6Ks)67i6&S;RM?;K;%o{fATfn5R%2!a8-8cPa@dy40QT2YJh{&YOs8rM
zN$7#r2QaIA87AUL6k_5$kT|YxWr8Jj(B40aY9fzv%+;g4C!*{jLl9t~GLQ7FUiX7n
zZW(1ecbE*Pg-jKc5#4VWTb2?EZ||*>Qa#7i$1p>RRjZCXvVYx`zSNte7S3|4Sa)jD
z9%IASo~-#jng9gmtgk+s@z7$dJMwCOZc`6!!O!EXv0vE9R)Y22j!mF=ffX+M*Fqca
z^WqEqllVc`9kYDiGzwS=j>AJAaAP+>&2%@|`$fPAD12xB#z5s++SKFbB+O66{mYX5
zgUN11#~=t7pdfVhf|KK9=Zq9i^fR>5<}|Mpq}^z+15^cgVNU2LtY({Fr9Hnt*QDBl
z4?W-=->I>uT~Ln1pM=y0?Qoz8rPs2z@%w3oKi9Llu#?YY`f!b7bpOF8kfi{v@^_W+
zj!GZ7M!?oD9HijDP;O?_FvsEqS~QlYiD7pNs;@)_iQznfTC{j+UMd&ZQ%X3Y^GupE
zsD=3sk!q0Q^b#MCYDuNGk5m$rEsa1?7x0@mzQX|9s(?oCTW2a%)@h^jWyaU<((iz(
z-Ccys{{VFP7m4o@o3aWL0Q@8?k9DT)fo9d{gO+RSzu+kNc-;^kf|>D+GgVSV<!89N
z1b?V0Zf(y?4CNbe!r~W6#Qr*rz04sMQYDXe%sw7U_EpeT9r5cPOX}tq@ekyPtm`yg
z+Ev3OE<aB&!)XHb<#PBtHW1ot^Ms4rpT)#2mW_0S)!rHU;D|iM>DiMI0A&Tq-r?dt
zlt9sXGyQ25qt~xSyRWlBvpvAsnYdmHXA2t$3h^`;`D3Ed5H2r+!Z=fRlhtWaZ}e;K
zEBw@NW5Tt6BI-A#D$4VU;&zm~dJlp{w}T%a)Py$wn)0<7oB3%jhKPE3SjB~w3JjcM
zI?y@&%S%+vz>okZD1WcAll!71LU<nz!9%DB$knxG%gHw#&R+lRptj$08FOutv2#$b
zied~q8?nx3O}d1rnr`+z+8`{kJAy`i$Rj8fnXzB`0dj&*h<L5@Y&@QYg3q0y6fkiz
zRGi|xUY%bk@+3)MTrE1Kk_of|fRqf=d8hBoq@^1C$whvY2~kNAZ3LvLFSDBVIQRDg
zqW`;zC8cOjta&=KhA>QlAS>_9*?GM4Z8R=Q8+^uqLZ+H>lrAo!)9ty1;dQR9&7KqG
zC-)Ci#kwEhtwki%HEk0-C)t<$U)m$zxA_~p7h@15;^;^KW+scvEwxV(2zM%VY@0g2
zxMpOOZ@m>>)-Wo2zVm0NLI%XU)%2=m#U1<3Uz6CHGhWIB;FszS-{335B&byeBb=cB
z(LZ5Q{bXoTOg2q7=UUGB;#vO)@9umsmQ$Q2O;6%<^X_>KGx6P{Y5%V;f_4>lG~};v
z7F5>e)22=RynIgtekS5EftD9uEN$<|5$qVr;VJ_q&Sh(=Q5tmdUl*s0!Ck&n{{XuH
zQ!9ocxupPG8?U8f*fQ{*O?c0f^*KSeCk5tLz?EoAKUje+#12dm^wNBWQX&+TNmFjk
zMwc<uVfl{V%Ty1i{$$j>)ece>#}w@JDa;dL<awO}?z9Rmk?sb+Gmf<`e=<A#Co8L5
zJ^=ptU5UxXXk6rLGf-**`muDt27(z_JMI9@8u#cXTj%iGK0JJOdUJ@Tn2BY+-^Gep
z?a2qBuoT<dGd-^2K7mG?#hjPiQ=f%G*J62QWr(A8eCF%$w+(lHq|Mr6kSaJ?+cor5
z)(CI+>H!wCyKS*rBSAYaU;kDKg3C3h0Q=lOk+cKsPZjRR5=UEm=F`d*TNrn@`&s-V
z3d4@?(dF@#5tdIKZepxYKxv&<@E8gJ*c+uQfb#-jS?0Z77T+l0t}oFQ_3IvCs*+!3
z&hMQ%Bm4t}6Wof5U6YAm-NqyDqQid(wkl=)|DOzfvokAs%(2e)8;{AQj*>a9dwT+L
z^SzVAc?Fnq&(3ve6o$L^?f?VLjf~KgRRjx}sg6x8*b+4VU&_bmVM8O*wS6I}`!xdS
z-GL0PLcaCB(W2jEI!*Az5yKSQ1z2*E(_4X;<}ifiY7e_mUj#%SLjFNd+MjN3ODPTF
zD{85c^z|u0y!okt&J;Lf2GkeQ@IXrb@-5U9s@Xindy`{t&sX-cF;7NE=^miXAGhnO
z7o<OamW_2x;m^@s+=C|<3Ife$-Bbag3=|#Fayppdu4h=`g%#fS(;4FRS?c;Wwy6sM
zohS`s{ns}DrQs^MP>i6%XIGLRAQ^2XFwcDXn(I8VFMHzp>N<}gWc*ewk65s1)B*+i
zH*A|(E`B*6?WF`y4i=D0KWP`<T`wiIISyxY=|IHm$a9)4!=I{0DD+bl{w!lqe#+2X
z8qz%fr32$li2rawPsxo^y>Qp{eh~7{|MJ(LviWrESQ@3ArO$#{>j0kuz9z?KQ^Qk$
zQ7;8!Z_yzP2>D>PgI;rgsV;4^v|v@D&8O}xzS{<9UY5FF4W8+oIj&pL6AWa;X~0lG
zD)Q}k0;K#ZOx`WCBLuKV-Ir>~I!%GQ5iKl;c!)DD>eZjB1=Lk;iXTQuGQLpCykAr*
zWEA7}{i!EUN?-L-PYAjhoO>lYVImjpBz2v|M!?>36KzU=Z@pghUz2+v0_`#TW6VSK
z{XhC}_XeTGObuTZEDiWv<fqzW)|y!%e4O4d9OPucXoEVe0@*5?yc<y3(CM-q(-w_h
zi$+oHMg}BEaO0ebW!EQlwOa_)P~aW^5g18*&F^NUZp<fGY(=3*;!9e-;9N`{0ZBPb
z-V^mcSTM=I-KqicBPyN`EFpR>i*nd$pMQ2-Y3!OOc-RbgZ?0>JX$v;exE88Es@m$2
zN&GBtlnQC5BPoY8=kBJHv5xIk@KZ;su^;&uJ>-&}x-8Ahx$CdnvWPeTvtVFsK273A
zP4@1{5o)RnQ4TZ-`u~<879eUBgYKMrla9J^5N#o1V*wNfL+d(np;TpeyLUq<uvFED
zHP-4Xv)qK)pB+UE`gX*I|G3OOJo8^BQo)@dxgprl(T_NB-)#4N<u$Y~)nDei^h~?8
z!+98<TdF>dZsTTy$nu-AFs>PO3siU*TnP10!OvH%<qu0lXCcUUtLBAZh{S(g<Tvp9
zd5u)7`M{aLhBg%^lViDu$qX8Gvi?)TpUUA`gbu{e^Fn>cS~1!Z>fkr-`~zem#g5JA
z7-hS~`dXN@c0^-mJCFoD*`43S@WWxp+8*(!ZWiNTGt;&2y3!1Ry$})yu*<NMyMN0r
z82DXNW3zU?%g0o|SpMF(5W{1YQ0inA<?hIX8IlTAMesM;Jt-0(Tg)V#51$#sZ99e6
zp?%d3Pho2Hwaj5|C}Bi?0<ZJo#V4KO!eitPRstK@L6;6ok3>w*sbnhBaz^FSmR60k
zYleMFDMkvPrA{Ex4BI8huym&PWh>JQLmER3+({cDTjY)?!SQmU%fY%Y89%(-?dlJR
zUnW8uYZ2I_`|Gm<pP($LsPakgZoW@Q8oa03@+8?m=(Xw5)NY`ru$hdpwaD}MtFa7b
zjlGmUL?nE}EI0#cZdCImyKD;-{u6C~75j*38Y7(?>CT#YL``EYl8mbPgz_+^T0q)}
z71uZu&cQ~KZX9wkIKK7-{b=}h<a>`cO|+9#FU?$hSoXHR-tE}vYc@NQ)-sV(iyQYR
zEZ~E@)NGT}3e6Cqdd2hAoUEk%v+JoIc2zzlU_=m2Jvr~rh$q_jbuis)uX<qHrfzRF
z6PlbTcFHc)wS0dkhjEEUb%>-?I{4GNe#71IlX;wxr+J?aCs^^z-chWKhVMgai8zVn
z$t)%nC8X56Dyms%l*qWj%#nC};*RAqw-NTv48HkoBxg+70TqXc2mI%t17hQCG-9pr
z8(cMV*dD}Uy4(vd!I@P15(v|(;M#C#``!%~3DN#m)wYJ$pSK}CT|rRHJ7sC66YcFi
zMTt2W=iuD8JA{}Pu=%CkY}SnC?6T0hKy!4)x({ldh~Iw^uy8otB_8q?;Ne9VbthGP
zY@wy>_J~1?TTeFJX%H6U-Wi5x!K763{UF{Vc7_hVpm{V*9IybJrWdtPaXf%}=+q1(
zeEa}}Y&$0vNADD6x255We*?@lr0a7rtOP@%GHbre@ghBVY_O3Ml{cvxjoZO{MP%9~
z%8IUw6FwzQX_5Pbis37ElHXQXifl9v`w+6Kb*}W-olTw^^|-7m_uHU9624mujgK4J
zQKtCw#jSb;%F9rkg+#VOPn)xpr_>&Z%9rNkE$T;=CMJ+?E0`ua5pE<7zOOniRh5A{
zmCDDr#cxjJQiKBb1yd1Oy7)&~N2vm(p;V!NRtHrCu3PwI3WGwYjWXoI$%WT5uEhE(
zCg@e@A4xA<gR9rh{I~dPATy1%{*F{@(fXD${y81C!6b+3r5<aYGEu%tMNI4w^dd&W
zhn&F*S4OCt>>7iKjs&tTh=tW9bPKKbEw5n)#RFvf1kd=Hb=@qO?wfM6-&0e1)R$?f
zr;)1IlD5Cw?cdRNKZ>>e?;Y+NhXWQ;Mv9|io}x=nMfDD@s%ziCJ~>ii;8#{vysUnm
zgwIqM10JqA-9Nsf0XY*1!{sus+@{KEm_R6ZE~@qDT%y0~n!xOInnuaI&Xm=OST5C<
z|1&%Ly=1q(t-C#&d~jV2yrJH|RYzu-)EN=v$rRzbZRUTd<~tuzLIuL{aT72?_+hy(
zb?mNir>SgOf;$(a+l1`Mj2WQyzs?wuB(fypSdcv{w_rEnelDR4-?%2LMI7+WQ<qIw
zKYTykuu+g`GlD%<K-js~)3WASqd96pL87>0#~Q`t*n>)Bc0N$yf>`&nnTEoTw@xkO
z;>b{_F9y&UBDT>Gt=2eDc9FW<K*wd&V96|u3|-h3LER=rK*{B4vBCEg7Int?BBOl&
zL$#bVbDgRmgP0bzx%}~5S>QO>tc={U9uPIp_AdBdL>v?{FZF+xK=Mn?e--GZT8KJ7
zHh;Mb!CJC|Gt+C@tHd!-h6Bh}?)g=2)IRrVfM*c#%VHw{xcrTLZ-B6kco8`rw@Z=9
z&Ky8I(Uit#lUqH?69)=MpPtlRg+E5SeoVHdO?BP&=P{$BhP`J#@^NwF70I@ZfIfKA
z#fw;rFxv{`V&&x@feQBxkpM9{4%cUqbj0dtfzscDFi?jd$x>*$u@}h0(8NyAhgXr-
ziSU@qKhj;~RiI!4jFrfD>?by<h)IJAk_O~N4!3Ys9C4RlU|s&!y#m8B+X~suz~)yp
zN=hrBpRYfPM~^vnC)feq2{l`9=zR(oYN}$?VSJ*X#qVq^85Ey^oW2#+EN5wAGLz*i
zzvCtBHxeRZWq%~<eF~=5R6Q&ivckI2hb@L>E$wHe3|WQf8zk?a^o^*EE52)+34@o!
z+~MDa)Xc_jj^!eI@5oM}%63^Oi<|L{-!PNMT@kM^!Fm$izKOEN%KDodk732wI@`!>
zf?&WE>?V&9Iv<kQQdI|E%~0xDnVHS<r|8mkEx7F!iRpvPS$5@aZALC*WeAV2JvVWM
zXRY?kJDt43a&sX(u?-;cC2k1OyK|=m_;@u!F0pVLss`3PR$_sK2HE#K3`q<NQCV4v
zW&h29{^3Oj6lPNvb15SH)J{^?{Lnn?T!=JU9odD+?jl)zsQ~`7A7k)U-E<seV~^H|
zT7B&V#YDk|*oRD^vp8<cNN_q4I2jqi)X&s`g&79|Qy8JRSXyP+9oZ2Kb9+dM{0YpR
z<i|J|^Xo7PQt0m&KBS}Z#+0DYnQS4o9NI#)ae|>_CSO6rSDFL=;ik=h{hinkIvk?D
z#p7%CL)!??5~_dQ5_Lqj*_*Mv7_`+v=|mrN{JkJ{z_pQ)-Onb0_o;@DOTd+Y=vBLw
zQI>q+y84X%F<hdJ#*<Xq6SOhmMHnZhj>IWh2W#{gs|G11zXzeE<_ljx5_>FbS9iPT
z7zx3rrGS}52};rv)(=;7y1&;_z4!g_b`u!+hb_^pZjJ}*HnQ1a3_`|p`FABs^wU%x
znkpg@&nBQEei<8c>el#Q7CJ8ptNgnrYM4D#D%_65gS!0K*t>#=d#hnzZ9duc1ztAM
z;J{^hEPk*uJW?35#u|*41KBbaHxKgS0<5qCje1TeZJy!rV!E9*g?_8}AO@<f&<-(b
zVKl)u5#vd|ScMJSNVXH8+wZwGiGvZX@fkfMnaYTG7!Lf^=!;s$RGDszZ)iSmX@+su
zO*2olt_qa;=(M6ioCShhAb2D@e!e`d!L-+L)$cd)VVI+9*Mb^=-)0z{{8r41Ysonh
z44ntPP^Ms_w(1dC)BtUYHo^)cuI#c-Mjt$5XL#(i6p>(?PG${YlN%UMcj}^<G01wq
zRmR_(dSySUw9=hx3-4l0kNlP~MHJgd=rH(AaJiXkC01Iy1-3~LgGmT`4W8W3p&}U9
z8veK_d2|%_sNRuXUV9fpozO`zzy#j>)hct<N;tJbprV6u7b6QrgtJc?RrgDLt|T4n
z&p-F`%X9f1?)@oJU)D!pF8U}jrT-^&-+VM==1<mK9tY}wuTCTz$vVJ0A^J3Z&+|in
ziu}e|D8VWNrqr(nu=R)TS6+@!+-6NSf%9OO+xg<a>>p%wrefy@#2N=@7E=Ojec?HN
z8~Uf_v*9iB5x5+zv~*~m+QQQ!PbUuQbP&s}5)XQ_%)v6u;8SWHy|<}!Fu9=}j@`^~
zQn}yuc{lC(L8q}+1<VjH9kSEeCYc$CEQfdl-Q@oJF4e&KRBShnWCvEL9?2c6I7DLl
zi(#k1j~hH|t(+%<51d?X^ddDB?XlAfmrHE{M=Lb9*$&3gm1J`cz6T3{`E_9ztnwD4
z%nHKEREwRbK^i0bs;Y#lc(#{d84=e%s^yGw)$6xCp81)MZm_;eK}YB#!Itena3s3}
zOQodD!%)lyW}cNIukLJg>c!CR{%&w?;R&xsmx`l6DzlGy;eBH?m&tLrp9{Svk2Lh*
zuk&+(X+f-iKHivk><;V1)lvmv5v0c7pC`Q{ah1qnS}G~&u&CVU!j4LL6;IDvD@iG5
z`Q}s!!g{$7iH?P_=)`EEPVv@Zr*rRe^T4sYPgOuq?e16iHrSTD3XGj|KDE;GsCBpx
zIrLU`MXtQN%eP6W<cW)~70_fJv{WW|TbLBql|KyogvDw$dl|B8@tlBbqv%KH;WaD<
zj-@z9|Nf3nDjH%@(ou9t0PEaX<r(Pml@cplicw{-tLuN4@F8_c$f(YmKUK=SfZz7e
z?n4pyOa$49IKkd>YB)c#csfVSnlh#Rok0BHG5WS*@)Dra!0s#`UWF~X_8WX&F(?X-
z>(I0IgeQmi#X>q;b?iMC_RjoqPVfRnJ(}D(%b(qD+Z{&_&DR5lO~1i5J^e#EU)@_N
z0El^?)FE)aYunH9cbn=E+DD7WpFb{6B^QOi<xY<g7M2}#f7<J56%KY<=TTp$%PI|=
zUXDA*`4U{|sJ!Sn5Q0^&BsPmD7IJ!15~Rtma1OEQ=7UI2Y^pv`<18ycYHxVUF;|=$
z`EJF&b(nB04#z#S-IdUje{G5e#{^2&PYAuwc-%YJub1gr&vNi8n-#t?(e{tqs*RWZ
zh1tn@zy!SiL188136Yx#vas>o6(O(kV{+T0xtsb}WwQ_xYzqDb_{?A_KHRBh@Q=bG
zl4Lnio!?5zMWJ)qhq=mUtPkz^xA4kYIV%9^DzZld6%1bLD*?2YwP{Iub7hzB*7OM5
zBIJEMujm3NBa&lEJt$j$eYyfUnGmw|r!62qfW)?jk9m!+&9L?bf{)RDnKPR4+X>bN
z72D2<P~v=z%d4apthRk5fQ(Ffc9fBSu${;39dr~FVBmZqx4(y#M}JM6%Ww<r2aT#7
z4fFL2Fo<g~aG!0tiQ28|&*7hp`0GTD7TNb!0nsx-pP<c>qfe*JAc3mwcd41Cji4P@
zr<0X5ZuWunGp4wwK*mB4lKR!>q?Gxy$x+Kq(}E`eE6tix!TV{w+Oro0Ub@S*V=IMo
zSbdt_#EXP##W@{r90VOgI%x_+FyY*PN<zxb1I_`}lneG~#kE+l-!4xog+-`n5NmXL
z)^o#?_w{hv74rz;>$K{NF5pT&?i2*9&LLm5^KzS_3$Fm(ClX2EqMLiy5x!G58>0^e
z7V+w}0TAb}V`GejiMd!3OR6iMCA{H*D-VI?R2GNEIr6=lsR@yxzi&2(Je$iiG5sCD
zO%G!V2~gP$ngE_c^L_1FUw%1<@0oj@>NLh-rKj}XN*e~w%og;(z5=2;WtFU?rrWs&
zTyv5e4YIKGZiYO}VuAY%Z=@XroL0ELkz`dFo+cXe$ODk7t8F!Lnmd8V>0-t<_s#VE
z$6}_aycNR@BtVX?74mf%5JYWz--(ftW__Pa0CV*-yXvI!IIC%@iFnDRd|N%8B_5kr
zPtHo%3~+cCK7o=mLU-%^$1v?RjUJsBA@4LOOMO%h_!C_+kPoweBhaQxGrH*#I=-o3
z7t>4;qhm%O^L={B5r4Ec@z&5*6FR~+!W3#2Y#oShw#O0IIPs1yIwIjoM>Je<aU@-8
z?SFXbPq1=3A%mHc_AANi0s0nTsJ!oiejVZ}Eygu@fbO~}``#DKArHkZOHI;F%$q#c
z9GJ20=O^QOLZFMbkve|<N3gFdQlHhxBzUgo0GD2fctDKPHEMH47-|Gk55+LCF@mlt
zxd_E0y2jHW1;d^FnLb}j@aHmw1Fevwvh16#1*$5=z0!ve@DxKHbnWH{US#u=#MMGL
zFMX_Ax%oa84<s>FlBiaVS)@GU5xbJ=4*~%M8hx{9G7jra{mKh?ZgB5td;62anv(2a
zZVGxv^C&ZC^^j}l89d+7r1|pLve_!$$B1agDljjvjMr`Zv4!*s0T@elahVgp{TnWe
zBp@Wr-L{zWMCACskP4|BtP*g$ebz2ACK0mIgF2eR?g96wY8qJ*D_hvQ_&L-H-ibw*
z1#{1XV87W^oUrd+r-Z9|OVrJs!7qKg3ostX>UWB=)8JlJ_>*-ZOD%iDJr`R^&|Xk*
zw&M1^#`EMJE!vS18%6ceHG5<_VW4f%m4Q+%P(bac*9~UVUB(q0v%Vy`37@$8O0GHg
z?ykd_NrYt5_6IAn7Se0#k(}<tMg^3Q<)w)2s+CMa=T*nNbVK`_L>S}uVj<apV=#)~
z1CiO>s>0CljS(~+G#qNA&?6wH0#*^BDri*G_7A};9bv6bUsb?+{_SvABiJQQI=rR(
zI@^h6v5p{jnsN&K;U^~t$<vBHCdc1KGo=Y;`u6qu(k<C}PP#+98j5mqB}Q^w0G!+V
zv#=})TbbTubCuBBV?`?+xM1rjt4hkfg^=AjrK!#zcwj9S^aQ%WgoY)nKx%=;(Ii}c
zv_q~=FLh8~ZBxFPG$yyYMZA0Ce3yq&{teRO02FUH&%O~Fw)8NFgw!01+l}F{V$j1%
z*G4hCvZi%nF@MKZ6Wny!0>vFD2^xf^CJxRx5ve#OZ@_)%3CeFK!rp!zDyDS4BP{Z4
z)h{BAjCth+k8W4fr9<>xlm$0_7Bm%`N~BJ{P#JME;}o~@D9jn^E`)U8F1qQY;3Xoe
z@GY+Z9~iUJcF>Hud|TD++x^q{#-Z9@kj1#*jxLp{_uaItaf0j!xDe4|R@0Tm(gFz1
zb^7N&r8&btKf2qirRryQ(EN7BWgtgsyOv_!tf?cYEY=b|ROyj<lz-6<?E}&+arDRN
zHWIlO&>tH{9qgH#!$auny;H-+HaJGI*wo9i6n+DsZ9Z7j{PudX&kbpmPCDz9bIF2_
zVIeZ0u;sCtCIuF*D_DTtDG+Q><o8V!(9lHBSBp!Al;`g{b_rQay`}FaPwte4__2~-
zqId6>xPj2$ehjve1U@t{&5^umSw(tP`b;I=hw)a`f082&-+>n^eip`A)(KrLx+|t)
zh&63Z)kPb~?WvRf%j$-4dS9+obP+$e7Gt}64a-=4%;Q&Oj{PN-M-;g`#1V*YLi9hx
zL(pB9w45v9z60v%b{@V@#xy?mYZ2hUY@|A-KyqVg;D>(&|9}Fzzt9<;dX3+A!o^+S
zMr_Kv8<8;>5$E3h_b#8X;W&+3I;C~oseR=ml-hQcXbtv=Ztak);q<tc<t^l1npRzc
z{U`*=`yLCX?*&#0<|HB|q!W^C*pD3zQ=cVOGF&GycDRCjm7*-=|9GzuSW>pizFO)c
z7{}@ND`6X*sRY_b%rL8K(JKg`s|3{la9#J3A7G#`diw3M{`OQ`e#I8@`!!>qxc~=)
zBJvSwbYP4fYtFkkvZ}_60cLbbi+U<!6$Zzg$~V@eROwgF$()QBkE7sa1UJ;X99&Qf
zIRT36yA#|z*v)}9Kn-_X0>*9pI#v+Dvqy=8CMG6_it~@*eNc-qGbn~NSSszC+U@YI
zVCF2$mTy&uCTAFMsB!iy_~rH(ta-wXu_lri1!k5iWU4q@fFl{P$EV~d8A(E9m?A5S
zfeGUUOeJ{evm3)WRU(cZ?QI9kS^RxW-_40@Hk5^3L6sU>JQ(0TK=wb<Rj^~Sj_ss=
zIwP-(j~MLG;L2tC;^m==Zl*7lZY->@{s?cNPG>kkzt7BU6hGH=8O*{8!i!`Rw&QP#
z#&=&Q#4{6@*v!QUG}081Ya_7`IRa+Dzq<FS2^QLVIDFI$5th0IxpWOVJIi^a4S=_^
z$LD#5?<x$yoFj?cTYe!gbV-iLRn!(YV}XdmjUYNVu31bXOPYF;pp+hJj&mntAd)F;
zce4S8XI&A;S`Lt}pjSsh%pA41J#-c{!w7f#Y%N~JVAQzF2u0%!KIdP8Ge&{xj5L65
zmvq0C;R4_9H&pTORf?i(n;Z=5He~2yN?qiwGj2U~*~HFUGPw>N!`7{j37I+SZ|y>3
zsvCzB$xQR2es@dN1DaWu!&axg!b@mDhAB4b=1M;Jm7$E!0qQqy=%q0Ql1IUB%!+s=
zd=Z<yz9is74~HGTE@?)7UBBrWb+qOSqNOw9%Kl$n`Tq!{_t9#2%eYDs;ACMvQE;Q;
ztSKs)q0rLQDhkT3Fp28us+qW?0!x&<TGCm(1uSD+qPAA>p4|{_5Ff1z#={W{&6!o=
zHSooCP->4!{+&$279^JM1Y^>nT@!1{nI$6u3b!%FV%iTS6((ArgCynSJ6hKei;md{
z4mP<;)Y_`e&40_+%SEmwBmF@)>;@gza1MsEfd|CAt5xbBEdGKqUCqg7RQ?nOWVz#V
zvJhGvH8$Xw?kc3tzVJ>C&I=>JnHRzc_ix-iZlYp;1Is3D?I~gM_s`yNBpntH=1$}h
zWr~0A$odgk8Q`ha!=#ZpE80pj)|9<&8~;cxiPGrGCwg?6X^kERMPZK0E7nQ{UxbnG
zoL{wdE3Ug&fW^fUZjtf{i3)wQLoKVzG;)HEx<Y+GlDFQm_=myW4_`Fu(rBHQ2^C%N
znsg?j2Gm?Yi?SeVOWQygcfodsbjNP|Apx(F>snt#kLDBT!f8ds&`Cj6dr^#`)RbxZ
zZ5KT(eWwLn-h#wL5v*e!fi^WmS8C7(xorT#AOD^uOoe9prrd3zb||J{!6%DEiO=>y
zB8=7^li@9b^HA73U!mLMf`8x-rO!pFZxfv$VRwOFGC=a$gL{nPzN=Q9fa$K&mqN}5
z;R<8tAaJ{MZv-7%9XrkcXF=V_$}V{~x>kVv=6PjpnQ(IfB^ab@L!*58RwCLSb!yp?
z7n>r-Ux^&c8s{YS0fokVFBZ0Um%_2lOWV&@Qj63y@Nh_qMwZcKn6crwXApHFZvL3~
zSpNkRrPQCPUZ+gfN{emcvPBUBtn1B}7Heez$0la#5b!AGXeV57O@UyCGrhcFhDOy~
z0+1GxH}7njy^&tUGv{cP-Uyl8^^7SzNz+PnYR}yNerMCi*fH@8>d58xQ8LvRkcrqw
z-T%lAqcv|M<#_n}<6O&~iJw~UWHa^ZtYg{h6JbK^+x>DX<hukq>nGn>oXq=T?Rb`;
zy0iAa+Ri-NZ3$h0R~FZPQ@0QG@Jb!KFkN#ih=vI&anWhb0b3Mtc8Tuv_+>3A7(Dcs
z#1Tpk^BRDX&N;|P<DBwz>+-<{&rfN*TTh|Q@*Y5-z99q<->`4io2}Z!qGTM~=tl{j
zOJ2w#9)&Q@5f>H#IkZ#^nxj!7e}@FGrG0~saR}~ge$;zRT5By3L2ed1QFwE>tJpz$
z*Jf;E>9^twl(?Dt0PgMDZVe=1+hJ#z&vvK%RP2p@Z9`%D;=|=h4XhHR7YP%N$bd0(
zxfV8=$&&sODtX~)PB{(@@N3xuwWXU@0onKBaz%iI;o8mVK{hTnIR)<GZiJX%G?sp(
zUO+7FB@hPlp+mLCw+i{SSR5eO@FS+j<-XZ;97(aF=r_ez6B_NZ(;N<{d~jIizv*~5
z7KnHTzmuhYy<*sQ4o=qZ+o9PLo3ti@;VhAgcljg}9K(gV<K!3q8(o*d2WY~pZ*mHp
zzc}HpCa9QyuHR;d<MvXJ<?Y`ty=H~vB&};2m>I?Yx(n64&PSJ~t5%zGedl0UTu$4K
zo~k~Me|<b83-QG6Q+G<71btd149SUsG(vqbPwQY2r5!aqE+O9Sa-S|e2d+)>{>SSv
zc67Y4w<b3CI`jbS?>hyB{ejEM&y5DCH{N(p7)`?+NQ+8L-r|W1L=_pMtk@StkztTD
z-6T50)-<OP1N=SAaFfkUA4Li~x5U{cdb0g0eLkX}TO+6nqsh~%3}M2Ih_JM|0Lig}
zCnPiz!ilu7M5v$VArhH=#5da3G-}p54#Yp6AKAqqrDPUEJO&rWgie!+R;B_69QB^G
zpHq3Y!bi)qa3X-sJ8th$oVvJYPwdq_fng*BZzO6ARfdMs3_PBgG1wTCdyY@q){#va
z5_*W_#JDA;=kWXm{AE4TS<ANC)$P2p$KxsrhOUQTHl7!*1TqBp1@m%Ah^&=z*}r+Z
zP)>Ltu6<7F7*B!AoWs<6tR)cBK`satEv}d8y8{<`{DP?EwT60;Qke0a`*(mqr=9u*
zPTdpetE*4UQ+yuHLm3yH;_+H*jlYCk5&eXk?cY`=VwdrU4JPf)=2*`zBMw&MP7-qe
z7#Xy1xOoM?Ec%A*@NJ~>YpfH8gO-k?Qg157VOKDj1Ly>(;1-x!NK>jiivi^kt@k#~
zsx7-W`lhPNpw-$>$sYj1pz2x{P}XYIfljgYUnJ!%$}WOpmefmuQvK=7)XRIej;er!
zVNLi*z^*yEN++W%5!{yt(!6suW_PF*C+sw@vma6S%??g910@6A2wCi|DFQ|0CJ@4H
zne3jM7v3}siIQglT6As6uGHAy|4NOk6%w+P!(^6fm`-j72n#J{))J$BHzp@LL_<w>
z0?I)|u(edLVX9>5s7L5fYugXlNKaLp>6<8eCb(kS3n+5y-$b&4lmW_s(jDX>>L|{C
z-@xzx7E{lcY3G>CqrWhg?sADKI_DAWi~xK8-oo=Ux(PSXEw2ln_qhC|ZuLTOX9zJf
z@ObnClN6ja`A=p-zH@${BqMYhcJ?(_+`?3rVh7yT4V7H>T0_DcSFI$1$8(e>G)V38
zoiTu@a(l(r!p}m*kuc#7r8EDQ^nj7KVOvO26CiY=X)+sVaiv(r-t&?HD7qy#m1fV~
zh4S<TpC6l^uc}s|0Tgydzdqk*bj{YpAGh3WEaYF{zt1@*ZXK$e$9zcGo@iWtkc8IK
z=rG3Jp!IZFhC^4F)FWFEol+w^Hy?oS%9OQ;ys0Av)Dbd<<$Rgh@)Ax*_f>3b%lEtb
zjxBZXQ?w;o(+u0<E3Tp$c1kT4W|7eMwry0g`jwC(%92EzHD0|Pt|f;kX(nEKmkY|-
zR3Nvpv#LAS&!`>AM+T8Q3s$d%T>z5A3pZ8T2|4Pq7|15iks_}mo#(|IL;rE7#1#j8
zuY4QmCQp$EWp$d{J3=ZEx$d1!>_hV!mnKk{h3dCn`Uz|ZvG>KD*_mS?HIX?J%KbY{
zE_$S;;}Okn*B01LJ6e0A(!7m0n~xJFQ`$j8ueBft+|Pr|mv;<7T(8h%Auh8*TL4<7
z$e-o@Wh`PaJ{R-ZbVg+rhnmHWxPJBKdQvNN0A4Lhm?F|G6NgXOX3gnyoTT$oA7@Ac
z#he7IYB$DBjnHbz0FL-JaoL%iQL8@)sDNfVmS_%RT#;*{qkX&$!pZQ|!xEAW7DKjY
z@v?*~$4Zmf2JwtcAH9gRdg%htj6;fO!hnWOhCoP^`R_}vCgkSMf+pmDM{l-XsJi<G
z@~RD&Ntv}SV-9D8T{ENK<NjIsoBs_!z4_R#`+uD@B;SBp)HBj9uKni@M_dTLMr)M^
zWvZ^PnA5yx6M|bo?hCFVd@nrU(Vu6Bi@rj0VYF$r7cx0Tkdfp2l?V)oXw1ethl0)*
z&zhvHJ<$6@iT2)c9IHC4Uq)c#nkK@GIgpmdovYDh2L1TqW`u^q>eB1*Z*@WJheym4
zbJWulUtGf_&b6G$&$P038`%dcal0z@j9JH7;=UT+nUBL3nN|IWCD!X`HX0MT6=XRS
z#EYxq(?4{c6Wl1<%hd;eZ$IJ359q6twm3%e4>t6&Ae&zPeCiz9(Ghm*a!a;wv93E6
z5dIm@V9n|!57fPAoFkM$5GPKMWsZDDcJnBqyYjv_n^oXzqoFNeWJNrk3M46E%USUY
zu5fK63u+%Np_K;hZ#dQ8azprp^^79vw}KK}&EPVA;{5mZnSYXQ%yrqPXHu=UOE9+v
zz%My-qx2%l2gQDw^wVqGv?$}-z-UJ@SX30i+%sRv(imf-Z*gD>k9JNAV(NYyelJ@4
z8X5cF=k~m-R#{a#>>1~1<9_VYWoiTlp1%#&rL=aH!)Rs~d=66?<2+&S#v@a6WZFsg
zjVLnyuov16$D&hZ_Lc2n!AnH##GrpS-jdXp*lM+a#FD4+6sA#)Ys{gM;7zdlGk5zI
zh`1bX5Nj)rlLRO%WK~lof@c%)1hv6RH5qHm?Y70Zoxor20l**Zwzn^0$&DsT6mz{s
z(MCLhwMWcc|5WxGUk^f)UMEZwgm<XZ`ASo;i!tvTW3?2!$>jzrT1Kv(Z0JsHJ0GZ{
z>iPLVF3?&RYY_D<S6n-CreT&&PS2#B%Cl}C5+IrS{9Tx^w1o;0x}dW6f!dQ7sQ#E}
z7}PGG6mM>YcNDo?L&wU$zSDG?Y%g2t{{qe#{V^C&?fm{z@OB~QD|PS@7xb+WHZ)_}
zA7@u#6${%?BsD~_6S(Ibs$Ws~2z_-%qk9<;MLo+J3&qAw%Bi_N#xG(LQ-D8IrI8jh
zUev-foJ`3!6C%-pg|^vO9eKa$Oo;jnF!J!D-XSKukGJ<#K?*Fm*s+lvj~8^W+n-F}
zG8d~Y`u*tP#Eerb7)+`Uzh!(P_KHXxLFKVNL)&zbL?Vitz4^^j;5Ox&Q7<6sOp4}D
zbA9i_VtP-iwMio<#4|9esAaVSXzqS3?jG}6!P0W#p|);Siywy<nc_ZnXxJ%Y!shwY
zqFYwa7a$g_eSdRU8H5vd0gDfG@M{hivkC>nC(27{pXB|;6i)GVkCjxfPMbV#|F^K~
z(^sSYaCWIs$_X5q?l?=7O$b3U@|(;CEX8Snr$J!4sVHzZma1-8wt~?C#-V>NJX*9!
zl}ufFszfjc?9LKYAb}X#!7}`K77>B9<c6-FuJu?`+=>oy0(Db}s%U;{svoU4Qg5;i
z#mop=7oDg*+hNTdC@kxJ$Oj|r*b-r(4Jrs-Xt1PTkuVMY&49j@OrPELm<{2O4MKG1
zD0nFU(9vtP`HyDPu1vLU$(7at(*;%XR}I1Y(|v%#o3<oY5O^*w1rL49ny-*sdG#*}
zd&bjfmz=2m1(XK+IdWOghr>vf_h_w>b|tnQ>r6;!QE>CVtA+TZyQ$1TZa7R<qjh(a
z(O-pMjDTMA|B2-Q$`~SsyhHg2K?bEa`c4hfUQfadNr{a7%~)Muz*D)i_6Lhja#Z?h
zUVXc4z<$=*21uiql5=JhGqgrMv=c8~ar+b(Y(K{{2P&$SwfGep7f=;Zw`i((%4{d>
zY6jdY8HFdXUFiMdr@9R1&uUB70C*|RWUrknSd_Wx78QcGNHjYJN=u749Vb}Buy$xy
zHJ+F5<6IzRRp`la?(kyHjlCM4g|2XJ$bKUh3N~1_lkxpIp@Yc!X$hdcQ;9SuDS4!~
zGmomURO6GG!0vz%qwM?x9&(9`bO6Fj(%uq?WC>$$Sna$Eu<IpTH3Q{xX=sk5Ammno
z5vw>>E=<+sC`z;Ej*t@sYCKSL$k=D$owvp8WX6{@LFy-<gY^&vu^V4%Jo3`|TNnfa
z@REX&7$jDu-_MoTX8cxRk`ojeU=Xo&X9nIon`+xO*k$qs0KGpwF!@L^@dEf-m%`ck
z4S{Gn?(%juZ7iv1Y%Kn+9J?5E)1+0(BJ0nLJqh<1n*q|o@E5I^^Y6Hi3xwH*lWF_N
zUGlpLC$YT>f60mQGS*!vJ1;TrgG;U@Z<Rm4eFhE)GRR~xs6KzA<OvCj!jd3Xe2!j{
zsOUOu$%QvWKYUDq;niJ7d{@#`gh$}<glZl1%^uZj4q}SuWBAR&_g#5Mg>-nOZujna
z#O~)1*Z69yS3uoX47iNPMV)HbXtSx%&C|yV*GsN_J8QScol&%a#{1A4@`X8Re%M8c
z?e4Zw4SQDa%Q~v50QEX52*eMMZY3)udYRlqf<m9!M)`^uvq5TddEGg0ME43rd=u*t
z#0ivnOQ89Nyv><r6}H+8_-P$6N(n;Z8=R<CLFXOYVgqRFIO5hYu4dr%eHVVlEb^8i
z*aPjE%}Ojh-j{UWv-y=0;y&zyHNsG@<kf)>tx5NfUoA882jb^ed7J@;h6p;7Yuob7
z@s636;L6Xt)r3gipzS0_?4DU@Eqpd5Qs51`n9zDZpw?q0bCzc&WTJYw9MD-utz7yO
zb|+EmI2>NOn1)4~AddTNHc(|NQ1D+?W8E`k=4X%OB6wH%S4si;bF;_}j8Au)A?XtS
zIlxPRE30he3g`1R6o*y5V--$CIuVqi6B~?xdTS|nn3S4uS`3`7LA^g{ga(E=0I3o;
z5l1|=*>^%NG$M~`Q!6<^+wq>8X6AgO4y$PMMBgMw<oiM3;b6%!2v}4rPd$#z8|czs
zZDdR+fg9Q{$i%iNvOeI%q<<~A(c|2j0^<fhZR-bNR-dX+uv_n+)(-rn!xZ~I>Yloc
znb4}yX0k0Ak0VHfo{3~ki%(jA1mx<nZA0mq7|rL&R7&|mQ9}2l3oP<)@+d?OE}iQB
z&M0pQ-ntCwW*r?E77Wub8nY2*`*&@shAe#+XeKp6nFPv*c1#1St~zEWau#|neZ4MY
zHRXz|dk<;|{O8c+7JM&7=ugdIn)qp4Xu6iHu|La>>P3Bbae0U|b%e#Uan1+o8@JNm
zg$<R+dwa(R67uq4@)7=#g#V-&yVD%p-+Gbq7p(H*n&bIxr4o&Vh5lIm%?A>w^%nw|
zoICuoooJ<X9R?T^coXrGhI|Z#)Ms0(HCX4OAMqxEr`qm@l+KYC)qz8F0sx?|PvZ9%
zN~lBu;^1V>F=$b^MbtqhHiYFLw$X#*jtEl6MZV_6ob4~3uhX^~%4wngohRgYbg_;0
zat5ebG-9d(;c}n6$)G;k>|_Nap9N5)9>8~w6FEI_DYrKo(<jaK(Fe<-%nrs&*g}}?
zXcj4fk~hM6RI&mkg0Bz6mC?tv$G%R|_`zcNGA9rV!U8+hUM?auTn<lXSg>i7aKH-O
znK#n<J5M{4@Iz*AJ<Cv!*jL=Sk6On}{z9a7Wi;niOMmI<LV61Jwzg`zu0R>+71bJN
z&*%hB_xePIHk7eo^nuZ%`J&Z^Kdv=gc?{o^VoFyiHqo-t_Ng%!I2HeguXc<&59lsH
z?eR#|8#O9l?oo&o-@6?B;}3z1eg^tFU0`i$#>;=a-=7;cJ+D5qFv%g{`gkxg9z>dn
zHB|E3x>9jl$}APg)_qKZ;2nv9u^~20dy?m5OLqx@Jz;$?POoVgPXIGxfiRKuWv@&P
zAU7v)VQyX>e}O$)oBzTw<ZoQ%YllE|A>4K?d{~h3B*_k7VU<F5qj$zT+h0rd?M6mJ
z8{~8kA!+=u8v^Z3Zrib%$S%E>NgQBza0q@>NVySHB6-**Y*`hN`>Lz8mvlcDkeuR}
z*~IZ5A)#gD4Xt|IU4waX#;q#h`%^vF91J6cf8~M_Y{|<SU<o`5o3;7l?b}{kjQf{?
zP6xP?8l${@&IU?dGP3E&D^aVl!5bnbiogyfL1*voq?`3U6(&vf<bi;xc-Ti-XqJ_B
zat^_6J!oMoIg|vqL5E<WRsxR3;TvKWce-7_W{&2aMI)$q*Qs{bQ1r|c-fs)wMj?)i
z6rs(=vn2y7-y6|&Hj-4h;i?JV6z1H8xqw@zMkTO;8D>4&i#|>hP*3tj!HymbeEq6q
z!@d4lEF*KjieFrumn-ghz9HaoFeWB>!{BfpWjnW2)1Of0zK3;KRkm8sOs=_{QNYht
z*UFEUmaHPdR*<sr%Bb9C^_7vpV#jqx;dZ6fPFhXSapA4r0RP2xC}%AFGB;CRosD)r
z=48t9SK;KvT{h#<q5e&b&|xno$l5=NN<*c}qJ+dJRJ#Hk3e8TPMjoPDqBLyi61)v<
z9!VPpl$7k9G{GWIg!3|!I?R&si0&~epOXjvDh1(HOEhawV<8vHs5L8we(8+QKpH`F
zm3EnyI$}L&kLQiaZ8FpJ4vT{y*ip0W*-uTLvgsv7qp6K*`a&v^l0f0#pR1xqai7+~
zHpcKX#<$(7gsMn%#L90;btdh_0r#86)i0XR=6w0<p0W>DGwG-K-jR1QIaquy0)IG$
zp5SSYLViYC3Q24$B6pZ%1fT5IP+EklMroTIKd$l=+dBKG{U+Cd&c~QCq4@nnBO%@b
z(1@ylp~lhK*_EE~R*d~9xx~&t*T!-<!&gwb6Hh@oBJD;Ok&Q2j{;C4KI$l3a5)fu{
z=DOeupv>?ZfAi>7;mfd(6`)R6dSsETarJ<q)FwK<RQQ90TdM&YgnpTnVGKWY@Kc<D
z_2Y;dteb9X2i&-apIDgXxi$l%d>j{K$<TmKiT1sEFF^=qg})=l)U!f>Y5aEuMxL8>
z$8i}{y1}qcqS1>m*=7+##w6s-rO#3wvyRkveudmw{!v^Vl{Q7(^6q){V0;xHJ$|?G
z27}19qYU7|YiUTJ1_I*>_+-QL9^Y5*Njgpnyh<cKfsuFpJJf`EQY->5)qm=w<Pg6H
z=4)B~VmFWMgn>=|rxUcWZhp-R^P=c6OSdt#ZJ=?HQ8O?&2-hA5yDY!<LH5z`L`z*V
zO56>_fOA=MbO|j`vO3eRZPEKlYxm`9d6Eq<sSX9;;0@*Lo_F-;(JE_<VsR;-@Jc2M
z)@ju_V6Z`ip^;noJM;vpSOcehm4;7J{~CflSa;oO3Rvu~&H>@e(3h|OXbCG&Wy;Xi
zXMFfF*CJ){RPvro=K0<klz79hassDZ<NJ-EeS<&O{F`rJsxR}m7u!f?!zClZ=@PA6
zKp0O}xICNyBZR3gG`4EB(6<!K-G*d<rvi?$qbqO6^?Sa*eqiR`p|#&{6c~2xy&mYl
zYZ+?L767<ML#R3mvIwfoTQ<9!sbeV&tq1x3EG`P>*!Gl%!p^NKCwS4=@o%6$*%Vkh
zK9K3vt~V$^(Qy8UT1-q2nr2$Zg`5uXV<4o7?RiV<Sei|_Twwx>>$jyvce6z$Fznx?
zNpXlnu6=#`*OM%}7=-R+d<bs2bpOpqAb4oXJ@>6mdbkl*NjDI2^b1Evnk|fbQ|yz2
zzF$5lB#j(I{~{Enc;GIPv6Y1Ctl$b<4rVTpb7e}Gq7%2J#KBsV4&t>97WY)r>gK@@
z&On0>Q92y?+Oq#v%r2$z1|pAET~8e~Pm1qI21BX8tpb`7=e-rsY~BT~V9klp9wM0{
zb%;1dAq=i36-xeDv6YqSS#B!^V%v?8o-Dg>RxgO?xf1|w5~-1iQX_$8#S)hTWda31
z=knHrUuj<~9|guA@1zkk1ko?9oSZ{d1P-S4*~nOmhDuQSP(XOgNS>&>Va;-#zKMwL
z^)i3}d=jAZu3qn&eBh9mjiY>01sp6?kr^ZK6;yAIi$6=}eH8_3#*>@z@@z&*w~5ZD
zxdf!GJ|<<3>gZQ``dSd|yi54Q@Ak@7hQpwMHqLES7nTptJhdg<c`#vCKl7*_kG;n^
zW%3%{vlq&p*Bb&s_bD5Nj!A%-rjdrn6Kk@HJY@Ld!dL=8d+_H<<ECT%F&w|szQVgX
ze#BOmmA{KlMCIqPFFx#Yz3ym`o7cQ6o7zO(=-!d__zwA0Ug~*?{Q`q9H_4vePOkC;
zB-W<t%++Z1vc^oF_*x#685y=+|LFPA!mUDI2S6#aac3Ei&44LWW3z#jy@MJoMRqzy
zXOYESmnpa`ytMQ)`E2U(#^jV&j9_A&3v}el#=(VUf{|yNb+W16$D^5dV~~W14TW4h
zVBd`X{>=yP0NIOW^9$d_=98!24<rt-N!D-j`-$%PqPhaJWi0hE1xn~!aj%7yx^H<j
zMs=J&CBh}aYD0=x88vTY`Rsi)G7~>a{WOp+8-MXf?B(4*+}?iT^4d&+?)UDo*-L~g
zZtCSgwpTEu735=v9<i^lWKS?*WEbwnLdAp$*(M~mWS!C7hJu$3N29NQWc3nw_DPjS
z2kOs6MI4g2RTQrpKl^CDR{M1#gaM>kS_h9nkOHTa@M=Lt*O-~2Nfv^JWPx@iSi+@3

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation.v
new file mode 100644
index 0000000000000000000000000000000000000000..f57ee26941f9ef685828cb1d8bdb71e871c393b2
GIT binary patch
literal 20944
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9On)yAxieKmQGk|EqMqvD%U
zcl5Vxjk+o}6fuV~*|^L7VZeqN*|q5AWZz%*#eJCZlM2)E4tkbPM5g_r4M}|*fZ);J
zKAdfFy1AfYK+?h-#$MSK%h8HC9m>5pYFJwfP?BUhZxG{H&^}vvtOM(1!K7pv>R)i9
zLvw4;bd7`^(^8PC=eG8#>R-Fxjg&v5I-NFER&sHG%!6q6^-N;Ugxg%ttP=6YU<PnE
z!LVSY-@#@QU~2zG1I#^K5C>V#N2=7bs2Rxrmt{~u<puFL43T#H4FJw+{r@^|<l{yX
zMFaUTLF~{*`;8dZu4uZwUWZ9}Cfs=_y^Dwi)`oYida_RTLj8ebq6Cuy6Rh6geOT;0
zC<})tLMA*-_)%a=IHVmwY{<(^KUh3dFd&lWv%Bo2tdeo=0IPA|M()Xwy^}^EgieCQ
zBMli?Vl4=R)d%ABRMlq;sW6m_VS5MFAC^y$QgW2a8im;+4|)btd>+^z$~MgPW+qhl
zgDQZBz?XJ3D_)vs2GlXg45kP0-7aerFKgpuu!xq`p&HNS4;uCyi^dd~UCSTPpQZu5
z8B@fMNV`N@ufyBvAJ(L{0_#3}SLW}wXggB#Q2i5dUlV@DKr&7bNT5^4+-o^q_&COn
zV-hIb+cm@Q=_R1+#eubzMD#6GoZiskaArNcBjpQ1g_^-j(JleVxKW4ZR0OqTVJ8;$
z=z)ZJ&o0xACfBrO{NW5l=f~I_;HsHzacA`TYH`T2CXqtbr`{<dSBgW~%?2*>$wojC
zn1xa`ZB!osi6GLJqVu6Yw5E&tSqHr2l+rAEel|V4CrUbKp6G?^fX~t2UZRsWHuj(C
zNAQDm07jR0YFbC!5lc>{;$;gSJT=PLub>?V>xLvL{T|;5foY(D__}ly{CE<RtAkM^
z$x?SZdbj&>ErOIFS>Q}k7&XW;K+DE;IpIDt2>~k)usIee!L^4ar500z5y)^Xzu#iq
z**EiV`!e0(i=f(bx9XO1t>iX)Clee{5mW#bO3_o8;xAlZ*u3DUU=gCL100tkB(C&)
z3g3r)-n-E%H?MTCXPVDZM28&r(cvqmJoHPD=>i0Py7~9Sa_c(*PR7apcoJIUz<CQl
z#ttCT7fLfAZX0(Re2ZaMhwn!ylYqdhV?gJu_rj;W)>kM`vF?!cErRcu!AKx2kcGse
zef0&qvdeu(-p#jx05Lwj{OQdKJBjJdZ%Q-%9y{I=+zT;MI>RZkJCnl05DzQJ)FHju
zBT*qX$@Pc37ON+nLY_0JT#uv*r}{cepbZ0lNmO>&TKk$#6I{b$?RQ?AmF*u_$^eN@
z5vZ!+j=8G$u#Vx$_l*<e+%lvj7>RWX=hHWRY8Xl76)PTvaqg^jqVCZq;P}2dbL@(l
zFKN#PcmVv;Tg64WZg@W={G#)v*rmY#Ed<sTx%<yZ=7A6-S45GBOO}?3IInf(J%OCC
zSWLo;A&FF1R^&>{QVL0LFboZ6d?JIlx&&p3Zi-%GwmYnLmPc1Ok9-a^p)gz=2d_I=
z35{UgJ<ntE7KN{n73_w5Ngdh<^?}dnv`DEI2bdU&Al6AQDJnY%N6AK=nVR|Tv)h4b
zyl)1Y982~r-}S&so5zcklZqEx@q&(BIR2)k5Jq2>?^j|AG9daq3;EW{Weu?yUb2Ag
zfh~WxuyFhg3P0troEqwY7&|X(bgu(Cc!N+X1g1+D=6w^ut>fNRN*q%CX<s*U*UTxq
z-Lvi@11flhZSU^N3)W~@@qxlIl<m-x<7F78IgDWJDr0xWOvh}dfg-@#`nJnU0SP#~
zOEOAUsbejLu4pVZd+<8?lIqUM=AjPyFl18qOvvjCOdFfqAQM-74=dcFdK}i!DuUE`
zT2#wFqf=Y@KU#^sJ+pw_qzs@@T4dwS2MCj{cY&p$l=amOyG$a`r)=X3K|04M#@7Hp
zMKGD#fl3Z00olp>f`q|dVpMCm3}qPa5DoW}DxJ~g&L7J6F}h$ycjZFvq4^piSSj^J
z6JRfc?-}oH{hah?vJ=9hK=;d?!{~!D4=9RgkKjG}K}KNXc(Xad6gV2Yb4O~X`gb9H
zD4K3x;C`$weXFTT;7)ut-@sAG9_ow+=ic5&8iU0%FS}1$c5|o{n^A6KWI}6_f#d2v
z;KDvHdJo2(P)0XVA?Fw+OQx5D<k8{=lbw;FJE~hJrGSVcmV`F$rq_|vh^{7ks~=U0
zPNFOdKi>w5-4D`zdN!mRAiaZqp3D$)dA|dq?0bZwoD9^i3x$VpZ;pprI=RoxRkrkF
zeDe2$0Vx8e471P>F-$0I5QXi94k;wP8*&}4qvVX(_n-UB*!a^23b4KG+*&XxQ|e??
z9@15Z3-su=6Os*kd;>XCR{FEIx5CWh0U>~>MmOcTTZoWz6MUt@{;R1JhvpmK09rF-
zml=-Zd{Kd{cj^mCp-CCTaxTHs2msb<LQ_^@?!;MUL4Ja)-AkviBsOM{pTYELJup5%
zsH+P|)=;owJ2~8xWcLs);=us%^;0DU4k2$?4bvZ|OZU5qy(J*|Y69o{wEo4Wgosc2
zW4ga4rb|uv!?JtW$cex~snK#pT?fN-XuGf8yv~0et&Z_FQ|}~T6`4HE4DVg?RW{=3
zL9iQj*O6@h<I9MuxrBgBZE(`_rHD;5;cBHccBTo^|5E>4U9<ZzWJRu&#CWW))4yho
zvdfu4J-SFWLBwOGa%jnyp64g5xbd+TDBX6jHwiMnhtJ+Ok|V=kiJ?rK_vn;=Qt$D9
z{+H4?X=TkqLfxu|EG*vrQDS?qPJRH+z!=<bW~AWC`i_UOR75H|SENni9MW=F9GKtO
z*i=r?{u!WEoZg2~Re^@ZF<Qwpac=}dC=hFU_Q!5QhV7gbg%9EthBZIkRx4d$&f*`?
zq?ZYEK>HM<&?dS1nEYxj4N9CE-I8pFSp0f^jf!+zCEoRF)<x8BaO4GMWv0PYB+aQ!
zyrurfOv}OBHpPVtMkW3!(FYsBua-HYJzIyB^J7RI)`G51Kk*>}{!aYS<F$0C5u+f8
zxW0nfM|k1LxvsFWYZlj=b<7M^2Zm!jQRt~tGHPKN+>ZPtYaW}(Ew7}?pM)m=+ZrvT
zz5Ggq?J_F2k_Ww#Ujdk70B$2K3t(LVj5DLv9;Ki=5$1H!qHn{SeZ2mXw!0e?R~e|^
z!!%$8i{5+(*aWJpQ&+1w!Ydw*3Q#AW3*$+bwLKx0?E2Fs5wN>38>xq(oewv7qV)<*
zvP#zAV%;&lyusjz<DNcdBY^wLRiG@34SO#mn0!wB%B~sg{GLR4`tUEhm9h-;-CU=g
zK&kt@lMst%KVv09q8|}>cITM3kC(d(1Rm1D(>xn1_aRJ9MR@~}D|qEbT@HH+FxzE1
z)ZGI2GOO5MOxJiHS#=n^0P0vF@nrqeg9|RUVmDlZI8DltOAT*ag1o{dk2Vq3V@Q=h
za?|QfwY&Flx<8I<#Tnw=)eX`^t2{%q>|^W-tGHyrV~ZbrMb58`2v<0Bjh9`RBxa{3
zXaqTxql<VIVJ^QcTiA-@)}ukY3Yy5~KAp%3`EW=A%sJ9LYe6y7p0<q=n_7!N<ajZ3
zbJQLJDv{TbS%Il|U_q4{*e)IgA4TE0@&b)<<QU$0f3&f^oR8V6U+UxL_O$8;qj?ms
zFLU~#^7_RNAMqHyk&^}OcR3IqFwd>%O=S4%dc9KlUH7;!>T7wtNe3QUC;k)tiYHeB
zl3=z01r<LTF*wbT8gjh8MMA6{>+4f8e~SrMr!G-&`KRNnwxB|W7%Y6fSVEkF9q8zA
z6vG!epa7t6k{_XE`gVOU2UuP8q-$1$Th$qxQ2I!@^EDHp*ALRf^>HC$gae(;`b_bB
z17`R&NG?DQe#BeWLuB2x)%*bKma`NE97Q<>J1Wv3;?3WyL@3{%*E>3~<)<T^T(*do
zE4@Q#9Uk2@X)*-vB(YJE)?iElqeq`Ou62(B-UkagTTf7byxS{{XB_Gxpa*&gTLAN?
ztPHt%H{b_XL=TJuAkW)yXZ9R3S<dnzSn|X#*Cs!c&)f4*t&iS8_8(Wf?1&YwqeWHo
zmkG&TLp{5DCtkSSfDEZsDsPbZBV4U%jW?|A^f6rV*`?&#o-UX4G=dPhG_g0e3%Pf0
zZXdocp9(*_j~O}G6S=Pc_OX=gi@vpksUQL>fBD2oh5FE-i7tlio<P?NAU;JdR=l(t
zS^l#Oq*w`&X5{DT@%3^j@Ds;Lfy;`sFK#}ml{m5`kXE0(-V}#Byan7HakInk8#H=1
z1&E{eg_d6ZH*KsAgO=syoZ>}YkQjYfm=){^X9>J3gM|*yu;Z&~#N$v8Dq>szt$n%7
zxRxROEyG-}VJ!VDGKYrQ7Hr@6J7F=&>>=Q(P`uK1YeSK-q+4X}iLQE-&d?9#PszUk
zOjJVwy=f~-2AN=u6i^SoN0yj$;Q>1HZL`c!U$o84_Y!ca>cw-991AVCx+d1tpT0hh
z`$O9)s&QZx0fLV@!Or1f_iCyrh*?mRlyv2t;g`fJLS5#X6Y|6-I#<1#?39zSw|g)j
zOw@w_@jeq?BP>iZynM0BDkt%CQtb8z&Xljw{IVZ7_P8=tX_hzlvZ5xXQ_mY_VmU_B
zHwRV79FhTCK@>#4Oa7a(zS$%)5cGeKYnsgaoY?Lzvy1|<|I#X9(wGCWdlWqyVv=gM
zk{+nVdwQv}CC&0qs(feDSLd?->3&wOGI2Zo82)Q>C|kAzcR&u3D_6^{8jfJy>n8lU
z?MTk2aPr@qjGxZfx>=a8__SuU*<=ZA!9Eg&x`jSyaT+<F3t~CEpFF!q1iXeoszDCC
z!X_`8&==ykf|^EEq?WumLOP32-y@)@s}DHfW=3!QCW;@dIsRA(DIz#+OOG~y|DR*a
z2!byS1#Q#S7`1hK1qud)L@A7}vE`*Nl9Z`J_~G$*?gCtswKJU{$8D4w_P%Z>96}_l
zYDy1$^C@lYFCemW$k&}~$U4u?<&EOQ?%?s{cw)3I6IU)Cs2}F31!&Yy@39k$RBZ;`
zBt)wctYlVv8Qvxx#KFj$XLR@DM_!iu3k-Q|Pohx{Oz@L?r0kR1RG1kXOsX4e;UWTi
zsCE1*au^*v$26P>qt_e^g2D<Hy}GhQJp}tGT1iuvT0d@JCq@<Qb-tlFBFsH&@j3%T
zBU{tA?c+VDWYmman@+PZ&l}QG8(OR#F_=T1LEvP`y^~&1F}t<1*>Qq{@2F%3^ysp!
zynQ~p8iRc!y8HyOGyMze`O5`^D92tsg&I$!2#E*nsy3-(%EBjdBNi@y^~bZST8a^E
zFL_JvXi<FkBR(6*#qG+tRIaHTjLOmUGecuv+sJIeY|kyf)gk<WsaXfB{*I#}+&}D2
z#P`>ZnR1u`)5PPYU-6RP*rp3+bDH!X4{B_^4iYURZ=v>CcFqOJ#F-8GCTsQeSaM(N
z0a0-0C~NZl4fI%{hI~PzNbi*0=Fjb=T!S7lHO6qAc|fVhE{;wBN-<4h87SxxMVcU9
zUKrz16Q)QLZ<*=*4VyNAMb40(h;S$*S<o9fvzT3zhTOJOEj3Z$qudQ5JmI4_0Gf2U
zMm<A2`wsz9J<VN$#w)l*?gXNLhCTIQb>4SVqoTWi%ON3nV{wlFFkCfr#ul*|#5XG&
zqFdMR(q}OwYW!l+u6Ma@G2t8~x5HbC4c&<#r%h!-$IRR-eNYZ<RXHzRTJYbY-v=m`
zgMS7MiK(F~A5v_@F1nMFQC|i<e)k0{JzJNlqnZyPkz0iNl$aJkwYCg^$V;r>m-W&Z
z$~#+`60Yv#GNm32si=})>+K-7#zB}<9ffk7B$Cyp2iZVQ>E~{#t%4~a*w(Ffc5!a9
z1>yd}fhmlLF4F|)j{eT${^J{&`3bTB27?(Z(fw`E`Y}EJM4@SYu*)AbFo0evKhBhK
zTwZ5%z}D*Q+z3knpywQm&8_@}bVA6bk2fZUolA0K;4p-sPF5yB-ll1|qDfn{SZ9zt
z%or{pUqKxbvx7%$3-!b?h4xMrNvU-MT;ySB=ig=H`J`^WCWUo-!{I&VZaVrPCaLQI
z%Tbkwn|EXoeZ^^v!xMOh_ADp?PNIFHHwfyHj;6&KmVY$V44O<Aw8QM?rVlnWOm3RE
zC)${gnm=k8t=u?#`x+b<>X4`6x^39svH-k7Nf_wzvaf;zGBNAXqDCH{q48(OP_2KS
znhkvtce=Gf8g`9s>N;hP(|+~`qRH%rv*!!{PytCCJ+DDi+5a@!=*P{jpT&R$y$rNf
zvBTgNHoR!LfY|@Qb>wA#41HWHg|aS6?W4{2yqsA<pK}VUY-q*fZ~1FBpx1Y)eTTjJ
zBTC@TXs+A~4Wd{`%BViut@K<t)oW20`8t(yG5p?Py!G@astB>bBbU{I1ngn;ur9oF
z-)GpbHzLKF_KXMtpmh|+qXauB3A9_m_rT*1HKJE^0z4TH-&$9x|DQT}Ghi0;0VakY
zDC#NtoT`sc`|>{gM6;tpI!@xEyA>u&exOy}qJA*PDtgApz5*SgIiq|$#_)b1-CQlk
z@X!Ju2jCsZReS9<E7?*oqQn^Pz1X12dTpb?;h^*_DY*rFPep@9<(|>??Py_Ts=Vy!
z&Po1wp#EL*Fpv8u2@o>QC5j%oV3{V5?1v1^K=Q>@7(+4osz+1<dT9LY*KSAVZ`v<G
zeJ#7NYP)zsg^T`REhn*ac7rB2EF+uSllFm<W+N-<D?2&GF6RnaLvy)?szhVwGLJqu
zauVSGHE=9-P*4Eu{24^oLiMvwr7YAqmcTG+sP~_0M(OET57y(~5ix=B7ZEoV-(_K$
zxl&D2gC%%R?oPhAt;&-}4g<i<A~(cNuOkZ~?U%LQ;$`33g7w6s7|9W)g%Iz&1e*7-
zwvoTpa5zATlss^#2{AqZOy{xoZoE>9$?JCm?X}MlK+_+j>)pT@m%veFLMI@}_Gc-~
zl+;K0XpcqAg>8f-VLRm<_IC?Le7c{<Qr?nkYZmvt!Wf)=-WIcG`6dBwBr&htSaio(
zW;q#;*4yLsf>kr|ui3l18C_|yw=r##WD({zn=}GvWZ*e6<-=NSI|3rLVIVHGjN2t>
zf{zm(IGFl9$xo&R?u1J+bDd(s-KIHg(@5<}f%^=JS%*ZhL^xRMDoV>Q$?eG<y_W)|
z5I`U<w)6bKzKbfCHOej8B_PFoENqS1jz48u0#`{u)zB>JvESVKD$5l2Dxy$OtCSZO
z^?KrtUN<VH;vFp(+;<Bk^C4iI(N(B8QGZ{}SuYKrXna%ZyFI~B`l1i(;Mj<E_4w1*
z!uqKeBwD77QQdXj)P%$ew$%H-o>b;8V~c$*A8EXdy!fhsgRpf)h~&(;FSK&ScgkhR
zWw-C~tzXA;t1=2{?G}V-GVZ7z=GL0XSDpWqf+Wryg$6_3X!0bwn&?H#ICnNoePdJP
z%WP{oG_R=1aLk5YmjQ5IqF6nqvbWvhUCQk^(tp4(Hcn-$zBDRW6{jbNBlJH1+`A7i
zXcD4nbSfyz>H12Q`Pg70Iw=j+sF;d*$eOl3E#z(d!fOYvu722WGv;>CqvM$hE7RoY
z$>RZhdvu7_wWxv&#*}ZdEPvN51+~-u2uDyo4#TA?j@Wm(o+q%#nA@6U>Gh!z?@5v}
ztrzZAS8vBBuZrKA%7(arFIr##9J%P%&@h0Qncxn4^c2J&=2!VKJ>-|~0)!y^D#F4b
z#hXmQoG<MM35#GVDE~UK#rhgg0mxLSRVIOqCQ_2_gX^k>PL#?-1(`nhom~KY+<O(L
z=r;u~3bmGg!a;Kc<{f<(*n<KK-*h?V;CMonj+N(7>{~<9@4zE0h@BT|?-(<NOTlNM
zLfg+Z48GndWzy|Sp}5dhgcL=r(sfDbIdSZ~Tx1my57Z=Cy#?p6uqlC@MtpnGl+WUj
z;1QAkibwOKqM5ak26_l?y<~p#d+9~F`gc0SdR_+q+ABMA*-9Cv+rVe!YXN?X{`_V`
z{OX-w<Yrh(#&&wbqR$NyImEai%VsUYLv;tZ^$N)M2=(FI_yR3dg;&_b72SR_2$DRG
zt<zbGVV8{ZNQC1&CDTDvGZW2To$M|mvdQ+b{Ae2(`pM`Ru{1(7`7QVR?I~N}01yZ7
zQdC8_e`E+~TT8c4;h1W28w$vPw!#l&y#~C^?pw9#grdwl?m18+W+p9?QqpB`i<B1N
zk7l^j8e2sDuMkeM7A8tcjUBd6T7*(tEpp|5|2i`-8y|Lj<GX*ugo8fcn&h^PIy0Fp
zdp(%+m5ZBgr8^x0+mw;X<+f&wsM|ONRchRazRM@8$?jvL2=eAqlKg`LYl~S0$37({
zFed~Qx%&niL5xBW0bSK_fsjzg0WhfQH!Ei6FB;ZilGV<<_Eq+z_d`Tj<W9`!ci+XL
zKGwh#kzoeYr*G*M6Qd#2N9Ei*au>`Ht+JPq=>UGTZu(eCnA&O}emZ%l<Bu@1i)pWN
zqb$6*oZeuIWKDs9u&hB~z8q&tN}0<#7Ck!O8!dD-ER@4M9$B6Hajg@`@?qyE-(EC=
z+~pRnmRO!x65aAT2768}&KvmO{7u~g{qE}xOqGKu_E)!ZmyK3z?r3>qvLPHHvt7zI
zZST;ux4c+zo8u$W3N1gVZ~oK0no&mJpEAhbOU^sh=c2#4h=d@?6#gX>^wIf-+?#6m
z4FR?mLl&jWc1l5<TcAML2831FyJMvlRey&GU9%T}GFIo8*xSWpy8CyUrm`Ou74==w
zW@NptW#|@=AKV4HOF>OFO2wFB>2oCmCyAU?Fx6DG?^DbLcfV>&?jK!tg^QVWM0Dg!
zxKPSszut5M8>^0-oKxBQCd`tX_0bmT`c8(F<b)SZ5XaT?FJ=5dgDlx%%~~x)UbJUP
zx(6Db7;my-9Pn_%fhZdI+IH%a6A|^nRDT!jC5ISm65Wn^HQjM8ER+<)$4oSns;B}?
zFOz!Q4FEuBU8ugC@<PHmZxIcIY1Y`+a8Q+_)0>OT<0JAJZI!W)wbE2GIsYDVP22yN
z|6MHB_xl<BC^hlfp+%t<A6@&qr&<ael6IrukwM2GHCw+YA?1#fs|)wD5eTncCJL7?
z111>yX*>RmyVKk@b0)!EYMPBe<B{QcE#ZyZX)~h*#2?Y9OW=lo%RFBI@T9=m?Ii`N
z&7osi9Cb@#877NbX!5j)FSJ%kEqRk-Lp6Z+A~o&E8U*GV;3N=S!x@m(eOFY#j}&~F
zHQn?x(g_(sCgB+wi=JB%TVB@3U!n(pXF$U#8xC%lp7fuStvw$UXF#Y~e#8@wn%Mbc
zqK~j`f`Oz_z`5uw!AoMxiC$_%bg_Kp(u8-FCD@@;W(rYo#XWQ#(r1oX#Vb2nn$JLz
zGU?sBgp8Mm5(xmN0KeoW(C6<HYgJrlv-!6O5rj4xcuvei4Ep^2j2$)<elf&){$~G|
zz3TgNFl0;SuN0GC+W*Ppu@Dn+P3ja2Uw+u;G2b%5rFH_kO#?znlDwIX)y)qV32dKn
zFY~U`$=q>9*QZ}9FFlzabLZVIAVs1RNwGz%tXL?l>APUoeG=9b6>Wjh0ZqhG*M(2k
zcfW4N1t$5udkjYAu>v$veMUqFTA<L~aYxOc<_dE9RpnDMic{l;w+E(&VfP5vZ`0fQ
z%&ur{(uz`B673NGc@?tl=;e@~`sG^in~5g9#O5?1Qc6%<0S9Wkx1*l28o0#tbg=^8
zL+asm%+}Mc3X+r<<?8o5zJ~y`ECUJ9Qlpk@mAg4Oassx!2dq$MSo6+qD=HU#kgbN2
zqM*^!G3m1*J5eVdx8|)&MUv|5C+fC4NCHaUgCM{}l{v)K(fVFQlc7hZu&-$NW|2={
zMl^xDcMuyO@me!^`fZT>LJ{H)-E4OBvxChdP`DkaP6&%Tk6E*RaV$Dr-xZnh<*K^D
zW8YYU9Ga9;h#7)ZzMMV*PWBd4o#BMRRp_tz+I(_UB)Llvu`^x*-19;%yA|t(iKNn|
zXLA?qD+8r3BZ(=!02ID^ytvXpv{e63a%ZwMY|;2mye-d~MYVD=)}?a@WBl$Y)R6Bq
zWCM90nU2or=0K}bK7pU#t7rj5iFCdqluoAIvi3`*b<ssyQsr952r1%?qiq2~nqPp5
zVCPk!MsZVm#;%KXWd3+%LKe@A&37pmk6*3dW5r{#Dtj-s=_}h2EY8vMdA>h+w?VO1
zqT$e|;`YYR);pC9zCu1ZpkvpY<P8j;_hp%|q9{L&NH6iX8ta7Ng_v7=6XsL2Oh`4i
z9@EJNhWJN5iO-vTkc{oCB41hr4b50*OaX1%_?Ws6B9VJ=hfXXHS!Bi*WxdM-=lf8`
zY|o_w1d>+F6pN%)LGp^mXULXn*N+W5@4JCCHH@^x=cfDoFsD*D#N=*z$CViy$Ut%j
z*O=G>!|NSw14DyC70+2L`}J$79vsZ2%vtfFc#qi54$#CsEHXGCU~`X>7(nSxuhLv2
z4FN?-NTYLa1MIq7+l8Z5KkdwViC16_RWXacpXb<Ea41%lBC?_pAcfP@OVh`|GU9Ov
ze47{te%2ldEzQ9vR>hbAE`R^Zutx*6pvqdW;l!NlkQ+iSyb%=&s`U4&GJ9Ytq9+*}
z3owLVdH|g){K~pTAk$Jqj--nHprFXYy^mQLIIX-Hz8$)`RFge#;2=ao(ve8Jgl%4}
zx3_h?&=g?dDqGJQvIBFF)eX~$fuoiuq$<+W9LnU(MgmX&NphorW^EyTSHB6Hp+|5C
zwgBf$G?I)kO^}(Ew+^{_-0bFXXcFVNx}Qw1@wC$sUd!M&QeENSOd1jQ?4+uGMC5!j
z(3gBulcbNnPXG!hYrVD08^s&(=`8K(e>2hsmjAd!^u0#Y;G~hOhJ;QG8`%0~S{R75
za=Nl(a4Y=_plj^RbCSIyIOPaQ1f?gKExj<nfD3VoJiXhicp$Qqd2GgGQY(d9;bOTY
z*tW)wZ|=keN>VJ{C1(tWc-)y$DwAA0duu!=iip>QYu7hXHPNv=qvqB#vi~gk2tyvn
zOvBHTBIwdy6$+QeJ4{cYY6w(mfv6(0{Se_ma#E=Ih>6)Pf8Q>(ZJW!_@NDONo(jpD
zZ+FCHZ6SxxT$A2p420Ew%oZS3vX?aWe}mp52fylJ`jND|iM%kAJY5>=HQb^dI}U*A
z*9{TiyZ|pyW<BJvXm;bT1+b`@u;KGo!7u&PCvQz_Sm+_9`IlD{mOUxC&n4&X`?1pJ
zb=A+yZB`tji(-jR@qPtP(?Bd_sZ5vXw^5Q${FAfle#6G5qkx$y3<}FZ8fgF#M$3m#
z)y&m<(9{T<&-}a(eHUB9l05`jNuek_ZHs%3fr&`!ube_wh^tYYXNRj-<&Un(<05mv
z2?(6*V&R7dGU?iY&K4%?G~$Sy49VQXhS@>k-W(EQE{oDZrUL6#9NdYDh^1&x@1Ag3
z?So3|xa-Qj1eMBu)6nr{KDbTQMEP>Z{7S5d_i{6Rhd2qual?W!60&1iw4tp=UIX-S
zw`hUg_m%Jo8I&*v#k~#7LAE9h9Oty629y=UsKXzT$^xAC;Z8&3q@R9I#$9w=?mnt<
zINZOs-VGuYqTcHABTWogxmNd8D&oGVn~fGCFnV7PD17N;ekOV$Ml@#zw3#F=Ff|Jx
z&(rccCMvVrlgR`qH*oNFH2U)KY(NP2|3Gd%jMiv8{BUI?%Hcs|vY&H`F?Q-v)G}df
z-|M->pRiNTq3<T)lJ}t-(|g}U+}Ia<uxcfGEW~rIq6iT+LE7MvK#I?`=&`yvGFF6U
zq8=J)xb^I98_}8S%W#9Z?Db9X)EYi+zAPJ(tKMbw%_d?9aG)nPqOa#hvIy_A`6~sB
zy#-)6Rfm|<KdZjs#V(X-RLfF3sjJzOf<CF1zC9Ama4zK6+K5G96#7V~B!kAYvXz?C
zgW*XUq1<qPZX`L(DSmCyIk5#`(#oD74P-rN&OD&*I`}alnc1LN@DV{vU%y&jA38J1
z3hsSpw%eJ#G&}Bp(IaE;R2O}Bm&`if_kHqVG=O<t#xUgl5TmgwU?JiHnd~8FX^h8m
zXd_bv!J5Ovcu04Q)ihNT5&&L7M&J2Rv|o3GLG^UXYLXO?xnRI*#vY<GHC=iO*!X4%
zBPj`vup3usOxfd$eB>Ts>J4Eh`m<8_4=zg#>|rmgqIRX2-gMmYpzMkUaZEGbcS17~
zsdFH5xK+o?kt+f~zbtshVR>JhNOG!S-oESlIzv)H*(1KnTT=|=mV21JwT!$iBzP5A
zOtvoLQy)ji1Fo#xNC|Sj7QHdgcY|3f261O=?{QrTu63vU=NPKN!ukn4oN=a1@NpBL
zRU+ZRW26LA8JZehh!8{+$a!D-h(O~iQk@r$U(<2tW4FRba;ajd6fhxpQygMDWueM+
z&Qs?+<uo-or(~V3;2$I6MLp#BJ9BXJD3s!EpM8GGv!tbquy>$84f^m@57*Htz@}u+
z;>=Nqj4oXMCoKOoh^$th+*oeO!!Sa;;y%bV`BSh}EOaf}Sye6#V1EK(mW}{-27OC0
z?G75i@i37~59M1rRn`N=V#Tv2LZL1IgONtaf;VqrdM1s3NjjTE=G#;y`MTki>m(&D
zMv5ChdijBSdT=wtQNuoX?z&tJWDtXq{f2Q4i*r)ULgPm&!hZOi#1Dpp^ZTLH<93D!
zQgj2RX!Uf?HPNMcbc+^78A{BM-BGqXtRSjOSfHPUwWC2Vut*4q`fLJar{9&d;zEae
zwN5zE#p}we<Zb(_WY+vidU#dO$FitbMSODeBvp*Er~{zo;Coo-@?cm4^^xExfG;sY
zlzJ{%glVf@-mlZ3qV1{IB`*w5sBH!}nNlZHf+vrETA$Q#s5Xu;b#T<$xtZXE;s#&G
z)JHdrGT?g2AgngZf6`7TRT?mj&AP?E&A(Qmmw$*oeR)DM07D^E6Jx~mzBoz)H&NrW
zF7?!c;^*-QZRCmi{T3an#sfuH+d62%XwE0K1Zu62oX6dSg@riGyK{JrJs-0wuBP|b
zU!txEwllQkNP8~Zhq}hm7d2S4_vRjOFR?WXoX<ObCANu+B<`43{!aw6wtV+1*HAr6
zGD|hSFKuC@W?kd?K@YSy*}`?b5}8i2+Np;_hV|=vCKuHcq<rax#n+hoL;*cT4mMNU
z1TXOnHV2&*6Rl|a1k2EgXG?83@Kx4Cbc*EU`s|Q(cJqX`@NO$TM%{9@rv^NxZ~<>X
zO^j6;<0p-(J@X#RB}y*Oo!(*YLpi}-ojrVUpMZh@{g-zws|Q)hzQ+xG%sRv|G2O~4
z*Dqgl(k%B}Rwe@R-Km;C&~>}Vsx41N82Gsg^CtU>{3N;(R^cxt&}>&ZhgPY)tR!sG
z>eKU62e<SeL$)#;F@5!3+Ag=Ff-w3&FZ%#ZaIxP(04z%sRvr*bEFeT1HHmO;<*>ih
zwR+^&%||+!M<;4)8Z_r9QR-*ZN7>nWJn5gnmEmfFGZ~Z%H2|uZ#>$&E6r0Oo{6&t^
z^d8i$*hUKhlaO1A+T%`N!OBl#)8+u?rgNsHl&EAQCljX26ls`2C5>KM44)WNzoweD
zmyKblU=U&gW{6u^z>39A2g=aHP#1@D>L`Mu-NH=MVM0x7x8rZHxlv@lQV>R=FBc`8
zqh>>=xd8*d-T8<@&LiMH|L}AAs!A|4Oq?6gBY#y|J9#Hoqq;XwlVO6#vW;fdYn1_}
z%Cf$Jv*tpF7Lz&teG0~jnWez@2KGRI9>zOJ53~u2*9IybqEDDC7+q%Ne<uvLkh$-%
z(~KQrjg?cxV(n_Ah@bJyHl?@s_WI)`CT2C!-E%hDJDdOXgj&Gj0|yXDBGm1!0NHVm
zz3az7Uw=IZ@R(l3<(Q%RoPWsAY|(!T0eF@3j99!shr8LCgos7649%d|UZc@|Lh7Y_
zFx(C3`R2=4&5A1IMi_pan(Wa;@fhzYjOJ<{iXet5i|#3JOUwB4!WIj#w>k`UYoZ}M
zfwlx$1!-yG-JW^*9uYKJQUky&A*+kk2D<DOa96;h>K#eoZ<)IUoL4)_%-Y)PP9R@G
zx*5j%v0djxWw+LYUix$1<kn0^f!GWBX2dPm=1SyNJK22g{4(>SQ%I)2m<35m$G@3L
zLQT{A(nDkUKX-GpU&d$I0#*C8!(}ZN-~q}TYpz{2$rC}`Yh>yaQI~F7)40K{ltQDf
z877T*bsIFz>&xwO@<gaePvA%-&t4Lw0>}c*q{yPoq)GRwUwQ0%eF1N~k3_g2ukpLT
zz!1oQnIrr<`(@2TdRuyS0V#UP8!(+8A|Bc8=oP@W<NA(r-oiW}cl6Fbk7v6p&(Mrp
znCNcpXAHrY8lh<aA07KS?1laiQBa-Uv(4J&w4@grvotN<NEQd=n~D;xo<tpa_D6_?
zPZ=B(3>0iiNy&UhT$gNVp*Ea7SfRp<yWsWCWmbA)s<r-AiY#grs}UFPU$jy9%hJI?
zDuD=K+DFxdlI>`6>ycgZ-<y78<UkaqLR~mk%1W@{N}9V2VjW=SnHPf$%6g#EfCl7%
zupL2}b%yTV^wGNf#J^}XZ1-j7m=D%*0vF_UZuO}}qXtCx2+ZQlNBGe22xvIB06@Jk
z$$HN;HV?Rx(VM9WCj)aBk6<{jXPJt*XXc?d88J@BOH1r5Ep)QVro<7pj5mlOm^P2d
zul{!q;v!X-_^@dn#3IrCT%z=w|CwzC0eYI;VBB$6tD|hw0-DKrjy*uCO87T_5c`N|
zQZ|&NvPd3tA49;Oe=Z_7j=ZBCsB{XjB1?bQrdU<2UJq#|k!@r-$xDX6fol;C>y<%&
z+Jz}$DXi2mWzSHFPM!iy-iQtH72_^0ax2O2>;ivbvP#K>P#TPF#-?LV6zTw)`@jbp
zvqIB4Cn@Kl09MoXyEOrhhmDu`6_ko6{l{$Km@E?H4}?-m3tT1b`4b(82-WdMzD>Lt
zS+MkbWcDF{@BaN~I{=pFc*GGbN<G(;p9GHCo`O$^6z}PkAMY_{O@G+uoHDMxUq4^0
zLSd>j-O4uS&iG*Dg_YFnkDN70-kyB}9Bqip%AY|1ZQu5RIyeZlc7xaZU&%EL*(#>G
z=``Nh(CwXhzaRqf2B7;Lkx$6z##k)_72ll;h02A#-^ZA3<#!<`kZaxHDk}{xo-R#N
z_Ln7V&kK6-%w8mh3yR99jsC%OH=eZZbRh#oX^J%S(BBwwL|3(5wX*)&xwp4_&Q7Sz
zomp5tod)>5y?BL8pwE+(CsS<}K9q=2EA<kr0XY6+fSeu*m+f`)m}7`iNMg%0Hx_W7
zr9(i6{wmRB_Ov{x8oJ`7oYX0G{!5Ihfu3KU64qfzM&0~t7pR=CVfvJo6*HD_F-j8{
zvHrhP_6IG@{21~a4$_$C2~}q^c0)?fRj?T2*Xg{x{57(ixX%l4Bp(`~U*!%<k-H3N
zl>$f}NymC!0>eV#ZHH7rp@XXZt4sl@)G}5b#01RT&T}qRJifC03{6tfjRTraLr?|k
zyW?gMu5hpuJY@hfQcSI0{qq>Tianrvl<Z{PX|*OBT;rwly|ZemQL2_Jb2)48w|4_L
zq@F4DG4t6+#rfic>FG2-8jD*D;%^CLAz{KGR|kr8{UH9KvmYylCitX>Yjy$;@hxV`
zHT~Em)O)h19Tgi%2*jb!-BscOX+6tJt<hLpNKy+hTmtcmhk^W9%weDoZ0h9)+t)F2
zwbybZeHrj;Ja6K&XaTE<8%p_IwIU2ey8(jj7Y=UI{fMY#-=UN^%HanBv6FkL@#50$
z63467ja2<TYpgex%-|yZdBfVkdmpf)*SR5u>g<^XM)nqvea}1ueX$S`B;O)&Qh8Zg
z+_c>UeaOln1hG{yUt*Da|L}(Nmpxisuva_jos-;5eG?AKGN0?jvS0Et8Q`0F|Gqa)
zPBmU*0JlNig-9T9OuVcy{=)T+sA|RfN;OpY9vq9~S=f8APy}?a0fZi-pQ)q``18G>
z{>KvoKsd#-ZSxd70DupROex!SJ<5BUH}J2)%wRb+;yEx3K!@mCnP&u$;OMVJ>h!M=
zS$>ZWj5fADSHZyN2sh*2%Nr6tTJac^9e#fPALyan5{6PnH+|@{;CD^?M~1;DrRSwO
zi`!Jh{9J`eVZ-zY(dO5uky&(rJ7FYB6~EYz;rA$>aGq=LlV=ZJq8Vtfi&c2JWJr=M
zWv>?Xq!kM@)4R#wY?HIIum8@d3$k@~>yz(Bbj1Pg7;CwR_O)m^@Hyw|_k<!UAh8=)
zmXB*S_F2DkJ80*i$xms3+MY<cRXxbUyBhtMh)2y|kh|1JU7JDjFrq5R{~z49ekIg=
zRnh7BE%hR0L(8cM4H@oy*nIbcLnzS|eWOJ3mL*SbK5+h(Lyl5wU7T?n?Y$!)oPY@*
zr;9E&>B;;Pw9{rMJrVt!2P+Cc(!u6~!80$gBmnyPJ?$_M$F55S+Hq^Aj~)2woC3a;
zB^-uWoIltTt~RX8u{2zMIIlf{B!#}|$ua=*+S~*Jy?N;iqpzXzi?zRmt*+wLyMYxm
zZ#p2n+HEL!je$>_k_IjN$!J<m2&r*q8-`qR-;P<WBK=dHy82wVZd%GCDLFK{_6wI@
zwFUTht^pNp-UeQEF>l8I`%h{UEXZNOtVE+K6NHTSEnP@Zmqf(2EGxJu$l`7>61d@_
z3e%wors33;785nc@c}`7SD#1|R`CoWV5DVv!`*6z<_^f015A<w5T~+#LKVwr#><Dk
zRmkxMKG5$aImX?w8D?)dpX3nn*E`adLDkJJ{}2w(a*ppj`L=&Ns0#Vx0@DKLU{n)B
zxpa1N3=OXPa+7jLWOSQvYz|4-S~cGVZUQpGt=q%m{6r>!meS!UuJ%aRh$YYM%K;m~
z4uMwo5O@{TsmT@ay**%Xzzh#I$G0cYVBSG*6>w<WuexjRKct<BK6;UC=pL*oixo2_
z6o#VYis&^|@t=RfG?z{=vWDrH&Z#E19bn2J-@^=@Zc%YgtUzO7nrde?PTBC+QS~I4
z>0VBS*ubz?HUV^2G^WmQH{LUpvCu07B3!Gg(l_-BALp8qt-Y_i)Wp}00q4=}$eS1v
z1(TC&7;_B>A`mk)`xDt!{b!yz*Gd`~J8MZ5F1H{8QxgX&!+n=6mj9(1NvdnyM)cex
zFj;+jBq4?IhYM)c6Zbp^;<>VMF#Y?F!Ag?;Rmu{VR2b|1!0Y7~Uh8|zmC#n)9!RB*
zeKVMO7Aja|)(lx!op2=RLv<T6!7(AveFhP>N84PjVLQvvhD7%P<wCdR%PuX5cwR!3
z9I1vjOoYxU;Tam*Ua+FktF+Zlg#Gy&AMT1n-0+`cfM~-RkpuI+>wIWT!B*b`@<jA|
zZ?Hxhk;Ao~(p?NdV&6TJ;u_2Qo`2$r^p%3P)XsA_+oBSSTJ6s_Mw1Jh{nL7czZf+v
zJ#5@%pCGMakb1}m39<N|U@8)PEO_W4cWB#R4OTFuRVJ!kS~QiiGSz9DudobyGS&71
zM(qG^QG8}h5QP-~6`GAJejRgav(N3Ugr9@JY|d0gbeaXoy%WX6X0K7zAG#2T%~=-R
zTRQzw5RU1KZn4?r#M1~ck4biW-DO_l62gz#St*Fm7_Lm08gO`%Ae#Jr%S3k6yljwG
zX8Dyg^Zb81bSCz_uJpazNDfBV#a9cex>z>&fz{Oh0x`KN=Z3k_FVzWZVewybx487`
z9oiaPuSVU%@*3eS2O*)lI3NIg*2Gyx^s9qH%3wsjcFYH)wCt>AF8d#l{{Ea@_U=!9
z^S@xin3P~86u8<cQ$Cx3LMZkuYxwl=WnZqw7QH+#fnszl3zv|uR?`(DuueHEV5j2j
zQ&dQ<%GW2i(77V%JyrWCnzfLT7AnPDURL+<#>54H-@eA%o;OMiH=ZeFV=#odmKBH@
zjca(}S83ic|08kX_$k(diY)@4q_NXK4%^R?!o_J`Xy|@ULr>?bi8^9?A}b3;ig$*w
z%kXNMu2$dTjy8Y&NO5YqFUr!!JAK>>EUXM7aGu3}<y-vVKu&ph*45;21D-yGQU~ix
z2?N6xWB(VFPnY_Ua2D3FZ#awJ;B+VC{*JgLJSP1PycYHc(f$(b_8yl7%mo}@qGWpp
z<a_@>clKxK+vQwhI1|q)4Nhl(1PFq*EV1gBLk##VGmYnA;A7%9Y1Hc%4|rZf7zliB
zwJkYMXw_SSeZSO0icdq%K%L{voX+_%tt6cTjMNOxD1zj4HJby{*^sjW;=U_~KCrs{
zC?z|Km=W2z9I%x|vI_TL<VbYg*mH-9+FO2T1)*RpWYL{LT;Yppd7*@-4J5m^k{NMm
zOV;d+Kp%%$y?m;4*Iffy<I5MN_g>HNzzr=QCk#W-FgG}XmW(ofU`Dlfa?@<+zH0nv
zZxW`V8&Y@L?QUN9JzfUHcL21*st0Ix{TTt>Ez$JNb`M*gQK3-E*>C>*q@vVi=3v@;
z=s%L(c<C)i?NMrGcs54kUQs$ZPMS(rVti2fdfIxW>eBnMTJEM$6%AhoqRzU@!~Af&
zb%FdoVbw$kL@J&hoNI_v5ZYtzJ8bLK{<KCEhz2_tb_T&})y_ZC0aHU`n0<wU`F(|A
zz?!TiTvwR{hD*LB5?oHlL!53y&&$M~8Xhn0`h?*H*S5oxgR<U@*p7#7p<PDPW_Iug
zt~G;r1b({Tz|@9Pu++*KCZrOXb5zWm0iHgPzCa==Z>9qMB8B4gpj<VqE?;=FEKC=*
zw(_>0L$D6Ls{0)T9v4pFg?=x_xuHPVj2b?^4k}S;K8LK690gPMQP8EZ_e7*bM1R7b
z!8*(7G(b!?Z3bLiUXzG%(@!KYOM5=Arcu7ZD{f@J3>0o@n#qMPLqowyuKKdji$<%L
zM`{$-Dj1VK$^@LVM%|L_Z}J?7IgWXI%hr%t23q<M?kG{k{*Sy6oF`)paT%0qtD#FX
zEp*lm!kva^Y9y%7c^<_4;&qWF&J6C^Ziy8L2Az1TbA>B6j1jrq0Y(ZSr3<zy2H6vu
zz(wWL3~*Y#$^H(j*x!P5okb`Aab$YTLXBOMRwDpUWqq@LBv+vq`QyhqbtEgo%jhhG
z#YX1z$!)oT${U1i_)8Xjj;u;X>Ivvowa18hdw2BEVJNX+?G23ni=h8hhV;>}zfM>m
zcH-Iwbv?Jru3-lYT?NX?ca3LS$$YRNVu>l4I;4&IG)}x77jL)}+-v9xixhI(3J<O3
zp%WW1!nv}Ed99FGk@2dG$ok8d&!_nIC3UazIW*l3d7wSnqx1yx&P~>4y^jvt=%T$$
zA~jxXxU)$*qO^;Iijse8;LP3-#b#TPDc{Ex523It-#!PT$a<m)V-V`k#+*3DKqgtH
z{0yta1%=S7lsk;MVaW57V)sqPFMI@fLd1>JYq|R~)<AkW?~wH3{ahd}$gLD;RdUwx
z0@se3P_}N<dnGxQdF2F%IJ$2V)DA>yhIdz;NEAHrm)RPuiaJ4KQ5s4lyFT}_#pR&Y
z;&oU&=HpyN%=@6G9)wP8x2cV0)`{a^b`FtG!2vHINfL;P{tpPX9u6ONph!g2z_1Dz
zyxH9XruZYp+_3l|8H=>oDI6?U36`#ZLuXyHMl0GtiaWr!!jv5%cob%CvDu}m8UPQf
zw>t7=qtIt~^Zu?<L0iy5V!z6Kj0+&uaL4bRH~_=Vk+cKxbM}J;ezXY$CJYoTvmN*g
zRuw+i3zYNxX^v1<VC9&|`904V9{Ys5kp!cK_&L;_M-Y#Tm?_>-TA5?Xt_bk&MR%t*
z2bwUc^h7*Awm>(@vvd<%Eh0Va8{P3^py9PnOrh^FoAh@WM8c-{7?}VrQ&ywzV&l~C
zH9EYPA)vY=?kACJ(@hU-#l*eugkROAOdIw;tBqQ|JFoF@R5rV)q%p>z@nBY%Q-q8d
zFCKZ}(oew*hEWusSmDsqY<@)e?aqd;RU1#0lq2En7`Vr&ceTnU+%-f?O-fkj5PeD!
z4e?5XOLg8~1Lm@ab=h}sGV6cGIx)LiK<803Cij4;vmsN!XDn4`^@>I$uE@uoJH)g3
zdPE;|sYwq2!q2#ksuOiZr*<bx_wE7{MV2ii@G(Ize2oDXm~BfL=|)R==l>7Vk!o`1
zQUMPIK<f~rq3UaLfjBt#)!8SQ+xLiX<-d3xLq8mkcFAGQI+}nn3vLN5eV-a;g;ZEL
zAgr+xK?KdG%j%|mZxggA`)|fp(X4N-evzJ5ZGo54N_=hBy`Wzr%%{KJMU>4)Vw7e|
zWa$DkaZ2)b&9qG+xY3*<vQ?%nU(9M!j^pVqIECp$XxyWDyv5@_#8ZnL!)h$!)mm;7
z#<Hstt=_acr~4{QB?~eY4}8LQ!HexahjXh3PQY=IGq*x)`P^JEE{bN&v!|*z)bd5*
z&11NSQvIjA%>N^7bBzs47}7>k9FBKxG145$)~wwFw@wC>vvSN~{x+UP+m=-nUFp0L
z`Wj&<*)(M>wHQYEIfZp@Dsa7g`{KaA>B^QFZ-aM)(j#pzhDOBwidz3!Ve*aMgc<+?
z<aDp3@u54k>|l3f#z!)Qg3I9Fs-0TspZ7sMn-a4%c>L<-bzFtYr+Ye=?Xo3q<zu1s
zFK$kJfu8%(S~J`X1Iq%96$VfVCpU)6x{rS#07$TAwR$`%P=~IT5pC|Z1|OyYVhtiI
zB-1xW2l(*MyFcBtd+{X}#rA!D3o^rOY-Q}z=dEWz>PXtO!1|cf*&`H{CO~<6Z#{f*
z!fH&+acrmTHZCg8BVru`un-!lTNvwxdH!L&18a%oP)pKz%38|6L}9s`fGN1^%$stf
z+lFW?E+py6d413S2jAzAO+8GCVR0ePGPM)R*UteY|CGLEOyZkp$@mo@7D(g~8IJt|
z;ln3yW0^}bW<zmEzo@;dV&6)Vi6gHWDAu{Xcw!UMRZf@~HG0pW1N^mkp<DT1bGK_r
z@MY28O}#NqI(JoxWBe_1Sha_~Lb}wcLv)Ky^;F&Sc-BiVE0&-1VTUr+C|&ic^WfW^
z!I#xkSSueo{Sz7M+EpmEMmkZl)O1a_spXrlN!vwcz6nHvVtMaodB~OX8~_fktQt!I
zQl<f!Q}}ou`XG{H&P^xnM7uRa-7^Q;KB`PNyq{4q&}n56zaayTR4O=mGGPM=xui82
zQZe8Dbc+n6WErPHcm+wCPF0o<<qmHn0mBhI?oE~i3%4L&7~m#%Cz!PJ`}#nTuB<)T
zfGhVBY?X5vAKCMP`UUp(>qozE(K?bTs@+o7f!9Y`5jtyGdI(X&AuV<Vll38%kWYvM
zbt@xHaf&vAPTY9#Uv~Wvj85$yFt&^KHBB1!FtayEcfwvfH9Y^=wKvtbiOS3NfPDTX
zgJ?eoOAR|%Yk+7&F2Qi|@%PGnwkZYMte+}Mtk7aZzQ+QNt`})v{4S)TITS#N0hq+^
z9S|r6+FwUunV<~7gi_nS$3i{+T6mSy#YzB(%|Sax-)Hp2V?v=R+XZ%@$diqRq|&NH
zd!<pblJ8m_1`$)-5=Z8SACJ2bP1;iFU5z~?F%uz?#H(@o*MIxy-=hRFcN~Ytk@1@F
z)cydryps*&JaJ^V^3(KB#mGyfAsAqttCDS-giqVkwW5%=Dx!m8=V#(7%2dOLu;9`;
zg<`)&>5;HH@!WVI2*7&N-jJJv>8Fv>w|(!ioOEy5A4{%e%4M=w&twagYcWg=VFU3L
zGEh3onF*MO@3k?FMM@mjXZ_glwjB*0s{V^<o?-<jNBz*}>(Jhr2cb&y5p>;a9=l>c
z0oT<zg|(|?>6;#chM}}O&gI~Kv?;xGJxesS(9(X(btHelz&J2*S0jd80`4J^5U*^R
z(T!=K#C*wWx2-Iin_{{_9Bo31h(j{(Miu8L-Iqh&E6TzsZ+DjJ0^eHylj_q(!q4)9
zFc)?pT@q+r*GYpKB*4U8rs*w$Y#gUWsF|GJG1>jJi0!~~w(+N)10`QjUO>_&@tG(2
z;hmnXPB3OzsHhv98+A1A<^i*q_)lR71(<CLoqjCn`UO3<!tPy(&1ZpD5`aGnR#T7w
zg_fyzimqz((-5mbTlwt>YGz+dbiU8vs+yw&g6%LYh9PlCKzXY;=AI*2IV>A8`f@A&
zrb(q3z808>)5xnirngQ`D?M*HD>9i6FVt^r(m{k`=JNRsm86j0mY<QCn?o%;D*0jw
zia%6Y3D1D?WFsF%;jmcvN+n>W*a|UiPH;f(68YFH+_ZsiHDK$6I}-YQ)w;`RI%OJ|
ze(o)m`jvzRa6rvgM;UX#e?&z8F=1QK=Wh68<;(ohWFDAZYT=~3bZ4r|X3tT~`X^sm
zl&_-czHu@k4$T@}L<a;Ee7P9=#H<1;tq6uj@M529+dClmv21xzRRl6&B7aH1lbMm8
zuv~_ybMx=3rS*dK1(iUe4o#RuDq0!RkHy?GAX`d--AYG<Z7%khGlsTRGRH8Svcu!k
zh&=lO#F1jJ0cinxsGLn3s~Q%CJiNPF#~heMPTh-W>+>jW1xPImT5K2EKUH*T#kw-U
zEyl`^&HN4VO^HbgESwzoH7vG|Rh?$C96y1}*j34kvjW!axaLG=8x(I0A1baA7X+CM
z*N~h!@!&<Yf0-hEbhl0kEpNKC9bGpXl&TiPXK(8FjK2}5-rbXdYfAP!kiC;Rus`M9
zV~e%9%7SUtx8GZMED4eey|HanDT<l8?45IH>+;5=j!$Vrd%jP@3QI#ec`#@R2p>#1
zQ4<Z<*@|M{K6ILE-x$**ba#gDz=p$^NgCB;y<Tnc0NZ6lVMdo$VaCtzmdb4fx;}ue
zM)AcIVa$x%k-s?WpU60M_DqW{z4Td{Y!<uMG<{&$%?nzM|MLKO1X9>1xl7oMrX1oD
zAWMozax8!`+X+-Ef8k*NB(=_|G*eL!Sg)*O%*&SZ(vx+;SLxRX1;eg-;yH)CA*Ck1
z4w7Z0A_X#wg9VX7nc_SKnnQV#Gi1#%L5kMCG$O*(?_1*6eJ#Aq)QP~#>$-Kn)}A6&
zoqnU!$no(|iQA8bZo$O8Ndu71eCv0EST<O<^G2A5-60M0@uYJLE6QO%x|(0;vopv$
z4C9kx`*~q`6)Sd=N=|dduxUz>QKkio%6fqD$JRJ?odfAWJI#16;Nm>wpE}l5`p!2r
z+~RBNn90Z+S04lz&bnM|%GQ-P14VT})rrZa`#mcwWz?lnQ#yKf&U#l7{uD$nyL!hZ
z`H<BSP|~fD;8&6<fi!fs^U?mGZ1&w9T2Clc5LAs`)f==<q!o{Uc=MBt>-$BRGv)oE
zgrN>Pmh`Clg9c^D7mSvD1vVCxH@h9eNT75u7M%?Qy=7ODS_edo$v+~!Xt%gilgyv_
ze!#0KVN6Fi^v!*-^@DD?MAIOTaqwl*^j(-Ps6z`ZKGLLh!b@<iJL}_PN5=k4W|A1W
z?8lsN;aFn1i177=r-0VSYq@iD$`R0`J4KPoXw-{*>5|pim=pD!ioqa=6AjF7%$Z==
zjl47QZHU<{?opP%WJ-KM_M{oUfmQ7>(R~01_Q^oT5~Ki3T|Qk!GiXuU-@E-gX_|%;
z8ujq^-$7K0H`cyHO->mh7}G4in6dj+F^J53(6~k7i??#e3*8N@z9K#ZX=f&`!nZOS
zo0noR@Bi(sA;yK3(t}?m9qxC>IMVp%761Q%2q3r=T1d6s@r@}1)fkd&B~3g94`~9+
zDUCIKd7?U$21u%K5RiY|hoxa5_!}j4=VgzKn$b*-ZLxKPzmDPT9m#EvdJK#(u<aZ*
zsqGadbOzv(a)HX=3<GH-GncPAT69uIIrPD;5o2Q3BcMtj67}be{G{*p*rHI;q+dv(
zQSZU-^ZBu;NuD<s0-yc_5Q~z{=w1rG*l7$YxiO3|X}37jD5bxt{Ah6nKC6;F#yuTj
zE&P&G#LX%e!Lk_Q<Cy<tlJ(t4%o7k-&gwPxJQCzw7=j@Lt}Xc?H6)xJ@+Wr}fJu4K
zPGK>sy+Pc*4iqIUGxjmiq6R)!BCUmIDDmn#2L$1}OfmHXm&Sz`?DBzef2tneF6WT*
zVxVi?Z|c9ls|`h)>y}rCxPl=xAODmdLJ*nv-%sU8%jgfdDd$f=z)2j$7&mGM5Y^PE
z#x<_L;T!P;?WFk1MslnV?gJ~wQ)<TRTMyqjPZKnAn?GzNYI9u2&TVmZ5CpN}132H+
zDz|g;Bv4KL6H5DuX=Su&=+r-xZ`#6RrD>IoX1eC_#VZWL^^JO7ql&n*O%*n1UfZiB
zCe1))dLsQWto?w~h6?eA%B1g`y^fhqWHw%0?<G(OT+2lh;8wv<0C<7T@V1^T^il8x
zwVdB&gZ7^U*~+$zzZJf&amSn8{dHnEE!&k|E<m^|<ZHJ%63LQ>cbhEoUcNf5X4%<_
zA4oun<}S5f>ZRirm3$pDx-0SbhdrtwE!k)V<R^}h{1D~XDw-R5;XK3JQ`*F<jI;#Q
z8Ldv}dSl#QZXE7-efY&PHl_{ME%FH`;-M-yxd5zi6g(@&2cw99L|QtI+`jGMENRr>
zD<C;&4c(Bi8pUv8j!qZ=<SK(UC<()c*P@1GfnOH|SSf1<jJd6O1cw1hG`HMim%3-?
zcW=~IU=C{J6-|6;%Ysx_38N~jqWw!};3w=Q-2obFjCU6#FCTTu=X05>>+op|9?SxT
z8hjbM*YKeIUl;vjCEzdUI%o#(aW<W*L`4F)032a8OEVR7wwfI-Rel>@)(l8YZgLKB
z*^ocbB9@WaO`fOGfMV9y?UkI1w+aRMWRXnu(`<<Vqovc-ZQ9!fm96lgs7jRS792c-
zXvR+%x7d8|l-PwtWN7oFiutJlms#Iz`1lU>964e~r0I|^Aj}Y&bZcei+;as78EFIB
zvtD$*Lk~4$ONUKm))kE~`RRt{*jr!<SQ(TxH-*foY?;SQbFVecw`=58$N3OFK;#Ov
z&yppp-dV`(1m_85Og6leEl}G`zzDu!t)o2f<%#uB>sby8S=jE?03}bj0YAnZtE2;5
z*m(GD=sCyep_-SPzg0NasCw{3r#If$d9a<&QO9NcVjE`N1-Rb4GlvAOCB~ShV@wb{
z^M+iC9bwBcsHCi@(`VK;>xbYUT-*_h?HK3LBH5Kjsulwp1Oxq7;A5uiT=y^2!1B2%
zNw7-vSgJ%oL$2hv(dtUCR}?Ju!yMa&(Ta`PbpapS-IeT+!cntvQnlH+n3+M#P%GFY
z6@`T`Z&A+b8ek=55uE^ph)b@1xv!gUaqes+Q`&Q>I>gu6WD8F=cJ&gKc5jO)80OIE
zk(Fn2qjA>9Xl*erF+JaS^?5ZW;sQJZm6cRO#A#GCdJ8pR#iPxZR3mt7L?UHcyh7IK
zW_Y6^ikP6P>6L9^VG<Kd<9<e|>86&u>TM>F?<Sh_+w4LinhdV@h17rQ5hkC_I;pm2
zL8C2fLSQKb?Y6G<c5oIImxVy1J~QS!xqB>}FmwMK+!e5;8fX?P7uP*CLsL5`6PG$s
zX7_C=-ad5F*I&Dt`n3ml7VR?GXhNvH_JZf+5BHR#3QKRA<J;!S+`bdojP#Y{qajS7
z($fB1U0QM(aX&*`EMJd)w!W$*SzR!HOtK&IG1i!sFzqQlOW#l*Ks3{1DY=pKR_YEd
z&qO55y67#KI$dMB)oM&ZrF=HHm4F2hH~ot=FzeP$%WuSG(am(8eADQrDL9G=DGzuX
za&gCblqY>tmHx{1vp~2eM@32&EFp8%1|N?>oxyy`WpsP!0|_OrS#RG#u&4R-%xh6q
z_NF|vqrkSgs0iCJKmb}leH|0+E5|oIzPZXTN{;uJ{5%Q7Q+<PTE~i|AF~&aH0?FVy
z<FI9JHHk%m1ivACg?f{5Lkwm@hWF18b&?trhccamz#t$$1BTK}VOYn+24Yt#nrFTN
z&4I1`^aXE@xYe{qPx~-0HbX&@8^;sK5)g&e=;ewLCd%4%7xG836^AW}hAjq#GJydm
z!7+}9do(Ai1nv9u&*{+HzDpWz5$JTST-a33LYscES0rlmf;5qM*(0JgK+XZ~%Q~^2
z<TW*iPU<}7?K})h#>CHDx#Pr_|J6c{7lFw2-IR6Pt5RAMF(f@Ypuc2#8Xb~P-YnI?
z^~7{o@hD0lQaNNWQQ~9U(<oUm&Hpu#^5Og>u)qQ#R54`Xhx((lI2k{VDaK8ZB~~^A
z_=Fp>xFiE7bVu=d;G@%$;(Wn9OjVp}yR=ljW4X>*iW60Wi=MGAXUl9YmX0p;^@-`X
z?BU|yerlZDo;FkLcjBGIucR%`38o;gu)e^zfqg3{>AB2ohsj9ibQ!cxZo3k?84}m9
zG<wQ5Jd4Wh;y+r1-59JGv&Ir&D{OwG5Jx+A`Ka6ihfQT<MA^Z6BITBS%$d+MPVeH$
z9=>zG#Zb_zJK5K1rlMtN7Rh9&qEOjW8by<z>v;&A-Q4Ra7mE`djmdXueyx)yv`IO3
zN~R*BgI8>l_|OXQcj!@ON6}$*LHLN9_>%GJt%iZTd@{8*S10@oPwIm_|9MJl)H2OJ
znCfl0?@thK%uhR$MC8mbx_MKgZq?PJIaw3K1kLmLBQ9r~P3d(;NkBW}!9sF}-ytnh
z?*EYtkiA<VLA)KQ5>-r+9$FHUtey?^o$B4^&d9=Ft_Jb5D3rf^rns2vPw#~slCCq;
z_G4JmO0P&k&bBa&Rp?=?hvLZ8$HbvLz4~6!9ex!V>*)tl8(;dhY7dO39F5R3DV(Kk
zL@yJ99N^BQU6!y#AiH|J&Ni}mKoms5Wm9jv7uFw61gm@LYgzueO#*z&lz4jS3s5VQ
z_4?<3(32(Q>m(I)ZG9fgsYL>DWD5JUIqf67RzZ3zps)N>HqX9E-HlempO9WT1~p2d
z*sp|=#Uju=2c8>whiw^W?HZsSdkvmcbea6aAcSB!+Gv#$E5M;kIlC#Dw(jW3BC*5A
zj2h-s(#r*Hy6HN%Jjkl@R8h|@>F}(x>vFlUq$^vb-|y>6J|KQ$BHJMnVK3PiN785?
ztoO*-8%@UF$)CjxzzNQZc2n4W+#YjQT5`;*#9E@buCU14HK9lq2`qn)PuhORA~8r*
zJOiZq(NGPUb(cdHtbPs|BEoGE8P_iP^=qedX&pwcLk$Fi8jsGU@E8l@|FeAdw2s>x
zwNGsdeHCm=d}kU>MTy;$fSo1!pYj$JScShZe+KBK5R5dC9pC&b8jp<G)gtbB8Ln#}
D8`-mT

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation_strx_gx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_encapsulation_strx_gx.v
new file mode 100644
index 0000000000000000000000000000000000000000..f2e84c38ebdca9f07442395e30009a80d89dd318
GIT binary patch
literal 26144
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}SwHJjs;wx-U=
z0{FkT_Fnj!>FM3D!kEw~zB>$JLlYy-_w~n4*CqnYGw-d7J3g6I52Xos5*JIU-Ai|G
zu`@pwf!uVby<nVAzLCY5K=FnyAJr47|C6|Q-Jch&a*%7jxVN4x0~&S4pY`nSRR~nA
ziCjDagHsDCgu!BTECifGy7%jwm&kH+aC^kIN2=9G>#HN!KH-3w1&)8E$CQb#3p+PR
zC1Cr`@RUlOYqXrG%x=~Qd-GO>Xq#5UVwH;>RMAC6^iBj%KN@aOHCf&5y!_=!9)^`S
z$bs@TnD9OmT=^ZcUvxm7VC#vLaI|uDdT$x(1^L||ywxSx3E>2ku;X{7{7DlH(;evj
zUR@tJgj7@x2~)^msy;0Ti4S26LikMU8)VjDDN#AO0ApsD$N=X3K>Xm4dWtg0F=(dd
z%13^zzg50zmikr7`oB#aFXcWx_DK0}7n5lsLA^@Fg-yzcv>cfxwtGi4#ad)!vExoI
zzb@710_osC_g4>ouF!RLV%PUhbAjQ9p4&}%oekWv|7j!5C3c~2$H}eT#%Ddibp!2s
zzFhgMwOGCkt_!;#Bs80ooQ*523ck;y?5AwCJmka*1wB<KyndRWD3Di_5(AL(?Y&B*
z+;!Y>K#gtyMmlVCzx8VDK%SlTb@p+7&7w8BQxOnRt)KQD-{MN-aLm4$nsQpd{Rbf3
zZFbk<Bwt$cWGBOL0-sOBrm_9GW#vQ0LyD<;`QvN|z?;U@<MJsk&6@AVQSs0Echav!
zJsPn`+OdLCXofHO&SrU-TOo$^!sg!SGU78C7_bx%XYMD8A4|3opWb(ii6>Y`>rQWd
z6!6GUwfy@<u;DZ?&^Vmx{<YrbX1E+mKWsYik$`sFxtq;XsI<y9sOIlcCnx?ZkHxN>
zidBul5|0@veeb4q71GSDXLxJ7y9b!?z+)PGGR;d$o)Yj1G3sKH5LLj8exY-0a&ce%
zGSLn+dLUcX?N(iO$wLdl{nxB-UrdkF(|8AqWoYs<o1|+pJ1er*qK4<#0W_c5dH}@l
zQRHFjZ*AD=#8rzVZiJ7QapxqtPND+WRR5uPhtU{0bO*wCPNE@5D!hoPGMmHqD78*%
z=O;F+B^u@<6IS-bBv(B1ngS8&;RsDIsL|NHC0&ow)>N#3yozjuy-cS~xZeQd$`@nF
z)Q{(e85vw1s5yQzauS!xUuAaO0$O?X9D%LxvXncwL+YY5Tbm+L9|0ciqp~c{wY8gz
z+ytHa`hgU~4*K2W%zRT$c{~;+k~(Gtm#l!l`(A#Ac6zakN$-q~OPD$hK;Rif{k)Ju
z@P}(mm>O63yr-B&QN7+xde{;0w5m~x4|}1+V}ozSK}vq2ok$d{n@2m;=yYq2?FBrL
z9~OS#H4;YT-`0VovIY<J&hZ<_i4m)sA{)clc&V2yv=#br89{*tU(W(jpyqUodLI1?
z^Ou~9T+V3_j#-9u`CZ!hHE-v1Z;aRiBB3MoLA*u~?M>pdAFYF}d3XD_Qw8|pK)ezx
z12UPURB5EeCy1@pd{3y?^83ej?aVY9C*Q`;K`j9fK6&<kHYahVmAc_m9x8koc&DbB
z7HN@C!y~t=$&0y4_OW89kv()y@++r*d%SKbYN1)Xl!efBVX6x9$uw4Qwq)4UYNVmG
zRx)wt0rP23ppZIzi#A<vOUC?i^-%z_Odfg9?Ya|(Z;yZHh2JZE$DS+mb5rwwNV)Qm
z-U{kT+sb6EpI5!p{JgZ}kV_~9Tl}K|q0Q~e#TNk%>_h#PhOF5R7U&Q6CTO;dD>bn;
zfSkt(Hl59pn{0Mf3$g*j{Ao^?XkbWv^<f1vuqRu>-9GRl%-5|;i8NF6DQ!#<1v!My
zhQ^ilIkx!~pSJJ(*k1e~*w`liBU8ez(0`;zh7%9mAJAk)^%s^ZjM&mGYAolDf6?<4
z*>(vH4HY6mp%QT|6HeC!wABC7Z>iYbol>@W(u#djpBMTf4WckXFrf(B4eCa8GlVh<
z-y;#7*MRvh&F_rV#sIi6s1x@#&nqe`{eNeuSGJ7UE2TW~&E47z4XW)*nfgpyeR_+4
zN9%Sqr)Z){NNKLef|~YLy_pLtGdM4D&i65c$%9jgouICHw7i6B+e**_f8F{Zz!F*&
zUG3(rT~<V!5*~hlB_6#3wX35S*vPE4X<PS>;R;)NGfro>+M@ct7s_DI(n&5=hI8cg
z<u^-abv+<&c5SGJ-Q3S|=~v4c+I%3&>3knprVymAXJCf4o@?3W%_|dtnokUNuMf3&
z?cED<L}iG1I}o;oce57{NiAHPfKv@sEvdh&bf(Mh{r>2|iDUDC5`>h}h8#?p2DoWM
zZ0D&gZNugcUq%!XBsj329Hx@ten!r^kTUTi{*k^b)qSTLq5p7Cj8id5-|hx2Fkq_o
z#vo|TCv2IYY;)BQ0@b(<TC`uKrB8rnVQ;v;HaemKZiB{UW;GW_gC;dE#7D*tK~!~Z
zOaxNd%4ouxM2_r&CS2p(=Ia^?8_RC&2upgXZRa+%($yi&jLFI+rxgqc=Qtk8E2=b7
z;^u80fG;-ZA9E>5Po0WevfB<AaFioTP~v>0AE)Z&8S3mB*5cdT&#oG?0I;y6zV004
zMAH0D+Go}Xy_&Z^$gvj9nw*$j-2$iq!FixRF3@LYi*PBoP<q`F8>6l`d@20f6k6ra
zyF2zye+Q>Hfa9ZB%4~S<GO(sT*LC*Qufw%-M+kh4j8UblGMNy7!N4Lgqx+`u*bVoJ
z$G;RT)3E3q;|HV7*S6WXk}BQTQ<;Z?TE$LNkJ-6{rwS}no0-RRuH=h}92<(rPvY1W
z=!XTHs)|i6fut6{JTy45)uvw$FRWP3ap3EoE|o?-x*~$>AH3TEuS>(Q^5MAP+%1(y
zaORblI>a5p+1R7~Kb#GX#=^0J7i#JF0DZ3yVr^b#N_RqeQ-6Njz`k%qN-i?^DSoCQ
z;3ZBT&w07?(HT0FR&<d#m(MHdxpLs^@rF_vs;)?mKgNaFJ_PEw`<>`q2PmpxEWsf5
z%YH1j!!1|H;gBonKbtXse~G?~P->m!*iFJ%PBVq&5Ct|YmuQy2jaWFoZ$yJtT*SQ4
zS9OPDr-|C{>zXQ+;KAP-Ulp~;r4k!5y*HEL6+jHD2Ol*FHmkRIMebqPr4Ts28~q81
zx4Oui;T7|_aosdn^3e1Q*h56{1d*a|k4d7<Qk8!A_snP&diE}};IN-&c@kE$)A}K6
z@Y{%-=sC~FE6BU!c`)DE^wWIUITsCU=Je?6husro=!mlBg7=*EioEb*OGCm<dKy+Q
z-QwxR3Ifkhdz`Oub1&r}AJ0Fy1phk&p1%rq+=m7?uTk*<D8z6Tp}4*we2e4DZgQ?h
z-LmJPzq}|tlx}?UAd7k_lwBjRo&pt(KtF^zFFNuJir=nea2(U`OB7O|ANnD36C!<2
zY`!lyW>l5at48SusjY8%h#+&#wb~e|E~R_V)Vo&I(wt}RBtS9}7}Iby{|`z^^>{|^
z^Un6ZTNN8=f=;^PiCUqE$A(9(H68>aN@CM)M3vpF1@9uNHd-}TEmJ$_`YmK$4@{nA
zt<z4C$@6~|%|<@l8tIopz>#y^R?TtvTHv(j=3cQGkj_&(6<q!iTk<+l3l@}Q=BQQ<
zs#<k@RhR*x#ru^feR)3fECcvwsOPa;tQ=L<tz$~39R0LFpw?uJ_7+NU?e|C{iO|E_
z|H5kU&<-=7bD~=g4yI{8_G;%QfP&Elyeb9A!DhE`f+EL0=giptPMv;=;(X+Gc^sQQ
z8TU7b8PlqrM7roEv>w$mr^eXNI^c%Y=}k)r8#fxyF2rp^k?)@p>J?Hm;GBD?1w~1K
z^KqWK7W?FN>?>9YwhrVjiz-?kr@UnbJ64k|z#g1NR}&RnLFte>p9bw=%>y^nIA5zP
z=#i^j;YhP1r{>zt&)<YdM}sK5<b7#$QB`e#`bElNmjCuSOr`2AD2)b^GGp(i3edlT
zjnK+!{LY}f`9m^$J1lq;aepFiOxa!L_mhwLH*$On3h&d3M-k7TXgR@A-R#j81o-4&
zec}pAxf0N&yBZ|TUJ??zFXkHYmn8<}|3h_&gFe@t9Al{QoHS{3Qz{y*J2LD<ib@^1
z$H{xg)&o-zRSez<dOq4Y#PeJ16D{<jBo$D^^Rw@51}Yx!<}?(#PlobF=rmA`eJZv1
zY?X^BO_Y)-Uv8k{uZO;@N8HImd`}>C0C}zHJv1{AKBB;*q6!)|VOmZ$#XaIiNuTQ^
zKssb*9Gx<9eK~NGPlJvq#oVmZL>l<N2VPK?-+QpA7s@{kcIV|wwYDL^3LP`H$E$c9
zZ>8hKv=BV8KUm%vbjC|9V)7K-Y0M9sX_<wV+2!t=GT#(YEeW6Bh%!UsVD%mql%m9w
zzTDSJA;uS|I|>)cM>hw!brl?o8HZWf7{rGGn{hlxc#=O6=6ar`*vjeXtw2NjBlbL<
zT96H1io^bMcbZV8&pr;4IdrvN|FAvU+348l%dT(_oLlA1D^agYLvK5)4ACpV2GK1S
zY)6p5cXG>(y6SM-Nw~C;=lVs+-m(74;DqH(>VgtLOs-&}JB``(4@)%W#?oD@ROE;`
zQsu++lPQRKt~%{{AJZ`tpw$Yz!N-)Y9^O)RF99oZf$y~ciq&-yM0%%F2v!ZX(DZsP
zAHLP=OkmXNv1o`_mQSYdLURR5CTGfiitb_vQj8OpIIg60zJi{tWCjMJh>*p!c|b^m
z-~+T}RQR@_x<QAOyKl8WwWs~P`Y1>tykc2`KMcXZYl}b~1UE}sFDZ(?OTW<Z>p+*!
zGSr%305Tno?d@vwV`><uES3uPu=)gd_xUG%GuW_M=8l~Ahv&%Qlmj)qEk_&OyPkNM
ztK_Hu3t<}G*QFmZ3<QgS&Qer>?GlnXF=)h!dQ>PC&8FYTmeB(}o-E{K{IOH4Ef*ow
zVV3z=$r|TqOh7y2dqH_l{Tzi9OT`0olyBh?`v&4E#-0g9@(LMy4fWr&)W<EW_?Hh$
zcE2RMK`YbkBoB(JwaFMm&oC8}n+tq!?yUi?he!Bm8sS#zymn&ZQ!~(vJDzHOxmuTH
zU{TR><M;ZY51*L+`4zwPO`o-TizO;yk|FXx#^63k5Y?^I4au9Pg2k6y4G7#-Us4$n
zm{BMk%?@KTr7Ze`T|>$ZNDsR$@{|NPEVizT9bFslOeBW3DWD<xj2FN#vNwPPI;o`e
zpM^zk*gMeg!;v_lmc5{QcTGpXm%LIB>l!X_SeYMOTA;Q($A+_g4U?hNPt$B->5sek
z3#lT*wUEeZtEE!+mmN0iqdjk0<~{CSH7<6-a(DPt!wl>)RaqqWs>f+**aLpwe3nYT
zCWVl}@!VRjFgWSrHvA4`|BdAIIC-`vG)+Lt;>tq+J<T*=FGyS?1{mMOLe;i?@gY|#
zHu(`hHKovwtRhRN5Qg`0YL}(;U+AP3D8=aWG84m`>$w4q*hwA#)>lscr|taO4_dQg
zp?q{r#SO#bt3QIS3)*UFwX(jfDK%GUlI=~|zdmXM0tszDQlkipZGsCUTotHTBI(0`
zQjB}ef_6xaM{RzEj7u&0H*<;>JV!5?|CC~QnrzX<*PGIiXcGewFW^-r{zQ4LAX`P%
z;~AG}QAaYpq?2N{15C8r?XV8(45?L+*TFBTHu&sb>`I(els0_EDxn;uWlov$Y$%aG
z!sZ2ZP}jbL4Cv>NT^`XQI@JPWDE25b!D-$)R0G~4m_B-cu&fM9(21+4N?hj&FzLC>
z%u*h+9D6#@q45}OU6$trY9GmweanGi*<28D*XQX!%LPw~Hi{|mZ)Pk_X5+;=LD1O0
z%(;%KbAAfUBmXk@d<XWw;E^z^^)u0eEkXy?hJ@X)7gtQ>okj#}S(HL}7bD~p2luX(
zQ1k{rgO)6>Q+N<5(J_F-5^0IUS+DZF&*_u+7O5U-&gr4#suoi_uNJ#a?}_S$w${Io
z#Y0|iF3VrxFHK(3`s9)`w$dAIF^Tt6o5to&;f?P=VGWYnRr`u=Yn{st^Y<Hw-mCB$
z8d|Rl>ECWx6M#RN4g%;BCz-`3pwMRGDm@D$JSsF<y}f-+@|r^B>_Hi%HZN9}Nxe3O
z$U0%eqiE2wn6D+Qr6F3SPY*sim#&}S!RR}EL8@;}cEG*-#(xe=+)N=ut|8_&qqll&
zEG5Ti4|8f={cb~Wz2TJH$4GBdLJ#>cIr{n0%EuuT0<%gm)<3tFLQ&++Ctq_8eGKG|
zP?Y%>jr|oMf*WaUv1OBj)X+!O5(?k3;ha#(!BXDOQC9V>N}1KE8C4EQe<lskzzQ}T
z>-K74d0<pU(3|g10;QllsGuc^I|KSKUjUTuU6Z~mH{eJZvz?YHm7jH0lCQ=#Z7Iim
zF(kHzT36ef*e!h35L9-#a=O1UIl$w4s(+Zep50mxG4&;L|4*`JxhEsz;iPgVfVlv-
z$tpZ_4TP|AUz)yeM2KDP>KLLr0@nkDNhEqvP@+4c>f3_Va3&AVBSi<}FTagfUYdM$
z0{+^GHv*Y`JA0;|MeckSn_ihnYdc>y+Ca(54s`}?yl`t#pdY{T{r<WEusjMc1a~%`
zc_NQ1D`5q-&8Iy+2~c#4Cc3XLUM?$CdAFTZxyq@0H$EI4Y&A03tGlB$sj+O0<Mxt3
zSYzt_SV=zn#2{Q-g9{zbIayIEWi4S42)NYiU%U@7S#S(UmouGXdY*d;Sp(!|UN83R
zee-4*z;un=-bY+j0X1X(XAq6J17fQX06d4~D4Cq-y-1w*lz2hOrIETtfEo~yZp`kh
z?OrfP(Jmg~O$R;L`DBZSlJ&6*%2hZ|1GlmB^9+o~@E2GvOnXj)9ktz{4S=?1PJUo#
zDOD@Sx2mO7i89VJP@s|`Xb^UBOOqN|GL0>gScATov6r#1xqJMqfSzenqr^p-49g_~
z-JtAgkyFCn>|pU03(P@_wlst;@|ql2hMO~#lbMlOUOBZ0&%{owQImH}8ONYzPtN{C
z${&n`5?;g?SWCt$Jg~b>tGC}wCYaUZH9SOrQ`Nr>`u3q6G1DQ)Lsxem`24Dl*FnH@
zX_PD5z)cOp0T=7p-IXCx2E^Y|Vi0cU6vh5=H$IRgs{PY<BX;Ov7<*_y6_dBdhH?-^
z4x(!`nI<<T#7#ceW}RZrnuNzvMI=id$IDZKIGzC-?51v&6#!6x3nx63`LMpJUJ`T7
zpOs93aThbU*C7kYr=`}tJ{hB)@5^zYXBkx6t1-f@3}?H1yXcV>03{Wo<ub4;g5(gQ
zs4HPSE>C>_P(u!b2v-Osd&J6J1s!TYLdKGgyJYlW)&@(LB9sAYx?L={(JbBb96{%t
z#V;;%uMFEo&JTj(poMCz8gGhsrtOE}7da(WqChDJht`gccx~OV`RAGQwzx-Re#w8A
zzv&l80XDEnK>wyLKr`=n`cb^llW`ulWHiM1Xj|XlD}0J<Knh0|()@;`Y2<{E^6Z?H
z5z4s8e7&)$873g4Zuif2Rzvmt)JbulgMozLUePz4Jzbx}m6r?yesJEMXfYT93>%{<
zotwAsn9=*)2AZsraqHYGP=!?!UnVF)0vESG9ch@(QZLV&8^0svq>lQt5dZJg6Vsq?
z)P;|_t6hR9oI-B>EvOTg9Z_mXPRfq4R(6Zm5uBxHC1b{NT3J894zrM~Z+&3wbHJE*
zO5ay;#hZik##_7Lu;=^9DMceLWn8qmz`tcPbjQ@DxW@@r!rcy)AgV@xERrP|O|NUQ
z4*9}j)rt;D-uH!Dc&Q*-7r&~Ch<i%#(^l7sl_DqA$3Cgvs^?fraTY>xhZ+dgz0$zj
zwAaBW9#YWTZ%_))GWFe|B{RZ$!8lO4VvRPBM4aSKceH6|Bj`GVH4=B-XvrCFw?ZzJ
zhSb^%B}K%8JZ?-V{RSm?C~Kt|*c2%99YP)#c)M=Zt5d$RJjn9maMs{fwYQl9vUe^A
z*m}T9UxlG~zLg0ZUvz}Cdca_}^rD&4xtk8XTp2!bQ{2;o|4kH1=VD7Hb><RNa$8#H
z84!K=ZSnvA1@OWP{mmKydno@b?w(Do-h4}ZTZ-1#Vx7J1+;VTEGU=oLL-rsQ_y(`;
zptA`l)n|B@u>-t{9phsXm&09g#)p%;T51u+(lu%Tq3Pc8Cd>xBqsanR^(qSM4S+3+
z8&P*wYeIsYcE&#k?p6$~jk4B5q{r%aN;oPsmKaZ?=bkxHAIjun6+{aBnfk}H%rvw)
zIBbltxIy)aEU6wHC{ZCP-}-_f7Tucb)>c+z3nD3_uX_%QA9M$R>r|F**e|-J>&T$!
zWIH(&F{zOC%GMl{ZgHF|+SoxL-s5k8=pY`!N=WDmp09Qi&2QH8+r)T=%Gat64>xqR
ziO7X-acYBR8;*!A3zpu>*1{`C&;wCHz#+^VlS_3@G69DY|DX%xi@W@=f~_gDzc53*
z92XKLXht_K0tELUi;y7d=}qTR>9B`~pH<dpa2=ZRM->jFC*Bj1PveDP(t%i}Elp#t
zX#B#`7M#~bT;e=#rcIhjU#TV5tIlgO&k82>z=w%M&V-gOWP!rMk8uO74~)<HY+)Gf
z#5owUr2USrlu8O|OSE(}?kL)O2~B#&PcrPW2L?xgZ{ew36Xyzjj^|#eMp0;!K)L(L
zN`E$no4=NrSmUi>FN?}SjJ4d9J>qXA_1ws~pRp?nPZUciS=vW`da6Ou*u%xH0o~K*
z)dhf+i6(?o=m7}F9+-mVMDPz{9<bm=AGrxW;&4h6&`}u2GM^g7{2;qQlQuseR83L<
zKJ5x#tXiP($uEUSK)LS@L@C=YW`I(j<YyG7GzwGUK%IG0JWIIYUh~9GXFGrmT2;hG
z>H^Ro$=7uGG8uxs_Jv|-hS8hwH2CY{>m}0Fz@^vy35Usd1V<6@mJ<MfE5`J30digO
z@v-dLBP^k#p7qTJv>C7u38Rbd-Nq&-v3J-u%Wne~7(jv`3>~NaZx7RhW-bb{>g+iW
zH8Dk}^*Q;RZ~QomMt)$|jB$wg&wC?>ZG}`JTtd?fY{U^Uvjwa0F(I#Dv5j91km3J6
zj#VQ!a#j^D%<nC|Uvb?Qfil+G;qF*(_n>nCtK2JKT6D58qv8dz=9y*m=q~Pog98#<
zcaOBr_fOXM{|?2~&aSstSN^0p$Te_wFdBM|o|Io<1hT(7-J1-_Wqp6gUXJ1wZ^#g6
zPZHntIU#}i$)}~)hKqwb?CqML1R6q@)3RcRw#VKIn!h1k^k;1X?Pb`OuC@XgnWx;z
z^Ksalbcp7~Tf(l^air$_tC;uQbT+hCKRjsq2}Rip=Nd1E9p_2-l$y{Ecv$e+lM<vE
z)fRBEE|WLWuw5Ex2nn1doI>DKInl8DRy;-%b22vbX$<^QU*M>!=jQ6jcONRrmgZta
zuR~qM>@N<BjfINClT(rG)6aFq_5hI64h+jTqyIw0*LUwiiEcGe$<{J3eSidtvWKHM
zE687j&GX`*%QwD~Enz|pAq>aUW0ia>mTkV@50gNam!nIN`cRBWD-JYCc!9qV0K{eC
zKXa(nZ?}WE+f$<P9ns{%xh<d1%0o5a9rWOHvK|HoWTit0Rd=LpBUT-wzQi|^{sut6
zfxOwVSlnyI0fe;A8Tb61!(m57uMk0Z{r^pUnD?c45pnZIVU)$>M!n(o^P<-~F){;C
z1L-W!0=%R@pIhO;>lxXZKdfgJ8PHib=3yP_fvRQbrdTQj38e{-)uo?Ptyk~K7YrkB
z8@!Cc8)bXWu#3fwh1do$ixUaYs6sHHD&t`QNxE3>7VQ$*o`@=|QSu1jCZdK-)=7zq
zdAGuk6S#Sw@Y7FG%M)KY)t%gRU6;KNwNs?{;437cUHKhG7+sB|wE+r~{2OU&sUw8h
z7DY}t>AQFlC|B0wT?Bq9r%AACE_D^=HvqTM@zeugYjGN$?B3~_c3FH0!TmJsq%9SM
z_JWpNk(rr~07r>`#);H96`VG>UIWMwfMLnrrG>Ac9vXuK0%q1gt|*cBz6(%?SuC;y
z^3mIaQZgmXyt1hgQl(8wp-H(~)d{|V!G;wwxW!_^^;njFFkET#4IXgbIb}pj{s2BS
zn$VbYJva@6H@!rs0U@%m<1*6X1H)FmX*Zgyb4HCW8+_Gw02NG#GAX1Em6$&x#10vM
zL7KAyWA_bWMsoebb4$fey<9Q0g=2I{XWz0a8yQjdry>lHzeBl+%q<pEU?9<&OIJE=
zgWE*rV!@@PhUK14%n%Mq)TegS*=fCX|CW?E(7#)r;)Qt3F~GcyZ-es@?U;wCph^dX
zB%9B}OepFu(NKk_FuFf3%9gPQV8(ZpS)tD4i>S}wgo^ssE0aYe%3&esex@8Wc?T*c
z_7;~U>kW}vT#!@yOoTU&%cTHt*@ixYOHb@ItP%Ui__+Zb?}P9bJywGG+dQpZT?;bc
z5ZwI98MNqb=17ChJIaHO<7+`K!cD{_?JE@wS3l{}qg~8fn;?(&)Yd`k<etBYllLd+
z{$4)aw|J*EnhpGTJ{xt$Z|KLbUyR~8dVj*g(1i#lL18MWsRs&od$fepxF79dZG!v{
zqokRCCF}<$nvj6@=i@mRkpkU2y?M~H#gFM<rWaVL9Lq@YgO3MkVaUa{SYrJs(8PKd
z=8Wp?`xrD2%<kamXqVsRh_%$%{Jl*9QV|w-K&5>D9BpgZamnL@H^n~Z%ma?bY97Tb
z_HE?A0~w-s!zfE4ru>d`RjL7FxCbT6EKi9v2}c>#yg+#mfmq$2GwW86miq5{Lh+TF
zz3+$JBaCt0tBdN)X=+<aof+XHWw%YaBRmR2g_Vf7A^)!&IvFg3C}nsM^9o3HY7Ve{
z5dHuFy9pT`Pnl0Yy>i_}@hY%oY7y;i5GBAW=f|HEXde0KH9g1JO*dROQx?o@C3?$5
z4h|_w6POtrI<y}cH1M>OCtb_=wvEP)@imh|zkd5(UZ#e9I0r{R8Z8vopS;(zrZ6-}
z*|?sB<@KB?iEobAU!8qo!#fT%j-TE}NE-Q|!1Sd-CX}Cv7iNHtfuw~WTC0c@@wI9C
zFw4GaCB@JXVqFVm;Y=D|nzGssVG4y|9ZLLzPFE!=6bruM|Cn>)(?u7_z?*YLdFMAf
zyRaZv?tqL@IM^WG_$Gk&GJD<w-@*qFJSAM{E#K9)Woipn3?^l}e=Q6ILcG49X?m$)
zEOs;o-|UykMxexjF>TG_zHT(|Dacb2mxX>`yE4Gzoiyk`>iL&&KnTwx9X1tife^=g
z=r;SMrVL~teb9ghX^E4OUk_D2{;4X}JJnOxcx3c(33~L*5$1gV!3d)zh-I}W@X9Tx
zjo+27UjC3C2ElzVjk+b*Pf~p%NI#{dWH!DB!R{d<eJ|o86?ZqXEfhpm3(1@UL665@
z(n21|3@)QR(Bk^L`UGFgiEZdCS1JV0#$9h<s=W~U;+S9+cM+0a>YsZg{Bd58XM_n~
z<>YUTJ&n>XCyx72Pm`~$j5R+tSV4{7X~YV5*L+g}$~XPetqY_~(W-$AgPDnZ^hMWi
z@~qU3i9%{EY72TaPxkbZ9W8-QZ*|Y8>j93?U+>jWTB5F`m@^(NZI|aJn9d0WTo{0`
zFx&YZNaTuL1Mi!w+LOB^C^WEUb(T7IILh0Cfa^2@jk&p2Ky{|=eeN!fUjs7mNq`<z
z{gBik0Vf~PM~Y|b#rghXfJfxB@`>xsm*e8`pl}vf9RGke$um(hiUInU+16U~Cr=jo
zcpaJCv(35pfCLcEqrR_f2fkAIrgKtqn*$uwO?>dyPWT<vU+%_Y^V%?GNpagC4+KUR
z;uOyaEKg^?gnFkXkVZqF6=8U~D~MA|c*b19Z~;V42b_?9g#pwvcUDwmxYf>86t=C5
zozPs)eC#eec8#O!PRS|&LV60IyYU^H%J0?&BplR{x^QTI0f+)ZYK?>gz}WdEF>Y5|
zd2VbK7e=*;A{(9Jky3+4G>C`dkyw_+&Y_il7@Bg0xm^h3*9AGnyBUc9le{M;IfC_Z
zaCMJ)G^7<!BVGV6a>2=0-&R5P$O-ONH1#rXuJK_0{zkG`?$3K(S(((K&-<<Qyf)pl
zcrTX=cHDPw7(}#K*n+0)oBT0D@qOogL=v7%TT7lph(i*fBox1-l+%Hxay7`n32jrN
zR{rE<OMPC?{9-QE#9u^06oM8Y(fl=0m1vPqmn<R+zl$_{!#Ynls{zRXNoEQ13(6Iy
zHnu*2Cvy}d%_-!H4;qElG$_t7wz_7Gw7@_O3~;oAV)xJt&avXb(2V(fH4)|C|1Yx6
z{kVg0bE~j&`(R-3*P|Vvw3sDiKLtXU#QiGC%Z7gZTFIFNkBPCvSJ|8UnVEPz>JbeK
z0aA~;vC`6y(792m8C0n`q5vKb{SIIUiBs#l=NuPmKD~e7%||A^WZwk4WmMyCwg<qT
z%k4-B?{ITJDnq%Dl_cuhgHheIPwO9aq}~RDQwL3ai8=M)(}GiF09@yh1Fze+wS-EP
z$W_I+m3H4MO@!&>A$k)@?LPuhoSO7GWYp8$Hbpe7Zx)g!_cmy-cKGldM=dzZ(0t1P
z+)2ZVGx`vvVhAm$KL=UBTahXkgnb*zNz*d`Fk6#yGCp_*EhIQTiiQ3KLw#B-Tle+M
z#4UPeu$mT^+cC^WgPDzBvR8_Wn9@talas}TKN!<4B~g(O`2=ZBsWYMDj`*^K3ZEoo
z5`5ihPPd#B_kvw+3ACF7EVBKRwh%&0yY9MB@aM?imoP{Wr0u<U^ql7+<03P5?+PyK
zxmq5J_^@5kb%c4j0Pzwmn{skfzGsBtKV1eY?XE9@n=7bgdqAAR<(x#Lb#4FXqcRz`
zxFJ9k$e%06HkXnN2g&ltP4L8eJ^nKh9i^MTJAnO^q&KHJz5`@CWGmZTu9R&PrS;%?
zY&b!jM_qj9@<*@dcd8Tu!tq&nR7)l~v2=<+{j2lu94EvC@0vsfDeqBmM6Yl40-%4n
zIt=?vt=`=yOcUk~pr#4ZI4nV8ycJl!ON6Qha!X`pdL#%0K$kl>&h9YrpV<kYlE?cw
zQxg@BPL*{(C1yFTd1k5%1ayz%AbJoABGOHM=d5h*E-ny8$In>YAiiH`?yF;x>61PW
zhQ7}~bd9qAAZ0PvzV*Zx1#04^xxY2T2nupC-5A$RZi=L{{42l`Ui^W;8j8=>K6sUk
z->PGcI5Gs-Y>pVe)E!=_m#x(%TppgMsYdrPo~YYPtUzk=+_L#Ik{HtLt%b4Mf^D2l
zy5(12Y-$z#xp?=yhKQ%B)8iO~R{U969c2n(FLqgKM}DO}6j?KUs^emTrZa?!(Vds+
z8-EyY7F$Yu$7qah0Pxx4W{Kk|F1F#;F@TeqdXXlv>~nqgbP|o6Arzc@#5}cf&1#HD
zFhPvb<dScBnVj83$ke^+{HY`%rkaD(l$Ajg!RoPIebb5zl=COpL9)c%jkej;7w$nM
z8LT!bzFsyc5yT;%#f#j5Tk^%F$;P$@9<bSuwu!`zN@(&3spFpyp9@~G-5=&Nxk{&B
zB=w>112p(**Fiz3*2wdNo+^hMWWN;^n{7V;(<yAltwiX|1Dqh@9!tMh&F3$N*~;H9
zB?9K9JSJWV>qW{%(t)R1&E<<UJcHgJ6@2&MZYzeObZ^W3L#2*ACum7CrCCImznrLW
z?E}6YDpm+p;T8KT;=409+^NMDkds9Op_6)3F5xIUZ-7f!kfCBa&O)|AnxODs@v3or
z0`OCUB#`nc1=!@{D!|$-o00W3(9)%AybfON<M}(T>G)@+z`Jzm9j)?II0qwkPwL&D
z7og@Vl!am+>32#tn_Y7ndQAD4C9YR?V!n&+Md+EUKbkhh5^XRZQkeA!hpZOu3sDsH
zEc|-VCrVB9^+|a)UT#6=_pDq(bn)x;`rDX|z4;$E6m`s#B1XQNYNbiFuBTH$q}Z;a
zcksuvQU@(0xdv=VtLa)7@0O-AtNGi~*82Vy%cb^z0R(Te)9N@Vzd|Nrsas7&4Y`{>
zkM5=tp#43Ooa~WsVbsmhul_Q8#D#qOQq!g#Pt;2MB`b|*r&trFK6pv6qf;I2z1Jya
zB&IebXPjOeSUy*s4rzZ(%16%C`Py#mZt+7GSV4v%n;wj@RKOPyO8^_P-oKR%q;W{3
z5UzNerVx|KDkVXSul)B2znV(8m~l}i=SR%#>Sc_E%E2D{-v|H{D?Ki`e9C1mj;~f1
zs)1<@y)SXixFkpLF}QD}?a_mX)T`m+3e~0gtq&ZyG-4QXo_U?NHh}{PAR&U=1H_9|
zOlB4^OFntCKfuYf_Q^LX37gcg>7K>^OE+MAAFw7rRb*G{ulxzhl;AT`9u_iXMjNp6
zI_%fI>kt8$P1g}d_97b`|5UUU*N{u#F)aUQ5Q5b1F#9GrWRAS9p)e*n%0}^=Kh3q^
zCB`65F^wR>7GPtX)}0dW(fkuVCRsIVPZBW3$>V6MGgY{1o-8NG3C-ikAQq2AqHdy%
zX7@iQpyO%`L8=oM+LLY?j$X?+m@d?SMUaSTqQlvlx#tRtbG8-|xwR{!m3^LePI>u8
zJ8yodz}c1bSAj%~-EA&;P)CJkG<T@%{#3}{OrmQ%N8auK_+2C_a~isA5$3ZtBezgi
zy8tKeFAX|{l;^)uCojJ@H<lSryj=ty!<q+RsRQ)LLvRleItJpwpol&2CCN0klV_gF
zSS*h1-|_aj4cHhpiGrSqqE7orvMuJeD-TBl_OEcPCrbQtQlL_sX1ACdY`;sZ15CY0
z5v_S8D^2Jf8Y2z|+tj@V&ViW-pAvWM(?!cK6PrPT=%VEprDO-AQr;Z$y&q6OcYsqt
z^svjcDqnes_~Y2NNL7G8$J_GLhPJKNV|zijJBnZtt>R>8Nw!2j$oVS55n%lz%ahqv
z6bxdtYdY?HT_H!<Mxtx<(P5{3f!mWwWxZDhOl!w9E^2Tw#YcB(yQDttuaj-x0$)@i
zc7%vCq}@7BY2QxzKL<X%0CG>k6JvgpVNPyCWV~d!+lpSnT1nBvi^+uKvjVrftE}o*
za)lVsF(twbsD7(sO2%K%JO{<;DXpQLUXC*D+-sJiP{~tL2q4|5lx5b*Ma00`@KNIQ
z_TUpIezqz~rY7CjwCdjS_ci{l7v;ahMUx7YG7goQ@>Ayd0uh#C-K!<w^^`toSiM;G
z%Kt`Ee{F>1eXdEo;e|-)$A9<g0gE=WOkpR(7Wa+TA(B$f&|=Zq2kigQo_*+5w9#`8
zlNy5AeyJ;Lb0$4(6yovgt1U?M@(c4Lf!K#%EvI)7SIc+iyl%vb&?j(lH-1>GG-9sT
z<Jrf7YBU`tV(?CVTD$AZ{D_aJ(s!GYSa+AwZ86t2<gL;yQLvTRj7X<9_mXyKS+2m{
z_7n%5d4GZ$Go2|1;qStQ0hy}Ig)-pQulzEcAJ&9fwqoyXoo7G?i|3`q@r48ls8MMV
z025dO98QViLls<hL-wEWX*tG$1m2JH+$5pE^@JfyqkBfP#g5oNv@9(yX%~^)5J-0!
zs^UVcyhp+IpqOm<BJv4`NH^iz7w<#k4zFq6_R&}*&<GBZIv-<HCQ5ZY1+!AVhFo@a
z+B%Kra5b7%7Iy4m4oc(E@Ew~fV>dAr^UbHhO;hD}GCQm^zE{Ga?LdDtl>~ody3qHL
z!BMHtGgjhdpVo6<0_eA}4PHYk_8D&-nE%<%D0RwPtDIWkN5#mXK)7dMST7GK^@LJO
z{2|^_SPUcex(pYrm!VQM_<t)xI%)aK)#;r@&7m|_8TnmMJzwmK1O~WuBAC!!9xr&6
z*{D6eG+&1*+1e?;V9^ZiVoZ1_Ol~MJ#;Ms~TQC3S#Y|v<4PG-6zrG5r?Sa+;i1q{Y
zYwvEwL!){ip(XKH?XEZ4(Gy>lpn1-y`EzJ^QXiEzuV*t<z&o_}VjTGRdd{(12mNax
zvti3Nm5&TTwqxRtZ=v_PBPL{qm6jyS=tIbw#|Yg}(3wwChiJ{*Iv5iV>H%*XNZTHM
zn~U13T`PSyfl<9IzaNX3a_8tvDCp3fclkx-Nu&Sy2A6rt-&h3wqWBOY){FS0s{i?>
zQM6hBfL4h;mcnR!^=z>OzAe@<j_%iAw`Ud*ay;Yf2L)&=8AG4t2&sp*)<4;0D&aBW
zyq=CwLP)cv^6O-DY1?<B<~*nfQ8tz1nZ>JM)<kqP+Y15GMwAm-FpdFbwyz!Xd5qLn
zO=n4VNh(3~1oUziLOLC3E$Dlr^55MD-6i&B;pj=NvR77SA`g^z5)wOhkZ}J8RQ|M@
zM{uyJOFC-@XkF55Q()i%(ETTV1r<XrG-SDwhk{v?a0#K!%!_>wjOl?9@Zta6<NH3r
zVPFM<|9U*dO)$N|jpcnqQ5)~%%nS0~=R$FIzTF3$!GAqH@ji+lXa3I7ztAU)9^Y1q
z9y6tT)vG=X9wBICvF9#AU#}^!6P;LV`gQR|&Ox_07(h&?25~^+bs41GY4j3>62pNh
z(mm{6Kx#n$#N>6n(6m372P^wRQ&K4|&oZBo$Sq%SbW=7i%*s_T2Y!l^|1MH8;K7{X
zPnnC*ip=HaMfX~@;e>`^2KMGJAop@qrn=3+H|hV0Xl?r7;U}VV-i^FfT8_7-X;Lu2
z=~=H+3yOv{A2T9wVPnsr-p3DL&&(0NHU&5?n8FTyi>4dWg`J*>l?rDm@u>^WorS*R
z9wVa<rIzw3x0)K9L{@rBVy6R9|84(=%|ThPGAsX(L$s#7gx3F6biwHea%wGi-zuOR
zG**G&G26&onod8k-PKjRYT^PJs{@u_rw1%*j3b2iLTDGit^gR?NLUJ%;;|({Hark@
z0j{<D^Ll@%_(ZE^2#7gKc$j17Y{V8yU;-R0pGm=-Pg3U4m5ulgkqykm5C?he>V^=>
zAbE~6WC_h`uHvkOojYR53wWAC-A7k7C#wqQx?CG_)wEVU+$;B|DReSHq3U4vXaBm_
za1>wpx|2~YFVfybCmtn&P$YV?AAOIGzQbTRnd!FapU2K{b-n=nGGy9KD{rY(X{|!q
z&~kSVP-v{MyDKZB?iPS*+b*B<Im`2Lr?N&ITm`>Y<^D76WEG#2#zGC9kRex3j(cD7
zcv=jv0E{s$E?;qW$B<`<-F*EH^l?>Q*J7y7F(@j4E%J59ws}53^w%g<r=Q9Gbm*Lx
z?q!hnmpN>0#b-YYgBZDpoFS|mw5SHz{q<JwKk*2s;BpU_kp%}nwuj1N-(<8J<bp5;
zjln~K(Owff<kLcsbi5E$4mCMu`2E&f;BcOf))5BtYK#R#eQB2;Ol970@-8=?$lRK%
zH3jn=N#E*~b@Tmm_(}e}Iljyav@Glu=1tBcP^fTN`43EbJfT8vfqh}b-uBs=oT%I?
zBRV$wk5ICQh{j8n*RM>5_n0&AUPgQne0G?~Hd+vemcoh82;Uif_a@yjJu8j~njRH^
zx>Jy)tRV0CY2^C-ttD#I$v9%fN_m|cL)IhU7d1}|B0Tm6NU<}y+~^)&5<LO<>&H$-
zWYPO@T=1w9HUSoJkuhDzXB)Uk^4`DKZF9e~F`1kDn~|gWuGysSP4=VO1U8L@tYxQ!
z|4RGGD5oZ5fl$*l*!no&Mo$i_X<+b2bAqEr968LtpSXfb2Fgnc|2+rBtVDG%ogbP}
zLMx36;-&rJ-cvVXj~zAR)gXSD!YB}CHqz#SuP4?xXGc&sY#k<zw+nDI_kKeM;f>&0
zJlSKGQ7M603I{W8276&W5fKM#M^q(VHGn4&vitSV5SMsLEYZ$Gf2XD^gn$vDT0O)t
z8^h6F;mBu=P=xO<_iHPd+{<ZEvkQo`L|~`8nrCox>i747--K8ZO9GJ?Nr2!Twmo>p
zMS0a;$n^zN#XBP`QVd{N5disPpwv(v#Yd0x=2!WP&ZIxwl|^m!H7@@3!h+=*E1x1D
z$B0~<U(=!$<KEI$f1Fw=+NWD(ivBWJJTKA;D1jgkO*;8;ogNuo{qM{Bxb26EG~)PM
zFcpE|;BlN=3J}lbq@lobz3Ow?y)eVn4ZFM<=laOxf^Iyp{rbR*2U{tkgtGh#v;BNs
z`TgY(JBx)Q1$W>0Je`f(me*1;utyh?XL%u>gdpp4OC{hvaxHtGx^P|8LT;a0#o%H~
z_Li=@#qWY|d4~mH)ek5k3m$K>@5Kd{dVL`A$k@V3NHz%@0{7N`#2EDmV(4kH#mH-E
zGcz|u3sSCNGsy2q3pKT{gXpSuN30+a{lK(L|GqEzowB#hS;CG@u;?ChSN&;PTJ$)j
zH9cFO{`vQtR7(j%(eY+@M&-OuYr_gj(85)$8HA^uGOOk{I46^g2tso0qvsO8*2`~K
ztSBRYDfXzgWrgfEzU@73d6G6hX;fuc-QvylI7{ff>@R0X!JD-C#b@A8>dLFg1Nv)0
zH?8AN>LBgWN8ut?si&i`)tJmzXv9}^W?Xr8mW*dSv{B4+L_ci}@r9)56Rc89VS`>Y
zD{zWr{AMWgNpe<{lii5$H2g{a@>@0R>^N`@3^4jj0kDZCNZ!r?*V*t0Yq~tk3E)0K
zovl?**;-ncsHGZ-lP8Hf)_7+RO7D)32<w|W277bg{-dUvgJ?ODk=Q=qJzo2BXryJ$
zQNj(CDaL|u3ax+@N|W8H$h);5*+B(ycdaCIA0c1iqZPYygo_i7Co<;k{mWIj{3`=x
zt%Z#S-v%Vv7ZwlS8DprTZT?Cp%{<zv&Eq*O`R`J%Pr4#cT4u({RryeM5aLSG@v+2h
z)u_{`q}b5V8qdVMz3$Juu&UL65&XYuC3-X0qbHvKUQkbV8l%Em+}3;j<w?PWO<e%^
zXhy(A&wVo0Hn*)BlBcEv^&vnT?v<etz4h~O$J4gk<e_%2#Xbraxyhv1I7m5X-u%oG
zf{LNkZyrj5nNVlBY2-<5wL{k2ia*?!sm-tl7e8^<PK9G$l-JkbVtT+HFdw&2?65I=
zOh6l`jAM5$geeM&UZziOr_1*w=-On3LKHhRtQf#lzminSzuUtG@nrfR&`eD-<skPS
z+Tm1ljh5>qJb`KqXPhpn?D{#}zXmchx=i(##MGy#+%^eAqR)e!U#Wf<-jKlb{5tKe
zHp0@3z}*yYF}I!L%|t6Nyz}3o^@~Q*t8XmZ2c&@3v0zi)3Oq5S4RcdlWno8vC~Ffp
z!yBR~zfP;<7B<9L<2<dEK+yOr2KTv>7*~e%<3I##x)vJbMc>>EOeKIh>UN;q0fy`%
zGsXck-(IQ1U<YA?;QMRjnKB!#rUq9blnxYduFsIR^PTLkR+P}Av~^t9uL!%bgFj?>
zgki<|8ZjWkBwv-4D>E}y4)dMyC8Cmupws_u_H=!^bhHMPF_5kyYNr(Mv~zxT4H)6V
zPBg<@(o<dY#J;E~b)0Y7v*(j`$HvnwbnJts2Rt3*#QZw@DbVM(%B=skhcVaiQ)!ns
z>M)t3MZKOZ=n5^dpIZJ-0-1uRb2HqV`z@TqvkQ$i7Ag7g<fl&nz8b?-87z};J+6$d
z+n<td>?M($t}V3d&WS!tCM{2NHD(~FaYCddTt}x^aHMdV$8Q_qYI>7SWzGAf%UdY8
z5g&sf@qP*gVBvtckSL+4g~vbsLqkDatZZSl)czE3UCG~M!Fr@pIZM(iWf=IKfh3oZ
z3PDG5mpb@(;x>MGdUFbq%a?r|er#_dESke)V1vrnOVFxNXBkFp4kM}2Cm6%CMCGgO
zDjn`rb#x6B%9AkjnMN(qL5;*GB2<b`f2diA^@bd9YLHP|Ou(<(#@8cMaKZhmX<(sY
z`Al)Su0CnzxediPoQ;fD+sc1Qeib#Unz7W4nw3;Ffw-b*g(3sK(Gjq-GT&8RBVBR;
z?7L0JT|k?60w-XQMTl>e@6<ujX*PB)H0<;?&u|X1G<VQWSiUpF-pFRokBR*gN4Yh?
z9Ml^D%2ZLULhV)o6kIo>`#GfkTc!caGS@<>EG?Fjun5`gkMQL_MkoEp=%ey~ClENx
z?SD$r0-u4*RsVOpiY%u8lexm7hO<H1@l|Si>nDIB1TzaF&L(`iCD~wq4Ac#kUa#F!
zL1O#X0i<KC^}L1Xv?9g2xNk0TM3be=Jf#zdiRg^Vg#lJU&r_~(!0;2=iuRbfcT|#a
z($bCEoV2+xZ5PF4&dw}Ph=K3mpnMam2KtPHjCCAZt<RZskKY1tB!*S41oU7r5T*a^
zE!#;i{!PM>hEqHfRm5Wc|A}6<CXrIGB7KZe26uGJH!mkUboiX^+qvkekHqpl_;E8s
z)3hHGGnn5SnSpJ?2GM(adJ-hGxGmO+idC~u{xX&U8{%TO9<|;~N-11<h0&bvzei`f
zKWxGn%~0*7=B4!Bo|}{Gr(v!wYI3v~VA}9<Z}#i!WM0{>TBz3Q^H=q^hsDruF3Anz
z9vZUes`>ATTa_p4Fojmb9X4@3Lb4r}<RcTzV>olyp@#-gt;q4_vnRx#EtercuI*@(
z8cCiXK{Vo3Hs`w1l@z%1+sJXcE(mR8j|DT<hLFn}MY%{0(`8BioVG&iPqSm-ol7e7
z0xngVjtNpxmz0}jcoK0>HjI9i+l`*U?`#7y3gC6r?hLeXPnTz3*R*oMqK>)Am#qaZ
zY1ObN6a|`0SWNVvOwt4XG2_wm&C}++?>hYO&bBn-T#gxvDmSjV7AEZ9gwE64#d7R5
zhXTWwJgD|)T900p`)i4!nuy~bc!dd?=H_FwcU<1`Yq>0P4TJ@1ODpS{{1XqzDa~ZC
zoHC4e!M6MEyl#jRit1k{N@{P^0w3Gu!rI69BA?mPxex!LuYh;hJ(R4#|JzZwLYRgu
z_c>mP^`8wRpGm6`6$U;Tc!2d@03>L4Zxv=z<S89;jUVCTev)eTS#DsxiaZ`;S03Ad
zBXV`0?UdnzWep`R$&J}~B(A&CaS}wadV*3JE1<eezvtwld{l6UNS`dw(IA3UN;$!7
z-{Wvzx}`4CLKtQ5w|5<hLZQ$D-HI4YE6ucZt&T`E`=NNGGz`7iz#!*i?u!1l6k`_>
z8o-Q5q@K0(0r7afkim@^@5rqwit&gB^jE*Ngx}1=SfZ9@dE@YxbHf#<ZuFVY*qH@z
z1ZA|4VO69;3%hoDH{4~2Q^G!^1QLw#0TU+d*>rD*l(DVHlr#A+MFnL<h;5j2K9?2$
zb(`)$XBB*<69}<706camohtMLZM?ZI*(9E514V@AEjyJ;G;155cqyKDTGSyF<#PFc
z!d$eZW~l6otyd*B6rdBFan{RANABawHYPn+d#vIB(ua#NzWXX-dN<(`&K*pZjU8Uh
zX4H|4c&}RYC+ULwXVWKx!YL%ML_D)vNHyjoZI=K`K;qJ{lOvpV)R?T5R^KiTU?cU7
zz@V*H+F6eSoLgbMZeb|Qd=RMt6($Ts>S&Jy4*S`1bMQeG$%R#M?s>fM!qpW;?<lp^
zBI##S$zxyt8P}>_5|gTF^x=~zA;yd~T!825zwo^AZ>vY3t>@#HVSAknP?<nURIxc0
zy&){ex*Z{Mf>qQJir&1iuL2b=h5v2mI$^fE-q(GHoGjGH*d9^TR9jjRPULgbuizM3
zM@t?Z!L%W&pg#pQ&6yuyV}P0<@_fb$46G>vRy-l*UCcDDe8a?MvlnT@3`%x2*;_)2
zNly44UxR|!aYrgR{TsM-(ea(xno1Hf9iaz6A$GSLty$czXc9wIzmUAQ$f9{8LpX_M
ze}$G|`PStHbm_)QWLiMP>PS$(;W_01$50a!2~WlC#cGf&yC|vcVX((=bArRF>;DzJ
zHv3}3s0jjzK*{c609~v`qmPN#-kCF79dB3H%Gq3sFPz*)S&iUO-54Qe38i@C9p*@4
zpwJp@a3)_AhEe{Ai&`=*IZ92ORz$dxlKg@4$hhrBNO-wB6#A6}{Z10(;hXjpK0$+|
z>_*?#<E0#<fvL42FOc`hd$76H2uY=d?8<AkWUE`d@dFE7GS)Wrsm=s8d-nr#z%@<A
zI9{oOP=_g{K{uw!Maw!TC-sF2f9B?Z@MHY2*u?+rcEE<|!>uv~x06k$i)@ux&Lw+O
z@ba3efzFeN6p4|_o=s6(#OJc4Fu)zjP+;qn{Nm^(?s&?0VGZODz)Db$b|Xr?)|zvn
zXwJ#^uukNi-X={EnX?|@>*F+oI7gfd1N0p7eX=L}vawAAjKiN3P}p*Z0+l4cA-%YI
zFyca|;yk9Sg$QVP5M<;*70=?fybq8<n9es=q>(h)XduM>KdWA$rSGBhnDPMITfFPd
zx)OyGM>?(pcFahGup{D-WKcjwa#@+-g<J;`mp#;}#EaO2TV|>qcaHrS-89_$T_X56
ziMl#a8l5q^1V27jbBr*4@8(ogB9m>nRK$5&Z*7wXX_eEXNsoHTnY)A;`QTZ&c<#D#
zj#v!tR1^RxJ#%bV9L%f~1pm^;;P%yvp%x+_8lx1-ItM-{iJNTVM!?9&S)F&}?l;NK
zoeIwI41IIS0uK15>NoDg7+5>UfWjh#Xvt<ZZ5PIFIzFi)>+*k9<i^fP|Gb#&VVZqU
zgj(!SGwqFOxu-+<K#=Rb3AdrGz2LR`D?RD7jz8c~&asm(m8q!d2KLN~3pJO7;<_A>
zE|_=~c-_Dfjw8w<bm_0nZKOby^fxKBaGeQ3vVJ_AP$}FTOTFez79&$hVG4KxXAVr^
zc`iRELvSD~L6ClKB|#WeO**cIdR5el=YEklqp%M}oyh9b-Bx^Od`LH4b^?TEc*4Q2
z^Tc=W6zC<P{%?|3UGbgaDTt9Jw%nac{afFh4$ezRe?m9>Y@6Ml(CFKwFWo{11hk2+
zg0-ZmZ<SQQF?)fPv4~T8WyyRPc~_(IdX<P-LY90@eWQ%eyyB$KyY>tOo}^+6;lZmX
z2+vqzr89|@bgCTp<mFT1_T3&CcUB=LZ&GxW!A4};u4OGXP>Q`jZ%L<aYA$sUP7-bu
zWe;MViG))piH9-nP8G66vSJDDx@OE1MC{<eR^NF9uh2X!Rsj0y%~II4lu^p!4sy_q
z9Vesm<3gS9HKlC4Xz8dzu*x!If`&qS1{<YaGre1@pcQ1*P6jV_&&F(C0pZf2RoY9P
zfer}0$o}wA=)bX9cIz{e{YX8UyDx*@3&Qp}y!$~@B8M@kjaZ$!tdfzB?ChXVhz_iC
zU!$9%>6^@4r3do8gn8q6^Xo6NMRJftqDo~#AR4*}?Qx_;t_K70wuwm1U}1bUBt%=P
zc4gT3RIZrRE1EQiUd6M_tPu)j0J*+AmRz*aKlCGLfr4X#sVwUlN0j4>McnLz_c0r3
zSyg1J6LZ)0YDx%Wy^c@6msmYrpwuITgBJ<}=q75DAVDt~ZA6et(D>3(En`?Q7DEkn
zaXp>w&<eWW52^A<)rOYhrp^wSb8P@UVO|0?%2i=~gP2d}`0~yjT3)w!zNIG@w`?dy
zGf0jl#rs(QxI2~*In%Wyf^D}2rgyyDTq<rtbkZKGVD6kS%a1kMgN6}(wJg~$>*Wit
zQ~i7BIbK%hIp@?iGu3NCC)XaC)cYrOJaNakO$&0lNvy#|LVvD)$SJ1LJP+^n7#P82
zkhsQ<{ZYHyikh!IPSrA$?DW*yOOGH9@K_pz_Dvf=h}(5STf`-u{W*@`W=0S9p~W4^
z|H6Vj<}?!P*+*wWuCrvT85aYE*4Y{>l9wHFg0lLC!DWGYp7^4Jn#9XI$Hky=Bq+g#
zQHW$(_NIj9T9d;X0ds8Slh@{iMF}g392SHDNIpseC(q3fQ1&SsupjwV+>{;Y>TPk2
zBpC>_&(xbeN4cFEJ#^UJc`dJQ2s9pS_R>wZfZsmwUSU|v0qh7`c9Uof`#E|g9Sp}!
z7mgP86(e?U*vIIRgu($+>e!kKUYZ1DGc>r4C%|UexKn+~?q~(<gF@HVyF5!&azEI!
z<&UbmPHwu5C_tb~``sthhv2RIT7T$qYKr0)c#=fH!HKdA3IJO6cs3VZg;NIH4CMKo
zM?9;$|KT8}{T^g7{T9!rIa+|152B%sIKzq^%33b)V-9`bAny_|(xUA7+?A#Sgh>qy
z6tD1Ro>?FClk`X#H=AvtP2FB;m#ntRAk+UwRxht^aeqePoUu+-e1$alc|n1~d`mW<
zxHJXS-Q0JD2O6=V<OGoK{5TMX(~v&&b`9U%1y?rQcKYhBwtWvxhEUwTRe}w$ZnPR<
z!Rl4EG&~9UUOd*BFR&KTG4hS-J0_R4BUWr4+L?pv``fMQX``m#;Z5qT-yG-8by6Td
z*UJ>(AU*{3=8Y*Nq!6Nm?-<7NRn>mO%cZ|gw)S^DG0Xkzvq6w-Y6ULu0*>>USON`;
zSvZ>D?g@c0Oeo9$;Oy3a#|GSi(MLdFa~L-|5e#+NB2G$7fHW{_D!J!boBEBQCGMGg
z-ViiOQTU9!)phc73h4C_I&#W;%bf1JJU($#bj4^9a4Q*JA3V+u=?w9zZ%()Lq_f8>
zowMyUQm&++0E$v~)m<zwU2_*uTTEoA-=+4+mfZ9*xOy~XgPlQ?eb{q#K6N@Q=w@)f
zom{&%TWm@JZh7L{28mb$&B|hC5xJFVQP(fo|M0%3E+j)HK1LEHCt3y3S`J6>f}?9T
z9GM5<tGKaQq+L+}B)F<xf(URKJ8@n@!A1f-%|GqK<7-8dRNzf%_4jJ!TCS7@9PSm)
z$mC~F|L7j1)x}$W0~wR=KN9leeKrr=X=23^4Bp8Ie<vwKNb#_s0x18;S7wA}56Kl}
z<7kX@^#UetI~O%jf*zq8F%Dv5%;pIZvgU-2^ohu;FHT4*S(q(9q#wnZaxt+!AdTSv
zXw8Lw55{bv_H!^%tEMm*0bA0ZK<9m*-X1#SM77qtpLftY{*g=WgWm{*eV#CSTzo<1
z?ciJ9NtU7?Wv4c=gF$)|VgW*F6OkS8H61hYjYGzlHuN_y+gQ?>lN6_2Lxg(WU1gS<
z?ISPn`jrX?0J}t=3H0d{VtLVjaruk0lm#JXRX5g0g?VayQ7dTp4AI%m5z|5~IKrx)
zAh29UD03o5j@IIzRR>E=j(4cDBc6pn4wRzMm4o0do%=R${{(ncG@4SQ+#pV%95RIt
z?VNc!tKx#C9SoTtsUWApuRfi$Z%RPVLKpgFonzgEM2=Gi$aQPFU8c5vB$F>DqMPVV
zm+;ouWz%8@NdlPGqOO;4%rT&hZ50jJ2Yy=ldeXs-%l88X_B`cc)T!cfkYK}R{yxgN
zXdVY3ajWI8cqS+nMR{i40n`8D&w62ch*M4kMR#E90Nm(EU+h&aX`yGYU_ZhFi<o!v
zH(Inw9N>U0N;U}!t9mCcc!*m)pb3l=_hAmrtF8C?tQ|Nkj=%>*&22t#nK5Bu9(dwY
zldZ-y6y`|m=`BHccwvHI{Icl#8t?XXO~2u%UqPo!091n~r-{duSXP>b)jWs?$}M=Z
zym>Hsswb_ZLPCvQu{w#Z)FVdo<onda53%tsOpN6h4PVBT9vEab;32uO+U>m`9_>ab
zOu`ab#@D;Sx;-LFH*^x&ti*4SxXI;e>}&=n6hWeQlpio8k~9<7WHVG-0L7HL%IgiW
z17ZnV(ZOg=o=^pW#z5g!gx2gijl>920O5&|N9wMXiwhUu+RLJ|c9-DVnEaU+AIZul
ztlMv6Q+c#OtfoPue)L{czX(Gr&IdNlUuTdf>k&%uM4dncw`%~QLB1HBb3D_^Oh?$-
z$a}EFJ3l;{1eE<uwYFRYy(MHdA5MS!7Cx>^?1Ze73|1sgastNWm{h;XC24rw12^up
zUh(*18gHMJG`De*-b@WR3bi*_k(X{!v+G4h9M%ys!F^Kn^!l%-_{2&vfo)A&J+1{!
zbuQBA)&L=sn%P%S<%aAIJCeL=l58PjxE{i7)?5*R<cv5^lyQA?LRwQNUEgm}YRVDp
zu;!g4$1Gb~8-n_3Hz1_Db&|;vL#l+7ZbdQ&BzcajE|r<+IWCm->jD<o5duMsuWDL!
zGH-M_&L^cq$59TKOJ8%er^*1|b{NEc2%)2%Goq8<&lvrD7eGHc9PN|Tf+U!262U$s
z^GryrSg4d>B?j0&=Q7doqP%cqw@~~VF3wz=j0m8Km83h#y0jQGKD0#c`vTcqLVeP@
z=&XYqqkU7-g^gA;&lF{e$zx@iC1sd&FYU^bU<h3NOI`-Sy#<EynKAf+QnRLY75QN4
z&l}jjNf-CwPJ{&Cqa)c}3t0kh8?dUAvv+wDL=pvetj?;4ednxvY>+J#??Fu7b=mgA
zM`(PGiyjzm9})8GvHrxw^uR~U8a)?3-Q*Tcu{vG&Qo#q-&v~%PyYt(Y8<SDQ6CTk6
z_lB{bU<-2Kf|ww{CO&vO5Xo}{n`q+KTbb~qKNpC635_1g;Ehmj%}#Gs_z-rL906W$
zeZ&a+b|a28d{+{0H%vfoOViCsiC}|pW`X25mN5MI$28Q93~zMWF3p4w32sRTFZwhN
z#RhxvbUc1phHquie^@_Xoj@66K-M#CERMuu&iR?FM`UA&=8G22?}>@1u`5L3dA7!T
zMycr3XpLg+aKDV`!{68m$Ah_`_r?`q%T=45Jwx1S_d(W^2}bDZ72}5H*Tl*a*`3H3
zomUGWnea0??4xN9oG&o%I=bi`t-%GuT+2Rq72T{<p-Lg{bTAQIo<)sXx%RR&Jp>hB
zoH)0+t^y2invP**<u}r{Zd6>F6x8JC{K3n4j7G#_t0G+v_4T^M`GW4d6_pTLNd3~N
zDfzLbKV+s}Ad3L2xNBinvoYz6l2s3TIuiG%0;9Y*2KbL+uWs*T!pS8uN@-}uyE2-I
z*Q$1+NWfdon_%<GunZ4Y%TfN41OXyEoEyV#m!~mx_b>bLVoI9F*25WfZ|{DzA{f|$
zi|lk`bfRa%Otl?ZQiZLF64x(}?%dIXnl0}${!sc`JYNKaT7?c4%SYP?YL2!bQipY2
z52;;g=j|!fM6)3iV7<x5>WZfGv0pcpD`9mSGc*H>)!FGHw;Cc>rv8en$x!OANLfT+
z7j>)RI=lwFJeUWt#?ND+lPG7fV8fzIXaNxI@vyaH-B{cnM82D;x-%V(TVhG{9d;X{
z^3YURHQ-MsR?*B45>yX)O(dM{H>H%^$0V_OQc+&wk^~H>x4CmxZTfAf*O@a%Pe{bN
zarjA#W7#hyo=xcqKQ`m$*j%#6Edq{GYeZ<lh~jEL8LGdrR9yU%_!+HU?5VEl_et^P
zN>+3|PV~t2LS`brOZk55H1BxA1?S?Uq6bmWRdzN&6L65UrN$n#%TGGbwU$0&!w4*~
z;HG;o_nY!<o3BxSg)Sj<wkKa~Z(F{c?zH!PFY)4E@Eee~;3b8t=YN*!Al=CvNk^5P
zl4a|FAPpUIBGNjtr5m}eA|jnd*g%5cNTsDb&>=<f96z)%Jz4H3FEkSky{+j-bgTPH
zS4=vo{V)yEEiT!AWtKS|8@^3awahIa=`#@6W@-7rU3ZPMwuf2d=GEuzmeN-RJfGGY
zk5h2~j(u?_;Q%MXD-{ZhPZVY6+ElE6VQ=e2I|+48n-lAXe2R|Cr(mY*UEy^hek1k4
z=s&E`8gY7s9J#dbN!#syTUEbZ{l(KD5Kh*Q=qibahEfd$fHmdF0r?kS*-^eK>W=@$
zxT1cSP2Yrm;uItHv;_&+r<U8fI=YSast>f5`O#9;C5gHULQ&4l@bLgplb6qOI`s5I
zEX@d^p+E0~x3V(J#yt#7CjvU)vkB2hw}a(=HwFYs#v6X>1<`z#oT;~ZIcoLFMRV!S
ze8XqYrp|-O_6H?vuoIy0as)q$G-SST<*e5>k`iekBzUOt5&kc(l`j(!M)8pIa1h+*
z>T5gg4OwjD$<2?^W1k32SDY8uIL47ZOD96S0dCFBX0M}|C1O55mL%mP#EwVpFtdK2
z{vlfmJ5to|)b>3P_osqnCvV_V`46Qvxju512?03jt-1aF1~a)#RMwdqxIQK5K1N+n
zUs1J+-eDe~vvkDu8iJd{HpjgjUKXK6`+bnyL4LtPi+DM!;od+BTD!5_;ZB!zTGgm8
zR@Z<j89Tnu&F`HN)*}vjQgB1g3EWmvQ}v#tlsqJQd?>H6Q6eD3bC7O+^#SfbkF?8%
zxB!;ExoR`4seC_!%SL{!1n~-<vV-cJfY59SaSA0x6A&jbapFVJFV&OK0#$+R9L;$5
zhfI;f#E-#rYzng1v_DAGBcbso%rdQ4$I(RAKm9qGtg`Rtfd6vCx%>`SR!q4<E(B+Z
z^5_^DHLVPLjaKSbBmg~NoiCvx4A{`W_Znv?_r)<p%w1%jL-#d8)m$rYVD0`)`EML>
z99@k0p)lUnuy}_-bC3ID7Haqx+h+v?u&<0tJFjqgb##lhO`}~t1kDWxBzpVtkrM+b
zpc{g&&^L4k3LE2>>2|%ajI#fKW?5yWdE&@$A1MLkigwEAE1#F|jB*EPiFD*nh)smP
z<9NAIhWQ4WE>O77Ph%Nz{f;RYnss!M|DXvbFJ0~2F+Ax3vSDlhKTf4_YDqH=ueAN~
z`3|+UX7*v{c<l1>g+fXsf|Bq%j#C$pH%6n{C2xYjR0klraR}4zP?nBshhk~`r~yV|
zea368{*8(Uyu9kvg<_M;eW!|MNCvGTm}Epa%JYnZ`OZ2bJ8|9#5#MEsn2(1}J%5<3
zGROcAqc1v~uzA1HzZy}_rM}O8<%Qs(4Jdhr-&P_s@4}dZO_3vRkA7D!(|o_GfLDmQ
zAy&=b3LD$?+f+LXL|XvVC{p2cX&zrj-x2}@*bQw@zdDbi%3aMTR%e0{;{#^j$fXOP
zQLMxej))7u#iwS#eQW3iVpc2_2F}lQV4$W;1{X!_?xr;1^z4=zpFGFDHxzuuxUZ?U
zUd@8qd4+cJuAWK($Zd(9py1e96E25{G3E%}iS_L2J3q)Sc;B#&+kvj!6}C_}oknsX
zu@5Q17jsx?Q#~7^7)Xt3t9NI78_}Y=VRh9GRK2{yHit!3)C`E1#0d+g{Q!ZegbNWy
z|JLD<6@9RON6u47?5aVXsyguXX3axKlbWtokyplhD8VE^ynC$nY7e5JL*87!8U~GT
zA-Y}|P35EL#vvt}SWGb+bXh`wEuo-t*t%j}eu9_b-N;Uvu@6)DXZ79D%Q=$Xp)I_}
zoh9$@YK0+P=sK@#hnW_FZfY$^S&7^~pIwD#DCFJe0b>Wd_S&#}OZ{?JS#F}eK&4k|
z{Kv}dcX+RNkyW7jYsu$p_t4_oD*ihpIDAW~ZVUHCB5aRt(vn5>vx<cq;;%%_)S)sA
zn+w#jo8&Lx%o76@&j324;^$U9*d%Mj&2nEa$UpBcmd+DA6nGPUCsSj%X&_o`*Kwd1
zCbtl(@((siyh<4`PhhCbckO02TQ)_HbVq^?8<~z{qMRL@g*~$Ym$??kk<a=7yYRHW
zAO+h~$;jv-+{~Y`<UT)P2mJI8ndV&y#)dqP@=Lyzg;RpS&1sfqS{4zsS@?=0@k1Kz
zban9-7XR<|6%Ee6T>6foERXuYjn&-go_)yaU2Pwn7+@Z1<w`xoP36>l=rYjc_ndV}
z@9U#Be!@CG+JhJjISq^dc6R$G_~n{)v)u4i-Nb70ukYurzDB6dkD|2=9#Oa6`91IS
z@L7&wS6^5Dati3Z!p_*nL<DBPE)+e_y}jGr+-o~_)frCoV*851rVdj0L?aZd@#?!6
z5Q<mcNSv@g*g>pl4Z?@w-2d_MD6q9onRvi+2<F&c1o0|Zo32yD4aIp?2~p=T>G+Ky
zR*1-$ag{I`p;y?{Tsw*~`4DLnDPGfBU!8$c>K(?)hB3lHN+g}jXws$$f)JRGo1R$5
z<F2CLA{nX++@FIXI!gN6DjB|rwA)f7`vXM4zwQseo|5dNy(7vF2EK!2wj+;iUj&cK
zY}Jb$=SKq$e9SX@@>^3ihMQiA31J?+^{h|x{X46sMe+*??}P!UOB}2LcNL^O-vFvS
z7+-;@gCYSf=mn_ThUBF=+bUrUqQ)@?=gI$TA`8)NUs0WlNGvk$M0t)ZwRd=9K`&+!
zY1pOxQ(BO2I;TgQrIO$YYL*Fxt*K%Y%dSykP619rr1VSpvw>nW_4QTv^JnmQM;aq4
ze%ubPqUru+eSP{i9;)Q@VsbCGMSvndvClNWRu6_cKDe^#C^UdX;W>zMd%OsZ-kF}O
z|LRl%fd?!y?gM$(2?G{!5sksEvz6{^Ko&ACLVsSpMd}>!MP2oWkJ)_`!a-^b-m7Z(
zz<PD=$9zKk0l>4P=9%}1G&#FgMgm%<X9QOM(6s>%vN$IV9jlWq(JsOyQWydOj<fY*
zN4#)FXQaH!H{X~$xi9RyoZ6Nf(@RjNyV0(iVyg`YnU4__&$(WU>_aS!2|$xoK5PQg
z8s8a#IUY=FBWIE7b&evA&sQW&R<M!?cZDiDZtc*uKKK7FdToiEM@_a!@Wh#T@ZLw-
zB!)@~k2&d$IQ=C;cCA}g-xg4zn@7^5N-iWltf1zWl5TUdc%Q1zx%%g$YH?qtIiU;C
zMAEYm(>OPf3zHqF0v-eoGNzYEW)>xl9yt3>6kNJ=KIOym@YDB+fvuc0+J!F&gJivy
z<C$JBPucAM#d;PlO5WyMuGLWI&L<`u{O>x~2m~f5G>SHRE81->S*VhvA`BBMCA-O$
zK!N>G(ojP763wIP2gCZk-)N=?b#x`Q4%#{|&xLZsi9teVMoS_**C#^tL%Lz^G~AF@
z{ZNDW<xXr#;dIz+PZzckAn_RX$2)Z_95|Ulw*t?rm_(>hM29d@4c{20PGJy>f#<ma
z)T$sEyw{oKY;>LNr6uoetuC01Y7wpI$?s-4EIE(cmhmJG2Ass>?T&VsFJpb*M@ZaH
z)RF0`SMP45=kLJ<w!5O^_+AvG(j)BHRHl8v4<U^9GAgxGwTV!H-$f^n!UPG<93E(P
z;9*~c11tsqu<$zDbs~rnAw<QoY?Jhz2=dj(b!}Q({j?JR+97(*KgGMDSI23$-N^>u
zoB)6?F}0ZhKuY>AN*ResJVmYv3mF6y9<&|m>D++=26`v9^kwSziJ>;)Mgq4T4LY6k
zD*=h=cn4A^wbQLXjjPcrJa5WEAWW{!*0%j*xCiqujHtuYB02A)?ZOv#%4&!;%T>~Q
zBSq(RLq1#`Nuha>2IBY-K-(F6fz?i4s68V=iRnkWSwFE#;SRR-IC|0_O{h^(B5ZZ^
zGF&b_IRqmC6{5#82YT;GTp~%EP|sxI0#D|(T2hTvK(gBcp0qmmh|~v0!KmHM2Q4T)
zq_1y~l`hn*uHexzyHc#3hw%#QL5CPs5cR7LBv<Ro*YXFgS_}2Y)Vc_33nCe&gj|!k
z)!`Nu$S``O1%=0UEA{kstkfqZUvz5d<OGYjmVrEs2f2^>%=o@NA<8#(^n&o{u)uJ(
zOiqd~1p>6H+LvN}ynOuJD!%mw;j@Do$T*ki*z0<q%|e@$jpeBx-*AekaEb{>=dxDF
z>6af*(Z$L<k7O0QSx{fV3NvyHa<hKqNII+z7^aAkDPoXR#k0y|g*wuPrVZ~~i86KN
z8&S|(S#Rmog2sk7Rh64X>vx&wwQwRT%N2H*{+dV<+D>qHk<<nNP~_RkU~1QdkWPsX
z)B>?95ApG$1MUj7IbZwRgXlz&{tr>Ef6043lEwH{4qY;K$8)thi%cIH*gl8oN0x0m
zJdjVbpga4+Za9h@OD5DR(ai1VH<&cDj>%VOET^w`$ppPJTZhdqDGa^tJ}_+mtR@3n
z`?f0zBJez4k1p}c<tWXRbMc5)m!?lIDK&X8ZECshuXP@Kn&j-K;IYJQL_stn5H5Au
z=bfv2Mx=uPO>rh&RzXqaRQ5Mgo3?9V>!;iBGkw!WHy^RDZ_tf-Bo7EFM={#cUCf%J
zRAs2>tWeHGMx~>6b+i^47$kq7XDw9*K0iI<AIu=|($7O64G&K5arjFhI~Bj6P}h0D
zjFksDEhAM?j{4(kKC7|>!yDf98}7EAa99`rYgu(k)m6$xhv9w5JZ3sm#L_X)izEDg
z^W-@O4buQqf;^bk5I=${Q&STuJ9%ebH^rF01hWadmA>dr<;7o8^s88E+-Xi)k<N-w
z4F~8n0@LxRq1b~4^~=ON3nn4-IT`}6FP+cRo%hR9hF<8RMTuBCVT)~?BK4<}oA2=&
z8`=Sh>wk$qYo&#v+aEc#AacT#8AOovB22Ci1_Fo^yX?<ssGHgOvPOY>1$ZVt?mjYQ
zW|P(+P3qiTRCVP=yvX|&ENlb{E@Q`QG6zCaFO(PPe7?N(&Qb+cP%!xxSuL{d&=jB?
zs};#IP-@X7o#T*08L;cVw1ZK#?XCVXd!{|^%%-RKK`L<yvvKM7x5WzWBrC}uRv<zS
zx!gxsVSTRO)um?b&tP-XdlL;vfqedIR6Ut8oH;#%<HBM(ale}&Mh?8t;0C8wLf}of
zQaa-1{OEIt=uWeaf#c+|@YZ<sx#&2Ky=`8^-hF9QryBQn&d=C|fB$=}6QOku9LxGV
z{dGv6BmBJ#D5NB-*MvTRS)OSFN=6Hs;E@7mPy^8G0UVILwQH*pQ3`XgeJ;9`79|w_
zH^o;dbA7QWW%R8+QEv^LdP-wtv;tssDL1m7atQG?xt@s5DS}Z@)C-F1=N?`?VLxJB
zNmG`&Bo(nU_3B<CX;7JZrwcLtRB94J8#5=c%?d5i&~~8Q6^9tZtKW}`%kA?b-zN-C
zDG42d7*D2}eSC9T3rHLgX3huuGV&o_-77VcGg!k>#v5m{LoCi=5$t+IZmg?Z&IJ*3
z>rHp3A1~q5H)jHy26Hvjtg2LnQdr2v2pRCupOQ5FD~#X@k#+w_XqIBxs;Lf9%b#8q
z1PQ4~Z(&Z8(mIzX&&DreN0`|v7n$IWXZc+uO*LY>h|a&Pr*awJa4@KugO2Z@=s57R
zOg9AiBnod=?C2MHi9U!u{6a4dfa7XD_*=_x+$sNQ$q$siBmIql3p2$slDD2XL508n
z{KT_CIIbf&u-!sAzv@f38|*(0zoNA+m)_LxsThSJ49FhzKvOgTLl3ihXLqNP1=y<@
zFo@AWu~gFeLzNzGL32!lhM3GDQCQ4BB93uSrme}XQ6^u8$pFg<I)R!iv9~VRlF1@t
z9aIK=g7_H}Ciy-R!_*k~=Rmzt$BlmoxhYI93=?>JeZzQsLMtf4y`pyi8Quev0B-{k
zwYrHN#J)P4Ion=qAJjT3o>F6*4400WU~G74DVPbYbnuQbCmvf8yp4z47NQ(E*^bGr
zWF)f((VV%5${(u$&`sueNThcgedC@X1nHwZUijYENLFmUcSbjGmuy-ABUSBq1SE=A
zM-cek16W*{aFx~(?)phe44c(fI2?fjy&wXdU|igx<Wo~YGPld9TKvy5a;ho};H#|G
z6~*89ETm|4`AQn~zkClzcdRq-2w$o|6XQX=w-MSFl&EH)HU5X0WMA|m`Nt6N)U1(~
zUnZ=w@>m>lXJ9zi(LqPJPOk&GF?>=OU3Y5nJ^|atQpUMCTm{O92qq5A`0d1uK6_MO
z1JrlJk&7r8XuAQP7GyjgReUI$J7U<IXh#c#eG3npEtlO*g8e0!_GZM@?Io7w3R9ni
z^Ei~?n1my*ca)Ch&d+&@BjC_~i)x`5_Dvl|wv&lzACA$DZ=cMkr;w#SZ1`g+nB#1s
z7CcGzf%($p#Y8FbHY!J<0|QgwwSRCbL=Anm>X$|CrZ{Q7jm>Zh%vG9QnC(o^wwVML
zb%Lzkfq&i-hO4GOYjn`~v<f^S*!b?1pgU-aKH&40v!SEQDOVtsJs-%Oy)e(Wr_I25
zZoaMAWwPa!Wx_SE7RQ|s;J9z?qMD8ekly|!l1(>6Zek|b`Z~#4*+!8P%O`qbLJ1Yk
zY{fk=f6-SlL?<QTZntHky|5t1#7$?wdB|~jIw(_3<u+Z)qBbAsscJvg4Y2MTSchLn
zbJ~c$hU(xuom|mf^Oes5GX}y#OUtO;@zMaBi>~@f${m=d59u2-71pDvZ31t(_D+j@
DnI69-

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff.v
new file mode 100644
index 0000000000000000000000000000000000000000..dd88b6c773d835de001c7b5933d4f728684aa995
GIT binary patch
literal 21968
zcmV(yK<B@U6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9OK_G~)N>i2$?rpwlh`8%&<
z$mrF68?)$Sco39X#<WyPUT|OC5vqxVj!rF7UcL_%%Ud73COMF@iv$5?ClgA<Y%}EX
z2f8AGsin$O{K?sJu}8!wGus^_IejZBJ2(Umm3P>(EkTa91mo#M1k)|1ODF?}*5OZ;
z_t^xCrVr9G;Z!ERm-)jsM2S4f=V;7CLg=HMw{^M??|j1EwVP@_mfsWwE~v|wiQ!Z`
zuW(m&?x#~AGnJ?%Tek5(%F1F*4Q)FLvv~5|3x4Ep9VXYZ!(~5r4*#6t_j!e$j(pgN
zoc>x*O32E<wIafjnS758-}Rv>n0-AXqV6<4q7pIZGPp<bq<VZ}=kTB1N!0yfkF`GV
zLC0?j1hmTJhlksbw7lkQD!hi*{(J?kZXzP!rHat_6mhR%WrZr(?Uqy8DS2Ex|IDSS
zq7?q*s$ecE@RtM3Te~_eE_OV90)9JQ2jiM-6H6=&yrqlSD1$M$TLBgXf&5H6`&{6;
zW`{6o>>D!gM=?LLiao{GXrEEX;T%;f?OUkQN8ZMpR3FQ6@YP}XAyXWC#ID$!vwd9w
z4TO_kBLi@|ptyn8!~|dSO)3YOA!^8}g)M;?ERX+VL~O@(6zq3}pneBKhl0qTh0QOM
zHcKnZ#!t}h3{g;`#)c%XeHFF@TdPm}EBgqL#~~3}Z-;cRPL16f8|Q3jkj{od?ZhYT
z(6-~IGJUd={d7tmdAgciMnQirP*yF_z(C5=m|_EkXoa#;4R%WZu92(VM7Q71z{0aM
zU`jN;^WdMK!XoKYxKc5@J5t?s1&=u>vxJLe!^Av7e~ZUT=;jUdzhUTeVA;PTBZWqL
zR#s1ulX{P%m)~c|aGKJo#YN2?YyA&mDY&ybSahXoiMpG`LnB(Dp+xX0-WBsbaC+)G
z#wWPlUTm}bqN%#Do0Y-aK>fjx<s0>nR_r1Hgn0fdO2Vw0A-buqdi)Iy+4N)i?go@?
zBBU|A3dqzv&8K53GMg~QHJ4&S7~&gUZ#hO7B(S+$%2x=K6^{{ABZ#w}kP6YS2W7B2
zn9hkIhn-{8IuMlOqv1spjspyy^tb`aSBLAlLS>p~eqz}7xgP0h!Ox<e6l~OFa3-M8
z!Jgw1JmS0+8k8@4dQ6~H8^GvreaO<Iv4g}omHgi-59t{=ZF6S<EG5Atne?I#%$~}|
zT4>MY?a*R{g&>elb!P=-ma<p+GJc^9;84MR;)rN8xFN8Cmpm)}l}mc_g=FqZZ?ta-
zlmgAkY##O~S?m)m_B=jrfKo+`2gB9_#AX_faDFITD=XB7dEHvO+y@>zi%@23-OIXy
zhS5diB8ao=zjK;D8>8k|8rsE4gRR_$?ggeh`=xoHV%CN0>3VSNW%%%H$B8yBZev-y
z2<~vIgcHaj-Q#q-Cb-2{p6%ay)>JvMyP~F;=4i~GH@%~ycca9b!~1a~iHpLH+&jhY
zYYIrFTl2uq$-*L1vV9R(lM685a$IoU_L7HiEf}W?n~R3@`<A?pZ~}z!%c~n<Tgh{T
zo{=t_C``exzMB#iMrSnOqGiHUZ(m!`OcTM;RpwrH2JU}*4pTABj>{KvDk5{2Ozd)l
zAbd&c-SbyA#D73U4VD=pOR+`~klX4f9BpzfF$=wIe&rwHn2<P|Ej-RuiI0k=Es?2a
zhs(sb(H9b*{g_n8MVxaoe9u7E(GOosp<MWWdCTvz`W}2Bx;RoWh@^;Tz^OY*H0bB#
ze^Mc}ejHLSZCEYq3zpx2^~OVp%J;Oqz4?0Pur+gPc|srb;<qrMj6*Du2iW06V2RqG
zX${DDdYFWt2xizU$%BlY>DEvYx^WZ{>#f462mEbzi)w8F`F&$=DRPxfiPs&qVM$Bt
z1TlMbC?Fs&$Wq_7BM&+8PCsF5?NT~LilhNLSR(7^`GGI?H@p2%)>XZVZ0K*TFrl^G
zB~fCi+$O?va<bQ^#}w)$-b@KHx22h}7|aq719>p})LRN~brQTfI5->{BKc5qh(wm2
zRwqntr7RulPk{eR(76s^(y1SjZauW`F(T>AYd^ETIs@jwDTR~kNs#QmR&L4;VRRJC
zVj~<Itv{QP@u0=<wlWYQu4c)ZMLfLc+Ox&YuWC;a5#nUwfx3xEz}MdgsHtb_i3F_V
zeO9t$o-Ot|zd|q;{foJQ)@NBX!ld3VFbrgfxb{*_4=bWEs8<!YcE%>enCD)%&3#J!
z^*(2y3xZ)UIM%^V)Xh2I>S!J9LSatIVuVmKHAIxtF|a!mZF(tqcag{28!IM(RasA@
z{xrUjYa?Rc&5tUpC?lkRSV7?QJ5~_E24{ouybxmf{|g|M7Ka$i9_*qz&HMsp^3M6k
z<{^syZwho#Yu0#a#!i|%Uj+3q6pK%FKnTeF;;NBdPy4k+fR(xg8dy1KNSF|Dc5K5t
zz%C8WK+2CW{a6SQ=w|7+EOFSM0`cbaMel?aL@ZgYTs_^f{eKU$t<g8-PoAy19A;P)
zfZnmo+V1(@LaT}~im}`Z|L?dvEn)SpZ$?ZEJbZwEHbIeX!~vhPV23#bZob()ybVs9
zp)sR`Oqy&p0Z4F&f4|i#hlZ^5_S1KH{aU4ddM{=#68inko<rPFJx;*>&qQjSOL;`Q
zu{1wc?O>xellV~*gU@dIf40W;c?3Rd(r?#rPP+31I}W7!f!E>vk6g}*Lh@)^H~dLN
zWMxHQMgX(>=W@#Mc2U};2PfKR%tmVIA6k>_G3%o=nnTMwtCtu)Keqso`)l@Wk(cgw
zbCxKoO0<LbaU5GFbXUrf&2=ey)0^zqpw0wosp6i1^O~=2MMcv7axZ2kzx&*EqMn>c
zR-@xS*?HdKQDpux4)jynuf2k0ckG-Rp;3#p>{0JELF@*eXcUv4-+@=O_|d`5<0bSm
za!(wh8xeT18BQu72AVp&9|R=K4uCi>{OU7O&vQ5a>g>|$vOSFmR!x6~$bvq7>$~&{
z)V_t<S3<N%Ct7lw-EzP9(Au)6NIy=OYP(6!;{NGQzzTfK1ji9oSbxR+>ZyuGWyump
zvhz2c2tQ0CHJkM+SS&6WxDcR2dPolGuwxlPlN|$jK?gOt7@$;VZ}}TNG0H|N-Seym
zCo!5OH4#n;ks{vZ^J(%k6x?(#wTMlZ54QQ1tXN=rkllYTp91{Qb%rr3|0~iFU6L%I
z>;_E25feC}@qcik-=hCwiLq=)g_8{uNzb8;gq98hAv{bTmy=Utibh|GlEe)UU_afg
zPHv>$w_r^2l<Dd3nbEr+)nP1$^7)=Hn4C$E<SEUW$h^*D<wXKwB;U}<*?Z{D4iV1U
zk$R=>kDf2zfxU9}r0I=G3Q}eEYAMsrzR%J=y*h9%|7db3D=@b3MZhiZw^UcnQ&G^R
zcA$<OF8sug1Ag+-BoPSbMerey!uC&_=a%qS#qA{isyN+B?UyMs4ei_83Oo1zXc0ut
z=1-^H@EpSoznDDUvm;TE%eYr|q&>aVoyp)EJtfGqIM@~n=4c$KifFt-L)+UyU01qF
z=kQ`AwH9V4?po1n!6~00Y8bycQgWx!8{9Nz>2$+H*jEykJ`=y4P?0+Nvu{vgDj%$e
zkKHP;!jGGRC9HJ(A-Q=}RUr18u{ifQVAP}(yG3%AuV);UJ=H%{w7b&>{l5RR9Ol;6
zC?B1#wD;(&KK7`0upJttYl<-v%yELFsAOE-IWzCT@AU-N<Lq>HH#jWg|64i<yLHYs
zqNr*<OBD`Eat+3`RXwpsq|{7DcBs9YmTJ+p15ye9pq&!c7;9E@pU=aKrX|l*yly$s
z<W6Heq8=&j*cEn9*djXAL4>57jo8wnyk<SwHX7d=GFNI81=!`s7{4pM_mCI7i2Gso
z?%8kEFsIuf5ei4g|B_aK#qMg;hixjt1c`aq9E1J}xn-5IuaS_CK%(UX1~{3&)Jg+r
z>O3&!TODd&Vm=V@>OX%KMD}ryO=q=&MWMk}RXO6NqRqPu1rAfs8UHn>ULY~CAMzqW
zGU_ZMgX4e16UcgLN1S-cx!_b6y^%*ASewwoIXuUr+BcEGyqX*z)zAy+^Eq5?w2!{Y
zU6K4ESlKIjB4{iufqZStWO~@efSrx1Ffjyz-JoV(ErlYShuFP*{l<FVc5-mQj@Um+
zp;|NDZ|0RqZwlyyi_LUU|EM;KCOL4(UFGlhl~-zwal)dFf6Kq749^o#p)x=Kr^4E_
zM<oc}oG+xNNQvRkZJm67!|Vf~L;lj)8^|BQ0>s?sDx&Fu93yi}@3RLAKf-XizoHCA
zDttL~#2cVDg2wQzMV(z&MPMX<Y=gW)9kyN;5#p2hjVU-my$ynJOqo+E%Z%$xH32}`
zRj%itu>~Op;+F{>yRuyJV^Mo1EQ=h`e$^mVq9oDcWA8(K`FK#xV)6AXxFGnw(zoxt
z@eH7N2v7clK(JIKH|D2DCrR-Wl^Ei6Vu&c?tSb)ne>s#M&QrZGkb8g0W8(RG;xh=x
zlVnKZjgX4!mP!m%YKnU5CpR<Is%uCkImabYTub;x+{rK*o{L~s@kV_+3#{wiM={Zn
zN`XtGhszAj1nIfF)zM`M7{P}hKm(&NP%L+>X9<1oZF+5LX;D1x&C{yChLhAl!bJ5V
z^Q8-iDY8JOhFjxCPh~5?H$IGW-w8dJ{(I$!hMG~qfLJg?6I;rVRt8(UZg+=|)nN+H
zYa|hUnaG=ES+gWQ&E^?UZo#k&E*h(IU{S+5O5x>R`@s;C5!tw2*=M(SOjgrNy9T-!
zOxMMT++UjSVIezKJcyn@A?XR_O!2z+lHiRNJFIneYjEo=2d@Hsh_gOB<=i4Hh1pk4
z`cSd{^8q%{lG{iL2eaAcmVQpdDUew$|KH+@$nSEUD1)7~txqaG%%>wQhu;HuY`S|B
z#Aq5m1wPT`3BB1B@ay&gtQ4FKDbHNq1Te-=O)9mOpR-g?1ZdM|^gsT`M3F#(-D0I(
zX<_)kn$;^QTYO>n%}!^8>%M})kJF?g@Ar~eco>&(N0k?|tO(^I67J4h!^;$l8uXQ4
zEaZ{n4@>=14|M3}n`5JTv|a~Acgr5XA?fG+g`$eNZIDSHhOc0Csr1(rG5@Q2mB{pp
zI`|T2feOLO@XdIK)fJ4`-qV_thYcFj6;C*_;Y7fc(48EeWQ$f&$e#vX35$r2%O+)O
zTAOiF$k(uux7#i`JkyAo4J$$2U%<kcRRH9HKxCCLeCWh>eTwIv*4q7yBU=#s1}I1Y
z4_!XMKKei?Wq4^gT0+$jhz?QeM&CYj$5da?95emO$t_sCHqe8wI4K8{v6uCudv(EA
z7N(P+v)n9KBGDR3(~mmP@p1(h8So<*+?vTnoj}1hiE^KxRePsaJbLW_0sFVKlVzT4
z=GFU+)WLf}^l?r=u9l5QdWLYZ5&T2{iSAwYDxuzSfsT=Vsc34xQk}EWhd~c+fT32Y
zW=+rfoiYw34@1QkE?zD`Ilj=m+okJJC|lO#wbg}qV7vZar^b9L!99=`ceMS6ZIW<5
zxmDi%=CYMJ2QHop_x^OBYWf{(jQ0rJL#L8Z`+jt;L&5~^-tIKB(m1md2oGNU+1Luq
z9n^M>DCY+^Sum>c>lq@Ofn3l5g*Qzs#9BoXyrOM`jd~}P#4I@K`|Of`sacEnsqQ%r
zC3eu2=tSPebl?a-J3u978LP)IXnGWopt5)$s^2n@5%S@N|IyL?-N4#HA|?)%Df92G
zM|bj04L!W3v`uz?#p5&%{l703P%VGNW3myFMDLgznjyWhIZCh2No&+`Hcq<Us(&`G
zUlL5`3%F<{wyU|gtfpBO*BzxXQc4G4PLsK`n2e^QpFAV5B1YZ~CkUIN;p@}=3b5qF
z%DGJ@xbmiiNHzhfOf+DJKQMh|;AN}L8t9pX3^KQIgX2#GH9BeeMG`j9=)o1L^d8I*
z?7Pc;_&!0xT78kA$7sE1ysV8DU#g1C|Bpw~(XhmOTxOK$JDTnKv7Dj_ZC5-^7dJI<
zrt1+QJ2gCHWz=tuu>ljBq3Oi66Cc2_D^}d3lEY$p<7~KSM8^Iu@K9n5tH)FCir2<l
zf?Jvd1iYz^JE{|XFN)NK@fx%f#UW57;l^HD>QaewfW~dfD5<BU>!#K;gu9gxfqTGi
zCY|hDxgGO-+{w*uoyk`@(`w-<cK|OXmQH?8?%&?Dz$%Q6S!JW}lZsfcV$q}d8UBy1
zTj4DS`F_;*zf$v7fA>o6RqP>>u?6!nKJ1MKEUO3WK;Sk99G&|+THid32#7_B@ePC-
z*c?V1z$d11XXjGfjoLyZC^;E7W4P;t_XFIw{mR(D!z2pw-JTrXJ7GpU9S#(6GX76<
zjpSpN1iYuLjXAFYP8JNlVS}-CxnK9Vb=gitD;Nb^<&n3Yw=?PZ2Mk0EL;VG3@HToL
z#nI|nn}Y;3a~CeM%AH+0Rs7+7{Ersy`38GJ)IW@C^`2CiCS`3%<~|~8@unr6hfR4c
zmZ%ObzsML<$4%iyiVX<}2}!c81vkY&W#s+|6qX^e77mnha?n$7P1YC2vRAqG4|w5^
zi0cxU1A~|y*w&{`=0KhZK7=bBz#<E#HkgdQfar>?RQQA>Z*;x>?O=1=Nr%KB30{gY
zH70D|Pe96cvSxO}Hu*N{e!nNCAoG~|*mUErnSK#P;m)wU!zti*HYi1yHV1Gz>&r^D
ziTIc!*!;N=9O4+GllBkNrl^~E2*-{c5Ey50yyBPQ39<BzopyS<zdH+c<Rx*j4_xc=
z$f_;Cg<N?GGYixs(QeAyQD6Y|55(9c@(2Q!0(BJ6l*Y_U7$n>E5d$YGA)<J5a02#S
zQY7Iv_$KR;&BgHJnec^oYQ*v#;@&Ny!}@jC5jFmxgRmX{bVzv84`;!yzm#x`2Ag0w
zN;^d)C+!yq$xYfs#95>!wz)sF*@!6Foa>}8T#j=>k;&{GSz9t+F4K=(eB9FIQS2wP
z#o{t&oT>)FMAY{>=>Oon>C$`5p6p}~*{7<WwHlbQr7M~x65J<#+EIKLi7l8D>n*U~
zq2UI~w(S!J?5KEhN55wJKP+8dC;-f!QC@blU_XU+#^|a`b}d;0m_qPaQdjj9WX_he
zvm07=-;y&j^ttNS8qXt}Z1oXHUQd#%QP?uT?&G<Rd(YiIO0vXf5tKpVay_9YeKkiE
zfVe`aVo&PpzZtul8@GDC#k5e;*A<uz58Z5|KBVmI#j|#J`i@K5t(XQQbA-PyBEEij
z+s4O}x6ID~o!ADIwnLhB{*l|EchOO?KC#kro2Ggf`$4j~b}M^YGJm7?6~-5fqnuTl
zNyf&V1r}Ekyb@HEZ9}R=STqfww)YfKa8bk5m<03;WM}?^=4h<PavAkL8`w$jF*RmJ
z;X<v1vATGtfu!iSTXde}GErqKxQl$*!flI)kG8DVUhWF^QN5UU{|kQ$Ak9b}0~<YM
zY>pZ)2WaN`3UlZJGa>hqnjsFvt9|aH>56uIGEsygmDN8V6~||m_lf?vtD9>^l?tN1
z-iJr70*})pmA7|IrRsQb3@;5<hlk)XyUMm)nM5!<0_>Kru#WQ2+n9wEZrsCSj}^w`
zX4i}cskONu>6Jep(PWVE1^QHK@^W|)TO<<%Z~oqUOx440WVSZ?CUdiKi219lp+(I_
z5<D(H>pN=<dW_^|Wn3RMa~W-rj#>-h8{vrwTRll%-zpCtj(BZ88tAlbS*cu!ZM=+p
zOVyR^J_xxYnQuo_;Lr5AqTlxS0j+<)2kzC0Te_D=yS&HvxVMDe@D&p;Aow@!FKd?x
z|A=QyNaxx+H+9)S){(D`T^i?XEV~hzMqH|iI#5Q?GohjzOtFPD3%fG+-kz)%jtR@?
z5D8z;<F(wtxeKvS^aDLFIXA`5=YN~pMwg&Uu3#?<ZMgZ8Y=&y@f&iqEj!FsoAyq{}
z-x9GmfeY&Y{{ay7=2BL=GofUkO^C;dDNCDLFswLJN|=e!8k-LISK~0g%+5T(G-EQ=
z`P&~x#%swPxWP%nVvTaSJzb%>AW+sZDD(~2?0dk>{V2(%z6^kS@jtuKzZQ9EW1HP2
zy3>UuLz=8&{9fT_;Uv`ju<b%MLCbE}wfll#pNf9DQw*H9(70S*yc<B`C-iN4VOC>C
zF>JhS<kpMDt#n<;i+IHO;s{F2!tQ;@0gUg8_n5wL-bqhC&~{6DK}PG4z`FoR6?HlL
zS6GDNwz)l5Veu?tG7{N-=s_^0&oIUKPCHH81MZA6MMvif7COz(V<tiK?juHbO{5V8
z5iZYHU&7ZkzCARZ<L30BPMs^WFCTr?l9hPh&Hb@#{>Y1<onc!-Z4c4@Vh1%;+l3W9
z$^BlyDM*q4GPr&@bFP}<YxXuUW*VooyBi$a-lrp;yF@VK#<R4_H*7-Xt#nTjs9tX^
zEHF9Bp>ujvdq`fGWQ8S+f0lqnAGXo5x0++frLoqJ4i;kXc0RTCWo__5<dn!Bc;MPG
ze@XxM)1h5xx$@;bAeF>0<)7rTbao>V&rMREq59?Qn?>4Oj&;@fI{faSHekef05GSz
zJvim&j!)W^XbmK`ZL*IyS26frKh(Pgq+~|=e-GQ)mq6W&Y&Bf(!}6p*fWe%C9dNQd
zK%QW&q+_7|&oGJ+<PX73mry-wta||Qgp;hT;do`-`aEO)Sd#yvubyrJyDrj<u%Glz
z@RQi;rnHx`=_}5+H`{;|RYD|~jAuEuxu$IdaxwdcG*XHc`F2zB_bX)vVp5qTcjmnQ
z@j*zJ*psX@!vPu7XmiQ0G#piiUt*vEFhpwE<tFyVY!RYbRw2Mjqy~ErN=ET!6~g7|
z!*oER-36KiZ3{j;`!-&ZoHZq}$|zu36SQ^dANGqei2ST(Ok;oIAz$WgB|>J5Xy<&u
z4IWHqi0qGHl1Ba{vaDG+IQHJaPq+>=Qf%1Yv=f-Up>ZrWX%Eyxqk`9f>4{^ZQJkV+
zTb-d*CllRMAyM-cy@HNzwJD+=+}gKN?HF7hf%IvYz_Z&)L!D2r=WsBD=EHF}LBVnc
ztC{{SX?{(C$ZVx+LF*znbeR(|C0p4seNDJ5$Is5DA`fs$r+WF>wU}B9Z}QMsE{v(J
zb>DEPnt64j{ZQAUIy!OAoQ|#u;m3x%J4ko@8txb~>$ySQ*s{<%mntx!!sfqV7F9xA
z;ARC%M<n@cvYhhUEVs>My$GJ7iHtvGkuan%RZvx4M)fX~t$r36oQw-j^Jt~Mdl+u(
zxMX!NrouQW638VgT8FlLGCxHokKBQ`2$|oCH%j>&)&ypmCe{>}{P2tLJgc5Z>8y*R
zE5HRj#thZkv)ABPvwO2$d!XgD^RYXx2tEHuO-<lidR6N+yoSWJhGSG(mX&FpwtBQh
z8aMN%iUZo9|E{4C3&^Z0Gc5ZtfWcjqS3kE7z)@4xw!uf`)id;B`^mxc3G+pefiLhp
z$+oNtd}91<{RibktYwCDQvmnGs>xb;455r8v7DOoz00vJ$R?o#U{yYsXD>HL8dG|v
z6OTG1C$xMMHJ47PqiB#7Di+>`9)hpTA{prc(dGeXk6O_8<8CsdK7~}t4yboqBBGHV
z*<uV33&lK5!KWpILTQSxq(Wn^K+cJF*h;cxCFiu_z(F^vDzfiY^>>^?XB|e~(CfP{
zw{r~!n$<tI@NQ-Dm}7wc1M#}4P%!&rU^q;%9I=z7i<3hd?Ymo00=7kYi_ZEJKqm-^
zF^ki;I?H>;^NN=ZiT6YrhlfbZF0yCEV1%-=X$sWGcNvhM`Wo{Gy#=&@mVEUx#Qib5
zd&?5)>Gp9^aUvtk{$L{V-()eYMp7?rjCH(@m??Zm)rDtYY2K(ll!eI*X1OMZvW*Lk
zX|zL)h@QOWmANAF={!Vz=Qoutv8~>WE3flh48iO`ZyxWO1UDkQ+U)kiDiQ7I7&bfN
z`F8y}8HAsvbbcJk=3oZZK>83#ROTrQJ*Q4ROfot&rvg_dJ(TazdO?}av%snZ>$i~z
zRhansZeJzjRZRn`U*v`a<i6W-13~h>{2?>vIIDIMRKyI{vBGL_UdS63TAB&G6)_Wm
zJQd}46qvUF<IxypC6OSDG0KOU;3Y-iJ)y)<Crq$stbq5Z8qmOd*v}$F-@-JJ)p+yf
z%tGiEzXD5+R;CROHI=r`)VXr@mnEh~$D(+fi3Z;6qY3Rh{{x@k%pPp5k=X+(!bY-e
zH@|SG$7r;*h=wgTPzXXGV_p;b*v;CCp&hKz$>zG44g)YQrr(RC!tl!{?<gr)WW2=@
z#wcKaC$lY9{Zc|hDiYfPYV{Bl&5KkUhngrN;G%&?N%$GU_^(AhH*b)C4pq$@ys%`r
zRXXfN$f8&dn?Y=sX(08tMmm^*qYZBx*a4YPw^D6k!6V-_cY#{NY^;>>6qCp1|0_1o
z3yhu=)=YXO_h;WKvUNThShYe%Ik7t?h~2mVYK5x5AIu?VXG_MZCYqSKa%_yWVn$g!
zDT5&GjE2}}T=LK8jeWTP$Muu|n9aoTd!I%nrbL&OC85Zm5-p;>A+~1M=S;`b&Meq+
z8&#Vr069~zBCISY#}}wr<HEmm=C5CIecJEYr1^*z>Kr}L28MOZjF9jcvAq^20Y(<R
z%nkf(9LYMVdr;ssn*ucD)xU(8d1E0%pR3)}YEq|Am8dlqH`8&1RWDewkp=fGS?(KX
zPlI_rn%&3ZlcZib@Z{+pmU;LF5YB0|6sWMJNeGng^=tofsLh}HoDR0$kDE^VKYY&x
zV6TE=>=7$!0c7>K!HR}jxbkc1`emjdEs>xQ73Dw{c^NANz@W@YO#bBH=>8^kFE`q&
zVz+XcTGyeVu0BN6^NOGascWR%qV)Pg>}MxRx?(CR8wzyy#F-}k&$~4|9@*)4)C2W%
zsMb|BpaJ~K1O~Bl26m=A0+4(p49;hX;(^D$L)QN!zIj}8o7SC8ZN6P@YuST_R?<we
zy<l#+j^joowJ>nxi?f7u!=(VR--l{fMuY$x7+!m)V`q#d6GQXyqR6sYcXmNJljJH6
z?Gy~2=anmB#!zCY1a8r2HTPe}@&{Rs8cUUqKsFZu>_vOky(D$q*#fJuj@$@o-afL5
zJg;_7P6(b#-3(mxttMNCI4Y;&BS~;!kYJBg4eNTf^hZSmB?x@fA0e<;{{!EAy3`4A
z<;wH-{sDu70Gj0CCgScZ{jkQL9WEV{z1Ds9F6!ix_xL}AqNL2nv9&*vqSf{{FHHox
zBc}O|6UA)*G*IU<4bu$<r+$A!^@=nW8G0U7a>P=lw7q%q2CCpm9o6okD??&3*o0@H
zrzVZEcDa;=Q+eF+O~8u#IVEHd_+wgunhXwm+O!s~ZvvZi_xy)t|G>)Np^caImk|FT
zYzNJZ`JgTk;O6>#iS#^L2JT)>AhNzFVe-3#o2JL@{U^)eu`SeA{!|_0i$3NLe`XhJ
z?_2!v3H_~Pa{}JtibAs~c5u>(q9udMLD&<;+g7~+OhBJI#OpB1i^te)H4&!<@S`f%
zh5-e|qQnZj=6n*Zk(|G-tx*?DVd*FcOUVWQF$e8k$xEjz3rID2gDi4iD=Im3R8;?;
z<TiDp5dZDC8mo~`^t*~K`6W8s`YMYKLD5%$3lKSr9(!1t`m1pEn>KQ8{(m`yj()f4
z2#RGJl4x51LrYJ@uVAzf4`m}1^<^ozN@D19T14073OU0`Xi`ri8bp8jKOv<O%^*bS
z>S^ImV-&}fi#kwFqwNRVn=sYYhpHs&V`9+lxM7ZG&G=6OAFrh_QgB;$Y?la8wb5IA
z=nURvt9*$Kmh6HrH|rq~j0OUJ4)3xkmFKA$4sk=YQ3*or^`;1@y$V!=><9F@O;37c
zgn4MJolaz~oj^M;UIqW7-Vg1Q6z*yOyMagMh+EE4;)px%K{4UyYnPZ@qWhX5B}u>?
zXflISg{zP)_r>WJK*()iU6=R&j$0bbB1~HCg-W2`C7avOuZT)TOe13fd>p8AiRw`Z
z`7n9YrgGX@{AA+>YoQ7Q*vlQCJFN2<+G2<N2(S+N-4gf387_|opg!Ldz2nL!4ewR&
za{(z#V~9i474sRpzsliurqFQw$2!Ek*I&&$7!^BL6b!W{cW-c{<|1?D+naFy_%CQ8
z<8q6eDoV7j6b)7>K}6>#GRu3x|5;?BrkrBZJ5F)dG#TH@3F3IRLzcY#*E1Q=iU=S9
z70j4(E@|!pIfJixl0soucxNVoIko0}ba66nJ#gZlj1x6B`r*FJ65QSQQb>QF(LM-<
z-_|9?xB&IN=PEN;YCCeYJGuU7*{t&>HcWjRNy(P=qTN~W?_Nj!?QL}SSmVChf$9bQ
zBb5hh#9b;Q>Co{k^SVvZZ6DLuoR<ya2-(-BQtL)v{gyjNbW&$4S=NF6Zv<TahbuzR
zadK*KNE>XxaNzmkp#VO3q^IXJ^4j3n9uuZD-KGw159c!QMlQ1z9AoJ!ysat&RU+gZ
zHeKnPd?$@Ram`KOa1B^@$_`cS=yk3L5i(I`{5|ix5Yn>@x?1~K(0!`TamzN?(op+w
zum-O}K5nv2$RtiwRd_uP)qezYNLpdHEE53Vn&DKIVc6EF8@GB1DM}e<ZIFD+g~P4^
z-x&Jytq~rC@Qpu`E4#ESbVmW+n_LzBOUS$SN1-*bRuhUMH3^V4!<(4`qG~pg&&(X^
zLbxg?dK(P5M0^4LTIP@WTmY<s905bS&7lpkNT*bbY)*9WmbQ(sZOtoL9u*(_*bNt0
zz0VD%4j}Pv&NwQ)Pe}dElrebE*7H)c)cQ~iEy#I}Zw?O%1~hrZjmELOpq^q?--vuX
z{0VsFFo&-rTQ<>1ga%;GqBimhpWUYkop-q5KwD>s!FEE*Jsr&L;kDsDY_G?LCwpeT
zS-@${WG#<szY~_8M7!;Ys_}762a`|bZ&0|Y*sfCGf9P`y5{<g&>}HRa-wc(6VwLNb
zzpc{w-?LC76Pozp@6<OE(LM=ghs3}i#sx`vLC=qLOH5Lu;Q&5rHYfP17y{UdSiFrm
z#u+1S7pmsTKIAt*!_Rd)A9|?~lK_XqmN{>$(jh;rGL=*05EsqQ6x`UL3#+wvFr7J}
z6%Zbfljy8XKURL%1N};W`QGDxBG_yDs0Cn&PDs~)R9<3<=?bhl9Yu8nQk^<0(ewyK
z+)P*Ixk%gL{*#30F6Th?1yYP>JHkhTe$e6n@Q1!E*QHDyCO7zQrs(nnK4lQQKgVym
z3TxpOUzqJ#o&DdEJ}Yc@g&2QB(G&ibaLkR^q9^vzV|x4-=#GE88`H639Uy(P`=iH`
zO;h?K4zK{w`_to1Tc{lfdTV^=f~Gi1vVd1rP-CQ;J3Nyn*JOk@8-A78W(e+G!HTdV
zhNSz(Lw!eEoOhzCmp*YAG6CVa{i$i?dG8*}Th71}dJ>F2X8LKY+6J$Xd(o|9;umM)
zLRtX^XO$%{q9b0|p-ohVC4_Bj`Gqyf-}cGIX2ltVYe8-Cl0b;$uBj0F2*LZC2kEOx
zw3lmF8`3QVC`mvy-q*r(u!rIGB?R9emhT!lRcQ&y$DPR8hez0qIx%-p8&6YbWTqKl
zv|7VnVhWBTf>j5nv|-F|UWh%d93N)XkmenN+!)ZonIo~e5|XGM24E{+Aa2|3X@0tu
zK)8rWlD0P2+A+_zsD)P)3-3|uNiI+`)|6fwp<F{1Vwf71iwlpcH~f|_vtD<j$Au!b
z`-qL;$o>TI=#pSPlDb}7eTP+)+1B$$668zW$iqWHbC_KGN30-YVW}%wzr<imO6S+4
z*!w&^4q)k{)?9LZ6`QLNi?TalCl%y`Of!F%TRyG9K4epeDcUwRLE0GuNeU@70n)Wl
zCARBuyGdeRcLovjl%WQZbF=n8V0nR`VdQHR!@+^IXS3gpNW1<t0nV{qccU|tx2v(r
z&d7NI+58!zO6DRm#z@{1XOAQ>3~VRo{|;yHTv!zJ+8XKfIdH;b`pf8nKm~K)%muGF
zNd}Qbmfv#Dh<%*AbDT2o&H0OhRmo}*T`$w&%s->gI^p^s5I)UGqK052wvTTTnEW4Y
zeoZw0M(^rc(T7tkDUmx+4#M$JzALJTM4JzAon)4Qm3-H7C3}>H<ybCuio{LXoRk+`
zV(OC!&)cywwbmWJWiz?)?z*c&OG#uRaRn2xwAd~hGT%W#i?nR6I$tZlYOG#^Nx5<X
z)^_AN>Nb<g-5oK`ZzI1YKWRt689cfg0~T8SkMxmc`Q%v{oc{SZxG+g)k;X|(*OcDx
z<DSGDpdAlnGsiqcsk)0g=wFixCT7PGW}hWVk?s#kHNHD&T0e;aG9=z|O{Xp<R0^)(
zM$wf(2nC#=CD5*a?VHE73<X7Dj0JrR5Z^IP-Y$Ak{Vp|z1CV&$U_k`aBb%@fot|Ac
zn}a53k-_{QRI3$sG13V!a^xQ8N8qr@xaC_1AL9_Lg<ng$tJj1LaBQ<wtymbUsSTtC
zBqWnGCx-;cruobM2%H;av_e^%vgf+QM5sBF3)k3WQ}ttp;4PzbN-CT?{CLv{T?|;t
zn_Fw-5Mv>WSt7^?y94r%)O^CU5pMHhQ<>Sd>$5c?9p*V?xq<{qm|FLHN6F<4iM232
zMx4!??txLgM5ptFg=NNrAIyp6^leUe&1sL^(e#H*n&*M#cgaoyn$D&$hZ7<4=O?Ce
zDX;R9qr-RUdYL$3+wk-GSlmM=rZbC*{p2U(Peyb3!;XsYN6f7KQO@vSq;K1_q_|Ee
z@2`vARBP>zsb|w=Z0+8YRF~FPkQ^eop@mQ!aO=silOM}-dSc5Dw_64)Tb!#M%kvIB
z(03YMKD<kNX*~_iqDB58t_^Wa%8AW#Ur8#!T`xwpq-M?|e%jB3o~^m^i&j`oH;f1e
zkD@vg;=biQwtCCnSFzU%>UG!;KHac@1=dolV|P?9{!6Rcw_d1D9-N7e2JpgM2h<-u
zLl@g{RjJz=mm11&D9^(MJvmqRA~7IU0rbVKxD=?Z)@n_0!O;@}3_neK5(2wM^^Q|h
zr%jG-ohhsR7t39UDMQQq@Qq-<>G>4j&TRo2rk1UomQFtB&IVVXHY&6gYu-j<q9D0c
zO+y6#VDE`ki(TNU@O3nNg!WUV($MPbgP+}rX~+SOU}x0}z^~9}?}=<*75>)Xml`SK
zyh1oYc@6!$xAV9%KxKOXaTe-#Ut>KDvB^)W?(<&>7hM(?6pec3h~W$Xq(g!42Q!?2
zR0d_)HKg;fsh&z{y~B=Zy*gp6)+>ZgYP~n1yC7}j5RK}jw8exs4zX#c_F8W(5n%~p
ziByhbq3#s@1~iB2g`j)EX>$|e4%He?YlHo)c~`>96Za@h75$>d)?or?59sbOKGZIh
zdvP&8Ru{nBj{KnLk*@<ZAI7*hnx}L-*+oGnNqC$iZk`Da?Uq6I{dQ5<>y;f01osme
zO7WNFmf&li3flfW@2Cs>e&^!t{a9ZgXp?ZsD$Q^M7~&HZqcJ@c#(9`dRyJN?KV}i-
z3?>r<QCd{a`_si!%J%$yn}c0(JYa{(E8$`3?r?6PPYTNR15G7}E}Am8>cj(jV;f7T
zC+3BLv)EgdV}<#yIh5wTZ4a!YwKMk=f1^i_r|A^CHOnMQ`Yna(+%=chqYf7E`vL&i
znv0x145y)1Nl)aR=HpF|1yT_4@}NuCcvmAy6IQHa<?9+pFr|}KX-QyEwG5>0vXZh9
z6_=P;d2EXJQeX){>@S;~eFc1^zENUNM?+okvRGC(a9Q{#BzBEgK~W(+EDY?hEsZpO
zyk?FuuoY<l!I1}FO!q+Qw|GJIqhSTkvWe0((Jp1~BJRfJe3_}&aGl`r*ZJVF%U+?9
zdeH_2`d%^kt9Z{#P=Mal^?Yv<FW;|r-KOOG!>`W|-1M(EP(&c>!6Eqa4H2u8;BDWx
zW&;n3(IFZTNXQ@pGYl9G-X7AE8@kBJrAncfD(mNIMOWYXKk|40ThvKl>0u{w)y(`w
z=Cm7$d-PUBK=|$?WH@ZmUYF>#baa$fV~zD84``Y*6~vE~xgzqv3PNO~rfw@$JhU5%
zRMvjSOK~b*0+$(ux<4FyT<TvT>(CUduS31`2=V_PJgoXt>i)0tfFgogvqone&nWOg
z$;mqg=il?qq^aGOJqtx}<{o#uVk0e>{G0hr^AS3?%ePsS(Hqi|R!vk}=vs9I9o^Qt
z+G3mBxpP0^P?GB4HVsFRF0U1Tb^=3yWMPK9NGpI|Z<xqVB}8^gx49J+B4GlW>5bO^
z2D_mBvBbn5R|cO@1QK5U!DdbRU}R)s#xDH2nC^`3n#%^+T#Q`pxx6&>Lu}fU+W15q
z3LE&x(Ua+TV7_hjejot`4IskzLHTmNT>PjN6_}Z)khW%g7YhwFa_EaE4Y92cIYDk<
z%<#<$U$Xb#G0Ta)qNw4TkGspPQXc&ccEktd3}Z_b04#B?%juFjSCjCRS2r6g@3Gz{
zs%dzfE$BTo^^U6#t?MhMuIPVOf%$I0`jzI0dNp}e7ia;1m1XFcW~dm(azvT#ZFMEY
zKyJ)^G=6Zsj6Kr6UEbCOZnj&=SSXg2PWq)0cKwdOakkMaa*ZQ@cl1jLdmiI;g(SH4
zMrykQYsB=s+M||$MX<pph;Btz2rgcX1XgSu0wD(u_m-_109MGP{M=tm7}@84Yw^Lv
z=WFtK2V1e;NYSgUBY*MLe@Wz71RamAic8f`C%ez-3aD+#i4xzq8;<HD;#`ssPR%5a
z1Ez@;ueu&h(X|FEGwQN+_ygV91RSk9-lE!OrB4@eH`ZElhx=<LC3Xg1*$8~+OzazT
z0|x^BCb<%G!MynhZB3b^j(sY7{gQ!SE1{Z*a>ykTcobXt(DigACJ6S92r4oT{;Oly
zu5TDfxf$7l3sT@aN@U$P&aazdNv5NFl2=d@>Zz(bBM}`Iud>{Urwj{=wcDmXPrnqf
za&pT-Gsb3SzJp0L6t=(My#M_;Nn@H!b(VlMvo^I%>44`qZjQp?Rix+k3KI7+DraKn
z<Nqs=hU?Vz#q1$+W7bSQ(Fo!CK@{fM{;=WI2MjS7p*N2;4v>e=YHR80Ss|X!)KSgI
zZmqgGLJCQKG4z19o2)U9!6*&V=olq8Jd|q-CUCpAZd|;Mh2o$9P(qSpwSyvDau(Vx
zXuA~%Pq2j-tXi_f{3qe#f=7_rE2G1PdEA&+R&f==n5ilA_p8P0)7aoml#|+%P|b7E
z8nfF2gba@@aaQK3xByQ9PBro_a^lV%Ckc(AQ$@)z4E=uD`h)1;+~W+KzkN*u$kuwC
zEnAoLlO@qO#rnfN#Q=<8O}L5v6j^|e+Fd^X<AGmHd-Pu*L(8~x>fL`PfYom*kjuJe
zK57eqk`2$@A9Sn@l|icEpnwh>Qim3qOqu{dAdCyaY)0QrDb6~C3;dIZ)*2@ga<)C+
zSruA>jr2V~Tl${#`cX0??OiRts8@IXp}G#^Ewi>BqZ4-TIsD-p(i>R6rf;GyT{VcK
zEvJxD2aS`%-Rb7Vp)AQQ^;_8$xqYn0p$FX2>4nu;wJ1oir?ub5&y(aHUiYOl0$r8J
zx#39W^&Y%P;%@!~$5+(LW<jNAGnL89fgK_PG!!R~cNT!yStByg?K19{3N*y!x`Z5B
zq2n_~ZfC>Jv2AbUI9P<j=hvYZ`%%9cNYv<{CDiZ#wt8zyjKKIsuwQC4=9L$=G{iA9
z@9nEu8bp<pDzg|IS#Uk;?j>L^I{_<(p_sQdm<d+f2KI`iJAv9je;#ubKez&`(SE5U
zn`=gLf!TOqYeP<a)i{<)6&5Dm-DdfqjyDWRPNo!o3ubUW!|+qmW93aNpA0l6JnY)Z
z3kGz0V)($3krS5LfjPp96|2fhHi#XM=3+Szhe%$}oam;SAH!H-zPeETcs4_g;#BNj
z)b06x>Rk+KTFUxfZ4oXqj{2*#Pb>NAOk~p2w67(#XS#|O;tLJAdt*6?B^a2h&g@e%
z%eUY<nFg!vTt#Vr8V)T`#5QE*0%uI?7Rh=^z-P+h$`J^S8_~6H`&~|bg+GZy_eD36
z@Q_7Zq}~jkBwZQaruZ0(b<HqoY41w5{KiF>b1*F>P90M!>C>d1xE4A+4tjgG<bng2
zzQJXYUgZ8W+UoyH4JN(gx)LUwy_&j&A?l_F@5});#8hxbWS-}Vw4z-T%ATn^j<82{
z0|^Z&A9IO#TqQnnSmjk|A&L$9qo$-);*PXaL<J0t>3751(niO%2@Ge-ti5^=>B&BE
zdbX350$)V7h><3XRO!2qXxvE;du}m#;P^Q@`arLn!BCn-R{S(|JXN-MZnGKNo|Otr
zL5rrkGl}&0Hu1)dIY9*CrwHRCVA5+*p38ITvR<80vlD8Xuy|xugk-~3o5T+2dIhuj
z;$&&B>0>48nCod<$r)?>2+L+IzF54t<KrAZe*LuFL(obl-!RKFdHa#eEc&BPJPYGq
zGlq9WS(MmsFZ+c=cWqib9e7j=P>LiOJ);OWlUoJ11>{P~(c@VuKQ`sI#i?)W`L<qo
zs8)I}G%z5d!3fNMG3N~lGw=1SiqHC85%uY#%Fq_s4!X2$zqb7LE8#47)~gj2DGB|h
zLVXAa_=81jq_o;2-86^9g9BC^$#J)NWfF>i#`~dF<=ZA2Wc9(Z@es_XW4sJUaPU=J
z1;V80VE$1<PH!)PzwdPAXiV#;k((-`7zxEntcwMt(=`H*dAaRk+YSLkk&sy)XsbAL
za7G7^n~fZp-(u2Pj@AP_b<M8QP@I#wQ~vD`BRj6=HRLty?%k9Yixb}mqmXl<11_w9
zf8#Xg0M<j!#1%TqVuhDlKMGxSJD7ANHu1kEokN;s!*7uEoUhmCGTuX?7qSOP_p%fq
zgs0_u*Kc9h%xUj41xCgm@jqtfHuzqH$Z0rP)B7|@X@QDCXC5q&rw>%8GS_QA&<~MJ
zork(0)GO!Mqx}Qj2IHG*HYlR^k*X3HEmFHEO!=t66+-zMJnSRep)~oRA^jYR-QX-B
zACjS}Izya#bdOViH-ZJr<7IU`i5<@5Xt}bbFHfr?Vz~c<hq@tj9N9A}UC7sDvZ))I
z5r?q%@ctBV&vRtwSnG@3SL@jsm}v(Bu@LkN^vO_j<w!Hs*s-^Q*+&gc`|&HqyNGQl
zZyr@!mp1iAA4Z~PM*7D6RwcLhO4+^EVW-MLEZoR#<v{qmIR7LKhp^GEi3vvn&bCip
z;(V996^O6fyHedLF~JO;$nWl;HfA;)SB;D<VZTKsoA@wi@D$XTxla2>!wUQL*=g<a
z?u%>XD8oCO8>1;87FJSm_ep?|vz=n{-n8fcMA2MzwG8<e_CjTeKN59Ja=wjT3T{P!
zVd6Q@rOmrH2Ve(G_}7EcJ-I4Rxn<a3^c`V-&V~L~W-cP{9Nrb*!|^c}@8;%&g0wAZ
zp1PvYdBgoGo^|)>D6(Ck+uEYqrx8TWNVr;S943CR-IL-_K>HqoZAZAA=$4czH;&mM
zrlswdpUlDZLmWvB;$jY;3y^EfEZx1Hdj@Rv)!77^tx!14(lS4sL8K2M>Bw<9oTck|
zzIB*dsq$ktO_qP(@J=DLP%F8=e+C>v;f%7F2gw&kpckW|Gv6nmngoelkQ*|}oIT(#
zuur@w&e}y1|6EXV`M8--Lie1ReI=SwP=L;?h895^AP<*BDH;b?M`;`E9<grLk0h$2
zUT6($lNQf{)xP;mR`%J^k1>PWD!Xh}UOfdjF{9qXE?PpEN&WPH0JB{>YzIQu93FnE
z%85IeXfV#je4(;*3dozz1TU;J)iqHfbmmp#CWRhY&TCzG14Nn~z9?G=cOIy_qr4T|
z*5nF6v!R48$2LWLbW~+f?u7~Kgkn1xrg93pn*pdm`BdvqsnPA%2BDIGa^5}=flE|<
z#u;imm>NVvc?E?qSFC-pl&M^mck$lKa+gj7nr}8&K}+Mz4$3Yoi0m5AY^z_Zx1kOk
zu6fvLWZXcER!nD%KXAS@jTcG-S)WdNnx)3u3GSC)8wMfZk?1FqO=dl&YupAO0WX0d
zJaV^d4A}vQ1tB!c@rq(JLmC_h)3-NCv$~?mdxi-*^u<2X+z<PgkgmYhMM63c&f9Gs
zm{Nrum6XroYXMzNW=WQcjy*-WnBDqPKpf?D_^IMVS0P25o68G;IHGAoT{CFSr2D4@
zr<4dzfjgaPyaDy4S4?NdfS%f<KI{s4v?*f|0-`#lq}Rb~22<5ZU`a6hJ4(RWDh-l7
z&)W&OqEvwMWaTxgg}2(IjHG!0!5lWE`iZLp)H~S^NMe~QeSkP}pKaOL$cMEN9AY6U
zUJOn`Mau4}fcX7koNW&YF8nZWQj*-|($Ct@IPG_x7w2fxWYq5WvKqsQ_fTxqQ(bmY
zS4GWDe$X4yf1dQa5<bmIqn@Maf|@-jxViO-!A5A*DXQ$i3NdR68CQkoAElv;sbDd%
z&S3y3K1+=-#*pu@dUwz+UrJr`666t}#g?-?K-;Ha3*BZA%FYo6S2@v3N+RtdJkM-v
zbj>}HYz2nvwY;hO;k6tLN+d({WcYXA6RkA+?U4Sty{dFs@hMHCbRw91hWS`|v{^)i
zA)aq$eFG4@3a*rcZ6-^Z(j0nEca5Vas$0Ow7fP|!AxmHm5<O@01ubwf$df!xRq^tY
zz6crvK4~D8vg1UHhm4#a2iZ&O0KS5o-27<9CI;_e2)@%({3!%{;--KaF{oC&AU=&6
zS+OS-cL#8!OzRNIx=gGdNH_K$p6#+gl;F1ipr&F{9`g~U#4uHlqg}*$W16kaoDP-U
zU3+<-Hb(D<@+W=rf(B!s)gu-A*K5euG7KnwM9T3)^Q>`7!U#mLARkWoY0ncgVleUg
z4&;@{a;JSf?BQS@yfu?sIcyj9@Z)@jGVDA0<61^s$^t}!&lqS_n%*bTa&~DYTx*yd
zqhHq-F@8{279L+YDNp0Z@23wRpS*#Xdk+757XX)B&w@9RVO(p3i?WK5d<np9W4iQ^
z!Raqgr?V4%B9&*j(b~M2EOgzS?k=ybc0LFJeJdcElINEx)uDO0$O_bMKJgIi-E@KX
z2u+1h@TC+o0i8yZX>>k$l{q*bZv97M*XtkoeawB}VoK^UlRa%G`a9{K?@fPd548PQ
zaA|dDm`+EfWwH~b{W-X-m<ImClQAZqj4ISy!O=e67^qSKxD`k0luO-&p4pnKvLH>U
z{4UrI+}d}}r``Ii(_#w3ux^L`!g-?KpdA?1<0c0d_kQcw-v@a|BobzjLdY^Q+lGn%
z;whL#i0*k#zqENb!*dZLXPNXhsT;o_J8L1X<>T20u^+~u(xt&56G>MHSb{};UXZW7
zf{>G+BCi13A-WAVP%ci8Nz{%3Ol3P*Wffz=WWrK!yaUrej7vg4W*Sf^S{f<i+C$w9
z*Eax<d0nd;)aRQbUkC1MULrz6$7_|&>FT|98_%_{|NcjU1q+t<041%|3Fx41nB1!T
z!*>L4tp)+|wJZcdM9FzUnB4~?(c98OPU1nsVJF!0vOqh?;kU2Ta00~HK+&Hx-dg{^
z?VpIBe5F1qBx}eXxQo}&(`#RNK{*BuaR{(jyVi*wN=K)0Y_t?4&oc+@Dk7d?bxy0w
z=zYl4j#9_0+^NMOc1Bs9Q;zvU-;7Ezd!YFD2BSuNPAtT(tAXG8OdiG{Jp2e9PvHE@
zDrf&s_Va7SRlFA;APqi&r7JNMW}H{dz#ii_f66^ta5&b8%w#8f%uZ`C`@f(ixf&AZ
zBqk2SashPvxz++(N3e7YB<g@+ga^K_TDUj{{F?I3^6ZrQ!hEsZu6VaV<^-Ufj7ViL
z#&yy*shPDSq#*JpCoa4iwE`oxkCqj0uzzJrZv6c5xMx44&qUi|*Kyk#c@ceOB6TJM
zargh;lZKQIkS=<UfIW;YJ7>S2Uv2z1%O=sB06HiajnxXMyqkAiqzg=Yv0F>Fl*TBR
zg}>2xUa17oS4|%^$ybKnSH6Jc>k0$L7Px+A2Jc}1^!bl@)R9r|o%gR56vkxFK&MNS
zGRHFSV5k@gWWfEy@dkXlrN`Ikp%CT8Ew@=YblarPb8MkK6hjT3OmVF5?ynU|X>B^$
z&xi@0IE8VMHiO0e<gx;ju)zv%!Xdplw~;5vL*GdW@ZSoUZT8xuZKE)vUFJqPc4-9o
z^2}zIS4VkMZH138N8n^B#wBrv9w?Akh_xYMnk+tG+$S(wNL7-fNUXK<S0e2j9!&=v
z+s6OXuq($yc{rdXBltUOT07yXj%iHK(*`NU;m7<BWzrJ^EI<$vh<zpKPZRCD#W*kv
zvf#no(xr=DkG1Aynz1`GNWzzsQj|kJ&7E`uVG*wvO4FE_bRU525|StxF1T^zv4vm{
zT_=xIX&OgkaNweJpna)dgg>_}O0Ylr*#86)knV!F*{lxulLd8n`yLaC`FnO3JZJUp
zgR~fU%wW=au*Wy>;h0%!`Ug@h=1q#2(Yp1}-UXS%#lln{t81<~=ZE^nQT!$~;Peq3
z)Lf=Or@OV!zpXxy1O52(pDD6d9pi9`GPGrJ?lOd~jggnnkGK1Cn?Ez1$f*V^577LY
z%U6@w7dU#QtC&V4DhvsWDZ#ZxOCH7ftWt|BsNxC`*-)ME3M>II^#K9v^1I8t_DC3|
zTU5Uv9Xt3j$8g^u*twIw2HfiK0{vUabw?4f>Y_Ecw{pDYIqZ=JEx&JV97U;5EM5u!
zmz3wbF{*mDl4l)FJSNJ`WQJnyd4+NXZFGoLFhUG}Y_tXhpg8*wA4+3_Ro<yph>HSI
z&$>tIsd_{Mt&;!)W+Jl2#M#RvD?}uN#`%3vH}{XC<}nn8e(t!3G$ppTkNS)YyCdeG
zz$WF5IlS<IW!EQ3n5zwJ>uF}U>V2DtNYbFP*^W{1Alt1OkRBRUor=~Mz@|AA*w*xS
zZBC<!x!9G+@C?zIgjzI?@}vHQdMbZ)YUf424sJ2(URxJ)y~+=}U@uwlu@&llG|3dK
zS1zD{2a3ddr@gLp(+g{rBIoRz<Dn|~1Yp1V`4WmvO|8CzhYwWgZ{X%GlpZ|D#eRyz
zEYRzt>3y}LhkRWexMqre>a<b&aPy{IRr_<1kgEx2T;T+wqU}6zKbha8tJqmtG(RX#
zFpcZYkL>06?gTjl9axWHFG0A8sJf@q*5%)}?=$sP5yJbryZB<nzng#DXUBPvb2BfR
z6Z^sxsGJBprI^?fHq4GK9wD}GBE6dFf+sB44*#*LQtt(;s38j-)^}!GuJ!wnvdGei
zEe@=X<PBT0<)n$hT(yd&j^)UVM+get4_!W1ib*3+qR|S2OA@fgqE<UTYy65G{b+c^
zL~G9!6hDDY%*SYGh>n=fPGE&*z4Zk9y;phMG7{>T==}^?RG9;!M6zsT(ANJi+R4kQ
zLB<V$QL?Bna0%IhrFA~(Evr1Dbv4kdk{qEcX}_=yivH|c89{V(6F*}wwM-G_nWeOb
zUaaB`_;lGJd0<j<czKI7_7l9t>{2XHxhKcHtEv@pao7|$s88&M=whcTq~5rRPTp)>
zwXe4giwE!3{yXbmK{K_i(`Ah3){F>ju8>?;-fdQUK$(SV(H11I1GUHYB~_T=4&U3d
zKlH3(GSOi0mz;(HK&u}pGQ1)^ajfJ8EzcxyW4=Jcm_bEc)l7_)cpxq|UwrnjanA;~
z9$6<;f`mzhHq=i<1c<#dl9zpZB-8Rz6f@`{SThK_glCF8r~oe+-QVO^SSS<*l`23v
zX-rwvNXt#ER=101=``VH0llOl@blI&9@3OiEkg+I%}e|Gpi#Wc_-#@Cr)i_%qox&!
z0ZoTLfy<|-3o%Y<Z*RAB&3)JKTpqx-=<arBJBzdGG5Tb*KK0^YUnb2aVlK!9C`mu2
zT{zfm^)tMLJajL)<N1k{HOqy<+L%$Dfg9t5OXFDI*O+vX3Lde{3T{H25th2Q6lZ`k
z(~Nkn+)vu9S&i!L`xzow`J!S-CXn_^u<iv(XUSIkpM(Mg1s{S8)<#r)1N}w-_z?uf
z<dSCa9|F;9@AniE`d$O6^*5*To<5*JBTyLO>;|yo_#5XYYK>l8*@mRB5qBb6_)iBE
zXmBO5xzakvi^(;jvZT|!b*HioM=c_PNbb3LXw##HP1}Y;xn@)vU~jwGc2>V(eUH-o
zB{tG!lvZZZ_r=1eB}SD_USXyXn3P9vzUmZ<%-!bqwkuiU4dKi@+v7c4=};>qcP$aC
zqH*<`<f*4>tE~76ZPCeUEnxnNeD%C?(hqQle{9ES2D}S>2V*$C=R)f_QUwStVz1k;
zSxXhH_ibJZ@9!kLmc#f{3lsxyk6au0sqCHxMM|n2nNNmid9dmf{|v7)03!{)`}y-D
zQJDCAH=(uiqrmg>aASF;1kX0r|5?$U4nv{IV9=3m$|<52S(-^DKzoDy*u(cnD4%6*
zuM6P*g>(#P1ho3&wN=e_k43!Q*0m5?*!Xmwr?|flpiYq~&>fKC8QMEc|5dK|KsCo+
zWq}OK(Skqux&V^D(@k4=^KNK-S4v@%6vobm!8d;1USpRvz5g<1ysY6S406@3fY5M{
zK&(yp$628vG1K)dyNtseiUB9x<@+rr-}-OkZ+t4bpD*}(OLrTWk(?@<tUIk~TB||;
zgWSWMzx6(!7a~TlW4coUX5<NuP-+;|(~Ptwvr1|IH*5k_K~dD1MKWaW{veHU;0PA{
zY3Zcocc*JD5WdbAtX>1ddDq%ij6^FeW2bjM3Oj>XD6J-C6Jpi+0jt1NInl$bQfKbA
zWMNh{8{ekjb<RIkym|<~DZ2iCdI4D>!W+u7^+Tx0`{dGYLdRrW%_f>#IJ#-z$*{bk
z(f{1sgXzmG#Sx&41G~wq@fr)JX3alxF%qZ{VvQeWt2Jwf6$->tmXp7<Z>$weL71Rz
z3=uwE9C#b|`6wMOBr^%<TzSXT<4ii|fU7rd#%S^mJ&C>~KSST3?~&Oh*4|P1lgu{`
z2pIa%kRFaN4&A^47)jY#d3KUQdLe0gr^Bb=43OoR(H*N%IOQGhY;3`Lpg}muIk`mA
zTZ@c9U?czhS+5ybzJ_97b$D*CW4&CpRbDEsf%#1OgJ?njT#8YHVM%#Ff!oRluh-U2
z%L`>%c=-?eC0mL9;prD7gs!R10G5Td37WOYF|?X1X_1w^kxZ$``VVlIL<`W#BX8_t
z8X+rrK7PCO>zA8SK7+3oB14XMinYu+wl_5NuBPrz#@`^G@y3L~j(C|^1NzqjoR}c$
zo!JNiy?ysX2L+2cry2F=HmsEOlDub3Spx$lzH<*VkQkGtk%xY}eN&JL=rXvmX&cED
zxU%M-rm?pCp0s!JZ0CHh`9}r|bS+5)KVq5HDF?(w*Z`1vhc+bc!&?%jH_3O~GaZ&1
zq39l9w%C-kLj0{{!&^`gJFHOunqW5Ap^}OfJXp60EadM(CV1zhW!U&2LVnn1K_;TJ
zp=%(2Q5sJj`c&4iEh&%cH9v9NXwXCkQZI#ZzN0XBOD&ni<^nZq=k2$Nx6jR_HG~OY
z3lB8qt+GDTrL#E2u6C9fw4_ryCINjHFHe!Pu9UjH9F5LGb?NOlE`gk<M8j~N9;&|+
z-Qqg_xDkTf`MT|!>n}02_(erMKPMT(C}7t(wM-hWOfi3fFH-Ve8gPIuOJ5v(NHx;u
zN%!7mXvTb>&6xfmV<-j2rEp&U0SATBX|F72&{fm$eyk*IbAw0u$XI^~A(iqQ=jN(E
zkZjP|QNJ!{tmK%QAS@|MoNOVWHI`S8{HOvABMP!x9cm7@bgxrByhqW(-i7JlUlJDU
zPw|_$2~5CMqI+0)-o8rDZHa8#ai9wIT+k7I@Er1C45&ye&5;gq8Ahz<<o2ff%K(pu
z$j7QlJgi>#!5vhbWUw;+GGWxW+uI=;flqK&E9H2}RP&+`O-4%JQ_1V2V4)F@Dgj!z
zrmbFLyTCzg*7FYej)ePwjZmbg0l_Mwp}40EQFICD#Q(b~Q5%Q$U3y=qFl3zb9<Kv2
z0^pTdxClfe6Tq|N0f-{abhlb{T0rq(cNx7!qg9V)%a(2?aMPD-OZYT0Tprn`F7B&1
zNdaE~p%Ug@IA&MUZDzI*-l-CM#lk2Nc#~Hn1e{c-5Rt*%Q2NloLRmmJ8w1l%Ok~GK
zzO(&Kxp&GiWdh`dqimJwvQ<x#VC<44q=P865~ax}@GU01u4HjzO}N1;GJCFx33mm+
z1bD^~j#CzGU9L-H9EF#`qb?Xx<}<uQrM0CvCjG|Dsywbw7r-ZtkLv<eAwcJ#!`{^a
z8~h>2HOLb^HfC{Jv0@DI&kQ*=$9dS$;-yTm9;C?7yFws}c7kVgDP<-<YOZ-*p8<XP
zP5Ws9TG+gq;qK3oVWZh52*@)bZ<N3avCi1But}7H2l2u9L~4SedjUndjy|6mBgtD}
zY0AJj6W+_sZA66!Ls$g9>?2R(XtL<6Z_g&E5zTNG`jh9}{dztieW(ybjA|<mc7;g!
zIDCk_2EPb=yUKM@9uMwT^ATdZs3>h#XNyNGYm<^pzuwSts{ZAHL?q?aXT_0uJBBml
zy4|UI%?$P2#Ux*fx*Y3lWd}qI<XEvh0)Lgr0b}omCyO*J^Q1Fs)9X)5dG67NxS{T!
z|0mPSF9ajZ|01QF6ayTNO0&^6%y#`ks+P$tOVSaB3d1W%SFA`Rl>}1>%xQaJk)#7A
zS@7K~BYufc$gpxJB+)gsi#Cll7r_1jrPuf`2%rxt;NdCZ!g<*%%i&t3%)hX7b8v}n
zDB0taDydPqRD+7U!baA!`)j1DlFUAtaROO8W2T81cL9E^`7ge-h2Ygh!NWTdF7Y5u
zw#LzyA(F)^N7iPcm+yDpwKI8C+)|)=x=le=Oe7rs5tl}0N+;(|7?fy%?O2Ct+(2Bn
ziN%k$DopC}%?PNX^7TraY5n^$4jkkI*%_JGp8l%;$qdL~DdAyROA->!slJJAm3{V(
z^`JX$o7;qUHu<#T#-Ui!Q&p68TuMk|o&J;hTn~$p=3<;RgiJ3gppw?@ZvIo-r%xh%
z3sR;kEx9P%0U$)li2bP0yJ%j`q@TI-h*rg2R;nuv`~E&?&z;}e8Y2DgXbVkUC#v~R
zkJ2;guY${f4VkB}5~K>b6UoYjWxfPmnR#JXnlK$7w0~q2b%9S%vQ@4SI3N0ClVq&S
zaw=*v5<;Q32w07n9qAbq02s#EAh3l8UK;i3Y-=BtPEVJX4#YyBOHe6?@zx8G?${E}
z0+*O(<fJC~yW62vFBjuFG8V4k6-mW5WY^$F8)Cm;c{dOeHBb)FKc71ip*F>8nqrO9
z(!=o2seN4b4P#qTn*cS0(9|;G=3&w-Lz7jnLZ<C*Owwc+;NW>Ai@sPf(NR3b8|vL)
z!z<_ztNXE29%Ybsxup&I=n!K{GF<;x!f+Qxco(AWsus&e{&4}W<$dMPo~Lw}Jx|gV
z?^A;&XZaoe?e37OdqMmh15ji-dnud%0s~Sr?8m{PH)Y@v1QGxzPpyMLMI2R&%Sk>*
z1bp%2<d&e#aXVjEnx@I~2YFn$k@Gb~t`md)FU3&qk*_HVD7>VC$yb($J6Rev4glbc
zovS^82Z896>ZVv3n>sjNTxpKq8v7JHYGd9i<w<D?ZC+uha7CAA(L9$4vlY#D_nHIn
zLx@36lFp{P$c_MxvmqJzUl?mfeX5RP%GzT4V6p0=SRI%Ae2OTNU!qJB-Z{-G981C4
zbI%YZF>`dybdSOZ5=cHb5fB;Yn|E*&i=CgY%PDaL5bCdRiRLF3#WQ#9hIn_Euz3N;
zd&bafLPV!>-v77I3{_6^78&1cOIKnU1z<1&(1;D|E7<{4(*R5SkHyu0i7nU~O3j`S
zm(FD;W4T&b9lF$SZl>zw<10stfs;}(y<}Z9wQQ$OT?DFbgAyf?DBhv_72OU$DHaTL
zTcCAyJgRZ(Nm#XJ?`fkXg@9!mlic=B!H5oF0uYap2)F<h(*)}fn^2L=9i(A6SZ3)c
z@Ltb|;f>+d`DV;3&iqFeYGL2Lk6v)3avs5kR+(Wa_(z`(JV|@9>;eXi8->bIw`SSh
z5gXRSM|wA~sP@7{^cUKNI;D=PD`sfL>Nnvhw7RK<MkC0o(P<5zH+I_qf8SK?fpkol
zVz{z5O7b*0tB|ok#J=(GRV`E0qcNqi8H$aTIQZ^kg}(jxG%U*naR{Ls)o-x1)Z~5!
zy|}8ST{%s2_9AJ`Z#o?XdF?*s5BG&hgNV@1R*Tl$TqJRIurZCZhYe%SJyOSq&*`x4
z^|~m`={d1Ul(r~YL_iG(t*Z{}Vyz`gMxg1IF17ZV_P=7L?d_OAR@%|o1Or?Amt&kx
zZKK!zxT<2LSi=Y;wsGA0og&PGwLkbhWF8{&U6J=DN@xE;G0u}OorXp3FBLAch7BbT
zrdm)fEb!*Lp<X^@X(c~a5lcQ|BBhd7Fe6HNn$4vYs2e7tZ4|W@Sb0kOJT8YDzzV8L
nIi;YoH40fRsA+=&wHUC>r9)<&?3$MdIRVXoM+Cb~WQ}}J!2G^g

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl.v
new file mode 100644
index 0000000000000000000000000000000000000000..91ab622fe6f6e57fd278440210b0eaf2678876fe
GIT binary patch
literal 19976
zcmV(hK={9l6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9N!<f}rO2wz$taSPwAi#SO|
zIb|MN1RpvlqYh#~gN%Bkl{$Zo(-+gTLw%HO0-7S{Nu7eoPo)s2%vpf{9hFE!w#N^}
zXMKzN!bb<%D;>~=T}Lli-U=9e=cLUN-5yW;b@tMA;ZMa4MnK~RPgMb^*>B4Mj{xfP
zuw;y}k%TpzNy8D29ao2&1Y(q}cvJ;cJ&R{(O+3zoMg9~qnW6^a#VR>O6q~5yj4=XE
z)j;Lg^K{h4mW8P`38Gg*Jw%}<CRwAVZv-}?;zs#i6oqoPXF$e|Zr3F2-o`?!3l#-#
zQ;BkRuiHG7UUNVx<8hx`<=-tMPsXJDf8#<7%bqX_>vwKLTzGmHgVEjGJ@c*1%WtE^
z!l=@v4Qlh5a>QA22gLsXvw5zQjLHi)pAep3)E5x(W(&Lf4KUfqXNE<%4#J!*ai*(1
z3$+FFE+--Hnlt@<vmZaJI5gGuxA8IkTz<~7;v8Od^ES{EGfVnxi9)F&bLv^Z&7Q?=
z1inzx{YDr$^b+TPCJidsF>?9tXsrw#u8EG8=vuvG;L`CJUW<fp0Ghi+AGAm0!R$rC
z4k{13cK0J4NoHoL>y{l#>*7?_ZlP@B@7{tPV`(R|IGau@<fe>B68r<wL7y<r0phP1
zD>><CRz-FA2QU2Ix5JZAmLjG`RY@97f;R<N&tI_co*dPX84B#Jp;TabwL<+MAfu~L
zjVce^oo7XSDq@Ze%^ehL>EyNEpI=H5DbA~HDOL(>l<%rmUP2LmxGe6?K$BpsEs!Hi
zC{~qqb%>k(@f0E@oV-cwE{(WTO~$<=@=cuUpsmy%(kgUCLR7CpX=2vvMFEu0zZDl@
zEN1wnWgMJklmu4QsD(8dd3!7*Nf(g&YI9T)Lyl#kq?yoA_33F<>Q^dqIcszO0Kdap
zzmjU@xYt({W~OZ9EtPkI2<4A`R7Q|<S62*%sV!BefnjopQ~WNk7*1%K0vH+Pb!knB
zOk=?mxu?s@&q-u1a>lK}%N*0b%Us`ym`ENFEW#W;nFb0&$gl12<v`c#BOy-x>CLcz
zq4z>|)WEhFp7GA@&<F3Hj{A|?J~d<p*QnoS8~V)SmYsooWA`BP@YxHdwq@_S(59lN
z*`thuwp&vGiMYEcN55FcRObRboXhp%co>Xmae`wZm33C2Kcgh;;(`NQP?}x_%U0{P
zMK><t@*47TTDMqnsZ9MWE=6^0fhqxa4dTxl>a3K+K19Cd<~@2ASC8o591E3+p9t+*
zNoJ$qR%T3{;)rjwo2Jn~<K^Kuz!cZ@j75ox&+X@_gSg>@aYY}1x<LFy?iO@{v8xKM
z(MNEXa%Fe1_G-4xjMT?23c_xnhWL#IbSZO62s}7qCBYcuGRZ_^<+Hg}Lv$*}6{}7P
zsOMhvOV*LPre8!i#X466Ecmn&@0f*(TY#C;wvIUI%eTJzn0qL&7>ra@6l)bcVby0E
zRnwh{3asTu{nXy9VeJ`RfU>evt|`Az4ykeY_Jhy#NDU`D`felP?JqT3-SvCxwHQiP
zH=qp)mSxKX?$IX8=We!Ap(<5V=;)E{^&>zGrdQ50z#ki{8Il5(5)0*^jO%qDSNzkf
zyLuE8DxssMlX5M;w{7JKBM^aN8N<Y`2Tj3`p6O^LguM21odQ1`2oAU>0xdP|9;L>G
znkqYXStZ0&&IhttdC}Ae0wJQtzK0=_oaF;y=x;vdz6Bc})KhJ%IuF34-1Uidl+=Un
z2-}XmLZ0Xj8a?k)TCBkxw=sUNXJzU*7>7%ko%Cm#(gx16UbJI2-hYy$5+e75^a6yE
zxnRs>ja~*3o0jPPS9i0RD=FIt`4B|esk@<DgNT~~w`^M-365~r6$dD}d&~*7B1c<<
zq`5+9hWM%^>o8})$b?upz=MjD#9G~umxtwjB`Fp3$;Nb7jr)ob6#+VK3PdNZzT&ny
z7x(<}#*dkm&eZ@*NAjFgK|p>#xkzj3XfB;1qyY7Kn0K00DOI-^SqUrS(RHE%(I912
z`IAaV)-!bL9G7o^7yd}>Hzwdd;6)LIPs&B{*eXn+s^R|vqu)s=QV^6@^`*RvVv>7Z
zw@b|{fOkK-;{Ys+)FZuX{kP^ZHdjPO%FO7i^t@+G{6<YMOZ9@gwL4ItTUL4}nvG!k
zx*tfpHt{20L8e$rz+_K#v)_CCAcGSU2xGM?J*YZUh?HOKXxv+T@?%+dUs5Ly_-6p}
z;6P})g~6F0ZWXkb{N7KbKli&61lBJyb~U~L%`c1)C14l{-jv#fy3gJz`i_Q#VRE{j
zW<`6VPnB*UHAX(#ml(T0CHu-pMY(i}pOoZjAA*#VN>^&Txs!k8^$GtIa^`gQK|)Ao
zhu2t?DuR5^LhTNyray)ssVLwleOj15=6J<LbBoN1bR**JK0RTYf9FKuS4R8}ZS>%q
zCia2tx$G@|2?WB^iBsq+_OYd1?wohU^a@MfQBLP=M{f~ziPC{*>KW+B9M-TSkG9rp
z?iBHF4TJOP527Dofg9In-h0*IIHI-D8+1^Va*46BN%q)cljRhmfCY&3kB%EuDBZ#%
zrMZrsv_pi>hhdQhO3&2oAi2{QqfrkU-)Bzkz#m74OMD763_K9WaxvPfTY{OGHNYo~
zow1ebSS*`Vkt0_z0Yjy7cfJ&s6L4U0o&R`8+2<#&8^oB}ERtFT$6IZ>mu!y-<nD;$
z&nu+jjGcO+!}~Kl?6yK6{Gqxa#n<*~q)A3lQsdp8*Ky?3?4@09b%3l3CXS~ZWMik$
z(X_x)J)sN8L>Rq^cjavHT56m~;;=c{!0n#cUr5z6wM^DFAi5v%c~jZkiLlBAQqd1T
z<|)XMc+&?1^rL0Y;uKD2c2H=LyESTs0KI?Md(th+(O1{%q=}D<BJ^PQ#0AFtc2<{K
z7doM~>muYHe_Aj3a<kstyWg@|Dkn^wNJZ2o$i=e+I~jUQs=Zm)dHbhE{?fh@EW_|)
zLD6!X2N7IeLd%;XOGtXD&z-ccg?A?!3qUY5K>^H%*S+lN{BXnpaB(RThApTXf-(ia
z>-%KVZk7*$&8``b$XX+1Kbmrh(zR|2!$HwCs@|QjpPTCOu2Hj~f*UM*;Us2TidHQP
zahc9qTNS7+HJ;OW4~18x6m=y+Yr?QM6Jd4F_DGkOD?2I!D?gZA{nt^u4P+`h_C8tf
z)H&}C5Pf@-EjgTka{GXqTpA??Uq|+<HW74-;&8&Ve*S~&U^%RSrmR2q0b^=o3S6;{
zzU9_jvq^9O^J0?a-6FvDgZks|9gLugLhH~{G2rI+ObxUFtOK)@TN{n04=_PckOPNO
zFg2(udXKHHViH%DZWs^4-$uuA8t@Oc;ko(I#Jw5<K2c5A<)bn2Ma};eh@EVGEiilP
zdsHcU6VqAKCL#~8u6__KQ)Ii}-nnk_W#ubWy^=SsmaU!6S8@?L+NR{<SpmTX9I9^L
z&Kr_FSi9R92sEW(2%Iw0>*WZMN0nO9%U#K<RxEzT{MoO$ggLf@{am0PJ}6n*tqz>K
zEBn_aD46!C#t8TjgDpF<7kZkM>@Hz}?yhTR+GoK+?r$*C;=9i$TLD0MB_!t`L2_Nj
zJ_6EKceVypN;Uh0!ho}1MG)sKKT_%Aw>aKNy<qJ~PrdHSf0%bmqWl4KH=~(tt0;@F
zWYdg7^1Rb*{TR<R0~-?p6)Y#`*x?4{f9P{_Vq3S0(W@In8PpZ`>rVo@m$#WF?72Pc
zUr%G7++oj5x$I$MDdWLBY+Re4bSzUZDahPM`%Mvi+3O_dGe>Hjf~;#iK<r3#f$y;y
znUB89p;y+5mSjCb1PFnIhZv@f_G{551$$75{k`s6FX7xKQH5ES{p~Tlc<2Gwa;gm=
zPT8mq3rdaFzn}z8zmI>j#!i0*<!0TI9&#zWpT-*zK6&8QP@DR#lWp;po6N2lM8JEH
zB>(Swv{g@H_*-tf5k$lU!YVUP#_?G^_#4al%34RM_9%B(m1RF|IG?HHFm&k-$P5@J
zuO)*_TY(5Y1UUS?Vnj2E(*|?!F%=l8>BVVOo`;Fo7*O7LV1f>kno(?5DAx((!O!D$
zyrLWdmE$dD{|xD=d_~^AW*x36IDnfQw>SDaf+oip`ew>d=2f9itgXw&XS|nM*s|(m
zT9AOQSopmx1o#k$&&+8yE=iwtwDbh>&K4vyX8$|z7L%7=v!M$+;>!C)&cutv4I+Sm
zXI1ZXFL<P=EE5TTQyh|A=X%$w+^5+p^^afpvn9F_JaHZN6|jVY(qBu-TzAbiB6fm4
z%p!_C+}yKr`))T{nh<YWeMJiS5_mU6`!hS(u+XfIZO^2LEx1u2U!rLScaKD&P?<@9
z;fd}8iqlon>t<i0_#>`Yom|-_4(n@Hn-!#*bkjlf{}s$S>@T9eFb?c>iH$vwwX4x=
z><iA5o@GWBG2+TCo=pI<<{?gCt>UUu{WS4NM|ND#sooQ1q8$@9Xv|wO<*YRNy%`pE
z#UGC*dTe*-Tdd!8W5c3GhZ1F4R+(aT1LNuqHLt{ODy1aGFVx}a1&5-wW5w|G3N+SV
zDSo|3f4@jA)46>a-Q%W+txj}uL>_lCyNEVHRf<u&_`Mlk$#TbK5C20&3#Exd7>@)d
zwp0*o|I>^5Ky|M>tMo;6tpkxOdDM|c`6+q)#i0Vt4tDq~S8jZ`_E-D}ZK+d}|IoDj
z>hH_E$sDRR6ASFp*C=pjl7X8#6lWEbK<~O<g8e6m%F3QP>#fa@zIu&RTzQcW3$+!j
z?HXuDvuWCtGr3jy68G-QPxw5;VV=wsf$99=Y1-)TydhIajXUK1g|kpxidj-y^$0vQ
zQq8_G*R~#H<Ixw<5s}N5`xs@mhM_v7+fArGlCBr0(3Nl!arQcAwey(aHV77nWSkQ=
z88N;dD0b<aqNlt;fw!+v46`=r^uh3c!1y;h8~B|5;N4o;o`dk&So)_3a#U&oBm7oA
zzddtH4vo7>VDz$+>jB~%=n<RL0*152iV*OX&s+DH7{1PO0doU4M0@=u3`O?=s;Ko8
zqikKUqh56M3o-V*UDK3oV*F9*l<J6cBzDk*fY7eLNR%0v7TxMCeWUIRIvH04<ebXj
z&H2@{sz32zic^9dx*O9eaOV8s{7@}z;X`|kihIvwl62M=HwI#jvt$IGenfXn1+u`1
z0|zHEOwnM9YKyhgwfsSkwmL)ANm@uz+y4IR#pC`5wj2g@6G@iC0zabf^&uxshyCM>
zj~&rWX*+Qq%e9`RUA$QQ;F}kl%<?Dz!{u3UedBx2O<#2RZq|E~t73Lfa=0QE&{q0N
zoGnh#s2GkmSu%61W0I4-!sug9t)A}uC`cZ9%rrTW(Qiq&YtK*9uB7rxX572igXV2Q
z*{d6POt`5|8I~zUCy8!=EyF2fxQ(*=yg3D;RSc;>h+3`q49-idhen|nLZCA^Tn}8+
zKVbc5>W%dD>UPx|4%&pX>14jiTz|lLB8B9r+kGR4m69GuadM%Bxn2?}Esdfb3XgNd
z958y0LpbTbxfj!Hvy*z5Q{Q|9{Vk`x8=0MUhS_sCFCuaSKSd~MvR3hpkw|>dq9Mo5
zN??}_VMdXW8yFTUV`g<wt6IlFy%4M~?I<IKCOQSg=8~=0yb7J>Ua3=b1Di_6$3GuL
zg&@8Zc#g~=r&Fx+-Ale9^!|eflvCu#?wTKAL?piQi*2;TJJ_eSHQp7bxtUh4KYDg;
zO^qE^Yhl?VSVXT^ky{4m^!VJZHb@}dJVTuS<-$lvsm<J<lDJwB6sv&|ti7L4>`JvA
zH=tfik-|_({-lL2H>8VNsEiOx`ibEQlw_9S$T{;SM->d^wKjZSm!bGubV7YgwE>X)
zv$UD@Cfo>c2RjS5#mlV}hB~#!4{|_jfchd*;N?1M$;v#CuA293lW8NATMKl*napwX
zVED^w5q%*W^K(yvZ^AB=Lhh``aA}_S;iV2x6J5J*ANTi?xXvsIURtPwwd898AP-j`
zTU_QG3eG@)(p}%IR4RRZqpoRm(5=*B8)sEgNfO=daOMrCo=mZv1SptuGLUA{rb?5^
z<Y!_9yV#e_hm7j3xvmt8|GQf&xW(TAfNL)@@WxRV_(=ZHDAVSWbTSb3YZb&z@6qeS
zA4;=XavWB0Q7L=wWqha^8a7lM-OOLqF?qH5H}$~?Mt#S3q|8v6kLdnhMW$wk(_VFa
zrWC-ZdL8za_$yr<2O)Y3*Aqto&y2UJy_=6bMBEQ5opHxX#bZ+Cn(REIN!7$$81D!r
zWfHWp8v`(Ctam4{RPGywI5U@_6leFCx~ES#F9pC|p~3laO#pJe7Wp!^AP=-vqi(L@
zMs#ZeXYKM$>EpKd6GX+W&2uKuAoHxtdCpG0#2JcmpJH&(oV$rpb?Z;tQkh=T{6*)n
zKL9O%y8H?#wp`S;mtH_rMX6c}Z_Fco4wa-yeHIhkP#-v7H6Y<?2Po)~*tOX>7?)y@
zBXrw))-79Vt5gR&*Fd%{C$ne$ePIxcgzri?hUUN*m&w<7-XBl<wUD?ICr+(4HQwll
zO>|t!yjaKW$}j9)=^?@g$O}duRfQE}zeU#}?|=}jpbU+~huVKnVy!n#Bt?;?{JvQ=
ziVzcry#vG}MM(k`A+lM}Val0*NpZ)gGlq2GTV<PjOpb#6*ES4GTkX@lUtE(N5l#p_
zda=E4L_&cGo^h$cB-a7Id}x3=#k}LyQv2v*9E{&8T*%r>d$8m5-PLEHdZ<Tuyj$_1
zV6h7!6l#{Nn3Vm_*W+<PrF^&oJ*_|Idt2TE!>C7P6E@pv1V=1@DM12J>_@2svkgr(
zh!>;Uz6DU7jo58FUVpg9?s4P!7i1T9M%2?GRa*hs9?h63O%gYZ|AU~bSIn)is!q_i
z>WVhL7Zb(0HXk{;iESKh*xomGi=LiWUQ|I*Cbvl;W|Ac^7zDoAf_?8S)Z*akEP>3S
zQY&wAXk9AHH%i|M;b4-EHPpcW^}wsfBrICa+v6l`rR3L`i)ZKj2*8yx^IVTM1I+ki
zG%*W2tGe^$HUQk*3UgiVooFG1n4c>}e>?nVJ9YS2D>5oKE6#)AFYz=&1yOtSUUz93
zZn0O4xw|r8Zu+kh*1!g2$bu_S+^G&!Yx}cK{Ju)xQSntSiRRi0bvq&<Nz1<_A<%bg
z>H_*J(Y^u3QL*f6ifm{^f%rqN<?!%v^`_S`JVH9RfTLp3z|yUEf49^vzPZW%0swwZ
z8x$OHp$9!`Ps#pN+ax)=(G-OonS>mTC5vwTKRFzDimC*njaQm1wf5#qydshp>-8bV
z!MrzLMQs&z%mgY$UF4wHkcFvG1c#cG!MhTqJAjqzY=O&kgZm0)wP}XX-L%G`KQIg1
zJ&6eQ5iDm*TnZJ_ms<Rzj$^VvjKi>LN}4+QNAE2(PvQWv*VAaEm&+$uB+@l9lhXb^
zKfE!m@cf3SEAxr+YQOch6G=$IYd|QZ%#|zZuNxiU4JN?gY*Ylxh?;kmi7?3ibQE5m
zb-yOF=_M>%zOEwXp<o8^oe6xtWvGuPNs1NGB3QZ`a?|RAQxCv&uA`fgo@j#!#}Qfn
zL(y4<M4#me_tKMF_ASoD+^&p)j!?f)+$3+;JS{CM+pHVi4qBPY)d5WzkGb8x?)B0S
zsMg1h6K^RqJr=7<UCB>9$U0Wz7dO!MkIYunl{VLP-vCQ(9W!XXuq<V}DF%T!EkOJ`
znJVR>09hN?T_qZ?w8AIF%bkO+9d^VpaGp)|KVHB{eAzc;lxaoulrdnHRJmhD{RJ~-
zIP^O#Tq(_>4B}b#;k3zxkt?&HmB2L}X0U70e?s$@J5B{Yy5nID2{H*MX?0}qDFDYw
zd$BP}zCJ;0i3G)z4+=xV?zZuhzh4QwkG^L{o|OW-w%;EjQuUT=S56=TKukcn$|IC8
zW@;fr?J#syycY%NtUJ)jvA@0R9X97jxu%632`nIOvwx?dJzM>`xa)K8$iqOE<1LCt
z0ZVrzm7+)soWFg$MPiACBq?hTgggoXdgO(_Q$zbc;__E&4wV`xbIE#+T}GL*D$MjL
zYuEoDw~s?Vi}G&IVfnMAuMs5}7!as(xoiFS$XFVb4mdOoW4*e3EY$3)&7u6w5{q6?
zN+!PgCRmWM2lRC_m^tz;3k~8w#TL#z+&xhdxhZ<X@!;bvhW|&^4VfvP#o5y{ZA`RB
z<Y0gl&HB`-8D!i?pRKrwHbHVJfD3j0&aj`#01+6y02eFe69|vYsefUULa2xGZt~k!
zmL=bz6G)9PKV$dS;-KUdGu-m&a&(CNxna_3;evaVC@Izy00%sgf`dy%3G#u@lh-g{
zJ@?a`p9!(+i{oM$9`l$;FW-R&GK_9Uyy(kFyW4V6241p}{ldQtBz|Zwy_V4uKge4l
z?5UU3|F|RXv3f;k1Z{!NHcy+u;&47Usn8NR7FfuUg5J0QUG3HGK_w2%jM!C@Lm7<M
z&|j2xvG>b6_EI}y*alV(HA;w?RR2JRG~(M~mr0Cki1S-RTlU(z@;t*vjGV+4G#c{>
z;%w|GuWYN<2t4Ej{1U`;)fcMf+;}grr%vlNrjVpua#}vaHCc<bqTmF~F|c^N5Afla
zn$KmehP?KGtSHef?&_){zLHM?%@eFJVA7bBPxv+%UeASEL~0D>%uDV`{StZdJx{X`
zP5l{LOd`+XXyVoBL{Vh^lJ(ys^C4@G&G8TQ2LG8ooI<-DuUEHU{JJ4Z5I<4J<?A(%
zjW~?<l-%TXAJYf4=}9M0?yt!;F<t?FrsUTfBHxzPwUUg(i^|s0K9@u2#+YC2%9$sE
zMm&jSod?P0m!TJKorv0=jOQT!P_c^q|31{=-9Le|&oz0tBBa;@d_O(POuAEQv7h`J
z&x-pB6)s_F*3jCLA}pul4R(S<?T}m8f^O$*H2xMQSWvSEfXXxCFH*3xwpwbw`fTR>
zcA{ev2EmOjPirPz(o#ccmc`+bK5bKbOWo8FG2#7!*K@*{WV|n~xlf;3bFNk@{D&xg
z!VZHTQALsz5(S^J_M2$<82@`}GHM5iHI?%{e``(Iz`#Fr`sFb+3vdxa0Y9l9u027*
ze-HMLGpF*==gVo$f_L-I4dUqs9~MGG@LIsdpK$10{HLZ8UwiqMBfiZF^)EhNy3+Dy
zXmBe!KJ1>~L-)?59AYzaU#vIGnYHg%vP)Px9r6??|I7K8Z(pN=YvMV;nPQl*ot;?x
z|2}iFBIuYM3`ii_0A!N0f9x>+v?ge;{oiWW{X0TjD~0<7f^eO$2o$zBN=0y3#YKp^
z_0aN5(Y+9^eeaEK^TA~k#s7xW`#^pV`Xi~h@yJ|C4kr}(LCaYQrFG*f=TXFEA83wQ
zOqZ5YD~cDq05$O%RM6sd%!}Ds76*+DY^V2^B>NPRzYJdxUIZ+5@(t|hBL~)_$QZmU
z<a87d5p8VDsvamRt|Y0d;rQbM{bK{^FoQ0Lvv#xB69S0H`2m6>P3z<CA4|BZkW>e<
zC%s7XO4L#~PzL1MLI4po2*1<^uDt8ZF%ACMIIdk=1omVVEMrQBPSafJEwQ*@*47{-
z+g>X2IyR)XeKhNlFPTvBdpD_+1@YQm*5JxALqUY<@O6B;=GZk3{YfX~gldfhcI_$v
z-|hZhMgh>q7}dlCU#MB3sL{utNbUYj1C;n{U>m}Tk@i19WKgcGp4s=~KJD59!QaiR
z7=zJHPa_ha8|%a{7PXb{)Nq7^rGqHaGh6BBWS_bm>{w6th3D10$<Cf{o`1Ecl8-b<
zA}Z&>aFaQ%xzSPL{6wdQy*e@;W_xm>Q`=h=IpVakhjKEg%!=<ukE#v3WJLOOCU}s#
zn5Q{+%T@tZd-P%2-6jGk9Mcb5TefjZRhaVP=vng@Y*pV2XLg7v>HS0ahJ)3wY-FU2
z;`1FcUK)G2Dy*-Adyf(EO7(yTq%nNf+)`~Wr30R0tq}BCLQtzm6!0b~Qe}7w?#Sb!
zS?BMI9&fWN)1_1yuR>ZXf#0)nR79k%2y$Ui%!BgemkiU9S@_c0*&_hFy>o~3gFlvN
z@JT?_l%i5c;NUlh)MAh3Z&D4#qKAwxVjo*4J`ymEGWGq-@*L$q$|2MjmXiA)9E^Br
zfgOTCyBmNto_b|Afa_5JU4YDoho}A6G<7%%GhM0K%^~-JH>#Z8iTi%5f2rFlUg|}}
zQVEGw@`+Q~&_<P<*KL|eoS1Ah?T=#p3>f0hlCSVF1+$VBA-7Z~|Kr0Ur*K`4^ynW4
z)WcBVY<E~-MK9ty_Oq$G51&%<1&ILLxl+ERpeEs`B9d6AH78@WGf~0~q~#lbr&}l6
zpWKYVS$MR!K!XZNweV%;E$)2|F!~d$E$|w3pWqPY#f}0)?u|dd6&!8F$ZUvENJK6i
zT$QeP&NpNzxobcoK8x_1u<!uQf%J@__rPmS$8?U}+h@D|tGWVFM1kEq=nXB^TvWe9
zyW!?a3nl(;Yk+a&p;z*>U$wGDd8k+sU0=Wd93~-xTCS9IlX=N{0tVUw{Grn|1jv+D
z59LRVte&6BPd<6k^!ybT5l}WChI)SiN~iZY6t#l^<;*OBY4=qMY-}_hScok)H)dj}
zNce{l4cBcQpDD^?jj?@>nK49J3P#J-ks`hRPpll#kC)DZj@3um5#wDAVh0{cgM_vP
zA{uG#^SEYgY<x}sI@T(mVH)ZcFOd3+5wC88!4I47-Ox>*`}ww}pO38i*ouLiwL7^z
zYUeR1c^mq&{(uY2-9Jmpq)p+!3)1|;L<qb2NM$2^)y6hJ+jJ$$;j5Y%c|<&lGtcmg
z#_`i19Mf(^6C?g+k+iJD2}!|axLe=c*JOuiR!uja63sJsg|>8nGO4_#U3iE|s&};+
zxk6A*O-Nddmdpq<puo~`wc#Hu-7W6HlAGWyo{WhKM70RdjD<hB&Tx!AZ`JqXk^Nzl
zQJ~;g8VA*S@BXD6@<!S4WHnY9U+Nl@JepR2bxy9rqUF5vrbW@R6l=GzTya)cbaNuK
zeQ@b6B1_}a9QFt%<_jaGaTWNo7U4J%wy4j19vw`yQ@fu<KXL>P1vZp_M+D1|P1^o`
z$pa<f*Z)@Y;|Z$-8SzkuAeAu>{AbX4*7r;G{=lut+tHD-IdN3<%rp+2qL72{dqr?)
zC-}Y)E3Brc4~l&D|CZ~(vKIar<_LmBQ7ch@j#81;JLlmUKl?=qHB|8&uDYRoc}hGO
zgS50N7H^pj=U{ND6NNSn3YV4^gi5MO-aDrv2RU{@2A{{g9NfG6-K7UB<Sl#TW&9D~
z0WHv#9q<Fer*EMy3@d0hqfbPXP9C0|-&ve0n%#UKim~!lnhX(Osw>&@I>Q`^^)Q(P
zvQV@6Mru9x5zGAYy{Ye4yyl1~B`J+0fhC=10YS{2GH9Ag0UCQ*t4|M_sUa)cH!D;!
z<+z~u8L-iUpjL{aq0@X1-;`yvU`j^0ty3?#i9@@9oV=$(k8Aw!PN8a6?v`pLp5^>R
z%!J)jj8(SlLNSn5DJo`m`4j-tbXW_<e@H(`4x%Uq#hD^6nMxU$>49Y&?G%hJwWWDb
ze5HOe9|nTDCPnJP#MU8%&s(%+nSG1x^4vQiz{n9H(r6sdN1OXZc3WMdFzpXM=fYj*
z!C~J%O`_BYdSgjp%(UaoZ1^NE5;2>_GJi|LWbCi1S4$+EshFjxwyzi8%3^dvbRi2+
z;)Uh+$3K!Jgxg>@Y7%&ANYW+8xOC_l`Kx+#<<jj*H1t0s2VQK#-P$Qk?ZGb|U2`Gm
zJdEYJu=#n6+t{9=i;3ot!P_R~CC>!>`?+bPHKj_75(3MuXN?Ki9O>CWZ*~6hI2A`f
zjIgfScPis%yF5rXYw!libs+0yBUQP0d+}CuW@5#NqF5LW(1i#=vTpBE%yhg*(H-5k
zPS!))ClT`nqHRWlxS{8R(-(&7{o?TS%j+beovLv(A7c<*VUcFsHhge=SUS*@6KrXy
zl5&s{Yjm1>2L*v}MM5N$DS>1bh;F&Nu01Z++m1p$J^Ya~y69}sR=Jg@)(o(e46vx4
zQ|8wIBd*i&0aCsI7w|ROIKAj+?ypKJZaoL8I{rw&0LYg7kel%~=O#C)IL{7VCcjqb
z)bh}RHNZ(nf1@C8s!>X#EMU>1ON}TQZNq);5?h%)Kf3j@qh#o+ZalVFAG!;DnNiH1
z4LcRZ4BogZ7Bm?P)khB@goV^Bv{K0EF~>akJQKUa{qc1$U0L^6I^@zXk3dhY=2h9I
zCLPN^wYC?#U@UE4^DSiH?x0*fp#Op0+_1G(Fbw(JbCkshfi!2t$xS!;l(28*xl{Uf
zC_b{al7^F-rL7rsI>rhR@i#yrQ*d*M<;6zQb#SGiDHOL$yLwCf?JnD1c*9d#qWUvJ
zX6;ez0dR14J=^z1kwnJguEdJ;BG!xp6}5Q=c6D+>P9XEI$+fhm>Og?GsFq2vPkwh_
z8TM$tM_y33C(f_S_*E>KSN`HIg6kI0G*h=vSd`|jH;-6=LRFySn~d9#zy7{Y>b9h%
zL`u^*zot|!9P|7u%h+h<zldf7Oo_`T#vLC5?j^+<o@D1?^LSsLhx`JEZnxA|5yTT3
zSDa2h6sSq{tfKJtag<+Aui?q)o}3ssg4zMf=Jlvryd}t9vnLzygmJz8o-s@A{=^0-
z>CK8RxJf%5BI-PjbH_l~*P0^cnnQCYj)FbnE~eu`>08rydI3>kyD4SliD|J|j*u@p
zEi;k*f*57=dD_VZ9qT<QHsC+JBq><a;f((;dOqM&n8J@RK`P5)#(<De6z9(IxF@RX
z;tQx3Hxkihpi>TKnZ}1bXv2*(bPz8E3!F064-5X5UebCzPE7cCj7ohug2XvZFvIrs
zS`I>#?NHKCKi5zEJvk1lGo7y$<c<%HOaVx|Ns=MqW=bxN`)&XtFL<=Xraup=#;jFb
zkU>eQF`3(}$j4$2N`swwqw}|6bCqbx8sYC>Yd~{z(U}*la<IR$@GKfOw~GK|k(eWd
z35jDoEp<oUS=$F8#8!l4o4u?oC}`40U&A(49Y2I?{@?@y3^F?A5=8uI6;)qPS^D^V
zsJh8s$N(t4$Qr(G0?;t~gGK!1x#0{Y&CO=^KmgJ^fd=ZqwmUg`|9!XVDg6|02iRMo
zRx~762ud7_|45ioUIg?%>aSN4t4!1rFwAZCO>ZF2ejwddnqV%Uq}|0M79YPiKgFpP
z?%rK9g}t>T2-1b|P*EQ=)rjxQ?^mGH)KmC!aRwtgM;@%I+PCcAJZ?CyV(5>IIXn@s
zCS>{)*3Z9ui%?k-)Mx@9LT{+Y!tb0TK@BisUE`kK{@tPsF26VULIooKrLb*S9~yeF
zcG+pBZ03s~DGLm0yv)j%zeaR5_-tQuX^P>|p@@tTLE-bI-`KaH??``wYYvcZTozoV
zcsgou4pa3@31HaB+NTT%qCTN%UkKYbQG;2e=OIZ()jEBU-SyCq-W85CfaQvU>Z53y
zrXUdg!gs&%gHmc6(H}RZ(7_ZzAn=@yU~0)&l-GsLt^sl43%_~<YVxTQTfQhm|618s
zWhvSn!g6KMu)`!fpp|y%ddY5Tdk-dZW`mY|IYt{%&{&(CMJZ5NVn+KWzqY$Z%#P_N
zDSXoC#7Bm<aP?a59#s!wB`$s-3{NU(--5NOZi=mwI!Ht{5vd0Ck4c;%3%0|hauHPj
z%ELt)OlZBK7x=#q5VjAq`&q!_`GRky^vN|bf1tWoYOvnP8Oh=b3hx@y<v&P1@{hcT
z3!h%?=KXW13J`1Cme(zHn!8pqJVr*Xv<#Het!J=N!eb%895{>nA`;Ns$5b4{U;6{{
zH93(@3>^SjOQ+1Oci&f>iW<}*G4M}UtP8;@?58xPaLK<ie4;5w3>?NauFQA7k=0vh
z@K#m|U%)e0kc*a??i`VkE*16x<x27&GelR7QqG5dd`7Q8^c{yl4-Su39OZYS9(Ke3
z%|m8WfDEVo@=XU^WhJ7^CazWWx+dvzDm%=N2`WEwZIY-cWX)E?&Kzc74J^-Y7&Q%u
z>nehcBbKDoZ}roXrh6;27D1xg*1Z~J!Sto}QUketi?iQOAo!~D+HFa#dd7K|tvRRK
z%CnWcJ;$KY02k2?Zbmz-)cLSror_D31H5N1!lD(bS{x@-FlO4u^|}3A;a|04YeKX&
z@E_n!BF6LIDf)?(A0Pmz+T$M%ddObN2hP0<SLkZW@w83f)grkVPo|d*4FJ=rt+6~Z
zrN7@Yi(XWHjQU>{hzlkw*-Gz($}ocT^E|Q(JYlV}y54r=tapRB%K?-w;dWe}E93_#
zF_jjw+LW02*j52odGd)5<cNZuE;FS$zT&zP{*UfKUVUGIW$~yQY{JfSNb>+i2{x({
zs1qmH&UT0Y5_KoE?Oeh#e7^_iE+2kXw(7go1aiWcD=e1%N=SJsM8WGMps;P8F#mX}
zm9ve%aOJ9v82}Ycri!+2jhwQD>zfGz!gay4h;#<;zffyzI>wl>iP;L%)poS6t+ddA
zmt(_!k1WRT=Es{Wjw1p9dv~K_4u&JY>q`b`#PeSFxH2+lN3Ao4H3?Sd%$eg5x)pXU
zg|xSw)l;Y!K6fAKFZ$f)Q%t@J^PQ3Qd}nE7eLGIN_wd3CfP1~3^(yKBf%3L^b+I=~
zk6ZQd&)Uwh?b;dERks%b2eyK(WlecGzD4>3#pTT-jPt!aQh5E5V6+2-XInb-Vdy)k
z!&=$1y`o|_lcrg9r{e*dIr=lBD1Tlm+`-moyR6@sS4llm2Dr(F)Gy#lE3v(<PY52-
z9FL~n03(iNMG8Nsl{GGW%vx=bA`R*zf?KA<&7iFt_Dpqy`LGW>Ep6P((v^+Q@^6zQ
z&vPCsxbVk`$ZK2UCHytCS%{mjubIlUoz<c9-lXeeaYCf*=;*7@0gR`n!~RuK5VUWr
zW3w}f%2>NEx7$8?SC|`o@~<d$g-GF=93Mca(8`)TE2v$>J00K{A7W1SW+|K~gEk2j
zwP4>SW|lUp{+3J(&4{Ln2=a`5mj-9Yi-efizx$tJ0T)Tt21?`Kx20ceiFth^zEmac
z4YwK2E?QtaoUs%*zT<JWH@<3&l;tEI)+AObC4fE3w3hK-P?g<y$RlwK4*N6b65as=
zo7UXaMeEZ(`LzX}IJPG=1=5UACG${n!gb@M!OxIwfnHFv0xqPSFH|)GJu_i`=EyH8
zVmP~V-le<?&PQki4?(Upt71=v5FNT^VXrxm=LnK9*af8sNHc^UUhtM4<`5bNCM7F$
zt{nxcWUqw{CiQVY6b-kDO*y<VQ%QiN{9wUWk(urdM;EzaDirlVCa`jG3M)jD(fUR5
zLD|s>&c4nAN_y3UJ*z8c-iA|SAiY|$2d4~f)^2SH&^~)fraRx*jIF2n2)eyvS6-~=
zhlpw2NM8&Yn=oZe0BV)<T%Sg|=_R((VTxJyLG?v{XvLHdZrY)*qk~4m$%;L&SB`tj
zkd1{X;rf1uu6oH!G(5j{u@mgeJIaPyUG5IqKR26y>w+agCVb^$N^%rq?sY0@yYaKM
zXFol=1!4rv<#cXK>hv1J%80R~$h3N%=Tu<>uU@W0kWt}Fs(5e6xFul9X2**I23n;o
zmk+pRhYWnb<KU4n(u#Yh>!rY~1IKQ$5G!?35c!3#jnXFC4h>K9ACd8(LKVN(Qy@ze
zQ`=utC8suHDYOr&eVsrq17_JQJ3a)n=)yzc(gen24yX({0(+Cs|5mL>iU4X!q2I4S
zpvN)5vi~10%0t7wetMuIC!pg~khQat@cR+521Ck$R0g^;h4<z3%_Mg1TH=m0<IK@P
z{Ev0vp9$Z_ja%Xdo?kI3oJ_Rhipk<O@6H%%*D*u${HJmErC-3i12aPytbT!5(w_yJ
zsZTwo?TkP&=jeLR<d^e*P3BtL2=<2tpy(~cQ$=jQ&4VDO=^L;RF1J~tpX`vj(?4fi
zBl<d~b5^0~1v?*HeKhf@qkjvsPi8C28j<KQ^N;Ra{{}Sa^P?4h@mKXpMioi}f#{61
zw0WW<UNCC6g)QmpPpQykH#Qa7RiyEL*1$mug5XixxNb%^U0X8V*hpFVTv@(gSisA{
zc3&qVYoHVx+#fFsg66rL#_1|wYcun<<;=h$D+f^nQ)pvG;!<}{DZLN_$4|Fq;Rq4v
zTcM0cG_%G-DSXX0i`2Vz4&(85L&9*)By8(ag<@d?iz9%`z)cf-x}@7mj)M~cy5XzL
z-#}!zrr5nf#GkspQFQ@vHdPz&vjLa6GwOs`EwTi;NkkVWfIB`<C^%eU&c&@T*f5h&
z<pp5;-P`bP0g<kzSab0z!{E<xK&H{sCTu`_1{!7{qGj;XA2NXnLAH_9*a|nfSZx=}
zGLXnf)MSv0)T2Np#H+^^Y4`31?VwxKjkYtQ0Iv*kcAqB_Ji2)bC)FRvR$6{SL@n-!
zT0p3T@8_?Qy`tTS^#}V*+NH+!1pMHU*Fn!%SYCfeOs}@D9G^2<AVB;`8B+Zhc(ag4
zJCR*Hzhg6HVBUE%Tg!l|{ENWwmbS|Ki>P!23uJ+flZ$9l1doV}!jYQlq<g_Yahr$8
zSM*G~Ii?Bv?Szb!4OdgzvTyPh|5CW??aba`jesth>@VjN9W|8wiP?6$2qOu*U2R*J
zsBPma0iK*~BvOXb+e$@F%Wpce<j<n_wYN6atl7V4hwg+hoH=HbBQuQ;8L1c-YAt=&
zf_4S;${6p)Rkg3~n=Vk-+d_}2s#F4Qqdz%_GYG_W4n5sr)6CHp{GWmYZdj)#%Rte@
zKi`IW9AY3&(nt2#!UeVC|6N*3$G>Xx+X@^kqZ6wWSD$~3PA=;4-M3^N{^C0v%rOzh
zB-*h4mj+@PMM4*3<_8ZNLAohSpISH~tp!`wIe)=_{q`xz@xcYR3J&Pl!9$@qhXXb#
zFVog2NChMumGxY@k#)=`$IPFd02;hRFq4h5Rv*+^;kjqRy14UVwpAU*U_yijBbw9x
ztOOpZ@**N~Lyl<zjr}HEECvhnCD5fwtfD+d^@MYNe_UdrdYZEn(KL*X7mY7HMUe!{
zwX|yI-xyU1W31!Jy%oM%#2o2U`BD9n_HgR18Wac>5bKn#nD{v(%0Lu%XSsK#C8ME>
zAZCL8Xtdw4#508LV)$$B*#04E%}(DXZY0!a5D5D&lVtGDNT2TYa9|#{910{k1p-PJ
zrv{lZv|XTXp2aRLXOon;?aGyw>0<7`h^BH0LFk#1GA<#3xrZ*wFn$4Bas--UZzUz?
zKszLs7-dTgg+kvY2dCF|l$PsV>P~DyS)$`3-BBtfn~ow7L9epph7IKk2OcYIJVcGN
zsiEzj8uA0;J!Bcp;|1rs>Vxtg3<>9Z|3L$PtnIM+5w~H2QFgyHds^`GY5LFq*UNv-
zQmTPoFkpL6a6Mfv-)*(ujVy~WYKi)*CtNe0nrQ6l-=l_OARkl1;`PqT1_^rfy+t`2
z?M0a<+m-kUR4H1Do*?v7&bhOJcK^*kD0xGcaj^D`XCZ}Rj>+3+*p4q#BY#QY<98az
zch#1sf}(%7Ttee1aEBHtFK=U3o)RZ;4SKsBq@~|)!G9l-Uj|JKMy^#ZJh%&C3bRc(
zCZ9BvdPJpK*>R-eK-QhA#g@y0`jhw3;`X=wahx>p4e30BxtX+d?>iV|uW`9V48riG
z2hl1dIM%<KW*S~<5ng&kzX6a>ez+jVt4zt#sl*6gEEQ^!$q(E@M;z4l8PXfjrv{W4
zTvTLp5EVgd%0|y9&lREJ26<75po!PU=Pc+f`^=r0)iL@juEF*$$NEz$4kOzeX3QK`
z2I4^OyaK>IqpDyEHhXN(B}uA7e=>Yd-VUv#jY;Ssu>d?WL0d?iebt@pL_rWJ-_rF4
z?^P3n+_fJtFTGCgijNGTIYBKrvoZ|N6g$Nw*CuZdRX$zX`N3dP6}y5jE|m)iGyLc#
z*W%zuESx9`2(p(>ie@ry_0%85EfPN^h6w$p+3lg1J)Fqdt{0JP165(st#spoMa2!!
zIV!WQK_Se&-V~&t*Jn(*M9JeCBN#=_(tRn2;+@EV@Grp^$v0L#?W?yM>mTD0xlm2D
zB30p*dK1_@BKI$Zj%qc!9A`C*jObu2>a!=5WMGIMl-gk=FkP6c9v(G_db(lfW9v=I
zsz#d1xzCH)^N}J{FQ^0iY*2b>Um?2H2K#As3MoI^fxA;GrL1qZS1^}@p~){WuVJ|r
zKNqc0$lyiw-){gcrc<D+xMBczTsq^<ji`S>_Odw%`c`Y7F~XQ7CC4Xr?9+l{6S_|*
zkO;&y?|vj%Mxhb~dXhSYvn!l-k$Z5upf-KX9r-x?Zk2RVA!tPe%Tja!CcAL{8j8!9
zlF<~6I}4|nUj-7UGi!}xreb)P|GU%Y&aI7p^<U;8j{tIx1`}4nKnyM~N|V$Hq2i4;
znwGqD{<Y@NAPIeoVaB4oDP)D1QGU~O_$2f=Zd(LcOX+A3Us&UKQo6=`<u|*jgO`;6
zWI$`i*il~IxHhZmD&m*OOCJQO$dZ*@@xx8S>3JLHNxWdh5c}EzEn?PxE`Lm!Ns93@
zuB*H-1e5FZM$s#^#!RV!n$O2Dtnj*&E+k9cgaF<7*5U0WO)QA8VhUcdfLSWK(braV
zM5kCMj-W1r3Szu|ncSzhFqfG-@7nqnrPAVf5x8M$DeASuMTq<l=&A9i+mxvbb{zJ-
zyFNYkA6IB4+SAlK1gAFORjM$Vvb#YQy}ruuV$03_I=h~RWMrD{D6L1~DUsnVSi4Ht
zmC0|M{jswDY@Hr=jg0s?i+>{QB$5h2YBkjPH}li`WYqYK{MR8=Kb*?)Ue>pg?h4Dd
zaaemf512i3<Wm`+PSU*YUwqBe^qp5R;S-vsq_SNWZs2Y;p50YrRi{1DeoRiZ6+FM5
z^F{STKdLwK2|2F%x!mYRzj+YVa6tQs9uy$Ubho1nGqQ{?(XYpoU*k-;@K9ITcWl|h
z#3QM(X<`x+VPKb%$Ud*kly${n@3oHnOwVn;a!$8K(}sBruA*B><C52{*{X@lxIEog
zf?=8$`1nfFuY%?s8qFfgBQE~;J-GfSVe^K;*14#ip#p*oNGI%{h>xs<!@9t~O!3T5
z#y!^i`95ZtZ~H;@);eVVx(qrj_GjL|#>Z<VK2$^Ja1BtP2qMaeAIl^*Lw%Fhgp`|K
zl^guf4x?m&GV(i)<zC#Pfr8FwXeGHP{{~esBN{4nNOkCrg}WjR8+R8~HphOqST)tQ
zMMkXGN4q>z$-Q&f^M+a7=J3-#*8s0d*GPR*x=O~`{x>1WZxF#u+=s2wOlG|D=lwuU
z6c$ys#O=hL^85pTL|-b`b@dfTR9Wka3G7+4l&o7ji|rVW+#cO{65|~~TxjhQMZG1&
zSId2DT>(2|zor6qOMUF!SL%M;JqYKvPN5S!(KwAlTEDjxDOe$M_Etrygf5TRsFIua
z5Mc;AsHm@0FP>(SV;rN#9MUw~GvnFUyH#9)rDC_N(Q0&`^2-bvkhV146vA|sm-^z9
z_=`i4e}26ZROLFl8&EpnG}HH9u)z~r)w!xnA1zo1s6VWfko9cC90o8qHjG|S(%PlR
zHb2Zi$DEB{Wf6KcL1z!EPM$~O6$W~-2<(>oU!(u`A=Nskc(|uj*TV(rJqAwDjat<2
zhdRoA%rzCPEd{1g1mRk-k8#d!UMAlb4Q*bZfP%gDZzs2JGP*T(%-h7<S|ne_)mroJ
zX8Kb4QPGAX3LP#-D`RCP>FmORn`=mL`*0x@p+0g=7T0xClHYiPI1rMuI~mG4qpub|
zqqt%urG_D~#cOEN>DFYk=d*7Bx2Fxjvg{}3BX?Pa4Fgf|31pLe|JC{(f6*L(fBzT!
z7%6ionQXo)|4ioZev+E2S~65=X@E*jn@tw^r1Xq8+d^5B&wJj*K&vxBd`hOgj;-!%
zQNQ^uuhK_e5mxxP1qT8cXIFKg(3|8W=fl|ir=6-f-J3u}00A>9#4`0iuS)ze>xvH3
zBar>moEGswg-1KUm0d1o{xHYFOLMk;F{Iq~41T_C`s<p4<)5^~)=v1_If?GVU>Q_@
zP)E4V^;HPF7V#|uz3?#foKwLRFqGc-m3s<ZguNWTU}hgx_<U!IZFUkl$I|bt|3vTT
zBruC@ickQ3YzO20<4Wyvc>i_%z#^egC>i9i?3pYDd&#afA{W^ZQF>5LJ4jfaZ1;?~
z=OBCI*}=z8xY`kU?SAd6EtS0HlNQ0p!Z-dg@i!cv{a<P@B=g;Czjo(f7XMn;AWS}A
zQcZL!?zO6sdCYa83xk@Q(0&uC(H;>THJSAq<1?}Czd4i?4$nAa$dQ-SrfbD5^Y^D*
zT@n)K)o#<yp#FdA*B|Er$No1$m(!AT0P~%kr4QSQk%nk+m91Wab$K?Ve@p}$-OL1%
z$L`$BVJOkhj~nes4Va<Gi)ix?*H97Q8@wA*%BBLt{YT9^P1foY5hKiG@3x|b;4KR>
zQ;a;?_P7>d<S!T`hG^}^{_5T8XFuj<QOmXo&pFZfZ(&vO?N;G)f%~gNWoCuxZT#rq
z#hx}c2v&LrD$>sxs>rOoUvY{v;@v(&$!H-jUc@p+K;WBOx|=3to|73rl&%N-(s3u0
zY`L$GOH4sC`h0SgyrOEvuY{@0PapVjR;$OiBEdXlLM=A464&@rFDUMPdlNp{zRG%h
zb^z(za}^UBU7!pf?V9F!pLmB6DWcVX3sZNEzr1m)IiB60Nqy8*$d3XCN!C`Pv!Y=U
zcJtsCljapOQz_ir#ePBOmOrybMrDHPWT~zZJndc`M-Sy2M5eschP6WtD{l*=t(!%E
z9(4yAl4ld35nY2sWHqKRXK4VQz)1-6@YQ^-via)wCD-o|uu0idY>OVVbus<|F8DC?
zn$;Llw;Q;V5o418-Z!mFl-29N7fVC3i`Kg5=#RHd3Tc;aD!Q(7UY91Fb$NVBky#v4
zG-RuZk^!3?a|9OwqcWmi(B0))Ue9s8#)E62>Wh`c)Elj*4CzwM5sT3fad?YCpUGV<
zc0&3%bSs`9NxaYu!|088)LhxYq<yt*=Oa|OBv$cMG7I!YQ4n$DBxoEfzaP81&;t+j
z-~q_g!oe_O)stAUG<iBYh3^3Y4*v11xtHM0H)$y`9J6unegN3TwTPZZ&^^xOz<jIe
z)y#f}{2@;-nu-c&cgV9IjwM%LEeRKIM(e5Th;p-=Wru-z?%c_#Z3!LiGM62&+5$WE
zqK)AkO5U>A;o}@Dn$=+;d;5M;q^)mNw9<$S`a}IGeBY2|?S{MEyH(vUq4}H!=5H<d
z_$qC8xJEBvFy1B^iB3usPOeH0w~LPk#`~R>&s9+@|A@ILO^1KscVZ08SHs-Locp>x
z_N+$I4dXaSf)C5lats?&jD-6p%ptZn^N00rawH%ty{*Yn_C_UalTv6!Es|wisxO20
z;7kZ@Bk>6c7iIsD!z>Cq4CXA7Rsw>n0l?;l8Wiw5TgM1c(j*Q+lRlw;VHn5yyP|CK
zC%>Y7gXi0daq%O9o-9MG%|#O3n<_jaz4_o?&{kOXduEmc)NZi);~M^Q|KJGvH=$t=
zlp!n0Qd%lk^`Ve6uXAM#PFQ%5B+9idpp~p7r_RI6NH%jRoTAMyjLen)hQTQ~B?5eY
z>WZ{VMTMkAm$_bp(z!6Zh2Cn-v2H=Cth~drN=n%$#G9{gY3VdHpV){;J$C5-Z?|XU
zs(r^|$eyc?=3I%Srfaze6nr=mGXgwm6yUK~Va<<;fz`)>ybU2`hz>r;FQGlRHTzIr
zeils10*;?%yNrMLa{X%K*z!bma^f#kX=6l;KN6{*aX=vug+P^E8T@XWLke_{)<$k0
z_aa0CXU?*KNRVNaM`s{JMi3stZGbgRhztmAumWVFpH3NGjnB#m^3ScyUf*1dn09Jv
z8UaI73^Ry{cl%$di)**n2EbyXRbTKn%2SDsvt8r*(ex?hNjy_?l!SXGsRsn@&GdYo
z4)ILGQU7OjL~d6-tBk(zp@dAO2JnmQ0lC8L^zccx?wuM>3dbys){2Z2f3_g$ah^o2
zkK3`<r={gDBw}6WzpS}}h8e~77T@6J8n%+~khOhYb2w$wJWj=HD@|{`-_mU<9C@4J
zllkyl7NBHa<spfRlsGDDCX!`k$e8`ae#2*vMSPN}Il|WzUx>EDRE`cof!rSOuQhya
z&J0{2cHw1+w{Iql(CWBEH*Gzt_t{kEMq;97isV<Zc)1`>-5;F22QIYWWL-=E$rh~~
zFN$$ZPq8gByJ9o-(WAS!{nCw76lS!xBg6c>hw*oA3w^$}gT}9!u?4`LLHsBo0;}L;
z%}3=FZRei=2|yCVhLMr_bD_JejmNP>{pLuBy=m7DFY`NE#3{x}18=A`xV`Rw=AUST
zj6Bo5kGvM|JyV;`7ha6iJrGPNbS_banjHlz1xCL(d;JaE7c4)mu%AQfl8CK}(!^p`
z{Seg@f+ln@S_WRmObG<(XSPH*vt@O&%B2M)ITQvRLeV)MRF(u1)KZMtIs2KE%}}6{
zS0|lpg)GWl8H2LTQ%J7rc9wdBtW6aAROu`yk@n_V5AKGhCCYACj)_@0xqv76-N?7}
z@R?mn$#nj1G&aWGIuFj7(S-1eiPUDm>9)}GS(80WhqGO?<cz!TRt}iIo#+#$7Xvz<
z=j}!G>c;Z>BmRqucbpDPV7b)@W3_6)6&3NW6)|o?5R}j=u%nYiyHIv-PAZwb2q(PW
zsU&8fu6^VALF`kNRpAph1V(Y?)MqRi7YLBecZ?5^gh9$G_F&)wpNE~G|L^*$)E;qS
z9`|4MKx^QzcCwbVbfFXO6PG_h$w1&6rrf{;sf5@Pa)F?TfU*f=N(Db{XL~g5%TM32
zTX1$sR!I~{kFJsxDrSZH7k{=N?;_n%jpjW=1t-!%*?MKXi+C(TGNkwjf7A?BjAhO3
z@B`K&hUOGAfEc2Ip^B%sVp(&>{*`*{fi_e7)PMI;qwD|SaE;)@^g0t{xPz&s#55N}
zO1Vr*LmgvNpv~eOaqEY?>!<Q5j1kkP(eWFlX|gp+HCN9e6~ahR=)|E{5Mcxdd?L`8
zZrkO)t;u;86`P3){_qKwGH50mXxIiw_CN#K2hf`l-!J*0^6F?X%sKfrrwR2=7IIPJ
z?{47eQgd%5M=beB9blFxuFWSS>SPWpn-$<{@HzU6OpsZnEE@pjD7Q}v(>{<vlq#qS
zasWSk={Jmr@Zhy{FuBpf;QGg5<_go=pf!&OQcu*U-hTVOd!-4^l59$0PQTc*&lD6v
zFPQHrklMb@_^+S}x2gNr_%jDvZI-Y?&v&x8Ngr!9(&tFcwUUVEf~u-B7Zb%VW>^J_
zu<+h;%WU#K%eoP5u&QCSsRF&_yGF2!_p`g*t&0P}tYla9wx?0_`!rUmfh_v_bwVm$
zm<3OH19&Gcyc=WKd{v`9Z5rOU?>f)EN;Wm^gN-d>5O8Cn@^$C=pi$x-IJ1aUvF>Gi
z<zS>VyvJE7kFt$`Zh9Fpjc0Uv{SAMm<tN<7D*rBGjE=C@4?sgHlCVl&Lo?In+eLy`
z7eo1yMx=c}IR$b#X!tYQnza)`6ED(&*;n%6@=DE0gf(gy7ISg9A2+4%<Pod9R;iaX
z`bNX?_ML6-gDRwX$x;5{Aj`-;ScNNqPj{`*ppF=P%=(6F;-yYpPZ*y?9UG%9crbyZ
zH<a7xfd}LB+VN<g!AN`v9wF($2h7#PG#OMFDs^E@(>4p8a5<|Wr$1<$K1p0)whb&2
zr!~%{|6PaP-WgV@dDla0;d@FE`BX(84*P3v-?b`69#v#{h`OcZlWH;ew{pd|H@||A
zN(a#Iv~48}C?pQ==z}1>!c|w;1?dI;v~nC^jnMWfLF|ZCDyEE}#_84Ylq8M%N_|x*
zW=#U}G{Rw@Hnsp-|E54Ln1pa>JM%_V961QB1>-0jQ^3fep2u5kjhG*ry6Hd<Z57w<
zF~zW};kOc=#Axt~MR*rk6ED@T9SHo)EY^-1>O-j&nX$NP&0Jp3q)5_MB}Qw7+O@XE
z(X-=qgTGdnI$JhXo+X1^Gz~jNTN=7sxaD=8pxIMk{9tmUN)1;Jpitq<p7+lzxnr-P
z%?&M^^3A^o&v8G6R5>@~fua`9v@twkdfrrU$q31ny<rPjL?d=vXr<d|ViXs1erULQ
z>3%7KI#!lj{*V6U#rE<%E^`5Bvfi1mtyB%MVwWk<RfjM2viLOBrgwVya~SGPw%Dh6
zgM68nCc2fi`&pygNi<1rd2-#X1lTFOnId?3j6yfoUCe*ZNj*la_na@GmjAxr<AxMA
zP%M-1jB#5@H^`3#D8hgoWhvTCQ#M;^!v@z$#~W|W@oQoe$CGx(fW2A&UCtAV_O|`0
zrOeF!NCD(Ph~RVfC*wmr_n)X_N636piu_rGhSO`WT@`jh)c_cAGNj==oYaurf;*}C
znPs-vUXQJ`iYaMlyhIuGou+lz)t_}k6rM_e#2LW0ms}u+tBfDTiQNV;he2w(1aaru
zI7m*cLrO5fTFn6;$(14W7jpsVR7XdlS<F#IZnQj7ZZDvm!litL<&=Adw|XMvuiV+}
z7G{7x8<254PbW|$l<T`2ZQ-x}nx+Oyw8_m(_FNU$UC?QB2yjc)fPGw47=CIyFIoiZ
z_EF0XlGcMO`$jYcfdKb2)z16uaeUp4gG{|A#&WYr4DZe$#(veX=2isbIzidY*b2h#
zb!D+k3E~N+JFU+`AW1|-3nls|j)It=r0=$3N51y}oQ+LCyG)<?dV$@z!gf#Q1#uc@
zm^?M2yH!WtXsp_P>bkuM!2lHKKAR^NU~z_Bwl?zDUh*iF@Uv;l4!KR|<FsrIyk5xi
zHI2DeZlhfD+TtdS0?RPucduo7bP*iqo**h}!a|!OkbcrY)wlXzlcyTWDj5j$4u#}8
z1mo{=;l=%mW_H454XmmePCGuA&dZWT6mlyUdVqPQnk@|T&pDqF5M=^8b}HTbA0}oP
z)8mzP4Kb>Ww5q>cVNJ}txwY3^{kJ^d5eomo%JDY0%Vb99(^10vwq|s8DD8N$%H*6J
zmY$a=e}X~KpoCK{hWhNl1;(fYC<iC*4q-#|!)C{D6Nj>;*T61wqeXrH47kv8Ea$iD
zq`Hz`K%~R*$i3xYuI%!!AcjteHOaEk0Nxc~BG!i15NvV$pCUOD+-Ew3d!nqC!|~cX
z8Y#X65T-JV`IB8I8ZO^$1Q{L#Y5A`TfQ(`y6M-szWFzLmWQLG7UJ60$55jHQO9qPV
z>o?4P!z-uKu*~>z&5`}Bsoet?Bd{hJJdVP&v6kaTCh&ssqxw&C%8DX8hD^&vOlLNf
z{?*@DSw>M=WUD#E>T_S8WD-k$i|Br`=>S*ReOXH7Vn&#w-HxM$GA|IL>#vOec0L<T
z1^t7CqNeFMO%Dh@JQVDA&nAA-Bk^a;6MPy7p8Mrpnfj2A8`cGlhSbrG+ot5Y*jexL
z>y|x&Yx3BahT5y|Xm9z6L^{H{(?ii5Cvny|c|+S}?$l*&dLd+Oh-MH+AwG-?*H@%}
zic<#6(hlq@gz*}B2E?|=!(YL;NH~}<u7eXm+E`A_Pyt2EEGI4QC<#+VJO6-~W&#e(
z7bhi=p%Z;!iM^VrdVGm@<YN`u`mAH^Z*2w@M|*O26H#E{8bx%2&)i1CI(4PqxeXn?
zzdPjk0z1({s(0~#`kn|{>Dp{q45!&QTD3qg_0DZ`VPj5#d<+mHo}PK30>qWP|B7}n
z3N5vmoR|dPGQAcjEtk&>$oG5s`y;nT64s_q=@nt&G1IMD=wZv&)lgjE9>}vKIodJ=
X(r8DgKfN9Trm%&t-Kf&XlGaWSD|hpz

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32.v
new file mode 100644
index 0000000000000000000000000000000000000000..f5052fc665670ebb1e2440a9d645906cf399ff44
GIT binary patch
literal 21560
zcmV(rK<>Yb6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9N!<f}rO2wz&5*Z={cMj`c$
zq`(KZUttnP#ci2D_acetL*!BjipiL6Au|VLveV{#4aa?l_DP}-TQsiI6S%VhUA;h8
z4p4aOMb8}*$;(Goo(``{#gbr+vs!=ms1=fDL#8)o<A@#VTG7^~zPNm<%GKx#hhXAG
zUJcmyho_JMSdeF0=;X$I!1{c?!f&>mMj#J=nwht{dBrC{@$2R5ne^Ql*JX%1JT_Us
zZ5Q85qjU0f(MI*6GM@ID>J3#TIA9Y-J5m^EM_amT8csm5M-Z&LQ4-0te7#vEy1N&*
ziUT4SJZie)lygZC69BT#fyK>gMsmDe*j^9nq<eIC2i=SWZWn33_bEc~#W$Uv<x(ZN
zXIC!LrGw6!N5712E%_4pw~@x(@>^*e&TpjEs;8u0aQcCwe9bdx0G$MH(wjCb6kKpC
zfeSM!KKkmyZ0t7+5JGUZ>*-*ia)M@^u}+;LksPcv;$kPvc6Jq!0}Q+c>^71y_aoXd
z*y=(bM8c2Gq#nyxQmhH}LkrTzLUa7vI=3eB!>)mOJ5+D_4vbh*I_CDH+fh*}zM9M5
zQY8C^kk3H7L0aDkcqIFdY)wt!P=7{RvJ{#dK57-Wv(N2C9J}nJZ)Wmf*_R5&>)7r>
zqAQ%`8^u>|uTi~SHm}spc!U4*Af_<O3-#3*oE%D7twxRC>S#yi-F?fV4y+j|&voIu
zzyD~L*O8eo4!!wxBU;{Us9$fF<B~UCigWTX!+aco)k13s4UhDb=D*szvbNq@p@(G(
zU<98aeoy~XoM*ouIVL3fafvFEtMe6Z8$Zq_2!bwIqqKq(q_*Mlm}Z~{KffzVo!0g{
zH%>6PNzibnOTPKSt!F80Z^gV$p<59qIN1~dg9J;!4sfbuE#LD-=Nd4x8Qr-1$qZwc
zjgTzn9+y{be9l`^^@S?W+Ipb@Dqsy)f|Y7%LRCKvO{}*t-Jf2yOx1khF#tkx5?%mb
zL0Q@y%UM!4V7o{jFskn|Uy!sv^ADXi<i1Z!-qo_Qte{p8O&sL|og+pLDB?8GWLpo#
zFW(!G=dcV@@&G&}aVX%#SzLsv`6H_hv^=JOFST%jjOuDnf{cOOBw@&>4{Qa50v}Q6
z&AW?SH8u-{4Ure2zZo!peS9e`l|M|d(8Fq&L7w51ucw#MA|$D8=98Z!Y|G#g{#Hdh
zgU(d8B(F&rT$d>VE>Q@0n8gEf8ppjp`YEU#B2Cj;g<fZ_tnsA*7eS&OMHMF`_p(Ts
zs(0E1x1viYe_(X7lr$|W?L~b2Ga=S+rb&F7aYO{F?}cLUwGy;_fY52r+<8<_kQi33
zfQWJZJ{Ckv&0dh#QQ-ttk+kNK=Dm=-mMI!=JY0$PO9YOE(XWa;y;JfL16R4@AtCfG
zBo};$X|XHtIq)8X`B8<^->D~TM*_P-y@Qoay)L%xa|hx|D7=+JiYLuR_FY8DEBwnu
zLfY-oFS-%ETVXR_d4pV1<dnD3>ZI+`KbFWZFAmO)i?18qTndyx{`Xt_zGz3XpP2=B
zeA8*x^|$yrCo4xZ$i5GN7R<a$=eKBdtn+72D1-B#Fh|VI&z|Kxr24j}2c%gIcEyFK
zTEK#jHoaOYsbH^j+K(OQN*Es3NmU<WCMH&E^oI!U$!%Sl3jDszFSVGDC`CsFHz6Iq
zQ)ubbMyaC_MAqQQ2oSq)=%1{n{dcnEkxt-dtZfqHN9aKBxTRJ1%PKsNGaaJ@HFo1P
zCrO6Rl1~!yNX)#*+_XlZHb)nT!PYYevD`Z!bLm)*pGFEhiNd(vlG432tz@7E<I*%q
zezq)F1%yHXNdzsvIN=<7Hi0lrmn*IQn)CKg5XlhNz(mdg$V3V-F!_41@p;=!vrB>j
zy!b(;8Q0<}bD31bnh4=&sEcssJ?FN<FGoYC)QG`LPdVX+PVdIKKn`&$WKP6IjbM<h
z4m8sg{pR0m3|I}6jOfaKqHUU3aO(hU>_Om6tu{dLn?5hyWhsDGjua9gCC9Dm9vbg1
zEPIizpQ#!H(h|Hip7+C0As=`q>J4cKeso=?n;3fH+A>H;_8vD-rEz`rMCCDR(wziq
z?&SNWh=KpO8LHJP4td_YJBq-6qv`=J_G&Dbpbm<s?zX!|{goXd*vdgO9rrG(jjwW)
z5!y;xdev^R8j<zbbvpOL#-5dPu`&2&e4;9rIcf=`szNFfm_hMKQX&wKyFuJGRT><M
z>BAMVv%-oSaCL)3O#@L|fB#35GU!(Ao2q{eSZP$%h|l)Do?-DUZBP|FL0T}<U4vTt
zY0pN3EwscmO{*e(GO5h%!Nb~ERYyA#1WRk_b>&hTXg1JEznPrm&Nt0o*@-Tfg&j~0
zZo4tUSY9&pB_QPiRFJ=CA)F>Zf$*vHclCyL?ecISlg>`G*)N9W+8j08hJl)UG-YGE
zHSLiA0var~n&Pxe%c-V3)8r=lD=JkGhffJ*)1>rNj{90WeU&`xt6qvVLe&ga*zlVI
z7uy+9krBQq$tEMtAa7yzbsY05i1U^6Wf``F?QwjRR+Y>kK+Iqh&^1)?S<n+(M(tjz
z`j>TW=WA)8Fix01Aj66Wlp6&Xiac7(*T7bMgue;sWgNNeqf5Ws3JudGRs{pKD)lf~
ztTQN$CwsqynCclA3=ZJRmz%je19Fp=Zv4mE9ec54G%V<NupZec2rS(}$oancM?sb6
z-=Iqn>R90#I>U*c<8mYi7P(p>5z!+kJW2TIPg5?581uIQaoThB;XjL#503wmBu-D)
z7?$Wp<t;DM{H-l(9|7~QabMPd--GG_!#l&MK5PEcDn!96wZ%K4sA6-zwvF^BITQVQ
zV9eMKctCJQ9u$GK7}l5B0?Snjm!uM!7P_ydW=Xn}GEm7hKxK?n7?`=!X^`i}mcdE%
zXWQP)hBSIeQFHkm>w0eh-ScN=2mb2>&3XtX3lHlcQ5$dR;Et12^VArU@YxyzvZvL1
zBB;qIK_rWEU18j&^}P24|KS@y5c6N@)l{+5S(eKyRWv1oLQ<!q(LmFDumXc6JNc|r
z6@S11g<mLbdO&p>{<SqpTiC-B8jN$#bheRQu7x<Aj^D<N`%jvHI|b0rf7j~KOu^r+
zlEaJgwRPT{_EH6#bk1l1;bmgVC!APzV5=c_G1@9^ej^!{>N9UZTPRr?^FNyFy81ga
zua#xSNt+T?=x`wB(D{R7Ie%s-p;L>gJMu&vL+cP<eNyuP_oT{7C{_9y5Np*+Gd*q9
zQvU{2u5vS2APjoFP^bEm&*>KAXxD<#{i6yso7KG#BJ)pOIGfdcFr=%Btb#!U0Xr%_
zsXs#2|Jp4wjL+B9r(rYt@+RMF_+KsEYJ32N5{<6a5y?WK*Ckvrck4=eoc?3ch1d5j
zy3gx3%msIc3}=I)mWO7O*x3*~ifHDLCzas?=?c<FK`?x#Oi*>X$yX~V#g1RTlDZdu
z6MpCCA#|{LA_6nA+dLK81<c2ZJ`y+2S!f@|yM9)L`E_$8zbOu|`MD~H3VS!l%-dV%
zh1GaQdTJTnwdEvvejw{c??iZ-XyT*FdhS$}8B9A@`(zf4RvtFuMspOgkMf+`$uIH?
z0OPu2hvd?ejjD)_2q*J`4czT@@!k!d(_rg8^R=ST-qA-wH%|CfKLc6D1mRR}5Z5LY
z6OCND2iT{sh@5^1BKb2aO_A0GTCPBnEyco`MW@e8>=4~}nfUR=#N_K|)1K@YsWN9m
z-0_?!|Fh<!@ON=VR;GU39nt+YVK%OQl{YHbG`HFODk(j@wBWyMp<bz~Zy^%mIRcpR
zikd!k^?HI8hg5_fOb9_0G0y{P{jFzW;r+k3VK_WmVh|*(K?w9tD^gGlop5q(aMQ?C
zgfDK9GDno4{^p)?3|usFvDNCdPUV<fq})eHaO^1L9&5yJ;R44lxlfijq$M=aq_wlg
z`*#*H@7-)#wM2!<@Ew<FCf{tU4Ma{$Kz^@urM@7%1@=;-BJTi}EW(W{CS!VM!OKzD
z!nq&`5*jZ4=)SHS|I$1~Pl3r4;8b2V=?3$9%XOVEgAUF6NYu71ur234X&Dq=66~b&
zjU67*Loa#Ig>pnpMt+6}JgL&B`r9y4^}F{rtz>*(ja&Lt!#`74S)qjKpYPGz;pIUX
zNTvF~2BOk+kiQ$43N$Z=(!;|bnKzu?I($+L{vzh%b>8)%sN(N0<hX!iI%<Aq71>O;
zlKsot-wYhkLeH-qXg42zG@q`|(H#aF`EAY|uKR!R0LnTFCqYA(=WE8N1uU6B54#i4
zN><y7b=MsglktK)_bqh?L96Aiz_1lDK`9nac+RNL^^r20^|5v`_9Rx48mPgb0s^I)
z3x=M=yScc$ov!5lEmoR(m5W9sP8Xv^KPGd}gu>j8GP8bwqXRzFq+x0!FK7|{OgQ%2
zStgp>;Go2Qb^@Y8#8Ks;$GX*A2%I7Px1k}0!93ZhLimwnsnhWj#>|Z;bw%hf_hgF~
z3UvM79Ql1Ba3>PF{NztBZ2aiHga<R7#PLY&o{&QJozZzLSS~+a%im`y;7X=P7G6o(
zf@MCO{Fw6uabPkP717LD@W2c2jC^!5`)t(Y;tC<iy_#hQCeD(b#g6@W^7~kq7|Y^G
zR2uR?uOuSyrJIBtSgbfmiZvY0Oy+(!B+1tvRcf}snGidxp34t5!m=tiCY3r5{1<vY
zAj?LVLM{REkNkxb1d0!Ks$F-}y=V7$`U)4H*&k>j)c$WaBdam`Jg?<-+?#-wki<4U
z&uU2jPr;^qXYv1cP29@WCqaZZE(VqMFYIpoXfpo5mL#gS4)}S8RP|XFVFu0#TTMGt
zmX`0A>fHe!Z5<Au9H7rPCG4sJU>PsMXq4A9>MzRYX9*EWHALr`Bf-wRoST;8iTbVd
z*(_2NiE|WI;9_iO?Vzh_$*Y$bv+ea3@V_+HPkEYabTQloI&oKE0W;%F84Vw7UTRmZ
z@O!>}T>ZPZ4Wzqq;vvIV7t^vWncQ3kep5XaJQvR2s?DGj;PmxIR*~TG=_5b_^9N3h
z{He?QL&HD6^Y?{K2Ly3u_`jL4<Tfp$Va=1Ownj(anFqb1;TX}*YOj7gCy6XPniQqX
zlfdqx?4Eka=Q0-&90vE^)%Ik#lu`E!N^RVL0nxT0!~=6i^~10c(H-0%QFpu~dpNUm
zObt>MA`^npE%202qsC;!5B5USo5~f5BEv<caa*zje6J1u2mGxoW}IaHIhrG8y_DW{
z1lU1%nedvjpoa!bwSBo<?EjhdQ_7vB*M)_}c(*u7>pN-YVTMr)A}S&pG&c?T#=3R{
zaP!_r@67^2uPu@ANBvus9x~)(T{V|)p`<4jf2i8l;h@6}aA_JUqNS{d!J^?_rnPa!
zv_nz;5j6l3j_5zU%aVLdSiphK6H@ljZm!akHj<`a*B$~%DpX>(0~}f`fUVXuTry)z
zM-sEh0Cbkut)x6uzFfOzsjx$vPe4s;fkA8)h&r};Q|r>GboYH5Q$0{P7+N<IIl1!D
zB*ifG-{%#2y2}Zc)u~#{VCIqK9wtR?tQg^kG7C;R^+-MveTsehM@>bcPH7>VzElkm
zi8p$!B{I|vOJ*{4e7W1}SW~zsYC}R>AYO1gOmiCvnzSUA6w(6c>~5B4TNvQTz99WJ
z`P?tWRdPxl-_F>7n<(_g7^S4ia1;=UO}!*dmBLu%W_?{Er&lsA8?Hl&4-t=u=4!*}
z-CLgrg8v^PXlA>PB(@go<OD)nhw>dF{0apg)<#u<#*jbIRy^xrMnKWL3DQ8-pJ_)F
zhD{1J)I1e)qd`D;<&zLre?UmBn}bk2I`ELjpd}x?<g-liJ0Mq4v;XHHlEx9{FD4cR
z%Q=?Gveh}fL@h}fL&dM38vo%^&6xL&S=h_0&zn4m>kP*RY`#S_!(f^z6tT5>>Oh$3
zMJNLH>5&nN^F&diNa0N;1}rQX<rgwjVCTcDyvcBF?h2cXF&{(f&ZE(nh^4Op%^oxx
zjij3TchwQwXea%Tl)SoePX+RgYxBzyd<#}IBq^C`Y?rls8+`%5T)5SKe44q=2G(Jy
z<=tKJ%$F(4*DoAS^qW2zG=%80+no0(kHNRRA+xPAV1g7Yb#f*n&jTh^82lfTr~@$Y
z9^O6~*1lUn2+^B<&O<Fi;v2@sbU~^~qct?L6FkloH=Qu$^0=I&w`aW%QR$8wB~mv(
zvv*c}L@PZYWBOt%u%DN<)(!n;`4e7}wh(rc>qQ_DZ42_H=uHM*@9S5QlO~xvWR2D$
z#V-cm!UCIHt>V>(2rJu2P&1JEZn3&Q5;?=Fqpg>Zn@RlWF4J%q714gX42y{{7%>UN
zVfY-_3pWN&;`oehhHWLija?9Th}+V|c&{H*<PAGC5PG9rxLr2}oWk!Y|1Y=B(73;o
z+o_sA_YQ3cq}OvlrWLsLxo&6Yv7H3Lek!PH`PUd7El(+adLZi+$5bzkg%)q)4osBf
z>%1b{+ne}{0qy?@9*0ylWVhMt-yDO>pPDmiy^mL`nYM?asLz1o8=ZO-KG&T+k{|Z=
zY>5v3MQqA!ca%c9ddr>2%)(@9L7)mcXr=Wa8rGdmt?WM99?A0J@iiMp)skZr9>g!P
zuw|eouFF~@DMM>$5SldjQrG`@qZ&ezG7}j8Q|foEtj`ucO0uVTdN30S<6-DXj&19E
z{c)8OG1@4`GR_hvOP>Vd03_f!1!d37(ZvU7pXZyoWtbWFts|Eah=S2=JQCP3ZL}u5
zpN|e8<)bokI6*vG>mf4;KeO+UbFe^>*nbP_k|;aQ&INip9Gh^kPzOZtW*-oM9Pw7`
zQ;~<7ZGd@Sxq~BY+qOxJL7wZF_$J?ghKV;M^^fHyk-2~P#PQ2h3h_i&CJgQKb=|ow
z{Ab-mS^;Qtw>J0@j2CU#u6)2mV;>%3;wn2<(Tf<zBbk^gueuG59)l$aVbNjy)~H=;
z@mbiUj3P2_XmwNvN#FaVpfNvQZ)cy3A-yZrB>ro0!J%3Fa2|C;>{9rId_~|5s$WG3
z+hHc^Yz1-=#qMpG3>z_J4oB56(}XfV9r&<*DIp`;4sH9{*#6L+-tTj2=WfLd103$p
zAJ#PfIo{WV{n+$1!WABjcgwZRUb;a`yNPc*TyQPnD-=DxN*pw90)-5bix{)bv)h{u
zmk|~tboaf5CM+r`gPeH+Q+e8KX6zE21{n1L7#h7kGpLRMO2$7e|Mm+r5S0qT&2#V4
ztO+i)3F-w3_T-OtoPDzIk^vi}OJV~XUunjiLTWV?8-=HCj`r2`UX1vfyci0U^=ig<
zXwMQMGo-#v{JxwjTG2w9dl}R(72?!dxThLr$d{YIggrVm=fdX&jN=3qhA2?=#K8Nx
zIdj*gKn7D|{-+KHFvp6%E>dRl3AgQrmae3)0%)~rJb(7&oUC*nFw_{qaz+fWG_R6`
zcE*OG!rA>er~3Q4PAs1|>z5B|Ta6>A6`K!{+x4{;9;~q+^pu`1N|~crsrYP;mG4_b
zVdTO!idaUxX?-~ZT!os=Z>a#9cyD=v8HCkBUU#p=8(LV~3%lsD+Hv2t`xE}jUpxq!
zH)#JX3v7rfT(PkMt7S(%bxJ$!!~Vec7D^fdGXzZ9$G5F|yg#r(68Q7+v16$H$$Yd1
zV<nFQr8N!IRtk(E;1oAx^lLsx4@QRzne`StEu1*_xNInoAvzJ!q8Cumc^^^#jb~oX
z*v|@t+XD%ZpWR+rUJ_Tz;KIl5{d&qV?Mb$c(^s?W_Hr=#1k{pCgG0f%4kjf7->pK9
zbbJAKf*h&);fG)LD_j$%34ki>9;9jV(a6lfo8v7;XQ)<8${jYbdp0S_egRC&UMeW}
z^3VWX-A9FP7mrNC+gfF!k$HZ7GgIA45)7#n{DzoDuZZ-+6E)HFL5SOZ$;T;1@qpGe
z$0_s92E5=n><oj?6&;nS)m8;QQh|XoY&x;MS<osDv}^V9(a;RA9$}#_T^l7L)p&Uo
zH3<b1hIgyo<+@l{dtcn&mIy+c<O4SScSC%p*4K6~Q7W5Yeb0!YO?s(_9khW%s<w~S
zb$B}~$7wPO0UYvs{dL|tB9wd9=i&y8@+=!TqZ&#e7;k*CLZ8e*N(zkeinfA==X45J
zr9H@c0|h7bwYM=b+C*S<{aiNN!qBFF{9;(7jF=V3Wo-g%^zipG?9Macm;RSKep%=F
zNomg_^dRroSc}RiayQh57!cpB(tJ*kqeec*nxKDAs&jP+-7lr}Ai6dCDdg|AwICGC
zH3mU~C_5z}=;KU4Pk8jtp!X=#>a|R-#CCUz#<vYyE$El2hx#F{J1kmN@LVJ&=-q2Q
z{W(MQXypnob<-NH&^;@fZoF9KbId^)0wX2Zga*-u&_C?v8SbGsJ7aX-+{KR6I<^)K
zidbmZFN_+28HzOIzie>Xhe2~1uipXGi5885ks0~s0tl$s;yu!npkD?}>BNr_Y?#eB
zKyPOVzD=kRom)cf$gg3`C8YU5+{}}hs0d=6p&T?^#;DA_mMWPj|FQIXknj8pHi<RS
z_p5*I>v&O+-ZU8Dl;Y{`M!aT4i=I#R$|LwEX>?XUD=cG<6L%PCum~M`GsF4k>GdmL
zGZGOz<1>8&PX<pitW3I%JpT(ol$R~hxY{R8*KXUbYSpXjDWD`APKCX)xhi=CQtLNR
z4hRadmmsjje?`Li9tw*wArjrLbC@kA=RQcdMQLN#_2Bx?ifdyCm8wK~?#w<}C1F6C
zL$|o7Iyt!%E8(P1R|X2+TnOLNrV39t8<+Hdbfi+5uG$UT^=qZi>}vL7BY=Gq*uufX
zyWa7t3QC~eM53#y{5Y-GF;vhB>#}_%Eq-iAye0%#PFQYBR!H}gl)+j=`@z)fq&*`m
zx`C%e7eP&zh1R9ULBMJb=KeYUmy}Lbs$;tgUS1g)q^JjE+_X)8Ic$^a?U!Dobu$Xi
z!lA7i4%}fY<}p%zqy3e6e>%<a+`ohbk=U+(bu<=UUm|&xd`{mFWFF5VpVC4eemEM5
zJ>;$P9y%@eGEqMhx^)_qb&UUx$98sG@q(5ZEvPoiMP;pQH(;9Gu~H%gViX_s#E&ws
z`AhUn5a=GqKR2b!Z6o)_7@A_6FwSv9AOO4FC3ng}#oL6B;k@ZosYoCqpq6FxK#BrY
zAC0FZ$cU<)SRHubC6}%h#&<7)@w;Qms?AwmH%f%gsP^f**&$^C@`z=!@b?oS=E9~m
z>#y@6D<z9K)x4@BWVF7?#JGLW9E_d#&|fZAt~~CFvH$rVNS!9Dsyh~*FvoAZ(!tW8
z$w7u#11ksVVb65lZ7D=KpUm43vxJY%R+Df8f=8z1JKMK*$kk$FM2*)+^xdtQfmRO`
z{|4>j4&mcZE$>3zd!qz2bPgX#F!~i>*x40D0yV`u{TOFo=^(kZw;|QY>v{>4bobh5
z=a@nStYig_M)p6Ac~qsPe!evtO5-vT2(IkWrAVFB=zV1U^h1rdg!jYxv}AyxjwSNe
zF0+$tJweF2;$vVmc)E&AbMSnO|FG8%s8u}r_B_Dp!~{)2a+=DSZ<n2kzwbQ&@DQCt
z_mnR5X0E=s+zBKZqQcgD1FLyL(GiQce52n!F@ZM&JtrK;cvsDiu+JGZx1PDvF--2T
z{4P>>An?uOnDCrcsP8#!8O0cD9edTU!w-zel`7C{&c73<Sjd5`5tYrPv>in^QDWPb
zY6RE=kLqMZf3^+a<8SPiNvAjxCE;6lZjh!>g6q0&?_J+?*B-KKx*26Vl=t?yaxiLS
zKqLd$`kgamNi+w4e5Aa+Fw>38*9ku7brT&b@$2kug}s*j=>>bPO~hl^eIeR@^K?eh
z4KNQgR*MFX^YnzPJ6j3Oy3Qh+u+T1Y<5z|4AZ_)~M&T$ckjs#%<O;`Z{E}*ANGr>#
zLKR@0GfPc}jYW-tSPyg=k^V8JAC%=;44~shU!jfRrYf8sF+!;z9K+BF9#z!I_UEl9
zeUK5fdvwf~cB+n^Q7J<Ou3vJioQ8Uv!t$Q(KF9`imaOV^(tj)8>CkTMV9h0{_gXiY
z7}+F%m}jG51a*LmT^#Thl9_M@g3?&?PYu%gUv1USQ7Sh-ON&;7dvph!uA9gV5gA(#
z5=R&(E<-`WAB{<`FUwz_20jGT6ZiF8nmQGreCzsgE+i`CPipMbj?3+W%PtdoR|+MY
zz#sZ$9zohNxd`Kc1+QOH)Y=+E%S0pMmB(G~4@xjmh#lGVL4O+ys?Fm#?BtPmF1_f&
z{z9^gt1b#bm)s_q>)#vr8<&AzX}<p-!@DV0w^6ex!5(J#-itQ&iDsRqzI=KE=42_s
z@jN@E_pc!FlRH&|P`gGVlpH4j0swl<&YoVT(Am;qXBY2B%M8wI&%#HZns^;ki1YIR
z5xO@PRkBXfeiNmZeSy(`%Et_<BWS(BpSuOhJrj?se+@@SV4`={XO@5CRpm^nlH1m7
zff40bHjIkaatdLWzcFAuwXDZ3rJ+d!n;m(z>dzb2&KgVOlvw?sItjm^9Y$_&jY|#g
zBH$0}%Z-9(lVhq**U&4yl%z+E#F+GVD=I1^>}<psf3Oo{E8eP95MAwmlL+IU?iKQ#
z)JbG!{722BoNG&~9F$kWD!|y)-o#TtN1t`-a<@R=2s9P;rXkGd|8EDFptWO4lB66u
zh4rdDrgvnm%TczWzbOND=wVqsrJzS0HGT3GyK^IvqK@#eKj}VD4z}L$7vyM~)9VxY
z^3%%&h@W3FL}C*lOxUlrufQx5@gD47h?#eHT)rDSuwr-lZKzDT8g7|YNX2B`Ih_%;
zLDl3cu}cE?qT5tG<1V?3%&1w%+W=W&OkS`^b^V95x|Z`<zzfH1RY|rh3KpzXu6je4
zw)-&CuWO)JlVvow=4Mz4g=4iJ*bB<Xf`NzOF}a*dj3&XnhQ(D)+`Zg!Px|YT3~@-0
zQk$55G{9nXXb8ezC~X`c=r>ljPkwso)G|F?irwnMwzK5A9fw<k0fil{Yf{NFNCQOA
zYjeQ6siKh9*MVt9*Q3ovbCJB6)V#GGfwQSsI;T+Q^LmrG0jjID2g5>V>B&?6CMx1V
zbfH~ETyyblif_Kf#x4TM)UvMJ6r7w0ATT1zsrofPl>&xq`hnuQu0pMf2FBrBGg_bC
z4OHn<^^=NXHMv$61Cgmm-c1|^hkGyw;UqNsR5zG?UZ9S+xc-8l7<&#IsdnvYoX|8;
z@SISfiTXo8UhRw(^Yw9!L6dwjY|s7lYfQ@lMY@WvnuT<**sE&W!h%W6UPhyPe_@3@
zg~q|hRXbFISFnkY16c9zb{XJl9Q7dl31Zk0k<zVO341f@zv;l*OUr`ADg6OIxiyqw
z8x-$I7<Uo<4bAVmf)|!gQxH|;`4^@O*f&5~3=3Y)kF_Eu(Pu2AA(2q|fPl6PO3T|H
z!;qS(^mvJ(%#c%6m|*-;wl@0rXSHph=Wo5@H)<=WOIi%dVM0|?#Z2|LpzB(hOC_eA
zv;tnmK=LB1Np4C*06;;qBJdesx8};P@o9BXy#isA?pWqbjijL3gb@-&b%zZUPUA8u
zLI(1y(XLIR{^Ws^dgT#YKpaFKLZY?5_3=!KcDfn?xnErfRr%Qk=WwyU24dC?RRa0H
z^i9~yV}uz*ddd}eP!or9iEep05=(@aW#(HR;EyfpLY?y}UMeP#0)_K=ZidxdT5?qG
zRzjSH+);LBQ?#m}jr#4#=0j|J$0F=1sX=XPUpzj=MoX!E(ir?Z(d_Y^<ZuOeoE~_@
zEwamgEf{aEdt(nv>uJ~?(6^8=)oBU>@I{v^^Ymsg8$^*k#DCu&04U%vt3ajsa8f!^
zCOaoJk*gqCv~|+L1u+>5i#W;!j7J=1Na{1f9)YTw;#H3Kz@)AdK_?p&tXqpu87|h(
zipcdP=39a~+~_2KW+N-6o2NcRZ`~&WTZX>3pktbOGeI!H%6xf2tnpv8o)G7e!~{QZ
z@BxKm$a-pyLP)#TJ?e~Fr?UO-{4)5P(p1Rv%pHgq_{V_AU1~ZAG=KV~h5ZBI16KWD
z3t9sZnhwG$tYi!|mB-jd_TvfHQien>(L*gXSWPcpa(?r$L2F86lNcs$PYhu}MA5^l
zup!A|KBk0FE5KL$f(j@}9w@}nzGK(4*>oZ{fLb(z`)0ef*F0o+H3C5+st6W3P8gYQ
z86|Pcc5TU*|NqDVh};I&?8nqhu!R2lRz>$;&{fSJDbFP)7O*peaaWTz`-f^hDV}xC
z*|WlO<VaszgX<UgxnI|0v0TTpiH@q&;E*H^it*E#&8!<D30l6Spr52EtdtPi@AlaS
z4TVso39x54jnF8Xcs9Yp_Q*>^T)a#YOErRkmX>uLBYMs;2K~}MO|2jJMGu{E8YcJ#
zu3t3%i<r96Rv>3v`)=5E$HX}X&4FJj3hiu+lWjL)cZ0hTrWZFC>|Uc+wF|%~HCtUD
ziR)~Dev51Wwv}{nwOrsTFiGfx)AI%`kyhjlM8=kdh@+jyV@oJ!k~|srSRd@km@#+d
z%8v>Fcsmrfb`7q4$q>;G@*!@VM|HH7K3jewR+W*6%yEv2PLKLs<tBPiao;h-v6rB3
zui@#CVPJko<<a8TPZj-aCX*pPryY+Exj`RTg|r?QMzVB)V;g@Ri#85!2QR-1mLO74
zuqVa)u8#~r+uMO<@x>~tDiQg5jhuARyLzzFI{ZbL$5C0DifCO`x;QgsPTM*}%l*q&
zku~QDIIZ)X0Zd(d!&Uh4*;^q}_N4aY%W0|Yordajs+n((UBe5}l+zAc;2Vw}jugkJ
zkI9`ZKpPc3XgDwGbRPv_+IJr1Jxs2*9;mP)?lBiI;aelvE#`5yzX8DwdC@|K30~x!
zw`8l}g_9()z9zl8NlOLb^pz{=JQN5xT_)t}NPFFa^nOrAwG9(i1u07SS!cF`Y%sv-
z*_;^tTBe`!Co8f8%XnOm#o;i`#Z)G5)SHQF)-20<mmUzql!D9#OHHRH;{?vC{&kGF
z;7q~Vtaa_Jtemw<Z35I@^?6b`$Motj&3hlMClFL{E9yigtNIn|_}o}~R7c8`IBz&F
zrzEh3um9qchrwxrL+fHI4#qFtUnzvCA2JPOcTD~@a!b=TuZEr`M8U?k24n!p=lG`d
zRdxYFnhIRATAKR>fkWR80LN5~(E^)=#J6=eH>o<C^rvA~KCQt0TS85BK>7_Bfq;a8
zWqIZt!X!zv=P<WYaCNnq*#h>_3F!~8J(D>o3cMMjQpfp4*a>l;RUf^IVoyLlhYFYe
z4gp{^q88>Pc7{!dk?lYok^7$p*lSin&u<_`kC?mhhsuHWZ!nl}>JK)#61H-p`;f5a
zH{wtdGypLPph4klcJS@?{gX*TQ2gGmv_$+e!$@SOMuFzGaig{<QaPP0=IT-y&8N$|
zS|)X@pbVShiKkdWW3tE+_N19sp~IV4*5FWvQLpWO>=QunX9PO7JIH}E884!OapVQ7
zmj+0Y?nmO%TaDU#&-ym#(<@<oTyI^h$JHwu`j}t;4=uBsM@ZP7<v^Kw1)DlAm_11w
zWQ-76B7`fV(?wOXZ=(?>>l6yz=Oig~k8_)*lN8ROsxF55<q?;ZK)CG2KQhxwx9r*$
zA}c1k$YqO<83fcl<Zu}6nf9e`jplg|PZKW2M9{||v`XmU4;DgkypA=nsXQBtDbPn7
z7e}{zvPNTG)Ujf$&@uM&ejPgY)Jqi8(ZT}T@KH<wX+t9qrACI%MPvWJWaYH3f=Cev
z*Hdbhx5vrjt<q%A*A^nJjj1MyRPDBK(z*0P%q&r?cyO+O3porx?C>A}gLd_ODnh7z
z<>ho$b@fS-kEkI^R%(tnkVYJ<C;zcR(uTIFwXlj^gAo*0X6$ttyqh~lLewK&D41hH
zbPU{kdEk)jfH;le<4w_}1d#%zNGH4d302^&-tSqs*uNi3@+f7!Q;!MHq$LgZkZ%~b
zLDI#2aCKI31#V|W#9O}cOh9NrER1FYKoReIwGlnKWJaadgOZ-ka{1LBMQeN(2aS)W
zW3X32OWbN(Gf^KKLMbR#2HQNP+gEoH|DS2L<8#{238zbB8sTsyn^`kRm!Z(NM~g20
z1h^xCRdGVq{9jJjrJ^H}ZJofr*b!B#iYrC1HGl--f4t!c*!(8ml|C#=atGa~kSlvO
z9{b>$k5pdnrUVqpNFfn_QKPZ}`kL<_i)p8kikO$0{Jc2>llV1y8Bq`S;Qs|eF)f%I
z_WSAZ&;0-zwG9)dmdMiQAg%KxsNuE_1|(u>nm%EQ>HmoU0V5*{QFUCT&tg|4bTA2?
zUDFGLO_x?GL{|ffqfya@Sv;9*)I3<rNlW%VxfZ}R`fl2q3m=gj<@P^>mZ3U=_Pw=4
zcFtBFghXx%i6OI|eNN!bqAPfp!Y9=N7dG(sdfO%Ov*yF<%Gb0b!_5Igy=RT{XBP`V
zef51cSCfMXun2XEHhLEtlBlXgz>``~(CAy(d|~SCE4K)hV~~6Nz!GxZhQ2i6?GMk1
zf6^=?Lw5Kq0~kSE?h#kEU?}hz+$r4D>tpx7I%Zn#x`Q&}@D>n(GRk5sIy}qF)b8N9
z3`-)Yd@7FGX71PRb&poZza-r-o${&<po`O-%KMScNKHkOuuAWJEgza5(+>r1quj(a
z3S$@zW}!sEIzasi1bBFQA)v4-eDDEsJ82i#yuU9KM_)lTzcd&#amw1?SpGTu?eWeN
zF&_v>*)e)vJ6R7{KZceivK2??%nRAd<nR@TMV69M3ta)b5@oGhJRgH)n{vz5wV1Av
zfqA9mkm4|WwN`LvDRV#F5^;O8aWw<WfW3}z;Wh6>`4X=wG#mVswmHV$Il7yVhn+(l
zSEB{@bdzPLPxI=}7&x^ku#J05?pwmJQ)~22D*%||&*FZ@Hip;Em0iz=Zm*o?Uhq+t
zSRJmI!=@Pw0gP+>>Mu+AH*}N~*7%SA+)|#Na8lc6=kmP;?oDZLG+AM<o`>WJFEUoH
zjV7BsU=hrQVLg_&;WnGlgvbM-0~B60qb>bw?0aOGpd>(C9}DBoEPVN2=#eT?3|0?^
zzMu6=U!E!0!t7ixKODM_))j-wOxh)i6I!kPzBi0fXSuc!-Nf*-)jq6%l@l|b>Lg>P
zq~jU`Uao5u{qz(|6w-~3zD*+jUm1DQ?10_}&AW8j9h)HHO!AH1OWIucpkQ4Lo+uc+
zjs$tFz(WB_NXsi#{s|0|e3viuat&W8kx%J19dj%p2_e`sh`2YKV1>eTDUWw`eiGaP
z&p+hA7(MQkmir+l11{a86=NC(&C2%)g4)v|p~F~K-26K1@Uj{`ynz*4q6aXCSy>N|
zI6V4uIvmS+QIs1Ayk!<0vv!OXxu?vQUi)?ifKf~yLOyPH-hXRgkfx(FgVw>=(pd5>
z@uMHTA7qKh&c5N}Fu{Y{#{l8lQV6`b@M1t@8Ol+tJ4%wa&G!(ixMd+8pDhC#+WcOL
zl1}28MAA=3QeO`L1pA>gt<VaV1%6Q1Sa0IT-2M*0eCR7{$vqmN>2QxC4wNzzVe#BE
z#<cT0Kg859GDqPOcv)N`wM}<Zkl|}Pe;jC4LPCli+C<%!_$g^X8{?~1sY~HSuQ-1V
z>CHV)M~Iqk{F!|jTugx|`rok;Zb0asfOwDE%`EU0hWrsQQ^7wM$z~hjSsjOT#~Fg3
zPwWN;@bv(|lr`Jq;BObf+6av4edZ@cfKDs`>OEb*5lzH#(OeBbuF!Vm%B9M2q^ABT
zV56>Fbpt?=AI(Jy!8Jf80I_fC*o;I%r}l9pXu|8vO32uU6okiv4Wm<fXpTet;w-EE
zW%krc9j9SEl>)xGJRe?52~<5AcE)ObrEmn+e*?em{G{}ST>>3`rFU41QDaTat9qj1
z)A8|mi4)MH813V90t^@{<tSIZhu@%6`eUgWS~pX36w-CK^@^nH6+=fe7k|Ewnl<eF
zbSVK7gHylhKE>NsTk-7$81>JCrzX&aIu&1}CFt1I^Jed)%HdArwpX_8R4Z2{_u;YX
z1&X2YnWwU;5nx!Ixr<gG%~sw6U=NJ$5?qqwHCbL0!e(3a_={E<v_&SS#Rh_c^jV~a
z;z0}}5;guM4;uoYb_uI$^hxno(cO>d4w6PtJ)pERgw;@z5h-U)pg4g7ZmI2%U>qDh
z32)eNhyQcH@RUPOye{EnN;aDA(17o>2DxhiX)j&UtNAI_bj_(a9XFK)cODIshhtgZ
zwcIU$@PewG|LH7nQuq-%xi6WTQR1#&u@tHD_aMUitVlSP3u15X3NMcN;dHSC0sIld
z>^dA44)ttc67`2em7?FUWT<_LmiiA_-c}VH#s^b|Ci(L;v$$Ct`DxF1i>Q>_@-E9)
ze9n|W^2&+c^>{Y*=VB6uf{b*V58PTBv$omxfjx4RN|$w!iSOT|*;H+>ScBZO8RN_Y
zs7<??)JcVxKy50viLyQE#1&D_m`69d>n|{@yFx<cBK@~TO@VaJI2+KNfFxn$=I(Ii
z$r`trv8iUGn>9Uzh=h(V!ZZOqc4&~achus2<>RrN_!=iJ9}E!8bj)o8KCf4gob!Lc
zynHECFvxw%bnWN3$Iog*gYK)U{CuGA#3&jY);;5Ff;>L1n9z0a?Yo`;Yw<UiJ{N6q
z^aQkZARy^?HM@`kmGOyTE-O1@GztiT;T>~G1IOATiTR0vqFUr~|E=v>5@H3u4|)gD
zemU4>D+w5NEItE%T%pq;0w0H0g$aUJ#L5Ct1d(=F+48~Yad}xJ#Z?svDa3zow${CE
zBuh@wtPK8?k-@_h`Y7kQ)jR7B7I)Vs2<7JuHn6!A)EYqlo{T5?0Ns}1`jj&xZzc#q
zZHg5n(x0)?A=tY7@TIpOoY7)jZuU8yl{v6KaUfjt&Jh5ypF7O;3aLILyQjsfSY+!_
zNx?dO_)~m92`dOJvawN4&BBjQ12G|V0-KSNN})2w6ZngIBPnY}9gmUc4E-Q8M(_&;
z**a%YW1^-!GR1frGDgB)bFS4E0^F}t^2*Rdv8fXv)?MbPOI@Ipu*_)17=1_~+3YHc
z*4{?@R$*9pBHBq3_0jb^XpVO9H@^EaiNfv!z5M6(vqMNvoV^*3tT3#5$cq$5NsxI^
z9iwp!2Mf^{_fsa*8Uxhom3&4he(QfJ6sS;zoBelE=-|%7U2s0X$R9@t<{#g#F??!U
z1uD1_)6_V@KLAhQQ;eaYP{MGD$6994f#3aLb|eTQtZ|wJG>rf71_aL7*MTO3Xp=d0
zH%Rm6w<ZM}Jx0f-4vYzbF@ad#(ZUUr5Cxb)G;4+J(q(bQCS&caGu`+ei_q6Zo_{VX
zPa0Ffp+^6XC85L<+sPiWEHv>^*>flQR$w#Hq%anTZ)odi8-2gFV^xI54LeY#!q#J}
zcFF|R_R1a6FxwoQ(gtbWZ#g|+ofVLl4{B4J7P*$&w<pvtRl!5p>NhA`0@9vM9=@>(
z?I4$W8(>r!Cd!GljUsQ);_<Eb*{r@WMon-6B$?|~oM%IoLXGCyKs0Yfr8QQA({&|E
zZa4hi&-q?v$#jJGUl33Ztan3-<ee=(GfO&eaq)qS2ophNO?!$;$FYt~QtJ1xjCy>W
zjA|2I*JlMTnn{tDD$IMX?e9?y?5N5$n!)JCuAa>B#usA~iId+6B|PfGIk+wj5qod|
zt^{!z#&iw&SBNxks0jzvGoNH?0kxAn%bma{#0Y2W)Dm0}JvJ)SsC&_`Y4d+G!G7>J
z1tL(F5PR)g6P<F)XH$#FqtsPH1FXpX7(q^hDTtoC5*ihkrmi<EumEK^4pbZbiYmFb
z<`@T!25t@Zs^a|gBul~L+RAF>Ch&YC$De}>EK^e57m#o2jzESTovii)E{OS7KGy2j
zd^Tw*V{nj^U-d8&!>5pV^={>eqyp8nu)IdCIS9Tk+n)u|tu^Yq)j!@4B0ZOD`-|3%
zz~cUw?-9XM)H>XoTvPk24JDg}KtkCg!;25Bt`D(xF>e@#Dz`-{iHq9Wj<G2086K$x
z;QiHO8b^JazyR4lT1^S7(q&EYM#QMifuu0$KaU4d3NfIQbYG05cdvREkF}tH*b%~t
z3c+V~pZmhmJot-?+Buua#1`(5$m?W&IJ&KpRswU8^{1cIlNcp$zgYUuMrUo8Up}dN
z^#{g$kl91HE@C-@J@oLR#r)EpxxZZjS#}Dce=Nu%;SC>kvT^eohRwRmqApzKTy<U_
z(D4FS^9x9Sv?w%x`4h98;<uvdNswU|XX(T=93s_2ds>3eW&(2^fYk)V-_YOFc5>L+
z_a2xhPKjztygTs{3c6W{aBIUONGwpNYgQNG4e@q7mY8T?SAsVnQ1KFqNJ?AlV#OIC
zFnJB3v*VI#6iXsTrGpBs;zwO9riH;gHBK+TQZp0YXN*-k-W<f3CQ-@dJG$x?)0PcX
z5P{s6>u?{o^+TmT2^7DnX$%W@QX1x@wETr6fkU}amszktxQu$%<$`}Dp@~eQ5qC@~
z&xp`D+<#`w$t7O37(a*9L%y^B)`RexB8TKq&4#R{XmevDvIV_>3u+!P#pgn&Btg@_
ztYE%%=p<Iazvsll5w9X#XzPT9fy`n2%LpXt`ssKIlUT$T8OkFxoO-8BdL7tCMLJ%D
zj*H`1n9+M#!?FnO%`Lu~^Ye-h?9;=F_sKM@2~n7W>oz{Zyr@!dxQ^A}1O6rVSN^9d
z`;j686q5%nR^^nH#lT0i!B>ExE|@t28RIY*AXPRwoF+ha;9e;<wdGCBnU<K1N}MC;
zd>e$Z+eeHC)n0?GY&53KrJgW=tp5lH0W`61MhbjuN9m{PY^5oJ^%(w&-J2~$o{P|)
za^FhsrdAxXm%bf`*p^elHa#ZPIUd9Y2vfSh3eyd9)n!rE&0RF4S<*0D1U@8dC+0>=
z;l9(|^Ys0=Rq+#$IeNwmytLz{&6Ac;TigqAoBtT3wx*?+F_70_V^G-Yk3<Z5#lUk8
zM%*hp-POBuqkL@;siqb_<$}Xr6CR#8C{~IywNF*FZ3NsHxyXR9lY<@RrmceO25O09
zw1Ei|25(CHU_2NvD~!lLpbh$JJKfFEW1uj-PgJESiRDR4qFFH2S5nvN7uupJFIE})
z5O-=KX7Ob=o6u;HTJ@t=H2-QR6SwcLPR{$(nmIi2@oldz4dEK`#YAx6*Bx}H<7hYD
zfw{Gc8|dKPZSH>hXrslVP~n&n+qDa9t_JPtWn38eo)uTm39TU`Iaukh1=A7b!uO;d
zhonM&>R>^EkoOQl-Q4xQjj>M2!GqZe_J{U|co<KC%K&b`P$Aky0a=l!TwGS2N$IvH
zIH`(3M!6W|z1+X$NQwdc&Lm!c7xBemc4OJQ<LOqx$oW-kK4DpMCQDY?iSVV=1+XYi
z#NUaFEFTkT!c4B79t}MW)utKSJBHWU^cnw9N%c%v6g~YLY4A~xYn#sF^(qZ07C)+a
z^RJuwKrZPrFUe$lz6`Vgu|F(s9Z+wCa5#ap{UenD&MfGZ`Q1w=Ht6&R&D?`eQ;W(F
z0K_IKoy6ZTDtC$+)ZQ^p(990-3NP_XTupiK=-mIi6Pti~XHoynU&Fz1)LFDWg5(ZZ
zV74r+^#pYOYAz=J>?Mzr2tz&5ltEpJa~jXeg&>YHNrvNb$;mox5V?Yy4A8L!Oq)o^
ziT;6bm0_?*9Y1PE5psj_c^g++dBA!WLeu%mld9~xe!UwNO~Sdmm~)K@psPlWBcv%?
zF)b2OhUxp2z1gR)@udY}b?*9uOTXR3y`uGl5*4mz(eSu?7`<m5<hkVyE!pasbm$lQ
za*>XEG{OC{@!AW9JggOtM6)~9R)oU2Ber@<D2^q+X6|PV{w>za<5GU0^mo3u^+2*c
zE^RR^q1$pBf;g?!aRVOyo(N*`OrC=6Aj^1X#13#cO`dp%O)&}qL)V%VKJf5yVW&+n
zq9^x*h%|4ktv*wJP>$&OS5V=Bf?mDxA|tfquWXPYXch(y85xq{S5V<vAsICBV;ye<
z6*N>nV1atv^dgtrQQ^c^eb9<)>0+O_GJ{;BK9ha(l)c5sZdj9&Lk!c~Fy)V7u)@Q<
zHC3u)6+ODXfs5=?5M##ovCJ_TQ$@pr&ymf&+ZUnR=(ugOGAMyr){eNpZ-kz-r7!6g
z<_Z}91Gs@g4dtTXe_F+tmnnPpCt4>HdsWk4J;m%wdZYhnJU5XVvsel=AA|`ia43Q2
zb06refCtexc)rB3M(H{ff@&di4VIu=9=Ycurp@G2^I_LlI@=a6=CKZW?amy`JucX?
zPEF8?QX{y!cdw)W?*y^-pU*gE;^Ue6442*0)D;fcMtiU_$7@4N$Bz$XSEz^BKT-FE
zxi8HMP!l7<`vgkLOowP4FNT-?r=&&3qs5zr{zWfHtwB;s6dx@{wP}?J^f=1^abzW%
zy_pXoZwE8`@>Cy(y8Bs{-VH~r;{IyO&%!;&zic$-<t1hX0~!#E6SjHh-x{lzSCw!p
zMy@Yr6MatIkuM<5Y;}Z6zGiOr2FDw?Dyk1Xenh|@Cb8dxgkwZs7QRkE6?R$~>hSF?
zD*W#4@!{UsRH*2^B?StW&X$!%EPHM^slxKhWwxKmq(*I>jcD(gwcyC6OGgy)@3e+B
z6@*TA&3{zY93SDw!8ZO{C&_=-$Jkk%ONkL=!_SF{!nF|Uu56_sJDg0})l)JJNFS1|
z2auxy_57Udo<ruXh=X@bT%&vI4+A)=R!-?{Sf#B63sJ{MzWXbLW%Mg_U=|p?gEtNi
z{h=VreC;Md?B*sa=?L+oE@kBAs{DcD2h?W=@2Py;(DiEa6hg8j72@DYTPn2ePhCjA
z=RKf;>@?bi2+iw@tLwXu1af18JtdolzW66ZDMuf6u9=-y4i)zQJ)A(U?`sgGCIkYd
zkYJbw{jU$(C8Ha>^`8>5*?w5oG7Br*ed@fq;%0SX`{QP|rsBK0#%?vtjRV^=(bK)G
zp78y0-t^M$6#M9trN2F5f#j(<E#OS4fDnNV&j`xG1SL;FwHdxMHV#Vs$;eIS07T0O
zh%vVkn6d>&Qh#4I+n_!#blb}>gjIHX`ho~g6d}LlrbN9+7yHhXZ9^LfZ43AXi#E8A
z2_DQ)LDSHBDIhAFQ|rGz{Z^37VnV}JA4X6j0VfpL>#m_0Vijw)=8q8G=vRVDl}{!+
zu84lPLOegO3y*@wnG+Slqq-{ZF$(lgR;7?dfXXZkKAHjTR#*Dtk=*@-n@=EACL6EP
z$i}J0v$9B@oy`K2h{e+9F>(@4rGXUmZq!$a-qV8sbZ#p}Jw!KyY>!P@HnX1VVpTVz
z<4SMP+`8bE$<_E<r}yt>Lt*0!(im*l>#G3INa+*a7<_3|RzRz(r6jnYiek1u8o=X4
zQ@L&5+i$wE)Gi32jmRXo_%4<ajl}b!02S%yKe(1=(QGiw9@d$LE5<)g0x10-D1ZCi
z?j;4%<2R~dOc}}=w);6eJD+dY%&!<bSY@~|Mxg$&;#m*RY`8;8n7z!X=cterhcNDN
zTGiWIKf7Vts;q`PC{IvYqSX^aau}wiztU8C=U&1%ln2>o6t+#E1*IN-<2#sboANCk
zP14ZBh<zqCyLwYhHbZ0H3<Rzq94%T2>E2q70^ZBxhOD5U2^^L|^RTWk!d&lZCcK3I
z^E>-;eQ%n|)e(@q2QRZQ^?}x^K)xFcb1Yb__=z^>8yGI0&+Z(ODSPYj+KUn_Og4hV
z5B#ko3|zjOo9^sT^5>834D2Z?K|waqj&R<%^%T7Sg-H@25(m_nd}LrDTMvJ1i+(6&
zr<~Y48*7x<t6{66kGYQ>Z(0e#<;TiJQXfA<M5Ip<(QOd@8fj{qZ|%t!9L6+Z4UHq)
z3k{|mDYUKqh)4G<+pgfm{^iGbz0R2W*fMf_kaX`4xXt3m*8Pnnbj?3@>2tmO7G7H{
z=9`kwtEH2vhy;VJh1{pA5Q4FUCZLk&(y-9iKL+-x;j2oj%Yz_5>K=rg<&4x7ARx^F
zHZZ-+82&v(>_xqrA;4-BAdh}Q*Wy2hn5<cO(4x?b@$CIq-OH%!au(3MpnL+xqB_R}
zv2}X#wn>R$5f1gmY!g?aldWhRHoGeHFB<}v?m}Wn@mO2E`fbW$+IPcJ9Vku)NjLNa
zj{Gz7VRGOyctK!vMX#d_<*L1$1`wtwK}N((O)cs$!K=W`8uq+yO0|$f8qw8Mg7~Pg
z^B7ldz64#yjk>cw-h?!?Ac~4V`j+XlC}ynn&+j2|{-0Kf?y@Xtt(?9_r4pVk>%ogw
z1$LH!tm_+hJ9%{qi7$SlP0i6gCKD+{MaUsQ;mzpzwAoht7!|3$5bWb{2IKQsOm)vG
z-b2@fkjOULL92@A^`jcje8dcxUVllmhD#{;OI2ylQf1AeanoH{G3lQuLz--R@0K~@
ztP68<D)TJ)j7+RGW?BV}!JruPpO^0puU~02M>agonK&>tRf}Y(N>$Akp|7**fqcgg
znXm-5C=v@d&xveBgpXlz!=P98BH|S7v@hCiK*!)k8-AIPTo+#Mk&u0F)ae+x?>C$D
z_qn~)b|CPn49lCf>697N)f_&ZW>eT4kUg`}T0GnyZ0GOko*-I$%X;{S`0I8hyU$*F
zj}4={9un>he`7iZ?7=kkO&h#8jgzd5R=u$8*G?aE@&vSQ%GAvMr3xbAxx&5r*|Jch
zn{@Vx4POoh-oR{V)SR)J{!b8U1&CB|C)^Y_kx}%gxMno+od!Eaf7UHLBk_~d!Il2Y
zIh$C_&E<IwQ`ua#<K=&t`-djG;<PtZ-(IqJyA8h$rM}9$2M0D+04Xnc%i6w!YETJ0
z+zwv&LU<9@>16q)?s-#$_4*aAV-{@!e?6Zm`K>Z(&5M0-=X5cqWM39PXB5j?C)r5{
z_9J)>%(@Z8N1}eN58ADT$Qi)*QVt%^bl>{aCEkB^BIKZaCsiv=b9r~yt0u9{51Hi1
zvOOyGVh_Qd6(pe+@aqdVc~g!G%?5*^J5fmlJI)cCK?HQP@r9zsbNY*xu?S0fu-B$T
z((anyQLZ6*twxj2N`?|6$%d|yq*x}_fUE8RQm8J71`}A1CEKL5_vspBk}!ligFyu~
zRndUFW`_`;)iMQ8k;>e}<ud`2jfY|Tz974%t*g!vpW~Ss(N1Ssgl_%`9j`LjCTkkc
zKnd4nEC|587h46`Is^tG$ECNLR9v7gE7uV#G4!fvcXyUeePjao22!PvE_KIj2ZT#x
z4)}+#TT2)OEWG3QnN>d#g`tXfVdySxJe{CMo#hiISFq5`Do!th?i)52O#;Dw*ut@N
zH#9)e)~|Xz(%KLY@OA>}d!gX6NaRaFxd!^&s96Y-J4i4WR(nKy?UUC6U76Sk<_r2*
z4rLxv%d2CtrHD{OFettYN>fb4wQTC2qAWiDFGx64R&mD9i}kxzwbDQk_4et>V_u|J
zE?~Z?<*s8pH+_KmGj<Q6Kw)wcMZ3B$IHIM?U1gukX-bbmrm05sJ;E7A?aJ-Y%sf|H
zfhG>qh^on8J<qF*Mh}OBtmjEPsvxfLWP}0}B0(fx|I4%uSGTx1v8R8Pzd^4<%?+BG
z3{P@Y`B_(;3rDZjku9Yf8=bLelifp$^|U3`PoOs`0|zb^)L$_@XgR1G=XT`|>#dvo
zjk`sJVBJR{arUsL9|$>?rMKE=JTFVu4?Su(jZX#vQ^)qnUiLHl;^*4dLMK8FgtMr^
zUYKJ6_*RO$vWGd7>5U8kG`U7C&5XZlA<2~hn;I+=cxt!_%=dvevnXtDE*<$PSeL#F
zx)=o>uF!-1uKKEuDgaezXDSM(Vgjjj(*4z6Ls7!U_Dngd$#_XLAn2VNOB4qscYd+@
z2*1`BCTz4~#<7zM_&+4FF9uEajkhrTLVrZx>%rzR5+7*<VD2kmi>s%q-C%!%MU_7G
zcBOyd&$BiGK4iSU)XA12Y0pk>ump@$#aYgc<#6zy83--BHTdfU5jh4UH_uAr=#uQZ
zo&dOvKLan+a;9&KNSV%hkT^bdmge%8Lv#IQ60jtcxWJGq@A;|a?ra;}LnAj|Yp{Zk
zs`UPpgU7Fv?<lxjZZSR(i`*=yxq|1>@u~RqzXd$VBglRF&0(_0A8lItOG^edYH^5l
z8$EL(DQNjSy^V0j+H#`KK)FZn`ue#XHjPsQAPC<$Qm?dNPW7?9rxtNArS|P8(&Lrq
z@|6F<s|6XeJiuBol-+Z?z8s~<ZcK>fD|o-8p&=&?rr|p?!G1RYgC`?a4|GE{c&L@}
z<C3LcRShtYoV9_TOJ_SLrSo(HF8V!kOTbr}f_O=k6ZKAF#TtAtf@YwJj7bV2X?-n7
zZ=U!K?drE-&ksKqKO>8!l~<X_1}4q)wTVnLc_`-w#9&&*THu>}<25O)I~T=HNwHif
z-!)u$RaX`+S$M)cp&%~ShKs#sujEUnQ^J&D=YZ{Q?zGq=^lU|LN67Y?wP#o#1dR7_
zaL6H6^(uFNi44EFs0sF{tiWiT6vv@YRy=qgobDDlsUwox5Oa^huDP}cy2$1@F^RY-
z9RAfUky2|JG<-9?_&OPzInGXN-vx#|Ib4C&9TvA&OkW7LWqo2Oh%j!ajpc)C0lZD@
zJ2(s8Jn$gZyn$1{tVI8kNXDeXnyY)p0&l}_8+m@<cIrWSa`K9g@Tj(rDYyG3P9M*t
zcP@41>%V|HH8FJr^!152zt``6m5MGmfkA58s(|cC2B}2+m0fs_?Jx7qXA>MV{)UdQ
z*}87;uSN`>!{Q>yh~?j;SG4%UmfOVy34cLsbWD8Ryl7GeXs{Xp=(#9M2*;Y6?m`*B
z$2oJ7M^qxVohW1ulNQMp0$Lifgp*du>;Mf`@B${=e4Qy2@@_pXVbdIPv;Q0JjKFg(
zwlm&Zqnn;W7_ly&*3f7t{B8!0RQie1P-z8GXSo7CwqI+9-HLUNIx*v=>k4P1Th%$8
zwb~L=CDY6F84M9^2pLV1wJ_713!*5nD7A&Z^WJv(*w$=lyC^w)d)n(b{9lZnhNa}T
z5A-;!hMG#!Q|tktr?VQSdA(>)aonuYveP>G+vTI5KO62MUjCVwxNIn)mvuiMsRfl?
zNro}_6yzAz4#JPXa>!S<1-|=uAqbhqM}d|(%tVE(@sn^lQOb*N2#<P>EX~rq{;g)7
zh|6s2eHzB@(><apUT_SBANg#n#I%10IOh;~SUCgAdsuXZfLZYtwoJYV(v8JaHomVn
z@+GDz+qT|Pd6<7V$LVSc)Q3r=56G|U`d>#8`Ztv6oxMo@=C-r6Hz<u$_{?BSOakPM
zso=I+V|1>T6e~9HCTYKyAvHcJ_cOilx&isihkJW|{cBlcxPVPUrF|53<(Wa&k$+1P
zR1Rz_2)pq%*MaehL`wrIEkcdU`dn}&-G7DKILfT>P=3PQ<|seg@+QtA(W;@)o$Ma|
zVWaeZzI86&LaE0%jtdaO+7Z7w+W{6xUD<DIV+d^s^$)8Ul@i_hZP%5#du&Fv$Ro&N
zn`b@)-2Pxuo`Aj8hQ=3+f^N;-;+fe4KnXo$%tWC<AEil&9ShZ+>tS8L2Z#%N8`LVm
zg1ExByYm$Ek!9MAWVka7-k#UnyRJ$T-pY*y$dM(&m4386T(E|cJRPd>6rKDlHZ92k
zP>C0jyl)n1(@fc={0rY(Pn~(f1C?RD1~HUY24&nX#{$bb9edH{mg8KRY`bB=^qdIU
zHoBlDu`^RJ7Zv8OVS*y6Le0+7;;)oB*+@%9k;}J1%m;hdUjA%#*tu(+M-%M2{l|0b
z^eOr-WToZ_8B|Ihg{1F^g%V1!(y^SiGotB7H=IBxmv^P@%kahU4L{P=%6*uTm1MGE
zdl?v!X*2!@VB5s9@X_lIe+#nRsjE{WoOhuR?{yr)JOv6e&D%$nFQoOIzmTXK?M+oA
zafX-kzdWp4H(cXuRsE;{Hfg9SaQyHtzz-6?vuIyZ@6@^(vzYaf@Y!Gp6qT-hKBR@q
zV!}6ozSH=?wyrusQC)KdQh4>~ZLIRaQmSqOU9(^!uK%ke4SQib;P;?02Q3!n_)E56
z;LQbh)o>p{kf3PqUQ4+~HDj$q_i{w!qwwiNaYYLB6d~&L1}bB*=%%ZyD!qqHnM&sk
zGKN^V$^IANwUL>-N=X$j6FPprDu$=$d*o&8{w%ubhvp8Gk3Z06!9gF?q;aXQu0fh_
zQi6Me)|zPgC(8P^?A;QzJDXew86qCn0;<a61fW~NS$JmNJin70;idmjrGRSm`#i!<
z8xSP^7u&Ex^l5~onC>}@$zT>NmyI*X$2hF3;3@C|>x03S>S&b#As$o0R!n20u)KsT
zi_I$0FfFc$)-BDJ499O}{+jNv$ftO(jWt!o5I`~49s;URnSwg_KFzAWZRN6rQw!hF
zB^+c{#u8Pr9)_(vP(wWE#pbv!K=Y-i(sz85aoJVdjSf=vB-$&m5uXd7H|#vQi2iO1
z<XTatf}o53@_Wp4GG-%tAQ`?j`^}oF^+_PyHTiWZLdVhQyg+%Q^Y-*61J0quC#r>w
zfT3($Xd^ecaj1R*4h;D$!Dz7D>M4Y~hDjI66Q7OCXJ8)HA`PSZ$T_&mjp*ozT2=-6
zDRmp3qG-J(U7Fk76R5S(V255&zQ*|X3(s%tdxyKwi&b1Hb;-cTV)9@QJ=)riLpR2O
zmXYQ65PuQu1>-W0On^BMkQ;r)r)V9wEdXM@vox%!J@ISf@SLiJzd9_=#2vU7{#z6x
zm&iBBiJ8M64`ed$9H%p(TJe7jsZn_$NM0_5>yhCdJ>zTIcaLc21fDGrP8s4L0cn#7
z=)TRrF1hum^u_KkZUIW;AU)MxLmuB<eb^@t=Cc~24A`(q^A|pYx<jX|)HTRi<Llu_
zQCiJ%))MQj+!9x(mGi^Sn>xm)9S4nUQR6Eg$tZpUWAQRgG-e?sx3df8Mo`R0+X>JF
zB@>sTEoC)4zc(AAd>^fd?}KYh`yE&KKUkAi4;?{g&Brc1X!EvRC?6w|S~OLt)%8`O
zE6UeOQ3m-{G=QAGoz?3Dil-|wP-kpH#@a&wvC@*jTN{)guY-jsA-4cfb<S6R)MzI+
z=Aj%mOfEZns<A1$`Exb_oOd_4aKE>k>~aEb^0fcDEAAi+I7}1>!&srl{|&)47&%7_
zF_lm@ptT=Z_a+NH4&^jz&~RJ57^89@m9OxFL}yDrScm2Lm&H|Y0;W`v=!-WI%#mX7
z0kBGrMx;Srfpd-3(eJ7t=;RJ2+%^cgA3{$XDU?ByS_ujwrL=;mL11~8O7r)$I;wlR
zfB{@HmMa0W+o>oV6ue+QloftHGciuwOVj%Eph<0U;b+%v%PlSTOl7-$JN4Bm*fmzT
zwBhi9;H_(+I)?+&JQQg~rp646S(`?Zdv1&q(1O(HWhbf5FgN`WHSvAGXCc+uAMRcm
zm8`!PVoc1Vx0*QyXKE@^$A~xc#TH%Fpfgjh>_x$<(^RZ?AOh+SwY)*nnmv>YH%#JA
zU=Wc$R~BM^z`}ny=$YD5pOVx`S4iy9z+ye#*<{0bwsq?Akv9_k3Z)&^_>nWC8$}g<
zZlYDd&pIqeT6OzwMnB*D-NdckSP_okHa0+u=v>dr1BH&XWqdU!rHk!#qD_*j&`0al
zt!%CqE8XHCLq}>F5#y+iRGePekWLet*;PPY9d>Z!-I#X_#6|FsKqtdZj0G))KxhB2
z?zzAR0^Y2XZ_i=NtKkhMi@ng*J$iQ?y)^bc0g+Ia0nx&uCK6%lTzbtHHsm%3j^+MX
zT-NdH$2l3I?h-=f2P<`@*m~>1oIA!6hq_d9!OtpS!-HQb2^P9m_vBz;I`ei3fw#Rm
z7IQ#&P-<8`x~&j}nGYfH+CcQox1|2k4%p?l-LOW0%^hs&wiQ-wm*XbW>cBJ8^dtNX
va1!>b#_^ylTd}&)v~k=6w8G@oD?|^2Py*W>wXU+(-x0*qL!>2cg8COtmh`9c

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32_shift16.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_cntrl_32_shift16.v
new file mode 100644
index 0000000000000000000000000000000000000000..a0f60d9f88d49aa5bdfb8c5423c4548a5b0c8da6
GIT binary patch
literal 29992
zcmV(xK<K}V6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9N!<f}rO2wz%(J6e~&2(_EH
zSv>&a`L55E^gcH?qvZ;pzPRR25Y?Tk{&0GqMi;%Tt%$`aDPwUYNhfCF!2v&&$YWF6
zRZk^(^#ZQ$bhPkNEIW440f}eUMT^W9aDyB8!0Ct}j=UO7>V$@?>7R24NgE6Z^%^_4
zVw?AEIBr{kK+4-VwE({I%iJ`XC}C6!@TJyvFV72s<X=b=Auz^e&M2!v7a&rovyU>1
z(&6V1eWbuFW2MRM*?JU0!JWqB#=pfgy@%-&GS2`**{VhP^gaHsJK!rXi2S3dWMp)1
zEN0ny_r!dTe)I={6J%CsB0HvO;ug}fb<g)C4{8v60p(zjt*Hv=fNmMm?r~o?JrUOX
zVL}cW_j6$(oHoU0SV<~UrT+g>*x{Ptt@+ebl^o+H$sTWhMu7zQ(2x|S)h87SR{}=H
zuyvQPe>tk>I>KhJgqFmDf4C?VFF!p~(^UP|3ip&rf0BW&Tr}-h6c1p62$RWsY?q(q
zyL(#xAnQnFT;72_#MRepygYBRna-wKc0fMX7M$oD#OKvbX884I1r#KXebf|AH6)C4
z+X;4af|uOi>||-_Q4n}J^-ZA7kqirk!;sNb;tn8T2-!u8)_E`BQ#{4#EZ%&x`E$28
z4Cpu~Sc^Prn3=*tIcQMH?}2F!?G#(Pe1sT8Hb@xGp?m*XOWsK_1Sh~1CcEO{io5GY
zGjvh`LGVylIK^2dP^VpBUJj<aBGY!>L$!c$gvu47mzzio`|51r-Znb|w`IihG1rD_
z>kZ`X0^*k-KT`ZFIyrv`u(@f*ETvcXee7L<kJpn?!q@`@J=%w=j2t08!CW45=%Ssg
zW$&5)c%7GdLaq;yMTfeRn}|jenX@?@sjqfT-+TPI`=%e#)l7||YV*5P4}nS*9SKv>
zp{w$YzsCrk{={1?!e}tbHK2>~!yM-CPjZ%32!db>W>fXR{capfUamZpkGPM9yJu$W
z?2_){ovBBlSM=)yyo0t?c>TL(T&uG{wJ^MpTm3mG<G;|`1USeIlIGU(gW-{$HoS(p
zo8ls%LL>k<rxDKjUW;fkM8&(8X2Z6o_eJ$g?D6Z-wMD+hLEoYfBQD0RgQal%dGRne
zZ~1Cwjbr*%9zIxL3{tac+pIVDUjp%VKq|9&&L?NAix&!mOl5yv9&KD`%-3Yz1gP1N
z^k74)mU?Rsgt@7e07q_QV~rVGSvmOH#t`x|Zvh@P)MMM8rUT}PdXW?6MnrtEKfeW!
zs-91eqf{>zz*acS3TlBtEgd_Naq@xB0)6D6Fp-MW83`)DI#cnlT^$u7-G;DtJ)3eC
z+J5z|m+lZs7xvBOuRS7iSvwnjPuiE${}3Num!}!cKfDoXD~?ESA#{JlM8^YB7%<do
zR9HO-bR?sUyOF7&wFf(zb1VevQutGZj2s1?t+Ki04Bcv3l~(rJF8I5KyK~v}ig<-=
zlf3mjEyS!@>)f>KO_SLpBiZY>`VvbZU%LG0ZMR`h-WNMNHu>kWGx#RqdKNQq<&L^C
zc$ydOAj#&RU-L*i6%@4j6;3QTHyYI!jJn9XG~@UR^j(t~B{@A$w2J!6bF?1qDR0q0
z&mwhgz<aYaZ#2R!(sc>CR$t07Z%qSug<eIx$TLa>LW-pN2`9)Q@l=->lWdH{Oh-)1
z2QMJFZ9^>9nFHVPD9Uq^et#2DxqY@uhR-$M@2+I|4c~TYZA9(DiU3ywI_-y;oV*TW
zQd$jBlp}UwHf|!?nY=Db<)IOW`rX&^Ol<a8eHYH~9<ZApbNk!4;g%HkJ$p$mp_(@6
zS?iM%VxpQzrPknxDmd5i>SKpfzx~vXUu%KGxvQyL3Oh;spuB6~r=iQhO$vlllaxD%
z-egr$v(DQ1*S0k|fM<MCpPh9;?jaa0`Db|(kik5WnLeTd2#MruG4jJ%RVTZ=6Mp#4
zLkSqp!7u6G-28v3mgF%5g%Kk*pQd*%p&j}(xbnPP7+k>`Ag()g?h5XEC!ql8@Bz$x
zMFS6nsg0`38grMtI4c$Q*Ufdvu~$%bQxJXXNsK~j;VB-8NlCD1?xaM)RQ(St&tm?7
zK-o=lKQQ*1JOzB(3<JZKG-FB^6;vJ@ip*oTDhr&?JcBr&<snEun37pLDIpt!Ki>3f
z8~4)}v`VZV$5vPw1wK1q>}47F13aMV<ybv`D`#PFSclMS`v>A%c#Hz?S%+E8mnVWv
zMEtfcs~YdIzw!f~1GgD!wx-6TS}9w;0(43x;RSJAjXY5pXT(gvDM_3hMP|a7dv*?%
zShB(QB5l2%UG^csx1?U@d)1GWqoJlNisUd*arE}{YfNoT+;_EA1rFOO!yz~C=UGhG
zb;bsaE7}Caha0}_OMPwS8M_WR)%aw(UlER&7q(e`@@61Bzf#8&T&kjAuT`DUpa@SP
z=}hTP2Z7Kt&}6blr-6be%9a1@@ra7v90Y23#uW@X`!(28bnl|JhvA#XbUl|F5*X{q
z6gF_A=!9XWQ3oo0Uc!)x(dx`GUB7|5Qdc@VqC=q)*)s)+qnxypAne|bce`<#|C<9~
zWje^#(c04Z4$!DT<Lz0ErRVNehDeiIas>Ni_eKJe7!^~`Q8KQ4|Mnnuw;I0MPEc)P
za%d=J{q2MxjJ_iA+b?y`Ttng{&dZ>@vW8d@%7=UzY$-BZ4?IpoDH^U1iqtO=RzfP3
z%xWCPxF1<^RVr>n9mdUR#1Nk+5(5g{gkwB&0!Tg73VD&Sp#MzSXpQwMsn<s#NOlkp
zxuMWq0&yZe%rRAT(m4rRd6<V~)*Z&{b`jnLn^I;)N$W;&#sjpi!A-%rquYawP8sAi
zOZLLN2#LKfxUIy=J2ijvwkweuA3%N~8cs7H8WzuWtiNp@FwK`yfds7ZV?;qal^KJR
z`1;_74`7mbP1WSNf6!PBxP+EnnVpuBnBd4#l;vDune)ux4KUcXYpK)K{9sv9N$Fra
z$0n!`Vfq0li2T>4Lj!WKKXyD*F~}L^Ui^poc_<a@;?}PlKk*D=F^<M!TW^s3`|BM)
z+~5KymgNkGz(H{Px0r9Cu6E&K=uk88Oc1W!q5!+(Ldoun-I&K40M!7Z0p!Yr4Tv;*
zE%$sBdCesE7dWN7;T|~b65K!ElG(X*m{L|P-GbUa+2$`&ZqYmAxK)_gh%x|_N)?k1
z7_Ht}6%A;0U`mino+y3{*7)$S!Ms^w%rc%i8rTH)3-tONm_sH0#4V*>nD?KX0txsj
z#9xWx19TS#42>c!z=kK&NsIGe<q~=;K&Bim5pULa*HC)}v1Jv<8+NbN47hm0#&w>~
z8$;RJPL4dbRe-?^$YvuT1`FnMw5vLPAi%SIKBP$LBS`_6ec7&fo9DQfHMwi2HlLkI
znemIsNp_G+QJTocVkz~ja&m*PSUg|4`{@SqRr`rb1l5pEzPx{&BHe@~)-vl{=@LOc
zKHxau`pUYEgtt$Q;`)+o@b`B(5oEAq_XPbsQaZpHA#2~NdJ!@ei@}(JH50)?3V*OC
zUWerETuXK=rW64XDS}9)#2XUQI~Kz<l;U-V*NX_Kmnb~CZ{ok8$4T2QG}crGSi=a|
zAsL;P%|?S0?6V>=NR=QPuI(=|iRF&}+KnvM32gWfLUoRHmenA6`P{xUcaFeJr^@D{
zckPRM-c?z!mW*-!05Si%^jYD@4)mG;JMvXDeReJ1)pFs?<8oZ9efV2b$SPIH61gbh
z0c2%faD=dT{O~|=U6)Ut{l&uUyaC0({*lJGv*)JpVLJ}bFX6_l>BWVR`U*#qd;T5A
zxGCW&kOIEV&q|0w8bx?x$pkGEJyx9m!8_g$d(PS<;&pVUhLv>qBblEWZ1mnHP(K%%
zdGtmfK|>*VWB0~Yi-9U8VXXB*XI6B25aFjk$DN4oeVDvSMMAL}-V^c`0>1(yqiCV%
zSYbl?LtUNif<)f>;|@g!BHI;+jYN&HO^VyhEJA1p2J5vQ&fb>N)4HXvv@qnUe)=bJ
zS-6VqWd-=5-X$}Q!I@3`S;riA!cTj+mnkT8S%Fnem#Xa|?FiF+SDp;ph166s9-Z3^
zGJl+?!5%0QmZXI=C2j|+TmW%Z<4_v1F@;vY_tC*Y2V{ds$6dNyjH%J;oXs=f6ZSTf
zTthA}0Reh@ud=d7(m4(5cB8ch$y*?Z2Q)A@j8v@ik__dU9%RI%CzliUhSFcc#fol9
zn^0rg#qa@|{-X4|gU}}m&;FQP8>SSFUM)g@l+43M(QMb9z8{_hMpH=AIdAZE^S<&(
zoRm=6)zTTU`KHpX7)%-Jm_jHSq21{xZ?`y62VBp+zKaoO>Fb>`hsEJ(oPp`$efnq6
zp%R^}zC&5r%NLC7iEY!i<~Yn!E(Rd-G%-u42weS{0YJ(~Ev`mYA04?wYG$WbE}_M{
zcPk_gGhdqo(Jkn-F^RO!lizqG%MwO~+_WEh!h=PZ`+HdP4cpF}g^;;)x3wKrBF02I
z_hLZf{L(9CA<LFJ`~wIOU3TgI2^6<!tDaoCElRMpx7Tx;;D+6D1e>O_FPaW>lqlc^
z@U0Dx2iO>yhCODT;n0kI7}m-3#^<8S-0I^pYj=c%<v7aY%pe+Z`YjT@I4jeYXfdak
ztSbs9pys#rk^-ZT4yOW}Vk`jorUpOj;~oAf*=WB=yF*h~V8j|7Q~)*#nv<K+c~FcH
zl561NV6k{np!l!^?%iO5%uJ7xMzCwM?yMuL2jPztLn<4CT^4=i93%5YpNwFyWr)W>
z8X}nW)7yoFdwCwS2D$MTo@D*4o5dFc8Qi#W+Gt2cOA1x3K)&~?R}3>{=#P|f0$os(
zdl9DE$6|k^R_a9unu_rsK@vDoBguImyP%UFUqSHCa#fGEgAqTY(J_pWRYJZ2(hW52
zh~#40WxIjF;oVc#H${V-Gto~&Nz(LQ?%OcD2f{H^Ck3cF!_IfvDx`36l37wKTty`I
z5xG3uHSmzH=OgI`dm$sd>Sd67rc4#-VtQtWwYALG))3m+G0i|0OLr|~rrKmwh|0Mo
ztcSpCZRe_=evpSiwHsN~f<i$whC|U{WQhYpMAGoqD@O2LEmK7Pi6yUBNg!^lH?1GN
z-JodM%l*jC*)JA1!Y3nyZ0--W7Jvc=QaIb5?tCv+9UKrH>E@vPYTAXlw?7RNh*Rn&
zIvE3b4q5#^_Z=ey^<QFPsPo19qbQssadQxCrT4TMEYudUBaR}dZ`Miqm{o1i{Dt*f
z%>>-BtRQVM-8o!g?EO_Do7AetHG7k*b3`xm%~G*!`=e>`sJg*p)owPnsvI|kBY_!S
z$t_}jaer^dal=*|>Lmdk$=MrvPPOmD!D|JnU0x#Q{kFC?L~mX+3Bub3ISuO=WiWC4
z5Kn*8BJ)hbdQ6jc2Lm>4HO3@ugacu8KB~DM0CDo*$453fi!Da2JU6=&EoNhQ`c5li
zps+jxZ#aUswHdpQFU;JYzOy*9np7xvC52D0Sk3A&()^1MttVsw66wqvO#cjH7?W!H
z0f3w%BWAY$wAL#gAKHXb_2IYXmi+k@;gpmnZ#WnS0sTY7jPkM>pw%xM4LD0P=#Q)I
z!f*&Jp1T5sfNO@8Ci2~PQNP7In|ECORDwF~LJhr7b74)FJ!KuK3SpWIQz2_f-M+C=
zzni?UcS1i3j3wB>Xk>C&eTpidBO~EIe00Zk-l0(?88himWJDcf|8Oxpj3Vb<HH9SL
zSu!QakXI;5Jaz>rnooIELJ28l&O^ZSvCHm)w!xbxME_nQNaWV#J%l^IRJ(;OQ9Op6
z&&OxOZUp{cFe)S03N&givJb`_5%9Iouc_Oh*s!Bw52!#lnpzZoBBMb{Rt>)~r&MQ^
z4|!W&)diak>)ipj(g()o_$vaw?l-1!Y1(ML7!MT&27%$)x_lh|+fm7$l0<@*wCTv!
zy8^Js{;QPmW4J8eJqIHQki@=`-QGMk!QFJ$WjSg^4NKz5vxHnyWg7zkB9Cr#HTLPT
zy9;FA-|K+EdyFfAf4*VAeb}J^%r%~}0h(F66)chqxLFz<f@x`<_d|eKbAJIHE<y@G
zc0J$lhIjGAK9CvnJZvy;guH--C_FxW4a~+98rdI;nnu5mFL}N6<7|F81a((=`?T?D
z&}g3=yqvoVO)$G{T%6$-vJf`>b9w7EnLK1B0+W<e`(PRHl={@gV{ACBSj7}BY=nV#
z!SbL(&DxJH9|?zYIla1fTt~!rNgXDI^^M3m^%gm-!vx$_ndwbx#mK(UBg5xAh7bh}
zJ!nwzOIg}6f)e}Kuyyw)@)139Az!tAE}&^yQX(9Jy<}}@BK3jVhEmmTS6UneA^R_N
zk~(&CeoM0|*w2;>0uJ})u=xDUE6(RUjn#zxm^JK0b>n%mHLdXhUoUFr+%_lzUtbbs
z?^X6Dw~pXf;K>gJGj9|Ru=Ctq<h&LQl(g@^l`#{w+_XoB3=QJDp7NUI522Tr2Vy4q
zATk+ruT)k2J{H~=^<ZFheOy<V9&u_a{@q}xUTigp`}>YxN;j_=Fxtpp&e2fF(r369
zhc{llqedrGoX$G33sqLJVasEXT|AZH2@#Iszq_o<r|%3GamPR^C1Xa!FJ+y0<~gnw
zhqSG6QvTR!rL+-FeiK+CRn=)$W>8NXpVW7(H~vwo;kifW6pv#l^Xepg%vjX3B{~bt
zo2&BhhHf#a!W78hJL$Z^m(=IcP9F<M1N>kZVc7PaMODbL*Zk*9M>YaMkT9eb+_31Q
zM>BwFk66`Ce$i!6VUa3r?t&V2KL>owmvI7Gu85N{wS;Y;3raiQtmc=xoyl(ud=x!$
zE9Gz6?4T!qJidbq2Ir>f1mJHMmS^fzg7MN|iephZ*MgrC&$#_kEubMnBaGakCZx)L
zhWEf5>V0y=X{#Qt559KUN^IJbkDd~VV`BCCZG73^a{92a(=xZ;3&e@_XrN1bWUsL=
z9%dTAp00l0)7Q|dF{qkyOO5Etbvvx*G5JBwJG0Br&S@U5pKqXnxiaQ`NQMle`v#2M
z6HDm#h0Z4Pti<p;Zk{^B8Cy6f1ae-W55<D@{YkY;B64KmMaRKk%u-opF!)VlORqPV
zZKmdjT(hR_mE|$@{;w6_Fpc+dx{^3_bC!ujpt;jWq*5*UVE5yPkk>D6g4?2Pzc<?a
z9FB2Af*|j-@A-%Wm()Ns=eFWEzWIEXscjSM^^=s~Cc%AqOu3BQU9A_XfzGUZu}z)g
zDtb2e3$w5*HGg99TehrVGQ)W?#k!cGCC|FkLHk6_%IK<oJ}$%+&_?T}rlk>jTf=G*
z+nn`5Tz!hmgRh<F1p5DQC)ywv9^55>^7O-g!ywdK=MS;eJKp*Zi^W=Q9etP(P!C`!
z$n4!5T%TjAohceZscc6zZaD!IsUG8<b#&x;2&2VP5G?!(fP&fpd@4w2`+1FhI8^Yw
zg|rxGWSvOUlcQxce$iGU?Br@pYQ;rc5DObuw}SVY@zDTo%VQ#@rW65PpBMWVm8J>2
zAahTP6+XSlAHvNRysi2@&arJ(3yo-Kzn6PRxFfiIOQKCZR178<@4M^@(Kb4nr^OQu
z_z@943;b!CWu!egPF}L<wPjq+i0E?Hzofiqy?z`jXW&oSXDcwyQ^+w#&3QP@7;4Uh
zi~$4t>V`6njoJ~a69<^3<GuU|&Q?qW7`7;+Cmi10Hc;N=p{hwVU}#--!4M(Ixr1Jz
z`C`BSROvcGB-Jul_HGk>CqA-SK9TGg-!$w&-1iqA+PsCktcy)2Z$4Ag-l@x>CXN*P
z(W_jLV&H#OEi08?%0<NYmP6CsKpBL$rrdF`DPP<whEEXZ^Xh!J#?@HsdEOUV*G_i<
zo895G6P;b?y)eVJ3#KRjtq^4)2hS!kkvx5U=q?v$;@?F!K+*{nyz7YF3|o^>-dw$R
zU116&kN)eWt_r;`cURG90u_AO2b_AxGAPmJK<6uqT_b6B5@3;vqwLLh4s%MFlsd6S
zTh?ytt=>D|D4(YgCwR6LezJZ#J!GhuhOPWi#L0j2t4c8*vZEUHiu;G!tHdqIm9G28
zm*FeQTXq3gFFX4TZPJQj+-;ec4BEGI3<?G$+-0eAYig`;nK2DPEEEiIK`EVqO2%Ok
zUz@@Z!uG>?|6DwA%4lH;<boP;#~OvDqAbujW%&5}E<kXS_Z6ia=@ONSYQSTMdnAgN
z2tMglkK!@gX^N1ok?=Z)=QI^j8A)SA&9*)|qvS+R5C~9*B&2^iRVi>08)q>~4E(iY
zDW-VR{Mi`S*l{vB0uoo)68$Z-9GS)z5W*wb>URc!!=3&2m4%Ac05(~&SzGSLL*m=-
zB;T?wf3sw8SW5pEAlw+-3ACWXA>CQrtAII40RG=4OMDZ-E`@LTwpIjLnp8*8*TYo@
zQTY@GX^m2qnuessl$rCj^Wa;bJIAGcI-G1q&3DL}1dL>Q)LAn~j=U!hXu{*sxERyH
zB8|-OFIjqX`{xwBQsqx=c_QlsD3lz?d!m!dQ1-a9;<ushaYFt-h0AnHkXHXf6;ZZ<
zC08@-qe#(RPVSY%O!FTlo>DhoaIvr*15}#1ZeGjZMJ^E3)900RUmqvO|E1{iDvMMK
z&d)B4UV66k+?Frm^?tvk#~w&(OHo=GCkDKPwXArQVtfi)2o9nn+!S)CRvIZ8Ax@DW
z)a3Pq(SrzmB$HOt@xJ+gcqd`KyhXi#q@_pi-&jJg6Tw0~#CiImjR0SP1XdN^_CpRL
zlR};R&QMCup#X1j-(5k&H#MUOohBw-N=r^jT#KWhSJ}bdcg@ylC_y%9bjb<g_fihB
z1FrY@VU#b5)N4%iwQQ#S1>B?|1K~3(xn#6t31`T~Fp@$qY=eWDq%GOKlC|Vy6Q!-H
z3#T6VJBd~>nNBTZ14$*@zczJ0s3&pdel)mR<Ry|;RpkqO%tI_<RV1toRSL1_7`HWW
zM~u4dxoxI$U&0EWEh$>$<9HXl;+SXIN=yub)hu#OrXIjd!e>NA9DikJ1nxIh+s|%B
zR+&ZwE{3wwo*m;rn|gD0o$By`H>EgwygeWehFab2cB^m~0BnS}Id{0hiKZ-;<dTH)
zdj|`}uA#r8E~$O+7BV+qU43$dnLQP2Hg=<vxJ}v>Ubh=6^_T{6@e$)G6Lxk+IUE~G
zqoNlI#&<+VO0-PvGVf$@S5d|EYPD;e>T1u(n68iKRUZ}R`<<<o9C_%B9rcdTi{6T^
zbl{%Q1X|ZZwzFIJ-c{ZoLzj_k7AUWZf#Ux6&6}VPx-5r%nfjvl{jCby_lV!q;LCsD
z_T|J%NeTkDO+P;W-vP)v0gxfUbpP{12^(#M=LWEWUiv75mUy-OyuI`kZh6M?t=o_q
z74EFp#lwagh7w|tPSOu(1hcU*h=cr>8^JE!db-(<?z4ZthHCYQ?L~~(grr6;!_@bh
zZVcR-R46-5RtW#ZHA3+s<eNm+|Bm<j)!%$F$cztN!%Qd$7H&<nuR8I(GYh6t##OF;
zj|lC#PO4W=p?2XVdE<?r&Q@)v@|};>O)A_H?AhP$kVSj2v@U&bl-meyO7r+R8<2H9
z=N?RlL-ow3dEpyw-R&yjT;Odb)EwFYlzW%$v|Sw0yQO+yjbgs{0gg2)kO&kYM>i+)
znOev{MO2E(SA!_yA0L*TmhC!hX@oNp=H(B~w2c{yOhv}aE%g31t&D7jygMD<!J>?4
ziGetV2`kE1fb3NwY`R%}K9&S0oKc)erqgZ4oO)Jx1pT%pt+QTQ>SQ5lK8l6VE|ygY
zUV5T$+;{6plHa_9=(z2KNp@t@a#`}IWqob&S0rTX|H@h5Jkt8+AYy_7_KMMA0%KR_
zItd>a{Vt0Hj^;|H{Z`FD9S8Y~Kp2G0Af7JuNe}lB-mgy<i7i~KCuByfwcXu+I*t|c
ziKC1M!mHArVe(;rW_C~7XgDqTjRP+e#!f!w%qHB2j(GOyj$>{soJ&=|QSnS%U758l
ze?6v}3}m|}!pNO<otCasRw{9kWpRBZQ)(31<J7zfvjjOl^A**C+sw;MJc41UJXHf6
zyToC>#0m*o%!{D7e7F)E$<Kh$8uYZ9>l?&X;Rp+W#-nBb(YVNXm+qHD2gR+xc+!Em
zE)#_q)<-*UfgN~lJLt_t<nkhu^W(@1Y>VWj-7Dmtm>+o>BJo-aPKuRmxVvB)JMq*F
zOu@0aJWTYP-Tj#E+CFA1{gRljoyPNt#CF=4pjB4Lt$q)`#BEhjgE7VQ0e)zBjLzkc
zZn8-!JmK;W7XuGF0p6dzC3f3`tC&-toyFvNW3awbHh8lk-tFoAZ}Nc3pgN=<<skxK
z85P~?7G>he<p&5?kGX)Kg4d7m0)m`X%&!~tY_WE^2iXG!97ac;SVc}tvmsYb6i|44
zg|obB8#zTFLEJam29C`9_+BAmU0`>bdlG84wnHD1=LXM|oud`{U}45k1_{6ZY%}l+
zu0ivAge%BXBW!)~l!(1%GrAYLmFT^7UcIo#%r9O%;Hryvf=Y}!dF&ASuyI3)y-vKn
z2fzMGhGr<ioymoY*!^0gxi(7yydXz<X=Y$T#xZUNm<FP52FShu=Pp1G31SI*Z+&i_
zw|RKP|FECL3>~%`8C>C@qAcMPZ=|=P^p+9#P*A^HTtN<N+fBv)t>WqdjSW|3!Ho92
zvZnXxI!B(_g3+h{i5hYY<~KeR=0QOIxL#VF_|;~Z*@{+gs>cD8>efFGDnzhS#1r7M
zM%$yt$2Y#rUl5f_&GEv=bdBpk@A~mwduaw=ZcDeN)DpL)BwpxUYH_qJT|W8p1}U?q
zI0-UessLta#MQQDgcuqA!p~P$b;mQ`hPbe9i@a5=I4U^egB>QyTrT=-=r1`u_adu&
z0KwyKzB7`@=M3=4Ou<eIxFNawIViVJc1d(z5_c_O#<D@cso|3<tCkaPb(>e#Ywf`s
z|4NyQF>(-oNYjwc0p-exXd5|@2awN|bLtDT@v*U5qJju<PnU!HH&nmBu_k)JK86G}
zh9w30Bl60gpf2#~#jPzGv9NOF{X7*;6W)*O32b?H>zURym2_M~>bsrnDm%J|=f?Rk
zE*2?a7J7DYVP^5SsJdHJo(FYBYStzGES9+1CrGeI6V~a2;q!)YBE(pzhD67d$q6&O
zvp~m|+$B_wZ0hv6%DU%@p{k{?Bzf^i)3o*R*Ru`=rK2;R3p5yh-KONO+7w0;Kz#4o
zHT%k1LdC#ItfZm%8!VYRA=^A5F1FJ1!Cu#K#n@~3iNWM`EffFT*tN1hv?}Ng_H@5j
zjPm}H+gw^N0~Cpi!pM`kjKg!0w@-gRPW0623IpIkSW?1DPvq^npaX(!9>-G^M6__4
zbtlo#z3#eTE|dI1rLm|0<I1O^x~7%C>t7t(>KUHac6EG$NafOF*B6wU)c>Aplnv?F
z5L<*^`Jbbqh<4qL9TMYq8$};I;z^l76|hYb_m?i9c%kqwCT*f6UUIU1Rxqw2lHFoP
zLL3!zy6@1CDd%Lcv<B<<DA9H_5Zq7mdx%!ZZQ!5%GFUNttthNc=UnusMe9b+owhI3
zL14Tp3;dG36mA=(uP$0!3QS%bqOi_9`MEIvMjB_0SthF<KeA%Tp8U>;?F;5{dL8nf
zAM@|=-?n~v&Z1G$A^jI{rw8?o6lW&am^U9PosS@P))YZ|Bt=?R!1dJ56<vG*2CJ-H
zZ>&z|U~C--hVN~ZH7V=NviO$Gvsl1PM)%CLg%Q~Y_OdbUe}Gk>pi;ddw;HEWIEcH@
z1M7JEQFmK@u*v*7c^r~^R@}jaoiJ9fZ;y$?()7a_(hX_yB^8%*ae$YX_U`u_MkI~;
zE_m#U%k8Hs3q@eR<xXY2*#qC=3S@(5Ufi>}>l4MBOejV#D4Fv6)~<^)iRzm%yF_cj
z%BFEIED&h)*p-%wbSq-Gmo6j7Yv!jz*o8anm`$WoVbTnFCC_e))2$Hs5J+mx-JI&M
zbaP2}lL7o1OYTmLdc@*y%RsM3uB~;AR}yX6N*ZvIscwiBs-O5x;=$FHwcDE;=k7u`
zq1|Sx)~^-v8W1H9B?RqFDmxqOE#rR=@Ggu!`0KVkgjB(RzRY9*)_U5xvLmVEvXzRL
z-SCwpw>d!KoFSTErES47NeBW-@751UI4GUX?v|f%aYEV+IHp{F88Ca}Q5jUkXn!nK
z%fl-xHqC6q1;@7h{isFJIL4U<u{6FEm8@;5NdfuK)bTM~5EE<o09_CD@F=dq%|1fu
zj*F?pnZNt0oez8}>>d<=^%Dr4F^ZyIR%o(p(^FRT^ETtJU7o1L0B@;1DJvqt5p!Xs
z%tWCs?p7s@Y(#_Iy11?4u2TB{VfMNv2S9lg-*@hL*=Q#=!}!@#Mfdkvs6@K~z?PsR
zNv9rdE+efKt3<?43W*3rg~v;};zu5BDak7o1od;1*nK7H<#|mqYPTtl9kl#zF`Hp$
zFX9n$LzLaVCW#m*ttCcX{Ia40bBDk?95F+QG`*cGAk0x!9mw>HKC(9CE{VzCY4A0x
z#~eHE@wNDUShtUF?!vObP~lZK{*Z@9b-DXBP801?(&=x4)3fU1d3b|6C3&@HTez{K
z_#A(PqmxP<!7$v%Qg!Ga$_dYUZ)L$ro-oGS<Gn~}@{X8{`Go^5==1vIHgn0F!YVL2
zN#8e<u!<GRS1IrW#+CP=D$)_XNQ((^5&qeUH}2f-#x#~L1}bw^UsEW}8DRA2h07Lj
z*!V>d#5QzzmDs=yotDNqb+P;i)s!C8nq4&Ixn1TRL?8=nvYDpPNEwkpj>Q9RcMEHP
zc+O^u%HrMuC-VRTPxeO=XNt`XSMD%$s0jVDfgO}aNm#JVv5G1y1WW7i8&pGAwM&i1
z4~{^4kCRYLhI3-UD)T*rwMaUwOZq}IedK*_8z6D6v}=|x)Er`LvmQD}!5QjYs&EXZ
zK=^X2-3TvYPrDIDnTQH8{N7-5hG_${(FDBI@m}#v_OVn6;H6u#(Ymg^j}k<NVq@G>
zQDWd!NW+w=`QOMMCGYs-F+`^A#ex7VN4gIv#Rh~#Os1EDTS~*u;{7miyXRlPk}e)@
z_S+bKww$tpSaGc|k>x=Lgkc<%(>tJ?2Ba3=Qf@<4!vP(i`^o35?^}>A&}5mLJK;<!
zDl<NCJ08yx#n%_<cS+JJc6~X_C|(3dn8k1Il^n9ES}TeZTr4RouuIIj&QCxp1Leo0
zWR<>DRLCi3AdWrKRvH|F&6BNr6nD#xRnAKR(}v<>&&T1Gg$C9+0Yw)MAOnBX$qMg0
z$P|EE%P{T|h(U`mq7{dHVo#p^{#$S|wSj-U0CYY(9Dm<A+*g$+_A2SJAaUzfQ-q%i
z#NOJ_R%5k{gjy()V7$N*$3TaPjUh&6Ph8ICRU3{oG@0c8VMt{TLz3igfl61H&vY?Z
zQxcVvbjs~SRd}R=_m^DJ=ys=^|D;mW14b>r^LCn9oS7$R_F`(ZNvw5ezRKup?v^N@
z`qA*YUP-L5Cb2Kf$C7qDY!njAZ!Ii2DN=GiOb5p?hQdbM?84<}zH6j&2TY+vMQ8$h
z`?_3{dTMY=!fVLRw&rqbE|IUA3DuMq9eY7EN(-55^*sMVlmH50ok~=NWK-$=q*JxJ
zT}q`L$2(=w=6n#7`5ht3mla;l!K}!HkoqJo$Y&K$py`X6>&tqkDrPKCni|%v-D8Kj
zb>n7j@`Fo0gasNB1)K4HO-w4Oa`#`;EEw&5(etP!gFWDK?%Q`3F(C5(_tHXOuqr7M
z+Yn)+^rAGN1>Y2~8@N)Mjx=YbsH@u+a3Q3JaL?gJ{P5)jZ>})^AYexry$GRI_VAW4
zb{-k*Q>twx(pd5JxaN-l0MTZE5lo6_{Rig;%)8|UcEVRK=wwX!$@rsj(Bg+*Lsg|>
zqtavAXs_8!v}^3MX?vKvv=fO(3~@%Jl~F4&3{_^M!z4&{K*^`Zl<TMN19v*9Cqc_i
z;7Qe(+?+>kcbO|mHym@YxBcOi_&uUs#f!`H{|Ok8?W2cH;r2;dBvIf|VkId0sv)#o
zs$K*anhe$m{xvxHPpYJiLH>zNaMVaB3+L#CHwm*7+J5lrn{^l}O_F-Mc~|>2Cu1wD
zD)1&L5laSJPAQ`+U@f;7Eeb^IV7L-X?MQycAlhe6%R{X8h6mDa@{5A-fzMrppMD)!
zhfS0f+U<%2_}NbnuB44ny4epSKUOYViH}0|Gu$bn9X5|H3EB=|;cCP>j^_hf*^~Db
zoK+tg&pS&@W(0UrvK00&PR|4LecLsE>luM@ce!kZ>jFj$IE|&N=+M5XU~Oq`hn<}O
zj?S}#v>rv&`9=G*Y+aFkiWU~QWz>fuo?LYTX2(<Ofi(<3mmv>-w*CNvFmR1@7K~bS
zqaow6$Xh;Kj3VcbH{F3>>zq<*YSA*6yP;DlBgEhL0bWwZ4GnF)(;wW_>LzH_)Qz*s
z&!8vBiYjn^k5K%+8|3($h}lVG#XO$@v@3kbRkQs>^3`j_RW0~2#UJg-V5ucUeBZ(}
zyrVBPcz;p;6=X=S?-n}T4>T&%?HMV414@v`(7W%`E<KiebIXo0m^=g&9nYjZ?BQ^>
z`q0D%q=5lX%t-!F$HzV48j5NzAmLfZIT*4kQmWXye*_)E&kK}a&9uwUV%Oyc<Vk4G
zD7ZSVVPOyj-26|}3)zC*JBlm}wqoR}Ksyd26WAR@UvlqS3Xs4|9*Oe%*_dHn`V=K2
zif3LBCb4JE66lbBq3Mbsyj)bH5XMdZ5|Ih`nYQ;RA3;4IRhR-7D4wky(`wCL))CNc
z1dW>Uk5+aa$7*XWt`FY;1E+4jWqlS<kXQY2J@~G#$;a$&D^NPo7;AR?sUwqOGsXEl
z;NUMtBo(HnwKJ0<`i?N$`2tyVb<rBd<Pcim_@9{7Su9jYRl$Wj$W<!;sYg5bilrp!
z)gAfdKSOQ*Fo9(*s}<bg?y$dPZgV`K$4ZkeHK$Y~xjhIt*^{938`T9i6=PJaTTY4R
z4xVZxRQD{-qwS|;iZYzjbImj8)tI0U2ms2lQN`p<MqKcPE$gfft0zM@j~T!<NJ}@r
zdH^<y$UFm%8rLd>*Ljp^B{N{^k7^A|QkHNPwfSHI&TYm7fax{whPs2^N2*-XnNONK
zIQ<>!cxa9ozC2!7mCE!)UI~3PJ5o15R)NEs>MJwGOOKr0S3k6O;6d)dB3m~K9RmLd
zX@ckCJjJ<``&rWG&c~*EGth|8%?tHjP1eL7puLv^+MN1Dk;OEI4GXkcuz#<?xb?D^
ztX+M#f2GA+$HO{8;c$OOnGiKju$oBDvQ+mPWS^~8Iyv#T;x{4xxN*N~4mU9>6vI|+
zNRcQP6oX@%j6Xk(RN2-05>Xs)$RQm3S2umV+=0Ofj8?QbzH+nskp8C#$=NWXw5B!$
z>g{IAsKJWa08vA0witNZ|E>P#9C?A)^Eu^VeUbO`i6Oge#x8sF{}!-e0>lNK@N9kV
z-Vr$y+HyA$BM=Z34(s19{<hRhFo(PgJte2-A=7I@A_FC<GRIbWG%%JuHI2bJEa<AY
zs8a-`!!}1yQ4e#3Vl;KPvN4~04bnkrCmoL{Ok*VvVXq~Anb}o}2(Bl%%GM@yF7cW_
z^myQhf!$55R4F0ylG~5aAr;O}IHvnglum_LL6Y6bZxN-+*RHY@@1u@({wOjhRvdMI
z-);!n>ee{Ffr<6QE<DBJBnMKaqUsXsP*0j^;t7wJMUv={`}Pg1S|<KBk1{4g)j1qQ
zB*D*4lyCEJKGfYCmm3<;8a@6J7B{JW+{Pq+3<odf#pNA%jR^&*Rfjdg{*RdL<0Ftq
zj>o5^$6y@0lSKhlCpbUInD^CMmvxv~Y}7|nTg5~^cR@~aB7uf%I>A(n8<NJr48KH(
z=d#g>u9WevsrH@Q)MDkYkI5NKAb0OeH#eG6C@+L~TxCdS9X=pwxXfQ9&g<<JUahLc
z<nPZ%Bm#Pzn&R*`M*Xjy_>j4yPq}m}$Zn{i_Fl7SGZc$Iy(baHMLI9L&MROA=Z4OD
zzmRVmWn+<T`IPi~^YGvTLtP9*$4~+@*s#g=<JBnb)~D456cHEdJ-ejjXY+17g`}<9
zyuCsV)3qeO9Gmb%NbT}2CI7>KQJ4VYcmozZnTm#0Bt%=1&DZ@dTk(cl)Y{LUM#Osq
z_F{5>h`IE)ZH8{Zu$S-5^8HN#1njO<C22SaelDM`|G<==(yOj^uMZyCYPJJg!ibcC
zrVWe7jBGz-gMZDEWNVSciYUY>V`<AWq6xeJj!Kyaq5_o;U{LV()#N2@HKD+`_NOl|
z5oYwoL=tAHwLA(iROp6DM~&9f2=5E`9YAIXj|Y8>`su<Ih`d%e6WrTb@SF)6GguVq
zl*XdXbpokh1LKBFi6wTx7Ms#S^XJ&IyGR3TDq^&&tZSUhQMKbBFE5-IRKg;*W||!i
z{8Hjj_>itb9UOv(-RRN0#YVhm>G7pe^Jas?{me4mm{2!)D8SJc=pL6YewP=)5Mf5T
zu6x~24E%B~c$UBcB@C-r@JG!dhbYjR*nd1q>LVwRU4B2=VXmEsqrx^s^82hq`23O-
z`}HoB4X_bZ8(FjkDl`?ChaA2YlQItE|F%IPJ*7K!y`Bl8)t_;KX4~44qsw8ARfDku
z7(1Py<Q!pH5N`(u`gP@VW!r2rlJ9sWMIAdpgyG5|pa<mxg{X15JM2>Dwz)Ejvu=?9
zJrL?}k6L*a+n)c&S4s5Td#5wYl4w?9Iqy|#hGp+I?(}_lyM2)*d63&vk8#YNko)an
z?m0@$LkUNH?-LLQI<oSefasWrN<(@f+y86;e(3rf<*~$lNHB;wHxgYIrYdb`b?d*^
zl$~A-yF^-D^Mi6v?p94NC7*X2T++^gVla`rabpeOoGjP&t{<2I2Kb%mp}Yjrd-lB>
zv}N(|>W_LG-HLB{C(#^7j_Lyu-;nmagn0r4!V(8{O57hEB6x?<Hgp7{k*v>dJs1yu
z#Xn?JY)h)Cw%UKBf)2hwk%_OyHzw*SZsnHP*pa<9RL}bXqF*>0!@Efl2PwNUIoda1
z6(~GopINI_1q5cCVH50$riQG&9AIZGsKg24^31GSFF7x7b!5ZLYcBL-@mzS{qYT%P
z9V~$EdhxWz;|>=2dQVz&1Hs>ZMvC3M4fL^+T3fAIqNo0dOrsv6Ugok3yuHd{Az34I
z(mb%&imWH!5|6#YgU}zI9ZJ<Cyx+nZaDNN-*RqzbC#2Pbb{IV{sstgD-lXa$GP(&u
zJXqg<Wf(Dq(V(Wdp`0aqB$$ODd}ToX=!E*&W4Uv~Z|w<xs^H7>H`A>me4VBo)akfE
zeX}V?%=^HV_##?Vj3M1nOH_JZj{b)@i0=n0YpFGJpZa7C9tGX3^S9E{bwGWW^x%pf
zYi6(mwPlKf)SY9^t~j4XbWs7~4Y_IZD11<vF&`NHbkfi+SN|&p3n~q2i?rvK3Sex;
zhOay*P@OW^`HnnKPOd3}9VL!@i43DZMFfWtBO51w61~h-^ubkjQe1XtB59@)2;5(r
zW4CLcr@mexZsQB4&nhJP&!HTLvv@Reo%bGSNk93l{yY5d5JtF8QGdkjQ(=2+qS0fa
zcQ=#^*PjZ?j&_jy+WOSu+YM7vs3sTv4|(tIE@S4ix3^$8ejMQ98h*d^(H|p3^M~Mr
zP8_N4(@}~~^G-B#)Nd1!t|L<EWdrKxUA0HsQIwRLvOi3fA)lE3b5ojZH!rCF!n6Cb
zmru?-TeH>BDNdeq;<CH6<zCaoB{6!HK<3xmKhYM;uR+u;Rni9eLE4fg{Lz|HK`1JY
z{0^#k&`ciG#pr_cXb5Po=l4q?iVw6%_BzO&G?XcC6N~QJN!nYX?YZ;9dxdB;<ZE>J
zdZI{rdP$++*B${OmCY9Db=8+I(&#(Dr4F+uwh6YI{DTA(@yIg|9dO)Z?T<y-!dQHW
zpR=O|vfW3zfvpLuumW>&oO+!(@cJb#(d}6i{r2BQO;!k7J?D#4*I_rn%tf!73o5LI
z2;X(LzD$cl7kmy_-1>+tzGT@iX%pNj^};R&;X#BKt;O~EeXi%!V#UxaSTU3M_X!uu
z%*nP_Z;;Wt%)?&Fd`wzP=B&#IUy1wxoLy8-#t#S~eqZFFpRAgonRQ20S$i*h(-;Wx
zu*EsCzf4^iTuC2>54^qLym6(EEF}~jaorq)^wY^U0VW%I*wSy#urz*B;A*Z(>Cu}>
z#5lOc@t2lC@GjB-_Ea<-p6~))0q*Rc)wKW5Vc$b7KO-Qd)%%?9hE??wULlMC@K&u7
zpGmwz1AEWg;(NM;0-H2sqG+WqtSY2{H|<`}b8&VUvnV937P0!|sSXBs%ti>obs2rj
zG?$3`@GZ{pyi!;txpe)@J_r~9`6D!3J;+i<?7ye&>?A;fId=0gE$S7c{#Q;=_~-K6
zC()V~!(rXh&scqp%9*~(aL9OOz`;478tuBH7E=SAL~DSd`Pc1OTaEK^!{PCHw`%n2
zzaZiHOEU&iyq?#!?U4v2QP{A4nr4sJs>q%Er8S{f(sdleH#M5Zj-#U7l7d1$&<X#h
zQL6SVewG?>jcCM)0#ji=uTty&`U@o7dQksi{|wBuE{!gyKh}<{-}l68u^uVMNM5kc
zv}0qcw+w48r67y_t3EosvJD6vjTQGr@!U#aL|)(bsS0;Nl1|QRye(9?lgI*_{Tob&
zMOd!t2&Y62W<a?w2kmbXr{UGWFSM231U$uRAx7^yV()-R>CBG|UQ}NDOP~D>1Bs33
zVAz&^n-sBzB-eGpL@Q^pG2BEX?$7ZYOFIqiOO~m}t?9xXd`)BOFmGLhU3!@&OTOL9
zh4;&k6z$Gog-t`7THvX5=fj9M2e=prqzLaFrdtV9s*Qf4c$)b2h-_RZB(r^h<^7^|
zj3e1ow6w2+(~F5UduD&~EDxBWbZ^`T{6#RmOPVvvO>fUbv;S9l2v;ignJR>5+M@DZ
zZN8dBE*0rF82jLox?lk37xuE^*=8Kt-IDGu)(n_14_?xxp{(<!mfpX3+6!T8xTY}F
z!!$)z6+vXt!O2@zR*8;1-file=^Y7llqv>br)SzZtR%v3;`E|AsrY}^BSOrji$T9$
znjibW4<DL}&Zx5lQ(;_ItN7m`z(&I6S0`Z+Z0b*%r3yZq09fv1T8Y@tRj$;S1x~|*
zUE#qC=LJ?aAq}?rgQ6LCY=xGPk_pNOOp_~Q#;|Go0MF0XAqKv;TcBOa7sJpyG%!c3
zwE7=Zt;7@y<(aiixM?jGfVID$V$S}~8IpmR%j9?)s3MWCXqJm5N{?~P?ng<GWzsi&
zFmsI|CP?QtjG`}TjM;D66$k;N>W|-fo8EUKVhvCWV4rc2p}4I6oaur7P(~B1G`uSv
zKY0ABgy*uAk<yq)R`H;+h`~NbO3XH-#NqL~E7KZG^ykc`rFy0JU3b);`=`HILgWho
zlrO6VLE^i(l@;!pTTk1S!;rrrRK(jPxEk0n;5%HVZd`C{sflrWyjb|uh4!9}%9PW%
zfF;H`?ECoOS!A%U!4#9&hxTdNP&PLyH8QXAOMmz>F5Rr<n69Ax0vEyCGm%_L__J|p
z|2f8l02;YZjxq>%z%o052T-+4)PIeKM|)w{uCFhay~>>%NbkZX)C|)iU5hC5;yujR
z4-YPo&s^dB+VdezH{Z2hZdQ>(pa6nrTKDV8y8|a7frzNN1qWiBV%zN|p8U2v{KcHl
zW`T~2w}0ky_4M%bvOssrAjL>-bqmD><YUQ9hi<0)t?aH)_GY>N>Y3Kl)3^i}Wm|({
z3D)nX$UPlOr%D&|0%sNNvYy%7J@}-Ve4k*dk0lOcuM<O~uy9L_+fJu=(55<rihYLI
z4JzB-xem!n9+%pQ`Fhhco&DuQ$mRLPgEqf@h%P{URM(Q6D`89(9n3f_j<26zB1b3{
zIw4jkGB}_+;v`SgCA;(xzZzWMJS~vR^_s)JRXjEoV7pU^(&d?vWr&f|N0#2IY3^l5
z{=;&wCIWzY%%DjN1%6#Mu`meRy7f`~l4XE&ME~PO&CH*@+pyih#2_(^CETTAd*bss
zWWg*v<8YB@H|^kxLUdGb1ulV6eM+`@&WHUL!QeDeD&oUyXa&RYa;iy{zZCc}*EQdC
zfM-_Dk87HNBOn=UP{gXIA*_j@HITKWav{y;u1oN$;BzbyTRGOcU(ij*0h`Q@-T0aV
zp~We(TU<eV_qWdgb^~>}y`G<5gdc|}?qD-PJb#SWPHOS`>JG&w$1d5O6f1=S2VBrr
ztFV5UAA`9ih(A+=hsC|n^hY&rcGXK@su4S&7rT7>bQnrkv}kFR+Wvo$$^sSc$KHjq
zGVoM1Tpsw5$obKFKe4EEGvihTQw4Rx0=riRsH;k*8%<QMJoe0M3DU@Csl1^bQ(Ige
zA|bm{OP?BV5D-3d@U3Lr?t!uW|MLXJ?(Q^j$^w_O3>{TNkp^D&BI76TKmwcn1u<AD
zXk?J3cK{6{?Fv8B0l>YRk4$9nZ33YTk69K?OF2v4x7B8}GI^&rbU9UkBpS!_^p6&!
z%sMS(sz3ZF^eXOEbvhWA;IZv<OJ%iUUJ;IA<8B5n3Q_tUC3&=v@S`B+MoA7sen4Mo
z;863EQV#i0=QVd;{tA8b9~)MOLvC=w`!W7Rr~Sh;Db{Tn<eDZQzzj)KeRLlP4hS|f
zp`2vmsp_3Ygk$PC?mP7y#A&YYp@pYEB_#}ilBP9(bI6&1V)1xNY(=!zt|4c$OQCQI
z(iNlaRB!atDfA>Wh?*YDy>rirxvj0v@=|J63Z-Ml40_{yQMV=ZcCQv&4mU#jB4OwH
zW9+Tp*Jpe^U<h?TiOq2Of0P+jZo@8G9OoQs+}Zn+9X?}?-qv`zC(k>L7RRCi9v`Ab
zrZi2u=dkQphYWH7H~h9~9e}MGR0&2w^0s7r%|gOEVfk_2V})`B#7fhtEUIWnV7TA!
z^AAGr<EMe!pdPZ4s!-E+Wn+}Hxno7kC!LxTPb%+GwP~*h9HXS`kV!;fbASNv2%m0Q
zZ>Z1I?WJ`DYpe$+xugpFsux{r<J=jkcYC~+FLjSx0Y`?|B=*hqT>e`xe;6y5pL8cS
zP$0HLA`B)HV(eP9aIYFFg@oi6SFsHPtXG)`%UFk@7rp@zHSWr|NZ%0sSai9uQE1pr
zQD`xgY*%|(cT9TfZkDb&-wkqL!92Bd;hcVp+KmBxg%g}Sq!=`x5uR3n)TX#goEktv
zuiUn5fzls-#Ajw_nsY5jlJ%2p(EX~X1)0Cm=gbCn$p4j<KPe&Ob7x0O8d=!4Kr=Au
zm@pf)FK^=@>P3#sP%Y5s-d+9b|G1y@+(QT{3m+7WFfGsc0T|^HeFgH%o^OxO4_~1(
zH0@R0s@23D#r(&1R5a0UG5P?@%OXJ#hxmd5idp2kPr&kXqxf}&HmN=kCjK`l7|^P$
z9?{pN=xA-9K3=8)F`v5Pu_tS;Y`3}?vlYgg?vG@>Z)1KKI$;=WAf+Dt0$=au<A_=j
zmN&?>X&2cyYW~+pVRs?jh=^?)oSAG>7!AIIL)K%#E!$PpRTYH_fyQlMdzgXn^<$1h
z5Et%mups!hZ-=Ox_`E|Ij#ka{J{Rz=cBJWA26|7VVE&0De-N8}-3*gp4B6O*gEo0O
z`@Z<m3YJe=Zm**3D)qqI@7hPyc;MJZh+>LTgtB)S@r;YF8+$J`HDVOfj|bEK>|&^5
z@F8I|QlL;*qXG?X82&YK!ec6#h*5V~?n<vJs#ze?N0j;su=UY&q<oMVP#F25vT;Zb
z*?e^qgS*P3NWu8PnpS6>)WcaPd>BX4(S~u~n=cGfKF%kqZdN<jX!lbuO|X1e-;VHR
z515ISCS;*{>Csw_W|BxBR;58JHtdSu?IGrhF`)VIRmxEzuuJJjeUrQ<7F@vSU7>(Q
z3-tt2=5K*#QS3LXYyyfaYhLj640-RL?P+K+lV25S54sD-VVJQFu^co&w9D0oqxHi=
zCwty-2l*;4uw&&{=h<c7d)XDF^R5Ni`oQ+k8jo|c(<};)D>hc$;Xb~e9LW!6gI_ts
zJ%mxJ;Y+>2?6mSYs$gszkMJA5tgq$RjL*QMoG`?kqp(VRuRU`JUpmFQ6k0mZlC8Wo
z4>-~$jrmDVIdeytWP;oiUlc+=xS8=Wg{r9uBdt-CU{v-MrP<Fnl3JP2&>$Rvt_*~`
zq!!tesr&6TG$zw*EPyaq`V945Jif$LmtEhOq{ZR?(ysV%-}X2V?FU2}%@D?99pvXt
zV5U9TNv_#<NDoe9n!AXi0=P|At^B#gXo6gnlBxi#gV($srxIuu0RI)4fj&Q&N1N*U
zJ#u_Rg)u-Vh8)OJ-ij(&W!jGn)^x(dS1!y-Nbv|}KMk(N++MgFSmo^1yd~H8Xazqa
zBQCCkr@fGGqgDBzT2st%#u~!*&~8xMRj4m>FR=^6)2q$I&JBC#T2<JqpblP(w}~h>
z#<{#KO6<-biwFWVTA4*nO3V4#;;KyTF!z`x=?Q5M=Xv&#v*Jad%p#dQ?Y(&i5{ZqR
z^$Q<XPM!*+rz}%(E)yKzlpWzU&JU1@X#ao;es#pk{x0=>{&G6UUE8tr{=uWtn5MPb
zQ&{P(G%IPK3Z9#xytEfgg*8g|h*nHER`=FkA8e2cLonK|%x2*5pQ!Q+_r;zi1)r^u
z>7Px08x-kH81ERf=D<<4+_>TDGmuCNSpr#8_>Lx{xa@`-2U*-;+bzu345`lEY%_#o
z*K(Lx^V6TC%#weGUIdZ55Lg&ZhpX`CqY0(I_zxpM8Y`USBmyh3UdBv(17DdE1WE8!
zx=AbIw;-)q{;9HQmf2GurUOgswrdD3kjKgSkOG$vC=0Z-JaRffYw<fa3Nnd|YiUFk
zZ_SN!|Bp*noCk$?bP!xzSYg?J75!g83H$mSj=<c@x+l+38zMFu;_6hgtQ36|2n0S&
z{P$gK493hgDm>xP6eJlGFV=#J!bBUyIH#c|>~ow&XqTs`$>VaB$_kus-|d=)`H=^%
z<6T_-Wz=F-W-S0~%hj;F91d;|Rq2nOcnPj9i~&C@sGNSL#c;u_vxyG{o(`IL6a3^<
zOBpVq{{cjY-G>4iq@yt;4LSS)VeNZ<0~E8+Ip;P}ZQWdY64y%J7wbTlE4rTZG<+hk
zQ00)WQ$z1~hY<zrlb+ID2tsY{nbL!lN&&p!+AAk*;Njx*ln1dyQETKp*iH`70p7>&
zwMEn?7HUE;;89CtMY?<(I<OB!D@o<hi_3@ky=_(+o>D`47#dtJQBm>-Mc%IZZ>1Bs
z_w_I2N7$c|Wx;d)(pyoxr>4RDu%BIqaYsz@HynET<TthGXQe%3UOw01FAo&sKwXty
z?^f}0?RO@dbE;`}sk`Co9`?=9@hubH7N;!smb1I)naV?HT}zKqWA;PkA-P)e7>h~s
zpTpU0_YyilKNiRb3M`GVJ9*sDZc~Vlmrre(FH?P5WWQ&@e1RDv3|B%60_ZrAVu+t_
z_vf|Q(&0(H^2Pk@t@C_2E!qjhU&7A`1cwX%sd<<pC%7zhOQxChJe&_It8{k3TT1ju
z-XEG@Ba;WHNs?EJ$arJ5bopm(?v@0omcxd#X0o`w-WX$7sq(Uo3FFPsDL4ABd=M(w
zw>lY$RXBG>Ycc--K2enYmY26d6n$tftS`=&cL&aR=7L#~oR7%nz}YWDm5u2b8=(Vv
zJ<DrlRisCEE8+}>zrLB*Y;TuHT-^qphUfFWo@9>s9J(;TE2*G?lg6<lku+@O(MRk`
z{Ej+^i`4;fqcoYJh{d6oofPvkeXdF~^&fvJ3Q+?K$4tg6t;#psQK&E-&`PwmefkB&
zYQaLnVv$?Dbtx(sm|Jt^z9f>V`vXTpZRcA12mV1Vk*}jw4Zg3~(%&Bv9A-Xe4Sf?@
zyWO95$ZaWnKI#d<r;R2bHzA|G9s4j92UsJCB=I1lIn8A&qJ{4(JTkZZ6YS~$DnbfZ
z7cQ=~`r&D#p3D}&p#~<SGhxFnk%neX{h(=uy+z(f2Z*b;n<ncn1R50DRlNexjvh>a
zRHB}tBQs-1%yhO|NLk##HuYmi&m9FB<V$=bNnpr8HZ}fgH-K~z3pKGfV{3xMx@Sj7
zeml~PU5&%m!L5xo6M0m9-3OPxk%MLp_iH{gq0(0m8};begcQ!PrhUhXw1mFg(Ni10
z(?d<5MNEWS#aXQ55B`Efg_G!5>(b^wg?WXNM9z-2e~l52cd5TXiY_eX+vS)|3bVvf
z@(liAjxycz-Dliekwf1e>HV+1t{AWw1j&wzE(HfNfL)L|gn*Ei8U~3-LvzhD*c276
z?ShSU2g;QZ=OO_nb~<v?H9Qn$vsY&t4&Atq8Z*mV)P;ujDIgyKDu2dLEp)v{z07uF
z7J1HFucjb_*B*@pC3Mp!PWA%*eM(iLDRp&9RSg036e0-Ih}eClM783n+B^ykR!$4&
z#<~Gi!h`vq_b4a5)wZ%B2}y6M#+g>Q$csk#Sr?Bjq`y_19Cg7;bYQ)@9d=0XPvFcw
z?36pjN0#>xPMb!trSclj_gP5~QvX+`pJP%;Eym1dhbk%_YsO(M!%(tzk60ZHh{8@Z
zle=3r`^cCjpXSd4>#q;x!2DA*-5Cy3_f0-me}OL9>%B?k3I(SX5gV1K$an-|!e}P&
z#yzCWGvK8^Zh<=54=35N?LbcX-aqegiy)J!xUa{(w%y>t;Y_eFva)NvGC)Kg+@I#h
zlSTnlg|UAlkR|}@VGIfI^!k7H*T^z-aaO(KwYt5Q5WsDr3o<q6G*T!0VY#iP72?|?
z0XJfD`fhG0++P}n<3J~Qsw-CFiB`*;oAh6uRaUUASyGQM=rvSXMuf6-=8jwIu!g(P
z@?VwdpUIg3`zjruwR9>&Ia+m>Oon-Y(OOeCrzoXecVD-`SQwH-3}(}qe`K{SA}YDf
zx7A^`lN%1DM1;S(L^k6pHIdGdMIaf&g!2f|@30B?U9f9US>FnSo>4i|W$pzp5bD42
z_BMxXyrGxnAhViF8^mx8GZ>^__x#am$S~h^YHcm5Ks|98#4+Wvk_~`?I3|236ctJ6
zBigMhN>JR3kigIDQP&W*$x=t8kd1QPGub+qP}0xrg1Y)2OIISjd6BDwRjB(juEiLg
z9#Zo#MsAyNUY6s~P~9@;|AjN7v8J^|l&=QW=gZJ_3l%<?f^j(ju2BixbHNviWDp*g
z>k%L{z3UeBdO{u;F^1Tb)+6qz5y~U>fFZ>tc-Dd0fUPeDY|bl^Au6IRSi*r_{AIv$
zof!Ua3oypdl7aLGx!ie#hsYIa%%Tj#(qUS8TE9Z;hZ%_DE-Y=L0~CUOHo)oYUMNVT
z&d`~5`X5DxZfp$u2=3A&Lo}d)pMo5pARnE-#JtNyQp;KQ8AoejTQ-Rj)0ju=8c@dY
zIySG>O1~^K)uuT!j2hAhFUbxXw0KThMwCcKcvzE)NAYG7wyO-IWPI9Wy1E6-U*XU|
z-&@rb=6I_rACkQDVJL*f<Vj25IG0>)R2mkW4rYzG-IqF3U>I8uuB+&rCgR?XKF8uM
zcsBc5#ikhdBX7UMZ<(C@ns9J^%sav<tW%zrE;NwH?7zv(uR+HOx9;zYM%2-)l>-?R
zH~cTrNn;6d@{1fb>p1Ks7j33J^|G_E7ixSo?}N(?GsKJ$dNMyj@y3o>p}@{AcGVhm
zICP%J%L&~N-mpFvmpWXMhkIymspBG+)guGWu>D{sDmhP*8FPDgB5^*-dtBJYmXY^-
z8Sy+h{5K#9RL$oSu&;jylLc_(tlu;U<I8ZG>1a_x_;2<=`D*%>kuACC7+by~$vqc!
zNbI(Yd!?$t*JoYp-ooz@`Tj$|0#|V?e^7fA=xviKTt9oMcG}6jCiPoFDvi03ftE0H
z&Z1K)wO#KG1+^~M7W3)tAc)=vx_{y>1!e4#uT_d6d|Xre$$;jKMpMT85b^h1hR#_a
zo^3yZd=OrrccLa6GxwppkpE8Du=hW#b3p%s`<bK9QEjK)Y_dU->*_|+R9WTA#0q04
zM`zFdG6_?*kn;i^@E@%t`sa^|#NwbmaKxnJ!BbQN1e964I&rME;Q^#HCCU@UkRygk
zouTaE`lvz{7-GQ?tZs59?#&e4%@DA)9Cm4(3uL;td|dqaoE}#~Nj%HpNfm~<1YA(}
zSW=5N%<t&Kd{b|bpy7vD1Fg)#D~CZ>FY%%X)XdOt+>=8MF!&sNdmFMWPOcsEoM4)z
zR0S}a84srmgH4X?qW;^Tk&c0X6d2}OhbXv?lIZXJ>u?Z!4O~CjvZH^D-jXf$-(Hbh
zP_@ESGTxuCC`xusCbC;b70>Pfrf(8wC>p29-adAM0>Wr6Zn|1B>HrI!ySditbmm2q
z-7HPuja&J}#{t%Bt<s%~YL9(thhyfs{Rorx1ho(%sy7>or&;fb+NYvtLc!F0)|ZZx
zWb7B%E5>{xnUhPx=R55@&5YI_5F@z74|!dP*G1@OKNfO@+EVTs8-Ihq0_WAE0HAhj
z{;Lf&+IYMMLiVzP=Hb+iK9}1CT5Bb~xJ~m{NLSE$lw2C(d%5+LzQtDysjgf^BraVA
zeh^UOMtw^mKvGHz8Ly>4pvZGc3?};tCf*Xl(#`6vUHy+r+0skU!)+Ky-~;Vw!dIgt
z6xjm%+PA6BH#4XIcz<`;>E!Z_7SxM2xl3XVe%nv`!!m}Vq*P-4nUP)UlWp{FBGm4A
zUrjpD7eJ6+9lF=|qz4#qUF28>Z5}#m!)Q;GI>T)U(Aba}W4MyiCFP-dkJqO)RQ4ZA
zpFTm5L8T(WK7($U45fyy7algUl2@99-S(oO^-uL4g9Y|I_Q`E%M~8LVvvN-4bD2TM
zSwo{})a@Z>Mxbe+Xt5_!W9d1##w($((vt5^;CLFvZ~hoRYHv3J8Dj~YVp&E$o?9Cy
zau1yPsbfB{M;Ju0$R#TN720d=MdheW8uuD0G;s`;EbiAK=6(-secQP8^ygCFC2Y+<
z6@y*GJ<2?+Dup-il|d1=Kc9tXUp)3<CtkxH+l6<qwy>_$fW;-GcFn`r@HEB_(4tf9
z&|1U6%8v2Jad-{B5t02~1HJ2HA{T)MV3G)wIU$%0+>DO2Yz)nfAnU)vvtv_ldjtFW
z(jRYaST(gumJ~J(&wh&oaVrcV{X3D{Z0A~~D32J+w9?9l$#6qNWL8Ijgtp<nTjI;a
z;3tm}0dlo5P?33{r=Ca;>4{JuV$`TL@W1!F2=s;(E-bakxmd556RGu``5MDq9ac8y
zs$o!)ynA@=EsZ`pGai7rp!#Eb(@YJmYTgmrYV1@j@_n`bIGv|?iTM!x3S3XCpSo9g
zJ(G2k|N6jMK$0f{cjW6O<$No@k>M6<`&ef>2VtN{3(=k_ve2d-q@^3ME8&r<)8=k&
zBH!G3Opno~r7#%B6R&u)#+6=~hH89c)68hpR6iKFw{IK2AFGVRx~i4UZ^c;IiQnV9
zs=3u+kom#)^i?9K$uF2rl5j4fhw(U)kfT$%2u@Xt%GZPa;B#@Q)e*`^9`e5ku=r%x
zreJ;9i;Q~@br8wh8e2c^C(?o*@Q_KWFZQ_AY6fQaz2p#x`~BYXfPmJXkEw;+M5N`@
z>Eo1NFM6?wQv&6gtft?2DJfkeZS}Bb+hY<ENs->Iv{dFSWj#qk{TI@~v;Lbn-%u@K
zPfRgP=chsO<sSMJG~qWG#C3?--d4klp>u!WIMvE{;>nf{{+)u$yQ{LiG?!h;(HR;=
zrz8k8t^&h#YTOH)qpd|i_hmi%tiffEL{i$cS2(26fE5DJ<6jNP4Dd%MFm<;~>Csav
zx)=ShX((UJGUn{jqd=ZWA*Rtv2&GE=(kazKDA>wuJ&A3yT)+4aqX11|v8US~JC*NZ
z5`L88vETKR%hm1c?h1s|RgZLceV}*?d=UpyXSoG&*d2)_=2acNR<DfHt{GP=FtY|e
z&uIeMlbnHM(ZnKc;~cT>$|oxJ`1VNOBRdsLDS7LyI{DL;Z3RqMlfB6d0Dy;gbQ@jQ
zh*p&r=;qmhbgv4f=8l>c+`d^m?>qEiplx7hiUSz4nCiY%=KlPb)JB?hO*LHcqv<~b
zphQcPuvVq>{SgjHqmw}HM_wK>EcTGtUnV`BdJf+@#fasBeS%O|df8#P#C)wLFsosR
z9(R3MR=P+yrY0o7*q6;c$^H7kgnNHB#!F<d`#tsj*f2P{Ez_k-Y~pRD?a--NifIyo
zV+)g&QFq5K3^#ijF;7(N&*M^7E_Q}VDZZR7E&~UbQD!booO>OXknu7RMd63izvsv3
z{d+d*uFcNn+3-6!h9%HF?FRtIuV3$o?REV2IWF~NR`mnaRx;%Upy?P^y&IAc(fQdt
z2xLe@_%n{gs-Jph8>O(wDeK-q<DXk>sa#Rn)E{#_!;Xv&d%4;TZy~33!8XDTEb#g3
z@H3y)WB@8L!gHyOHgsKBO<Y=By%-^+f%9gx^+r6Mm^RW>1?hBE_~S)f=Gl2LR{Ltm
z?n(X7DTg)MpnQ}on}G#_Dr)UkuXh8xYoJUM^iN`BO#dcMe@vfT3J-oL&F(K;!_NmY
zA9A7{g+-u#Bm?wM@ExHG03IH_A!@mvMG>lz>?0ASXqGGV0S!PQpHfN%T!vsX%Ufcg
zO~H`GU4{&v?19l1D4%6Q^A45k7w)j6Ti*|aqo(qV93b3xGo3%VqJXb4VZfNMDie`v
z_*){|eVd;4SBjlz*7w-QeKv4OB4c_1c$x9g>ge`QqSPCGa3u^cj9Ynpa@#Q}=v3R|
z?qr+E>9Tl`9`#H!5+YR~SCkEJy*e{e2(5}=!=DnQmYDj2ZdcN-S#RMr<N$kQ@I5{U
z9Fv*peq;CE40*Clb3vk&DZz9XD9?EP;A^A*GQ!0_HQJ(~p-Fr*sgJ>oACs%k+Cq_=
zM4%JifE8xa^t_oUwJ<hic`@+Uq}#g-g#=5-8&H1rvBIH|V?>(1QT@xQ`tBG&2I@-8
zf^N?-UxIM{Cjjt)v&)0J6*ahgI1VP}Lqt-bJiHN3+g_}H2p7THac^)LGTdAWRjC_R
zxd_K=D%yt+DXsw+4riVb3b2?GZM#&vRf(-M`8D$-)quu2maI~9Aa3^|6dRld&5;!_
z;T88~zZ4po@f!5x0{A=`vooqs0zGwU+J^Ria7tCGIjp&NE>IFfcZ>g!{lr37Bz$cy
z(+C%MmNUtViISK%*#s??FuKiOt+gognSe%p;5@pUr`JBtF<cbPgpXMm{U+b3Y*6_a
zh%G(%0uayrM;D{JS;O8RJ*32;yuwaim{4m!9Yq8*7%(_L70VE^`GpdC5bA`dGXblQ
z`+94b#|P7%rVfLuow)AYJ@IVovlZnOzX7hyC~g<66QfTXNy^`z?+$Dj>`Phktd!~r
zG`wGg_D>J1Vj)&=pK8ON2KN!kqpAlo65d8G23=4Qeb`Jh16JVCyk3bA>y&J~-BoCK
z+zAP7g#&1S6n@eOQGhju3P43>fhS7xNmN7o1G)}Rn#s6~xJoauS~mFr&mkg8f+;Kf
zJwe3=vd0+sTsHY5N~rj;8r(>ytnEq?(0;an)U<AOu*B^xInJr$sQo?y(T)0GlJFWR
zb}5B-TKI_2)&xiz>9Fb?oul{^G3pJa@Wqwd)@k(^u~iTDrnqyhpg;<W^4ac>+eCCc
z53fr4!d`i&UEnVZqXntKKQfP9G={|b8n7|J!7^v3w56acuE<~T>_#2$S<i$4wSRtO
zMP!?+$`*BZ;-10*&!SGEP3#6qru=_2;I}v;eEyRcP7;^{#Z`+ltaHn$c^o+NjkwRQ
zIpT-di9ocVj7=6Zhh?xH)#qi_XcEUOAF0e$KNhxM#Z2sDk@)9*XTQ#oDE%uas#8Ug
z<#~UL^tJ9Z?UROwiDI_W5-2polSq}tnM?UY>;l*mgHBv$mC6?!_s|-+CYVqh5M%LZ
z!6N2_7GC4QsFCiCIY*?LjqKrg6t}trTBs2E>68a*r8Au%J~&ei7$4#(&M1?@3R68e
z#~xf8*Mt8L{#E91YMDe_@;5M}{mnS0wF#Q7i*09|cErMZQgEf@auC^^M!8x<)CmCL
z(!wmFP7lpA_n(QJ6h_N5uS)?D%dJAUsafvvy02N;5c-Hm<(ikdp5trme!*C?$Vz95
zjof{e2f#*GME9EM1_X3uYA(1O6ypsMO*y_lAi=B7gVVZ>xV(LunWX;@+S_66i%Kvr
z>v2+SK7XwKNhlSOsT2Cyg>|^%8-nl`t7D4Znbn=X9KR?MmD<qPtObpmOFENN1zmvW
zqiHVNLa8V{9@KQ5z}bNt`lPp_V3HgF8^XVgr*;{BL4blEOS22S`C&|i3UUE=Bm`en
z+`BUgO>U|9gkD}zRFsM1&3Wg_y%{<Pd$W?Yq1hv%JQZkw+$X2i{QJ^VE}b@L8t}6z
zmJjtxhK!93fNuWdVF=Q?3{>smI4>qdBV0M8Dc6!_4?#*w9o1%cPUwSVJ3p;=BGCv>
zbf^4MH1I*>_i3Q}E?p{+ZoH;dC4Pv~26Z28*ZLYehJiN<BT>Ml8sIz%wjl)*cWhIm
z08!?k)$SaVYsP$BKT4-kb7JAXUj+8?f9yl&69s543jq3A3gt&!gTb8NlzW9%%sE^8
zj;8V_$`7nfM<D+!(NWzIy*C32#e7_fHthz)0p1eEz{RBP8A@j`6?vjEP?_zI<mSm|
zZHvXp9xS8~lp{lQqWPI&@jpSlFxC5FrQiD{Igj(h&A^)U&d{l|CRC3vod@|*HMM&M
zNU*6SSqw?(JEglFhQS!SH&1E=nsq(Ya<Vxors-1DEhLABMULnyMByW}cTihw7gTtT
z$YN4?zm$fFoJ>iz;hApWiezHR^&3sw!^t2~#)B%UZP<Iw>g@#F14BKh)+Ny3$n<G>
zO|>Msg?Hs=N2k9KaR!U=0o6Z7Zfx>Rm=6R|R_VkbZej88_H!dlC-z4ZrKsSp$~RnO
z+pK3Z%hsXp`0kLT#>Icc${di9q<jF6kS}H_(3qjTs(f6WE_{X$nVOpcP8wxzDkn&)
z2*)?MMX?$*2(5S`ec9lw15W$63!7~y%gZW=Pc-D7@2~x{#y{i|2=36K<_WVbYokM(
zS(6q3$Jw+T*S0D39C+Y}I}e~M2y8WuO-EOhC-z;%Bg4ExDrn74zkYI`v<;+e=8a4_
zNT@;h$WteQ%R7%dya}~q7W@I8fk6OojT(5Aj^|vs7Mwwx3p0vI>yqv_IcjX+z6Ayh
zSnx3Cy!vk{B6(gW2SD%1sduLF?btp5cuhPg7;bWSb&booZSKs}H4S1)scKMx7I$$?
ziwsffhj1Dv-Y#@eej*c6p3h7^gmZkDL!HjX6B#h?7#nPQNlL#sJas-&NZ=`~l1e0k
z%(d{Mtl;W4ay4Yla}aPrXF?+WlgdjeKFZ}qnp<fb`~ZQ_WJ<|%k**0{Eszx{(Z#5l
zZ^{fTz!(!Q(YL$rAyG*z8n(Aj)}C_H+<?~Ezx`opW8SuKTbhn}o+g?W{I$(m#1ly7
zd~bU#1Kpat>w#IJHSU%|nm%xHY*omT4ZikS^2#b=$?G<}L~MGCgWs&lP!SQPtkHqJ
zN+J@Vqb@4!E~iV%2VgC22am;GeXr>fD1DJIzK#CCCqQc4xN#g@+>Y=ih^}kDpE@XD
zq<~|;$TA@qEw1&&G*7s77i0!SjnP-=ek^jB15zYz^qtN|jUKg97gc3EDCq{;Y_@vs
z5T<!^>CNo}gakTZ?oZEF=_$MBI3WzQr{me0brC?2ghTFe=9-xqC9;g#(iz4KVQ>ia
zD&GoZY*{~z&g@zG<Z>x3L<XC)^MlH?@bgyjL}_P2toS`=B&tMLBLM2}>PW9{x2g4$
zO2*3Uv!m`g8*shVHLiQ8<pJ0IL0*p>5OJAb13x?Sce#laa^mg<zvLvN?@18GBNwU(
zP!cEeZeY~AYr8Y*2>NW(98Mb=t44jYu8Vz5iln_h@kClr9TDsnulw@4FveE&^H{3=
zobAK_(;eZ}OF_TKV<Qa^AtxReg+Jq!gdh3!0+r8+*ZJb$SfFZ;F6u>vXcbnLK|Bk)
zUJjP_ETEPVZI>ldj{e1TWJo37r0(iKb6=uYtf!1^0w_zwc)~?_{6hgABGES5lT*s}
z$)3U8$5T}j$;<O82w;la<f6dSYu8+Z-e$o0s;}mhR1nvVL4J1B81J4z!WL?whe2d>
zotP)h?jveYXFhG983#%cu!T|P@?jhuQv7o@dJ<JwMSM#{ixUi27GPvyVEZhXOn9Fs
zVEEz)^!7l$R3TyUHCnajIsor)63n(1TZKwAZ5Ko~*|~|4H|;mfbtlpjv9~>nz1g>L
zr-T3;j4=Ws)XCCAFDL5AZbRFnq^P=F@;TdJO6es0=9HkgW!xFXiCx<c?v)?2FK4Fw
zt%Z3<kUuwK#qh)w@p1?3XGFb*bLvDP^ws%Cn|%K;o&X%_GV2Mn;KZ0Bxhk^X6Hs9_
zyR`io&*dH681NX_GT2975l-Wqoc%j9e@1L_tw}W-my7SRTPKiU6?5lfl)8ojA^8}!
zbZiHdZc(Qa_XcX!j2Nxoro&!Xs*VK>9?w+TudOyaz~DI?f_opysC6T0pK|R{WL<?#
z4acz*wt{72Mlj59KE~9k7QfH6wa2_lJ@-Eh8-J&3lv!@7LRr9@uZ21BQzih>>+R}m
zaZK`W_(sILbkb|sKtXo$nviOY?`KyL2DvZD1wg7H4X4Nc#$2Lu#Bv|a^0m?;sTqu&
z&aS_mOk@#zQWGd+>02MW>1OHyWd<0=DX71?+v|^|KFDTag`L2I5z26#MWyOP4e8@v
z_-sjBSgD}9nfAh16GB9D_si<{mW$s&lvHGJr|#^W>QANEWI+x~eJ+ZSAajF<Y6hKU
zz&5!`9a!>m<$Zf7NCpALbNi(rQA+g9NCO72S%eVh?&F0$sqZ;^JzCxGcFJP0u_fd0
z2cUWO$O$oanNh)dCaGM+(1MVn##Jnzqd^H0O!4i;A+t>e2Vc`%w%R^YL<O?Dkd42$
z#St!7RX>Nv3glt5AxdKrSOn~9J7|1^H27R0c+>md1h%t87V?Tv*|K)e)jy%$KD1yQ
zdKrQd_$CF7P!L=>?!JaESY%VdF;FBxNM^9s$DAnx5-1~=Dyzw7RcMW=Bfm1sL8jY{
zjbgLk<DX{sHUYEVvI07qF9CKLC<!*AneDMCD_S7`R1)b(=<G-O94_n0^te#9nQZx!
zE4Y>(T<a;FQ*&>Uu9Db^>NECY2ug=zOivH#sSuvjp;pFJlu+MX5EfR3P}f#m(09-|
zt-{FDhFi00#`xRx<m9_3^mj*jojZvo_oezb_&9bI=y){YZyAiPdqWv)B?A8$`z92|
z9ABVBOXd2O$_~3kWwmnPoUNyJg;XN!v{n{ZOU6lj&|7~$4A({%5}Dw;L0E-EG%y7c
zd<O+2X|HD<Gy>yVkC43mrUokpJt-eplJYzmcN-cav7+Xfww&}8({&cqT7I%@edBLR
zxG9?nGR_#dIvZl>e@CjsNnde|la+!;>xR4}Xq7w|*heyV@ODrgv%Ws*T9GtMU?dIA
zFAOxW#S5@86?|^oLf^*aL3IHUijlqM5P@0@9DN7%<q|KCG$>lSI(y5^%jgkh>pAT;
zE~4uk)3w2k$`*kiyDm!h9L)E@I-4+a61oioRbKiF^XsixzXLs71)&(z`*#guz#L-*
z*<Hlvu>3954&$ix{^PY28A;1C;p6=m^nWMD@KQ2#M)ixcvPpcAA?Z{(t{8pL5v)VI
zhtnEtC#P<X?wEVplX*we{je`|e1sEgI3E2AbWElhEkN$;$gHhxNIj#{5H~@m|Dc`x
zD!+VYX!HCtbp)%HGOZhX<}^Mr6YI#9+UVk*<voVtM=Lr!9cQVTOU`208aPv}Hw3eC
z5Vaq)yf$@QVuLMj`>PhIz%5G}6@1!>hd-)#)J-fn49epR*bOiOg@Z67Z9nS}ux`a#
zzSU&;RF)5IWPT6rTl`CK;s#u%QUnzTs|}B7qt?)9I}<AgdTQuF*Yke$2$o~G+JLud
z-)I4Tn%teT$*Y?rt3-NPb9HP_lu~p65j8KL-_|yrP2xf@><E?lv%X!;eZzvny_Be!
ziqoZErJIFJhwb{()qj`dz%sp0E1^toekLj87Zdt+adE?ob^dWo+k#ZXsba_4f=@@x
zDJkVpONbDKQlRlt#ivmj1#yfU&&}zUF{eA0|7o;DqbcZt^?nbm9C1StyK$H;`@Ml{
zD@STN0Ye`W6xd4RTRFBdjtvw=ROS(Jg7lbh>v5%<5+h|Cr0sfY{TLcxsXa!U1A&}q
zWn|Ofw|omGnDog7q`Ub$ArI%pM)sa5uNc9!JJ1S$`>4*b%W)RqQ`#}eiyU(emmWh|
zAFd1UE5l8Mz)BhNnYo#i!k$uu3=V&_ja*c$z;3*AEEGS3bX`;18X8<EVp;tzUn_O@
zAY?N<N(~6CMe~}a+3AO!fm&$Mj2Os=N^p6M8DjW{uvf4M$up*%wL6lQRqPJlq8=W&
z9Tqh>X{%qiD5~d8oSwQ#K@G{U65_dB2+PExOYIoLbRSrl@=z?*R(5V_+cHkzmUL2F
z9q^9>O-BnfdJkTd`uP9&PS)zEX5ce{_dUCg&YP-=68_3BEwfZ&<LfbH;_P+hGo%i#
z?vOlS>V05c_9dl9iA>F}z6oBq&mwgnr?PNli|&?vt9eN;)pNG8>$|_WvQcamJ=>w1
zK-8Ey!2|X0)8O(chyvVhVcZ-ONkbI_og*4c&$L9_aGMa?!ADJMqg`!@+z2ui(SzrK
zhfKHhy?jx@_FRpU0QK*Z!^CH1)6Uq>_(jvq%9qlCkXKN$vJyDQ>A<7CuNahlp$7gw
z#@m?7N50ZK1qO0e-!Q^+H4U6B4bky<)i*u2q{YP(OZosEoNa?iVWM)5`)Y%~;GRtV
zDCn-y)&TNZ!r!-4aKIKppu6s#p0nYdldgGn4LDX0`7fIW@e)fze-7a|*MmI!KSB38
z9B~{AvZoz_N=jL9=fw-g(N7qMx4zvLae>`GLIgn%8=EdK46dAN7?{?a3UOf1N5rB}
zDc8}SdqX=2-Eo~)QmY^Ret8F<FNE*P^+vAb$Km>l&>V2bLF06w2G-5jwM7D!|JDaz
zjubh)glTKkQsAzyz-C8BLX{=oJ^*B+*sVu%YqxF0ZVe#q9nvwqBck>17TcNbpHT}l
z7P=%xyJ1k-jH_b9aHs|`tEPc2r6wI+i`Q6AWsRZZ!=ssYX>B%%JUMt4bLWVdY>^UQ
z=0Q04c?0(O{QL1FeN?_7PZv(|z5t4lc$`DpmM|CANl7FK8au+^nkq&ys6&1iK2`|Y
z7E&k1iY~!z--x2d3RX7jKGAzDERzn!c3xW7{*a`Oq*tVGDdE3c;K^hn{6SvV6&ysr
zf-6m>dy}{>W-P}%fG(Cuhxad&XuRqE7gzFFFBc4ml@GjvmT~g(v;e2A_P$t=rXBf@
z7we#5Ql^31Xe-b6zpq3Ux!Ug=!ZBNcAzfn@5$QT>X!P({3bP@*xA8k$(L=TGQ2siA
zt;H2L*dNBr5OWO3I<h-Eqv}e0mXGEY60rR5R^s;X!M<`i-DgfAj<xA+7oyvLp^J#m
z@*#=7xrJRMniBrVM%*AOlA@mG0Wk_;*kHLJ<(I~PDd;YT)H+6#mSwtpbM^_O(3OOg
z)Z-2=dT!+ssv<t`#C!n(;{B`PGVgw7sDyrhY(?Q)OM6#IyRmHHxXb`=_?rWeM5gM=
zx*v-F#TD!`Su3a>_NZJ8rww7_)FaoF_d2)I@#w5`B|2FqScO5*!5U<SOS%1dR>-;D
zpoE|q#2i9;*n--*Ro!*eU{Wn2z1o3j?TYc{y}AZeLIOaMbEGtTW;Dlhs<Zl3ue!(>
zww|h7*MrCUMFw&jvY44(!qGX%BMn>1Nj|T6q0>O-<Q0U^n4!46Dcw0}iho3E-`^z?
zm_<-7P~=XSB){or)IN@dFAI<^lTE)e>z%L`B^^t2E4ba48)3+_(i7e;b4>j~xch%I
z3PT!YfZ?Pd4=wX+n7mM1Ml|TJ4#Vsx5T6?~?_M2Ko*&EB0L0B(9YPe-NoP{T$<XAX
ztg7(QFuVuDiX6F?aGyfyZIo@)6h)_2xLz!SKWdA6G()8ArVdoo(<vvo08G&b%-A?6
zxR5NfH1k&rRB-4;HId!x#h7jn#-b)(w1dBhr|rxVYMe{|Py04o2EElu>)K}>a~|pg
z1;kXgSLdYin=nC>;GqPKYR>qN7fYSE`Fb<iY$>ANNRP%Eyi#==AfFphAIT!Q4lQ97
zV=(4NahBwOe~m@%1*_<e2+YJYi(EWINVS+CZe4)}{Ev?4(g;T8U_u2D|6P6n_9Ke%
z*%^=ZNlDuho^P!CblvE+@~}@#NL#1f|6s3{6Y7PGjL^0Q+zp`V1WE#Qh2&-`>y>jL
z_!vTqcWb^CL~?cT_BzZ9E8L25sY<*EKx;lf4mHfe>hz7)Gg+eGCjed`H$WQCis>VJ
zAFKbp{;#yl(XgJY@`K-CVyr=^#@R;aO~KQ7`Ubd#Zc5v#V(!ngoXEbm?O73&at4X5
zGWiMYB7uzPHd`UWQ6&)e7IYgZ3xwou2ipr*DL1Afs^;5?xk%^P|8-Ckuo9SjcL>Bd
z7*rLbI5}u%AYNLX&6$gvRvkU;A*eTJQp6sEkcRpD;QSO3^_pIYMDIdw!;_{nE3&N<
zNX57cM@gFxu(kjwwZhMMWYnF<NY%F@dmyQ?JOB`v1qX3xCwEm1?2Uc^lqm-!%n{d_
zR>R)GoT8S5og#tI%WO*4(Oqy>G>~9~VO|=?>d;K;wwW*UjZzl6W9@W?U|xvh*djmJ
z%aG!Gi@gdXL6lkLbEeRYk*FE1#f8yP>wxAx>cXs*V`6cnJRW3po>gm2Ein`eqtO<b
zBea?hhIKvHjC2lRg0x5AEHLg3V)iP5!q8~_-rooh^@l%MpthTS<~J)>raPZ{waY)}
z{1EKb(xY%40FmUw577(cJNP?fnCe1%<tpxIIshiBVT?G&a%Xp2{YA4~FX{Ffovt#J
zj|432@ssks!Z<rqv{bStqta0`-WeXUj|`Sg-~B}kgnDRSMSae+_16D$g@Ma^<8hil
zAl-dT$(P03mfa?_L)6(W4!~ch7j?KG03Z{KVo$xT*LzTIrFV`3Nqfl>k!X9>0>HI%
z`WPo}OZo^`xHdio-iouBT9P+RVcs<s*xuNADSbTeI+mfM9N*}JUjSuIc0VtKj6~_c
zlaOtBqPN6c1A2&rTGUw)wl2CZkuW*D)AUrgVIE)&4WmWuHfZPep{j`bD7@id>y}MZ
z7EyUGhuPNNrxl3qFJDt^l$0+bSz)}DUNdoVsA&tk+zpCOp%Aw<%X}eZ^j0+H04R^i
zwu!Xm7C02wPM2a#p#J3SBn+9G<0IUs@#v9`GC+9%ipm|)1@?aQo=HzS_ehA~LkVj-
zA9@1o7B7n3k{57=s}dkfk6^_v2g#+m*PH9_hy(#O&)JevCHKz#AMc7Vu#K~sJr@!a
zWwIIDsQRG0@2E{}+0<AjUc3^@D?vX$^{QI%R$6gw<aVW&)(u=~w0?-QLOO!&ep_+H
zD$;Kj+Qd$I$2A?(mopNpH8A!xjM<ga>=%T)Uuse5-HK;Rcm}>jFHC=|e|XW`*GZF4
zm0%RKls7IR%irqExBX`piH86ScTplLD;#<)4q46D({VEs?aiBG$?+efUko&M>COWH
z+fb&{MRMw8a(PuJpdEgk`_GoahXKl)6bgBxX*5C*^WLvDQu4;tJxb%{nDk`e`pNm=
zlb~#LriIdypDM5U+K#wv1gd^P=P3dJ?b`s+*5M%Uc5H+O(KJvD<_T0llo=YRb!~*|
zwe~;dk3=QN#FW0X;BS_A33g+K2)lgj1>xp_{@F?CRfK<IZ<hPV?-`!mu<(?EA$cKx
z?sTfa7WQJ&tO(($Xk_8xi-$G_P^WH_BS8?U3QtgV-?e(2xl$X`!VaIJHrIucQqzQ|
zolFYg%9tMa_@o({wo7W`Xf#Sazu;{Fpmoe7ui`;Dd`kV0ZB}pjk@Z)vUpdVb@O|c6
z2lwiSx6;8{m=dInI>|u5@l^HgofP=2^6?w`kISSW4D&o!j7gLiO7Ex=u!rw84Sj++
z2u44<!;GpF8e#tfF4clZMd;>W4*Gz+fL3afs@Jw<1BjC%JBGQE)NDg=wx&i@k?<z2
zeE~cQw>9P`m8@Q}iqsl`xz!5YTC0S|Nji)<JnI`PE&^qtxxGRq1U$F<y<Bt<3ATR}
z*Q`l|tZzA-b2!f3JEyMPTf#y6uJ@&{3Ep*=pP{!2=bmw!9#F)Qb?gv4W!H#>_b+w4
z$fr=|4~WDghmaY{245D7*r{BnJv}5fAG04Yuw>=9zDZQosxk~VdP2J+K<?glc4s}`
zWgnhx3fk#G4{To0vOSY0d~vHk{HO;spWQvL?9}3?o~Oa>VZOD?5m1(`@tkQX02GF7
zQ>$`(SK<}SZPAr0SQWLBV|gX~({ak<sY|1CuO16BCn`!?k_X?F1w_ztY$yRtAiJh9
z?*wOmBMHS0JBb^O@p_62UttkYVel`eKR!>f2vy)7_ALKHBOI(<zmG|$XXdCPA=0LL
z5Y1&C)Nt<76LFUKS+wAVa7<s+-xc5DLpAlI$0r|CP_td-QeJN2+Y#t(Mk!xy`(pOV
zbQxk7Pfm$W8ZG~9FmCO#b(c6?p25E%q^-!@H&*`U3a}CbGx`i03v;gfawR=5*_{Wx
z<lc1{Y9D3Y88SRjIU*W#)BDl}M)Jj`K^rkuLMok*Rq7i^2Kn^^`*+UlA`jwDJ|atv
zOLjwt5eYiN7T8xlhxd7;&06=YuzeDJur=VXbgRr#UYcjktKvYvlunXM^zgNoF{5Rk
zIc~lRz(^dord}x)WHKXZ5D}eN^$PYK8uo;jSLxrM#^!%w!KF8AR6P2a0B@8q78clK
z?}02=*8my)jj&Su&HZZy7qYixlyo>r$_|Zww39<fG2j4wrw>ip(&j6*2W9Mf=hxwo
DEde##

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_length.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_ff_length.v
new file mode 100644
index 0000000000000000000000000000000000000000..322832a58a5dbb0a0b06434cae4103d32db62590
GIT binary patch
literal 3112
zcmV+@4A=9E6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9PO-Y>uboGyB$WcX~&ih<Tm
z;UI>&73>?C8Ui&Jh0D;=phma!_r?|J@favZ8(shNrMheU^;1DzW^>kI&rv`I(oRCH
zj!aWF*9(#@3e*8m$zLEK2PBfmbn(a)B2~B!+wG5{47$)($cv%Lvsp%cS3lFM(s=RO
zc*;i_C*wGEZ^;91Ryz0W)XN<DnLX60E!vXOrk&m(me09?^hEGaNWF(Ct@Y+@>WF7L
z+fuNz(lvQlB{xuab*WfC0nHJ|+IR*DEE{GCrWI03?T@bF+`$;v`sK@eouAf&9_wtL
zKFgNUh0h_Q<&-O@5wBGep@5GF?>j6u5u}nzGYe(qIf=S)bMT}W7%kqRQ<~Q;7@q`a
z{xa&MMM4+CIjfjSi~PG$dJt)^N0BX29UR$A2Nr3;3gY5o{PDlWV4hZ3PB2h!mie-u
z$7n4>(EDwMO8Q<FG%lBvGR0{lh_?fM2<Wen<QqLU47wSAfe$`z$!2dYP4K4$_EM{;
zJOzad_MamY)9;ODtQel$@{gs+OJqzi5a(@@AQhufk>7b)CQSqW#6OHZv(pvu5}jgk
zJ*jYx8)U24OTl_&w?x&(l%orzoYq0Fr6mx=rb+IflK*QxS!l$-#{=$H(B1u%PEKt^
zq7gvFt(tJJLBSRaq^SeG4>R^LT!UY;)GrwUTI4xvM6x-^`7hz-dHU@2$a$xPxIQX~
zv2;VSSy_Z^+!7rrOScf&r^5dbx3SGBz^Rq;c&NsyH}%lCb1xi^ApbL+yqn$5uXZ9F
zY0(~jtf)3}4%U?3CAmi_>aX$`T*F^@1e&n}8t<A^(B(5Pd4Dl1zBqfoN&?KP?<KG@
z_TzAX9)S+_=%19+2|B<rla4qO*EG*%#FfW}R07MbxNJ(r&`@fwaS+qp4{fNlJY2I_
z*QNxT#SR4OyUO;V6>unH!I5apq@JPn?GtcSIr1(a(#&=Y?}2R0#$908Kj94zB&CzO
zJ+$k*-dO47x#L!&Qi#GPAvG0*-^HZi_TR?Qj+F;KYJb-tmtt7F4H|^rcJJ2bv3ch%
z=(0fa!0dhn_NAYdIl&|QJ3yT7DyQ>`bdg(u%Ln!urw0yTD!0k^{idH}gcgKf)bZhQ
z2HmyP5cwx}e>F(fQJgN=z$u4@+{rn=tkaG^=kE^Dp@xq5n;WDR8A6dhQFgngu*&=z
zNt0ydvz~CZcMQZ{%D%(6A6XO@!^z}2Ax%-mh#<NtwheVd>{qjNtq1v*ZzrRmT}nEM
zvb@o#P!&~>Q_5sNLy3<NaNs9g$fjDAMy-S#TW_%AJJOjD$MIQ4LYl?1S@^dl%QOhV
z6y)*nZ=9@Q2Bgn;9Edy@DNi?c`*W<gXoLstX|#puc_q<{Z;KCC7Db)(b}=e?MF;Ho
z*DR<~O;#%cr+o6xw*W${GvN@kTEWX~kJG;#{atZ~Ex{m>sI#{n4xn*0`n9B=C*Ri1
z;y20KNDhY~b|j{aKiP91p=7$>%05s8y+1!P90aX4GW+&tYY>9@vR}Y!j4~p!GPG`_
z@bn4eFlzWoPPOUQC#bF%Fxn@omtFa`&Z;|wvo*c#M`Ci>_U_NEon=w=K?M;|010`L
zWvDtdF=1fv(Ai!=g|3OZx`u&aBG1|Sp7A*fMHGdZPr%u7b=l=>3Ib8@N~8pE_qsWS
zJZ&sAb-V?*`%Si(&iT7)N});Sjrc1_l@xhZ3~~0L<v>D#Oya;HnKP7VbX*ze!7A$?
zY<4%W0EQm#D%@Zlh5U^mcv|aERaRm=TRujBPlR-=?DCx*){9iAdveIAKz}TKSSj6V
zE2;E9gr+{m2p@$wzOQMa0P%@cLCsS^qYOJvj^9@rm(KB1F)C|GBB#fj!JtX97hEfM
z+9p%U%IoO3HJfn|<&IQtW{#_Gs?rTKaGZ7HTOPjYSqP!JjA9IaZvU41#FTrV1>{vg
zNv}Hf=RzG2TCV>VX-94DqA^&Ks|a17vF|srcgL5l-dBG$h{wWf6QFilUTgkTCm^V!
z%tC7(cu;Ip;rBAs-UU!>9UvKKkxY!My?ZaLP|dO0dI3ggdT^zzH{99&kOqH+aQVB*
zb@AOKRE*rI-bUSkfK8SVh}{!#pNg0LDA!S5{c_RP1>m7BI!_AQo_Yc@t6ZDsWsfVl
z_bMq|k@5<3Dui_}Ec1WJmp<dlTBE2Op|S6L;fY*=g(?tGF6t$RU^EF%qwWf0aa*cG
z9X&dc;W;|;6=dor_H07)q0P0Lnn|(br?7P^$MvCoguys!;&K$8EWk(5fXR;;&4C&r
zLPW@TiQ<JXOu?2e&+nM*(NGCG9a+;^lVP#%C!g;I+XeXPy8yDO!v4O}z^mKet%oG*
zY-MmVoq6+FKu61LML++Fm#vrN2Fj5(-7caAmeTR`+%o=D%{sNlhJ$_@9O#}s<69Gv
zo|_GQx{tt6hi&p1X>ZE&*YC+%m?TadofsQlXs+Y~9b&Wjb_<>&iQ|Yzyp*iWQJVOs
zN`}}N3GB&q?l+x&_d1<+ff>5+O_5AkbE=)?inopPSL3SY@Xo1D3I>NqL_Em0aXs76
zKcjxB&o0A7f|*mJ1dp)*7%6bC7ugW)C|$LraUJ`~mZ!9!Oo!Tr+gH8E2&oFdoO>@n
zGX8vQ9*7(f1#$mW#7q^H<{94H<K;g8hSlJ1(@m@T&_<DSYGtMNv%`v3FZgc~aCCIV
zxBp~Z;Zqi*{yrnk47n-Lrfv_V+Bd_GPld$Hl+3y)?vbRMR#>q>1CK^DvC4Dd+*r%B
zb8U;q_pLvx*26r)%Cl>Zs7lJOw2MU<+@orLSBq1D+W$K9nl$%~UODAra%efKd7>P`
zvWX0AIt672`w|KvWMBCY#@28-ef0ghdPH+VQ=tzp22S7|va&$N;G`I4Px_%_<55mP
z?IloYQwU%xRp1jvha}ZCZziE7yV()@Yw~iU*5jE7gNQ|oYoVaC07-0>;S1T(y}Dr-
zhjaYZi-QeQAeM@3^F$c)Ivvg4Uh1K=)OQki*|#zNiPRl<V8!uW$mxmTnfgSoB1FI=
z9)R*Gv8=Z5(qOp=$BH!iN%uIT)U3lYi6<AiL0tD*g^f@>SMdvpHB@5I<pKd&6eK~~
zN$xQr_HB*a_E;WQV|#Oy_y<@P_6J%eVx9w<=6)Jkrt2u}cn$}nPvx!dXYM(=o92U#
zP*}2wcc1G4m}2-~<a~bxFy5bWsFlk%Pd3zySbZzBAGzlLF-niq1?KCsS>>7GY7yMh
zs`v&>dkuQnxnoURWpflY>`Y<P&&#9OGOl#Q;Gq6aD>1Dg1eHQTk>xQmw}keUgKRJl
zTUWDDqunF*yMQ9Aj&DUN{KiB-R`CD`Ue-_`RMf~Q!7fc}<q$~4eJ2<36DZgR+Rl>q
z-Wq|!qAFP)ZDn>~7F=$~Z7<TFm<cN1X09)G;3;~zXaYWDYQ4a_clZcV5k5uc1NAem
zJNT|$aU3}Y#zQ<@-0-ukMO`C9Z?&11+8j{mz>=Yxxlg9gLZ0rEmMSB8qLql4`Zu+S
z>g#&3;*gnLduq-uL`yVsu3|`;W7Cz>P0(HXyNG6CXVARjwgLI<dl+ch37<|;Zx@EJ
C4kMHR

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_fifo_rd.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_fifo_rd.v
new file mode 100644
index 0000000000000000000000000000000000000000..1d8d8914607157b502fe07bc237c424116ef5e80
GIT binary patch
literal 3400
zcmV-O4Y%@(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}SjiJpXoBfAp0
zh2u8+1OpcB-zvl@r!ECTP~P!yrdgPvu!-0Da3B#*->Ozi9~+>(-0gwXW+dnL7aBrK
z*G6M7(wo#!EPaBafEfg`<2Qz(1M(6n$7LY+73^vzexf}iXwX#;{qS|Mb+5I&V&4OX
z;nz<Wq*udG=F(1cmYgTj#mr^ENb$~_n)mH6s?rGi%gx2wJr0`sGGpKoaRhW!sga|v
z^p#u>b1{6CZk#921b2v9(QoTuiJKd2>d(l+r-o<2TL7>S@fgi(pS1MSIw1qG1uzk0
z8;{1e*f%_puAiHGU8F6kM(4yv5YW0v11W=(MhaL{tu&T6R@eM^FoRQVn{-3YeJfjF
zE17vodQ4<M)o?Q|Ou>1@x5D!L?)M`9Iud)CR7KO=<njT^k2dax(XIRv@Pa~$Io&O&
z6Xu0c5Gm5GRv!J>@ugk1PQ@_V_va&MO?xo4s1xexMrYxjliczK^dr)!`R!Li!J78V
zk|E{Toh-8(hxlz0;^L}05x4MP7ce+DsedZ!nPW$oiKGYUAxq~wwAj0$0~B%@<Sd2d
zkCziL7zi3cnQ>70AKm*wS3AO(09z_4n8D27w_cy2B`H#?`~l=Zd1K>X$)vgC>VuC(
zYkt=AoGTamSp0U1QO)||HmHD=){_uwAX}YRPZ^H#RMXAEOsOx{G*-Fv#1oE^AA&md
zX8cEuSR4Ea{sSZ*eQ#CX_QBcm>QyQnIKE3$s?4`gN_0&jc-2ZSIVi<-rDHS+?qY)0
zLD0s2tkm5Tu!%Np%JE!Bjm6&zn{O0>gua}$Kt=77No=liJQSu_cRi-t@BlQcT!hg#
z>mFiZSRC~TQy=127NpwFV>mKW0UGq|R|u6sVzo~8UdF{V1RFbBdXO-_GaZbu4UGj+
zq=m2F+;Q<=HjvHfE=jl*=$!oD6ucu92uPV0BdgPLtJl@lxRcOxhYWSdC0&gK8}Ma<
z;@UGvVJ7}|inc}+#KAg-LPX=bqX=(~1IwJwuYE9!(b8jCjYwH>bX1_g3x{5b3A!Z<
zhG>+itL0d<d_v&(&qr16!hatFM~k$pSN0M~H=EZi>aHvb52+ULPqJ%IWxR&ZX6ir{
zO0I&&+HwY{t>4$Wp6*h6vNGTAfUroiQa#Au{Ibax#N(@Xrk&A*#c5|}vo{+ePk=y%
z`Q)v4zE7Rr#RXc-39OGZ7y?4wYb{7a>Hgye9Z(2!{oJ)>D;D?KU;ISkD@n^tLx)U5
zz72#*XdUWZo3Jzn28%$AA_y>tC3_g5KE4Ma$A!kXVV!Ij(0?w#7(&4-+_`W}Q5o$^
zM~<Ku)27286NS;eHVz!L-50XVFO!LiNKR;;R>?@gVu_EzQK4E-J-E_iUh&z}FY4Yr
zm0Clyb(Wkl{M>K>0;C8J6$>MHH*#i{`MNXLptzq`1i1}{V(aM8e7>)<%<p7cVD&Wa
z{GTY2p5o5P44eZw{2mF&v=31p>ctpo5<*=0Og2Pc*cX(v9y3G{?Fbbo8l9XM?Uk4A
zNK#fPGs+%_d%TpRO6U+ofma+IN>)+qmK)Em0<dW4CtE?@JR|js6e!~P#}W2^{3}aw
zIBSkg#;6XXPo4hw8WLx>_`U_hy^B^L6ch_O8i~I2ujOdJm9Z^mCwExO)isyYfT?pe
z$g*tR7LeHEP0@aopLwZpse$KZKz0*_A>Pr5vX&P_*#4A-?~P3sbwNTm|20$86Z)pd
zX2y{iTuC;Z@n34Zyoj~lH@O{QwV~fB(0_Cw=kjZ4*n0^+TKs4K>dpU*4La5<tcc@4
z75JQDUfp|bBVzQ0n#+mwYPy!L`_yyo%o9qQc}RPeq(E_(cvb*2J;@J{*iJKE38U*e
zX$y}}vwq(I$_GtX8OKL(TeV}z%wUN$w*sGk_tW4VO4n*Fy>lSQ<TR_-aF+~}CBfH;
z3!y>>!Mj+p<i+J+EWD)20CX>>Nv(1w*BR(yxD$Rn%o7IyKB;8%55`h%j29;k#O$rE
z=mI0L;d&tO-08^9I8Oi%%A3C_)dQGWj<Oqo#dJAghb{u>yk_%kk5D-;Mz%2>3&waL
zrL$-f>_i6tqaNmO{+GLH&8thmU_dG-K#cq&+g2Z0AlEqn)nBm(J7bOQ(1DEg9_S3^
z4cs&72Je#BGtlD0LEo!Niy1?NOfuwXP;dIGXu_BD1yA;Ll#fGBH{68q1rj2#!6OL^
zli6~D9BG(Vn}9D#CGVZ0h2919NsMlWO~*3wUgpl{J*v)Dx$Sxf`8SBUxH*Sa?kK~T
zJ?IXVIUFckNeY9R26)$pW(I6#FmxMG`Xmy8`6Pi)@h!LsP8=$d|K?-(jolzgv7_Zb
zpBey*m@S!cJO1UckL-_tJ1RX0S?1So>wgCcc<(5H&yj}&>_`AAmiS$G$9d1*FD!dW
z1IDFg4Fu!;QTP~$D@@XO$A0Rss_kL+#@N{zZ608+*-dl|$!Cguq#1t~#mta=76@xN
zVlRkX2oK=DQI;T`*)M1!ZqT5HoRxp%{~+_Hm-kxI8L7i@X_zs}JORc&yS~;SF}@E5
zN3?SvmeGxiZO9ef2JJ45^is8HH`a>ul4aAIdjYK|+!$w4!!z8q0`DLMXXBJ!-}mbb
zH1XZ{JMp|2VEm){mTU$Rt#4A1dNSQp){07>*KH;A16qmZG>o~RU;(9Cw`pB9kY=XF
z@@&H$Iu@ni_Z*B60|~OB2S2IDZb!f#W=>Ez=z}7j`g^X%-d7bTM>YvH`Q+~Uc@p)k
z#??&QNnDyIXM%cMxcbHz+0(Nm?3{$nqrK(iQ1)&e7Pt{Zr%O-XjjQPdBCTY|=n%aI
z9eJ0Zq(dKT!QqD^c$m%0%<Ae1QmUP8hN<OCaLwV;=@IB;K8!{yj}V%hZd<n1h#h+y
z2%!B=U3l5+@lNpI5?x7kmD)M|4`4~IG?#|i$UOeG4t8F6CC@b_5)pJ65;o73M)Gj9
zO{GFaPUt}-+DpBSInq^2jsAugYHK@2U0d$y`S(Tjsw0^AVX#zbC@P;QJf}bc8UM&w
z;Lb9Se!7WEm>$ocz@=3(uV~$?ylnd5_ej2%@L}{q0x6l3>K*kQ){aDypMinu-~~bW
zy21?EgMhi}VXva`;&FDjk0t!1;H}3sOs~ld1j!koD<GsQO|G3a{DHECj1^VzDz{rt
zCGIq-^SOl<q?X0}d@qu7_y)))e8-UKEDSaI*5g}+SE6{pHnT1BA7V1yG05?+vQMYT
zk^39xGJg(2={^kOLGt&S*30FrfaZ3js#|bie9|+s<f*+ke)7Sfod)kF*ow(V0prUq
zQNY3PSv=8$g)<@_$F!Yyg0(9FM>ub}{=X3|QvtB;Pz0WRyN3}22QdF8P0!Lj&DQth
z_kCp3$+f-XF!F#M%3Dcnv0q4h#0Qt7U+bn#aR070QPKR10}@EEk16wr6-d~Nhs%^j
z{Ok@6;9h8#1$d9)dMh&hp9_hM>lKa}K3u;|UU_$Hs5EEMKMrp8)DHDQTWZ~V4NcnC
z9}-ez^fTTKRZK2wEs0Wh2M>uk?Jer~RK!W=m4G`{_#@2p34#0;DHd_|+^tqt7Wx*s
zI`cDo5IK{fR^?vHZdD0Wl3jX5m>wf<$wtbq$KJ;J@h)@!I{(4Vqd=c0o|OHw1Q*Kc
z@GRQ1LjF;4>EF?N1%>RL()iZtd(CKaFM+?3MV}aZGk+>XIbf)+F&D8$yW~zxjcEPX
zwvU$y5wTbi_bl2l)mUZ`7H$Co3b6xtM99D#cylUm)DQKVWyl5xtuPT(h$x|<)xV?k
zEH)%!2z&eo2bqT;+e;3+toG&Zd0iFO1fVya96DO>xvR}1A0Vqmi6e}0#I#0%i%K?N
z=$vz-!@h6qzGeFLNW_S>$3T>$oge%TQH4)K@@QxP4R3!+$Mt&t_b$13r;ay=+Fc8;
e2doLpG7w#Wx;d}dDC2)$t{V$ztV8Og!SEGenxUcq

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_min_ff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_min_ff.v
new file mode 100644
index 0000000000000000000000000000000000000000..004c5269137d0a1fe1fd308fc09fb96065e47e11
GIT binary patch
literal 27408
zcmV(jK=!|j6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}UhPttY-_0a*o
zk?ZCHVkA#?W#4>H;6JR6@11o=7vdoCYrEjEZ1<H-9gkGh@8cVo*@he#f*mM~2SX$<
zEVqh>J;IwQ4e&!tiM|{Ps(razMOr2xc3I<QS-_Kd+F5=HWWZrLmR#aLc>p9q&k4e5
z5fot^K0;qx_3v^s8eVFGXSycumH%x_3}^>XP2S>CTiCHRH0I6XVWVm+eOr)VQAqjp
z_PdkrA<E^f!r;Zq&G4LMW5G-JoP8b$O;|Q!z*6t(%@UPBy?+ynJRElx2SNCvxhgE?
z2Sf}Y-YT`>*ghGm$=OnU5y*N29r!lym82-%o4W$R2rv(Y>Rg~nnp7QRGn<DSRG#<z
z(^qTDgripZu+K%63P?-D=`R;da8}Cws-EXx@9m(SPWV)uu_YTU2Qzf&gZi!d5I|KQ
zf_Xl3vTM~`2@gYCKK>zsouvv1`f?7~yRX%CFj7z5Z9?@Oks-H&92v$pTP3+0qp~Kc
z9_m>YnGK2wBh8qF+2CJlSoe8ckA_N76S{?rHvkH_=?6Hgi*iNee3avPpXZ#CjfHLS
z0K`d1J57r}pB2^K6{zst?)A^g4zeRgEUO19O&Ti+qiEsF8al&Y$EqEu_+SQKf}W74
zy}|#8trj5DZ&^oJhFo~vLJHX_gd;fsKpNls1j>s(Ukjp*=KGiVjaW6&9xo;3RoxMJ
zZ|wRrz4Y>^-ilb(>H=kjk!<7|4AtrFgJq(g-cP6HU4d3N18txJd%b>JJ*YG&Lb&Eh
z(uK;5+N<q{b*@=l#<nh-;Marj{M(Z`C`8Jq@20pTRu4zE%Nf~w^a~X?kC*B!cQ?z^
zwi`IdJaInZsznTwwgnS+){%EUrz(=sCM2|MTf3Gyb>ydnIC~8-QFAa4^Hlj?%p>48
zkaUVoAV!UMFjkxJti5cA>hAB`lB2Ofk3=X_g+d@NLahyyxq__Wi#-m~rlbZ^#m&=3
z!_}ik^w@)O67D(BI-pixI?n+nK3tIi=pxY9AW~3{%i2nt@!*{BGMWhPa94`o8b>{-
z0ImH4jgshVG#e=3mjVm&UPdl{n}5`Ajg;H48%-(r>LeN1RDr=fVOSy2#)n7x*snRr
z-iQKFt#EEq`AIJhjz4nf7O1mI?b5?C?2zEKVZO*W-owon`db=g8RS^^YyBgX%HJ*C
z`0Iq&&mbnIejgISJDd5RLA)3WItymc$Zkg#!S5#4V|7egL+MmJkQ`-Mg%P*QBMQ*X
z?>tPdet!#R8qx-&T&h~0V7ARZ3=Pc@rXVa5wc7*DUm>`R{!G2d0N?Hgy2|+@n}_Cf
z6UU83!d<%Ds0`x(26Ez(+~!~_wPf~%KhtRa954b0ys`j3Z7_8;$2+NfG66AH)w^Tu
zqKA#9hJ?L4+#Vda|1TShZjq|7P6-!1M+y}j$wt2IEhNT+g@d9hTBCflCG^`5!L}Ay
zr@!({+m7q;Jg)Ex*m0&5M#4>9Qh$aHs!FMv6wjB92kwgp!{f^J<x?vYDkSU8g1a0n
zm#U96Ign|UUu7vNDUdgfEFUhW5rcnO__OjHj8|C1Yy$Q84GY}HK>tdBCp?}1{Y>YL
znBpM_Y^>gg?S?)I?@S662rJm)y_}K*%3=BKhO)xj!A}bi6U}rw{oc$m7{X4$-JOBB
z3@O|o4_{0_z%95_eV$m*RLOp(o&DzAEX6*pfYfU_ZnX$R0v<(uDmnmt<ACJuG;$Qs
zV->4SbQp#{R_h+S(b5hEuc~O6$<K0&V7~XU<tmLsq-%H01e~t>@`ZWY@L`S;Gz+f;
z`Ojt)NsQASKuiGhgg#)2v$33zMg!~gCRZ8b3rgcqMWcFi;2R9os4eCfw1oDNsyzP&
z1u(x_KI!7CJ$-r7RECP$YfX~=%fVrZ)Qie34cv+9IM4p2seNDRb}FntM9$_<c;m3C
zp}j;g6CH)5JmVeQ4aO4!ie6@`Aa*jhYH_C)7f9iWMGQ%br)x-pjvkqY5gn!GmAZ_5
zvD@u1X+BUl6hp1=55oc_U!cCN1{-413#8YVCl$(u{vR6>)>sRpm!c^*?zj0IU&&V3
z7ojWP=A+|3WmlCY#n3xvNeW6~ku6LZAXkQ9SxI_5o*RPZFeVqIQelVtLU-dtwNsZ|
z97V}u?jO*Wo(b&gEF<f}I{cUB7_pPJ)W0=5rEg=){Qeb~rJ2vT2*%C*ml416Cv4YV
zwyHB@wYD^#G<hS~K0r&fDGK7;!;3%F^^bJc%23_pQGP4b;m+G2{Qz@D{NLy^BZjfN
z%6i`0!g>uaNACa_OTq;?C{FR}U+3$KmRR1a>&lK^ZIcugHo&;BQ<4-90a*Km@=8`E
z7bv8NLu^Q`MHu;4p%v@}sKnP!N3n4bhXHkQJK_Ot=eZpbXeYyI*I^_5E)&sOa7qd*
z7AR~qyE8pkm*)M?HhY`;^-api6$#AFy^iUVqtbb;ta6C>J|aoV0VK(BPhPBmdi;ok
z$Iq#_?#2IW!8Y8wLt)%lyiOa`6D~ynLNh^T&TwKzE|U$J3W2kOTs$qGFl}v<eIh#T
z&?G4l8U?(=-jijm$pAuRd#?HM8v>%lbLo9dJe~U3LZw-6(?o}H$g^+d<;D<4R!K{W
zH5PpteyOLHT_8Bt6U10~cWtA>_#pc-a!Voz><-jd49iMwD}Txvq;OiFzeuDNHHt)M
zuvB_1m#sz(E9}?NYHI$|d_6lbO?6RCeFK?Kem&RGlXC;qNIq7^l7yD`CYHQL;hA84
zH4a)a_MT=2(MS%M){+*pA0bxk;L4Vw1(T~857c@pOB|K=)pcXB!u06>7yRl~+6}D)
zHpAP_8(@)PsW4HP@Gxh;W`T+Iq1~`a{SHF83)lb^{n3KnSx*jQ;w_)fEIb*yxlj-(
z+!<dYi|kL_=Dd9F;?eby*n<)()uQ(8roRiK^dl~@`-P`+sXv-7zLEi5;x!SxxTHwB
zzZ?yBCd->2AkfFs3oL-fDJpB}_zE_FWK9h}5EwLYgjZ(7!K6}is%tq=>``5(0byY}
zGr?9&MUmTu(UZytX&eXV256$f2H66c(_?pAJt%`q=!_@oG*wPIoI%o)skcop7AM!q
zYq>|DVUqSroHkmy(C@Jn)DX8t`lY~BS2&Q+Up>cQxgVOvust&{Zrsnhq=Kav3-o39
z0%3NmOFJ!dvy%EJ)s0|oH6U5HS1_VmS0zan^pM1RMK|-0{NudT=jwV5QPlw)Tm1Y&
z=NzT6??#3=6}phdED;i3K*!=%gqo#~Fp#2@Ous5YzwRs~hsdi?Fw67mA!xXh7d`^U
z8!)%auluEG4>^8;H^GUmO-eW^$b0G=r1{Q3aH|6`j<A33Gy^H4zDQ!#d)cLVHJ&8)
zovoy0M~MvO?3hP0<KO&ooGG=(P|j<M6DqCz1sa-`X_Uu~>8^JB{|@O&iQ?jv18AqT
z6^s^b<)HHbDKGu>P_MwB!;kIzE5{X4(J3~auM1$<^R{OSK@#FyUU$NFy>AnbSqDMh
z%rwmgiS5=&gUu9T9{NH%ST?D}YFOEPfd9w-#(jZD@}GPfXR2V02q~ug)9m8$nW<tH
zkXZN)sh;BhdKd*hUEWQWd8ZzHi5vh8bB*fCTk{B&5y=B{6{SyfHF*7q4!Y1_q|6hy
zj4~(XbOm~BzM>-L!Uf%qCP3Y?VpC?U3A6RZVt%SGOCA~59N6nt=sTf%UDf*7V@ef+
zguN!2m9fk~8Pr1cgOMFIR=tM<*$h&z$Oq7Bq*!!Q1TDV&X+hrcfQRacRXjFUfqOIh
z;15+3kLil|J+oXil{#>kvs|UIFLWUqCv-R&G>k8<6tRB(d25yqh^B_|;5UEAaPg>`
zP57$y31LNRZhWra3AxuZmt9}g;OujK6d_Adr*C-!)FlF{{d)kF_g8kBpu3;8jt0Mg
zQLg@bc8>ne1AK48n2J{!i~-oKR*xKD`^k+W(vp$M9@ChUD*qC}g6ARE8|dTrl`v$k
zC3Z|ORaj!a+Tuu=nzhiF5tLu`5_V80$Rr=OYah}8NQOWval-XR=E8lgc^G*$$+h7~
z1jts1-Gu6;PA$C{*wsPrN&rX9m%-m|Xt?Y};-zZHIUjTt0=L4Xy+SrE2f+Nr__{>!
z>^^L9EG>T3CivZ7cJy^JdD1i{tSqN^OcTeDj^<(8EYyFa-_m4F{olSd!Z%H`oC+Y?
zy)Qs0@*Ci7jzfEYZliG!I-~Y|O>J0~WCXcGC-2`55m*u(z-JWryhe?fWoJ;wgipb^
zl4l*r)3BY#p)<OCN+g(;AJ@vXRB`~wZ53C`{R)nw>f+}&Ui7;#;`As?koDXIzb^Ko
z0~3x-ltG8!HT{#yUbL+aerSwW#Fqg^rqH3kFW@<;M{3bNP*IQ+lUYW&*SVS>B|mQS
zCLa@Dp*4q&?Rjw)FC*ze1gvR`ZxLhT_~Q1Jpx|2z9Pq|U2Zd-`AGK@Odm$JAE6`Wz
z_x-GoD0o#_mf?vifJX3%^;D=-@8d(b(YDQq_fHhal7^sXq-a)_-;(@YgaaAfRYjoL
zB)W#Lq$UBc`96IWS>#S@F=e}`BdbCyNYW~D+ZAL+W0k@Na?uNsZgceK5y3xBqeP&Z
zcOdoZBH$Ma8Zy>AVqTcVP&q1datwm?Hkp6L@!&*^(RrM2l@}?uM3gS2UT~wVUBrjS
zKkVD7lSKAYDb4#8kUrq`_J46b2=j0Xd{AQt9cgb!y;&ZwA9oE7`_Alb|B4GR;8_xJ
z;__C@yKLLS1m}yNJ!jYl+|b@YDGbb`l<L|feJHy|oAinKPo;)cl{5EP7!H9Oxt6kF
zF+z<$7{-U``R13e=)O`1Ut1IZOL8Qk{O<#nzk1kjCNSb~gc}R(j28#gd$)ZbjeUlM
zTKdVM8dfTLoUX0?z5{LgL8Wd?GZiC3=e86zIk0&B$xs+vrcTvs>MDsDl^Z@OM?oGx
zX<ubjff{qvI|11$we^Nzjczi@qt7#G5Z9F3q^^CgoQ9LGZ^n!2oN!~j<2RN#Ato5Y
zO<FV>H+##1OLrZw9?E9>LM94yLJU<u4E1E!%V3=Ld<fLO9~z!*wQ7?z=gtd>`na0+
zlwDoDN5fH3i82ZLFkf+WDZjtnDT@ID9ilW!K_LqYR9rb7`P?4vZw%Ld^}~w<=GB!2
zadC^jEA!}-0y692HE_&JlZPr*eo4gz&GP9FIN;pfUsZU`H6X$h@)GLdmPwRsHf65j
z;~oiR%TzRGDubhmxW)z};_Y<f)zoOhZjoY738?{kk#DOsW6Uok+HL6+jzZy8{ytrF
zMcxK;Eo6W+Q*c2G>UPdGHi9%L3E#ZvSOQc`9MV{~b9>|Q&^Hz>_lSWn#~mJ}g)4a-
z1KWw3&wrUYSzdWYxc$8P6u78j++WXcnk7vm#3Q2i{Bg?|a&6$S;>kw~-Aq*1Rzf|V
z?HaNKzKf52rYCNc8&wUaZqG5~As6JKSB6reE4YaMLBPA9AM+JSZaD&pcdScoI7<K(
z^4z(Kp0l5UI1PQc^0X%TyK72_N5|sP=Xz&UR-iqDTMzZuYr=|wjdLwfhin`j?`T;<
zgQqsp#dt^t(_GJp)y>ZMCsaF|Qz?KD1Zg4N16>gSOHJ34nenWy|6!N_*j-?)P6n&u
z$B=m49QduFmM#ja$J1eA>m3p8ByE|C3Gof~=7U~A816DCP#{-Az)-p$1Ya&F`%TpK
zcW$d}W25O!1Ov=gLLjlSF-K0rTOj$S8C(7w>T@$IZgO7KLI-h+&2Kzx@GiyFaP7>1
z^x+Lj4h3l}$U1|RHZioQ(?!>OxEvg)kklELi&|&7H46jlV=ZS-!l_51zAv)=S4MB9
z1&ALB=-_&bqF2&j=73zxhP-<$exQB~K(9xbQyjBn6<aSb8g2<&XeZ^6iEd0Op+S|C
z;2(3$8NR!(gc0=oHFeEi{Kjk2WN6`(hgY=}zR+~9Mi2%iV*92?@>kMm*0F0VtXalm
znUaBL98CLP+SQ|!T)1C?+qlPaDkL8rwkn_zVBC_FIB^d|k;x2nSFr5(hpN(xv1e|Y
z;K|~;VYv;%I_cZ)CLyGN5PiUSWy(7l^BHKM{=7Dw502M$coCt)C^Sqm^W8eptx^4|
z$r!g?s50OS9`=#Fy)7DHHZKz3*&0P{ihqk=B{J8tB_Y=iDiol;^k2pdXZ`@n)J)T4
zynZJ-)=Ei(I6Koxz<AD0c2a%_Fa)-!QiEO<T1TaUdjax->5h%G-|0T_0ZztGdkT`#
zjQFLp0pTqI$90ebMzb+Rd+j(S3pvEcmKg)gn^2Byn7#b*QY-Z2Cn89&Tm25qPxvxx
zB_%IBrJ?ZFL&#x0C}asH+Oy&Q3>g<>O8^}o0!g)PC@RsRg9`uR=IFz*C<=CHks)L9
z8|z#$9`~_D&XxGSf<T8q<{$NjWF<G2-VL0bke1aZwJ1JeO?Sao7gqGTk6nPN!j;s`
zREyO~7iV|EOVsE`_yT}diig)%{k(NjG!To1iMU38u@(rqY3bKmy9@Z*c|@MKy($kJ
zkPpRz9^E`na^m%Sw|h?_xH#M}hDhH>Y*!47X9=G`OMHT~ITnJhyQ1z21<3mfp^1!L
zRd*TsGb`75O$Wq*Zi|tH(hj@u-PMH~{8UzaTUnXHjbbg&lzNs5M$@SkFn$iXsUq0D
zksAMs^i=1FAL!4FZm(~I2Tn1*zo|Sevb^<TsV>n&8c7fxCNtJqe3_}BKMoqFhkZF>
zm#5B0<KHPX2kR>wh=*-(Evn9p;+a`(TY>!M)GnfZlc}2%?ybRdB=XXTUuaKQyDYav
z6bcLj3W=O$jSU#QiIvfyC&5S05GT<N5-?VntJ8yg+p1Xo^-dfLU>V{xp&o6OevPxT
zvdT^Hr9xZ*rxW;-u~j470`se~{R>@7-MR?L|JN>9VDg#!iCRwKEnm)r>zduF`;v2y
z)$iU&d9C6FgM#B0a1LkH3kEO;7br+5mLPjSA^y$pOR~wYbrr1tu5lQyuolqYG+yc{
zHp^q7VcFWKpW}e>qGkYY$)P~8az8(IDk6@)I6rms4=JPgF()EF?BRF)hrH1WBeKe{
zw@<5Dl&Q?&uhq3oH6VrD$SpLMeX?}L>wswWde%Z(AZRJsc)FGM^ox|k4eyqBK0#G}
zF}mVC<DhTG{%1hlNa%zvof2E6zB*c%J?JS5J(XVf!}uaXRj2*De!59B4x^|x((=E6
zF<7wp3&%b75eO?w;tl><B5&Hp5PiqWg37^cjy7r-<3wsOcRRAVqm}S!EEAb8J5j{>
zNkfCgs1u36r@|eEYtnX2C^yVr{cyU<_k-P6j;5zOAmDVtME-Es-04k4<R%R3<eJ4^
zh4++4z@wq@%C)Y=S7mTdNOCUk?R<l5EPSJX5?Hl>k=w8p@9xxux$r12SXCe>un_`?
z3>kCc`5S>2rYbi(B7K=iNrYP8i}2o-<5y61o72MX6N2O!${{S0ye7&a&$JN6qVYg{
zn4~XMPAjo6G_KpOdSIiE-6QalZdp%;@D~j0rnpy@$?&MhQpOq>?RT;}uxwgD^A>YD
zd4%1`7$}!?X=%5<{bM&R2XRRjO>~!|m|lI;FdEK!yTXrLAR1y6$l94M?FioJX>BQF
z0ZXuJ;CB**3YW3Ssr3EBiN#`QXC+Af_!Nq+8AyeVyvCM|6tsCHi0AnB_^y_sKs)k<
z^xPq?^h5-(vG{RD&7E7?sIY9KOZsElO>kxl$X=S%wE33|Gv^N);y}B9zsR6vW1=eo
zGRT}W<S!V5l9t|T*Ex$P!jAQivMi!R^(AbVg{JS*PBO{q*&)K4P9}x|VGz*Q$&555
zyM=tCd?04nxwCs}@d=9klap~HNkqi6PP~ZxF`_D6CHX^386^7KTS^=X^~@oumVfPH
z<Bd{6!lgdh@)X}*?}%TG50tG_Uoe|%MAf2J;q^7IyH@e$wrO{BRcb!j72=5vUWk$n
zL>jP<=p(ho{K@E@%epLK7|9xU!-qlVG-=#zFC03!d$LSHxFJtlt}$96*Jj3RPYfTD
zUYeoi>Zes;Q<n8Rk8-hvk=2A72`Pwb;$0oJ^#oi9bDKne8zPD!=iLZOZ>2Ut$r!ZP
z)Z%^(;*PFL0$Xn!tRjGBy*1)tQA9tVHalm0J|p%rHWQ<YVy5LfS~M=cB?(>rO3-Q6
z1#A`S0KwMgIBrMSxXmm|m{z~xmHQgi>FPV4q2t9mtg9^^kmbCEAV?O9sYIocAlt;Z
zxte!Gm;s!lclm6eP^;RLb|Z>JBnKv#5*IQ?_p6|iU1^90ly_quT#G0$Jp)n6w_1~c
zUv{E(S5X1-ip<YidA<b6_hLpSA@4$x_gCVY4+eXpJ)tEm`K1Ba?Yzt4E)lA86eP$*
zMnX~?qigqAGKmqR*Y*J`G=CK-i5k^FcYsj~*0*@)?0Xi_3)GU}tRjGbUlo1u1B?GC
z;rD_qD}W0|q~XeD({7vz3WL8^l0Q}WY=_)kpY&u$>r1)^qGBseRgo5A^HU`Fz`C;U
zr3D6aOx;hqeP|<E))bk7<uQqnq%Q_tCj_s=9+<3uOA@xZX%(Ev2G^Ys_kFAdTeqn%
z;yI$>v?(_E(d)BoM^avDc!ddC>m72ow28fNPtxlVuS0xb0Bx6F<umE#sx{LKsHriQ
zx9H_^NRl+LnYUlGv%lM{vCKzd?AAYyicc4iFo<yG3nL}u%BVTt2Odv&<diPfd}w)C
zrQwH#d4J)_BfT~f=NlWmq+4j4?%qJli~q9Qu67IZ4pV+-i-jxnsMa3V7A=Hb3qT44
zFNCD$ks56$t%!EI{a33`wtqf=s@>m@An2AzA%FRTzI3b#tt?~~iY_f|E<q)q<E-&`
zZT!H%f&Ym^8(XUv7ik6w6=vx4)8jVmLYUmYj_p^(@y)Y{yPg1UYhU5aGT^FHClOT^
zLXX}0yCMv9MjLPxhT1sSU{WF6*XcE7>LA(O$RB%i7}cLqMq3M@RB30Q;s|SX480c~
zBWM}cyzw+VG6LVpd0ryAl!6Mr_v7?~zGC}&=D(-ao5YoVTu8M`3XM%$+JnO>aZgs8
z4Fei^7Wj)7Xt{^*$Bns+-8Dsl09wGgMD0hIp`kFvajK~r?lNbP7^c#7;CX&!A={y%
zSBhYzjziXZIIMI+I2O+S>Z&z^YBkJ0Q)*5y3Yc;U*n01CWO^&deXVdu?DWEA@i~b8
z-bmiV-1EyViLMf_zZ*u>3=ZN?dA?0PsLaRvBp9A_c96^hSSK(-Vp36t6msCRnF;i6
zdGs7>9@7sRl5VaIPF9M-nJ8a@W~N|aVF7x<hUhB-bS2F`TBw8{;|sD`qmH7Eu;1Y#
zXg6R1W+V2Sh*7p6G(`MWY$4dn5NmRJuoEldiB1PBtOMdRu)-H4Y|vH^&bQ=at${S!
zCW+a^Q~j0O%GgN+$PYO#weX%T2bu+g#Irt8U(lWYOUf_PCiH}a?gLREO%h5j{7rxG
z>!^Ja@@?F}BJ6Z^BgR>Kzhg(Nsdm7oGr;Oxp^8F-iQM4pZ9y2B#$HEEmL*e;Q_7vv
z%55*qG>KNrmcuwP;_FDn){paJ47ZU0v7Z&#X_vYp&I$>`Fe&@s9`uAYdP<?!AOUal
zQIu8mO|K&L55cM<d>mhQ|Adyut~pZ>uM4e%uVbIcIA$7z(!GBT(HFIKOE9Y7{~QJK
zZ-f22PZS}~P!MCA8?%Rh)<7INOyZl^QKki#Uf1b<q!<;cM%l%_?ERW2;*zIn&cl@~
zJt#wg->A!mqrw|i$OdL9qG;Vtlo=|oGCP?MSO)MXAm<)3t*Ec7>!HcBKv!EM@*=_F
zquJ-fBh~{-##oQKe(vZ|t-VH18o7fCMxv8jX9iH7zZhYR*?{m-5!gj0MVtT;3lfBo
z<~w7EOy3?`^M1$GEx9Neglf==T#<9^ArRO|k`{`}CyM~ml1_sHvjI~b6MmQ+uoVNg
zYEE&*wNmI^a4hQ37WKd=qTl@A>X8^1kA&6F@c*@l+8n`U&%`5lAA^_DZa8=S+})UA
z+=P`>&=5mXq1U<`6N*V~HnL0#dV*{d&YCp~mrm9O2NU`9(f-V3BSDiWx%He3&m~h#
zUxw9x1IyVQ1Q`(L&Ux9V6;k0)7ggTi@n)N+u<6qgq+9JXv%n}I>N*j(7LzfA1;3+u
zY_Yg9Aa7U=tImAa+?$eD5zx7$?={)&d`DvCmAo2=aBQvez6iIaj`#?<FU*zEDmg$~
zGGH04=+=)wz%odYA3UVA#7t?qz=H8zRAN4lRaJ9sRuMQS1fa~FYTL|NpzXzUZhaZ;
zldV>u@?y8i^{9d!C^;gA*n{{3#-c|wp1B8jJIQ4~&KXZdB7nNof8y4D?gt7Tvl*O6
z{NH)UfSka`O$s$46&A@byFd|rI6L}hMqBxh3Ir*>Br%YcDz}S06L!?9MFh0iN=*Q~
z(KmS4s~inZOtrp=QhBsg%bL@c8a>5vbbQT1O9HKZm>imAXnm2>aQ_yS7hW~Q-MuZJ
ziQ;iXPm;l+YyWP!nvL_fK$Q$J75okS*H1F{#Tx25{GPNcC|~b}iRo_`a<rh!$OXuQ
zAXkYz?(MX}9v8?G;;_1WXzjJN=4ZLz$2vhKpkDG4k*6FK7Dy?-{gjs}z`VWrUx3f$
zT%j7I*L|VlnHelKn|P?=)szcQ^w223(F)k=Ml}Xrk2p(28FB>1Q`w~<)!+v#Atdoy
zd&P!1YXf968xpJfFxBc~5aHJTv5Ss${mp(y5A4S*u%5D8Oci=U;zzkTSF4M1>JK)A
z#7!sQr0=8Z6Icd21k(k0WS|Iow0b$~tz2a!n6UX+aB7OJj(0wVUdlN^G^U;#G>E#D
z?U}>@9dKQF9@;{Wz7>$Oo@cvMc}Bw@D7ie^(XQcs?5-5^7;?QKW`0Tv?`h)YAgB_F
zJkR$yUawx>%*`$^{IKa+0b1_EV-$+nrZXd~%k72L-hBnv5Sf)9bGmyx#XQMkQIoY<
z6yg_PUg5+tPA4whF90*_LIiUmnq+EyiQ0&(xK`)l(|1K*pNg9OE|NFy$jATN%@TjL
zs*zi|m|F)bS=leHZ2cBvcTs?~7U&N<&AccYbOQun*Bx?0g<S%^QC|=dx_W=-s4JGN
ztx^#swbF7z!;vpVnz0sHy2Dd5aP&d}EHIZmyQ4@PU5*+&5RUgV9)tl+J(v%|^n!?k
zVU-Ik_N=OZhRwK5;yA(Y#wft|F{FlkFt>o7P@ScsTWhSt8%`l+^0O8OXHmiw5d;qZ
z)F$l+nFPHTpA&Of+u8#33hc$LO4eYJfWC2jAt|RyGU6=tllwWj;o8srXyL<+<EUdq
zs7RhYgn`j-B(u;+B-InAKQqjBH1}#9(-TFz6IsuAgg)uF!Y|ekG`PH;GEis~9q9sD
z9U8l0oOX6mEg5e$nZgJi8<x0nLzw46hoq-CU`FtodY?}YHgpmy3n!R7`?6HiyW^I@
z;f91AVVLM}ny2+NmM;uFuQsV=@n>|DK4g2-ZZi&tF+I~-aIF(A&SBqC{RgwD3qlmn
zO9piZ)_(zv$F@@B!PlF#{Zycqf(uPuJ9^{b3n-a0N_)L|vfJ6rI8Xx?NHSRDPNPVT
zDK#Al)na(!yg+FKSZMRN8CVVPqz>2>^S@zH3C&YV^U3yTK7gDo+JG+=KVT3Mrkv50
zmcBa{%K<*sjX8zzQ}J!pACo@E+76C)U<vDgXbpqFOLhla;S&p|RbE<%m3DXc2|S*a
z3T((BWJE}`$GUK%NAMnR?NJ2t!~=g9#}7Mtb44ZZrU*k`10)h?dx}M(wTo2eV{8|-
zj3!y?y?_cztPVO+Sv?fqA@!%Jp;$W81ne0<L&tY<&<@3CpRl5JVk{JeP){I7gp`I;
z5eGD^IIKADHJ14b)c{Ws$`A`?KC>pXzpCMsf8W@N8tsZA-4-j)d6oKl)?+*uYIMN`
z-H!AIvVoZh!)6jxi(Q}xv6Rpjmb6QvwiYe;*WrzO(Op91RD#OW#>du>+_M;*K^?Yv
z<}2M4PQz-lDYyWS^_{>tY$%3kr@^wUyS;&>h%7l$eU{UDdL<Pizx+Fx@CoeIg^m#4
z=KIeOgxRcLF$jz9DDMYyklmqgRr!+oF4R_+qu#<<cv}+BV8kv5($u1FniCX&mVAx%
zfzx^==?^!-2g?Sy_DadQ#iudjuCW!2eu~|nFhdv~tJdWogKrx5b8tuVZM&#;S2I!z
zoq#;sZ2T){yWo{(hL;YdyumhzKYiI;D?^mR?=2hXekUCvaDyHGr6Rt{+wf9{g3pE;
zH3)3{NN6-Yn9Q$<6D7|L)=*nl4qxa2%Nn;F=&~MJags0fj(i!9Yf!d6>BMCli5HAH
zJ359^Go}PjLM@Y;zY%hoBWe8<QUOJS|65`Fwou+S6F4J`9SFL{yC>xTjRm&2pB4A#
zusXPk_~RR}_~qCuH%`OvBF(fZXGlZS(qetU5ZMH4Y_sRQzQ2D{JBdxjI%h%rC$F!e
z7H5sOpExtNCC&*l^f30duS8F}AiJ-KaG9=jMruemv)u75<o$nBsC5ibRPUg6jK;lI
z#wgt8GYo;^F!23$WVh$6U#O31tq8kXOng|!Y)-4f+`}A#O?G!7_v8ua`^apk)=lPY
zcW@GimP@zrTW}Vm5A#JgBegw8$i#uQISXCK=~7wB`M1|}Kh3b%+~uK4-i8V#Bsuzm
z;}hXnJZ-10P%?m|A>$B0PiGgscB=~_W2zEknw!q_rf$=b5koHNEfY3Us`l6eyZ%t)
z7=2!8Edg$dWW_{couV`LZU}wQEm8R#v8I+Bw4*cIV#}De-j`%U8y2WY`;{6eUJ=v|
zi!(n{qK?p0T31{8HB=V$w=>pA*OOwwz1ZW7e%pIQTkHE$=9L?o5Dn1Ak%a1gebRNl
zY34-o_xJOB9dPxIO19rq`MaRGSdUj<ti=g7an}`um7g2Zze?Lgf$cvvJ*v=F@X{o8
zOTdH`*b7{O18yf3<XvL%Sz^$IvY75QAws@p<f_j>q5<+g4k=Db-WrbH9=y9DMIA<W
z4VY%{XC?nr58a63=Sq99HOYAZN|^W_80?$QWbyc_2%lTA1vTOp^w8Zvq|z*z%UxW4
zSn-|pFsfn5?^i1i*{_~|0m9(jsT(Y~M&FGgDd;ta!wW^9F#n;K6BqP*gFroxNRA3F
zuL6G!edsLZh{lgSfa`otbb*3V(wEIV5jR~0jIYErGLWhS2VpE&`odrp#_Mla=FfiM
zM(vkHhaLK<f2<7KF`8r%<wPrxeo3GE&6U-DJs;mefuP>z0lD;Sh%M;(Cy7L{`@WG7
z3%m%MHy{7Dc9&N}Z5q3(=@YFXnTiO`8%Ed5hgttv>)z(?(B)7pqd5hCbDAlA-98#W
z74!R3ekh(oF2P^%A-ZATKK&|MJm&_-I6QiCcSDuXrl*@76C1S3#o`Q0dKQ1#thwPS
zPe5XONHFc1H8VA{@DC&jvCoH+Gh(NuIGmEV>xC~rpIOdcG^)7uEOqifL!1u79P3n^
z+NyY&Ac#KR$W1xDUXSFD`^{0Gvb)XW`<(-}mR}{9IkZKw_7?)TW-Xg66W(8s*>?mH
zx}RahGIcvD=Y}jhK7VQYWJl0_Gc<FN)D*}u!um`Lq5$oWfcUt#A{#`d{SMvNPKevH
zWphAkT-JT0oG9C%7Y46Y5*pXV*lVkUo%9WWb-0!VMY{ysr%>Lw^6hLjU5HPTz~~hh
zp(%e;z`)M^@3!#NN{6p5*mgi)Gg`C(-^n$^G_$Yj)1|C{8}{&*8<M)=h6J0Y?==^-
z&IZMG>^u{uaa=&;im|c|bkcNwY)nC^5=9jk^&^p5<!>MB*=5?~5Lyz_M5S1zmOOhG
z>P3!ME$uKgo^2K|(Wrt_ZPH!-5cVuYPj5wbRdNUpo9h~oiKWcb-=B02ye^iRGnk7)
zPo+66f-Z$OBkVlYm*u5R0LQMyok0+XX@+5>FIb(&-Ib5&$1%L&9r-_K!QtR&L|>QD
zmr?tdmZB{2?ro2Z6DAQ$7(X&6#0ZU<D;emi?~2Gd%q6ij9wH@=;Y89yM1V1|BQ}5I
zLi|1UUW)+(Mo3IbXm?GtQKT}D?{B`4W~ft%;FlBa6s_lM6(;+`O@}jm+#zq%RNYk;
z1LS7skykio2Q)t!eWn%Z=~NH6-&Op4dOpRL!THkTMT?Nkc3j*(qUA%YwW!iy5Zaa;
zc-V1JVeSv4+OJ}iS;`4?yJYFretaz0*bi)Vj7NYrLOKkL6}l>D^mt|3U47Hg3Bk?^
zQQfT_234_4?NUZh##F6e$_GO}N0imO?7pTwf9Jdj2$TTOEKCS0xu19Hh{)Yt1jYnm
zH<-fs?^TS~wO5_@egZ&R+FxM$x~EDR8V4`2B-CfgiP;PPs=UN0fk0;&&LR`FNfBCX
z<<ca-K%xBEls)rLbl$}-ni4u@iVV`w_p{7-s|wn#stFU)vbkfk3m_SJ;li<U!0h#A
z#*4%0UiwIIpoy5j;qg^m8`w#Avyu4B1Cu6|asvl&7}6$QwkB`sB&{9aV)HKIGmkmT
zZ7h@)^QVbTYdT~8H8uYd<=ceE6((h5&=upN-^)FB9`T{wj(cAHb=}wqcMdFwGmk}{
z1Sqb|BlV90=#{PN&F>a&=<wFfDow3;8yqYmonE*gPO#Oe!Eh3T;@L(DVMriNd5qsj
zvb7|E`MhEZo<82Gvm!I6mW95-2dmL6hZq?EPaaOZly<P@Dqj`wJgN1n!~fwS@yc&M
z1&H~nqEa&QME4pM@nK41z0SE(mOt}51~(<I(;`GFCF4VtYxIm~oG_+%5#N;V74WH%
z)_O0uG(BLrHV+7-(wl<hVX_6(+%$WF!y&)}2FaWNCx|6-wEHq9O^yk#xvAgMuKuQ4
zGO5#(Nq3~VW=o^D-$@%gtS!U&$}8H~<z{gS+Nn}RKAk`8WRzH-Di)$i+yl|KOd0<N
zUDm@CU!I{W4k(S$rC<K{XPaB})OJ#c^^C=#NXT0L1L@aR-|N;raqI{A#&cobU3g;c
zP@KCU$^VOPLMS5{j|Uiwg73#fQxqADzs5n=b084DYt$_$+cmhf$xz5E{)*H$8hS;6
ztDPdoV8|~Dy6GFegqEKN)})&a0J$RrrYGSPX8N`>+;o0e%kI9G-FLHM9?Jwezx{Lq
z&SllH0g5KhxO7e@N~0vO?gJzt+)fcF{Mst~u46ffSFdysHM5Bv`%kp2D8p?s@eKGJ
zk&6uHpL2Se_kim;hZkS7iv`_Qc}xQ?c|Yb~PU$(%B?OY#Mo@^Ln&{qZj}vwH{d)FP
zcyH<^bf6K|!#zBd<Hz<`ex!Y=icd*-r7SHHHES>vaZ-Xvb`B!`Dzm-g;%4Rs@(CI(
zW<_*QhTh2M<zUdTeZgiNg@c4$3yEL~JTRL~X;4sap+7r&_P7z+QIFpFYL*ZAidST$
zA51t%w&9h+^%1&&PbS_IIPvOYum5`K6SL}$_SzXV<e~kccpFwC26vu5xGk=+1y;Ni
z2<grP9aiH9dwG(mzMwU5M;F%<b`4Xsa#-P^r7E|DIv0wO`}V~>*q8-OKPwmKKs7St
z-9I^}#{QW!{o<?xrg`tt-Cg*Vx3HySqAE~+7@01a$(U&zw$KFCOongoX5ja36Pf)a
zBo2)+k(-^W;iF05+)b<%)09Jgn~`z4lJU)}JUnrQh^$tpUZWo7^6b+STn=ek<QGiy
z?4c>fHW)|>UTMzPj$Z-eA<aL{7D2NSYVGzl!$mkNYrKfNsEP2ov(*T}xSwz__h(CQ
zLKa$jU*)UmqouZ%g3I9;aIc8~j$!u8u|pT<LoEw|kl;OgtF|W(Gn@yO8HM8wUeO(Z
z9)sBbX5oMdKwc#YTiA)LGcHdnq_1QK)_{FncJksU#hv>?BASYqXFsiNj;svhH40x(
zPWQtE+8}Vd5jVIt+^-Hh;`28;%F^s%VhX)agTVM@sXR*VUO)#FvrOy&kR|QR1dK6e
zd;v>7Wi0?C9cv9=xLzt3AI<MsjIaU=cQCrU6%GsHspRQSEFoHEHkFpts9n%pp?`50
zme)+Fl8}eq1WcQ5LP$jeD6dP2LG#*kQK)>_E_T-X+Lo``LS`rHs+svG{gwi0LX{e)
zpURmPjK(y730OC=fpWK-`D%VgB%*eYL_+A5uI+RTJwBU_xdpTZ%Q>7_+vWy4*tU4J
z=S6UtBkf#`8WqxK5dow&B?fu#Ox=B!z*_Q*9+PepKKq|jT;|}2jobh>SNw@gOIei5
zZTSRf9<w$ewJpjMjXj*r-Ck~0t>X{;i}gCKx?u5EJ2N8}JXefVwkHNJSMUt=SY*D}
znxL3_U|H_|-6vlw{xHcGb~G-E2Dr5n49XYO&D<Ors);-N{FO-sQ1~9Ve|y)^AUOh#
zfoncg;uT6rq4P8|Ozyj~aG0p1b;>4^nFL$QnzE6`jF+Ph!OA)$I(3pUvxJ*nbG*4=
zDj0=CldtL~4?&OHe%&<tMEnF;T`^Mpzq%MESj{4w16ORgI@%VenrmT(zHqX!6?GN@
zIw^aT>$2CtlXUF!Weg!6nD_G?W=WuyaWV&PCBzQ9ZH1H_(FTAzkyZ7}7yYX_WRlR5
zUSQV_^7j`*r^B2<!VH-f7^D7BGdmnLl_0;b`Q*zGm`(smIFv3^_)Ok@_EO5tv8&hS
zpr+du`tkJWE<fN0Y?|c0uQN!BB>4g1UQg&ghblC2wq%69qS`Kdkvm?~Bnu-*eF~r}
ziNL}k^R+A`kmY%g_)ldyjUlz0{IDAsl}PANdFuR<q8_Fc>!abtF~=9J7jpk(Q!yGU
zMen4x<!>(QRQC!iS^xH!NpZvDx&|ut7cp@z*h36|)4LoLMmev#vD;2R`z`%S{O>uC
zLJ-wK8dcHbr4F+bnkpSKyr4YVh}IMWRL0g=4-ZxU!OI-p=8!68qbG_`s}Ve4pk_nn
zIht&W4Cj_}ILdvc8*-8JF8|Qn{HWG(jL>iSPti}+*n6wz!eEutu6kBJy<{%ycfSqz
z{aivh%2WK#GhxU=37h4X(;ybc_%PRcguZpRf|nzkTq$J+jGGQIUe9+F(@tKIbb?Un
z>L48f{X<j<!^9V4T6xU&BxqnSaEZ{E_1W}&Sm<%RRe&%D4keS#Y|_PI@CY|^IMwMF
z&G3ld#kq}nP{fx7cEY#;2m-D(tH7QukC%#(J1sOw!Y>JXN7qL7h)^Vw5n=nm4q)8y
zg-R9vD1d`6KEFa*MUX!LH1tam)Xtw)CwFB%l4$+R`QW^|<sFr3AD^gJxM9Xsw2Obh
z3@fyz%<XtX$Fp7FzQ{T^b_?_AxUU2|;)hey-Z+lHXu_1C^ytOKQ^@SaZ_2<L`g+Xl
zc3_c^<=F)gb_i(vjggHbqA#_NW7uIGbkKDUe6@?{))1w7Sc+cHaE9sSw6KKYV*tDk
zbbodLb@rC3xk`Z)793hVL!acz7OcwjR4#xrV|NjLzpHP=Zd9K0-}3~v4&e>EtVks0
zwUVC^Fg+W-o1#u}x&x_utw3RkD$t=st|GrPPA9^zrnp>Yu)>uyFUdIsR<M!W>--#Y
z_to4-m&!6I7O!y;dL1o|M91PU>H`SUn^KYOMF#+FRDj5rzS_^_5C`gR)O7FtQ816q
zAmT&Atuv(?B8_HwXI9a^XFG6*m|nI%3ci5EbhMJ@E?-dnHc>^8)!xa4h<8^op-~if
zT5;N%{@l{!2ucto4#wh$0C{aL-_HAbb2l(?n{=YoafF+7x!GO2)<62<9Psr!Tyb|}
z@7^mmBgs)hqk{;*F9zLh25hq|$R#=`k8a9>B0RiN2>WTvMs<0pVW;BObDuW06;<~}
zuqO^%{J6u}r=qIf7RfRA*3p7%eRv5c_QhND0f8eNh72Zq9!CD7uy7l5wBnaA5B`J|
zY&6I_@)jB{;=3`q&ueu#(~e9Mdkf6M9#7J>%iFM;4N&b*^@n91ahSK^kQAd!jBsnn
z2n`I&>^K&#<34?{#KezK!1@ZOPUx<C*v{Aotz=^3x;96!xvcVY+Yb@n!4Oz635#__
z9O;knD<mg^=RXBww5<_s&UP|w2yhE}KK<)lTq2)1EhhH!ys24vB;7~F+xT-K(13gP
zgN2nw=y_-e+J6;5$;R0|j?`)7sv$T|!-r1&*eSI*eLJkTrHn-QNp&p9!@C&0E1H~n
znxO!o?X#Q&JM_Qo(xGP>Wfx34miaaJN&CYw8lg{iD&?O$WVS5x@9`(%VAA_*#R^(6
zAw;C{q}nn@YIA&W=L4h5{~&+<Z3*vH=EGL{qM?g)76KO5FH}Ubmcp|vK|}5ki99B2
z7e`~#BDmNDdWn^m!MHIZ(guyL#^XVPcGSG3g+T0#IHahBkE_uJ3f*%${t*!3L33C=
zB2O1Co&$-2nl{VqBq~`8+XO*@YRbzpry#trbQ*isw7Ah7J&whGq)Q?tZ9M<JK5C)N
zTrqY;$VQVVOEFsXr$s7_eZk8_foVMxcdb7dQIi@rj>}ItLt!HQJXGBxfbaF(^0xqY
zsysW6@_UcIC}hWQ_x0*^89eLkL%OoIk$b%s4-o(oqXl{v>F$4JJ)u$~q2Ajq+Ml1<
zspOiBF={~Bt&JgGx(V@nB$fD&`>)?aiph^SexQ#`>+E;6bdNC$Q|_nvpE33(B=<Hv
zarwn^@&^XD?=CIjLXm9qQj|6j1aklW`O6c85_eaRu>3!e_VSD;buKwwW(pgGW)fgZ
za8CYy((016&pkw|J1=08I@`y5IhNmRS4((7Jfn!F_MuVo4MXe`-rf{}2qy6pe#a`M
zrg;g-GP1Y^-p;vKy~%vJ9qcYWUo0-BIJFp)Xpp$>2giHPnrXF<#9g|(fy{Od=`t-H
zSBppq5P8S6M?sv6d;7zZW98BxI-4RpPyyNFD)PNs44}#6bQayP4tu9q1nIl}nqkNx
z{0DZvM_IRO%h1ZHebW4YSD9K=Nh7usn_Qhjw79i>04Nt>NCa8OFqSk)V0<qvM^Lo{
z{@=z(>;ZLO79p%r#FS4csqT*wI_HA`u+r0*d0VdV0KUuC?aX3skhemjwj*<5SiZ0M
zh8ZDHVG%+;x|-2Bqr>xkx=n9!AHo1b{%xXQ8&#CCs)q!NHhD5}<~<yIq&DkZW=$uH
zUlRI?J3MaS3Mr&h%o&!v`p(}m^c7%S=;y=lF&rEMN$t}i8Ikr9dtYN#tC9iwM{7(K
zf^F+OQIYEACaKf7!Q2_y#6{6${WUxTD#lq%NO`#k!9M)<l0Q>-v73bQirVGwzJQXv
z=sx`FaZvrs^k4b@kDJmw<G-Bq!a<ERF)gudQy7@X{#BX%8rhG_w9WyUv@aQr%SEgw
zt<F5Gn1f3<I|Ddj9~9nb@9myZ&RWRK9*oZAUJqa)eC^g_nPAGEsclCzyKPM=`j%+{
z&BI>ctUSU;yY*EM>&||NE_FS7R&hYVE_$nh>aYW2qFzm_1A{}o<<d$tDvuHSlArC^
z7_~4)0U+w7!|#$(Fo6~|Jkl&WvCy?+KQ-K5)Z}=yIzk@@tsv&2Bg9`yR{*UFJ0?9f
z%EJ{+U-9$dF5PiS2-PriRZxb3eQbm_%l8pqSJC?5Cy+LmuYYlP0fZJ*{6R|ohSUAJ
z*pw5sbtf*my`n2+m?f^C8E-OmrjX;d>7mRq0A=T(J`<&>vItJgLtQ_YQQP1|WTeG8
zxhD&kA7$AYN>64i78UNH!7qR?A<THp4mlgs{<NRI(E>iL;z+Pblvth3jwHrU=7OD7
zpzmQ@k6&Ol=H-|t$#w@S#eimju9Gxw5u4NT&pP^7C)@Nq{cOvx)ud4kY1??%A3JP=
z|1iGx8TQp*L%nb;2@Ha`dgJ<POB)W{*uflS@Te;%X`YlDvK^iG@4W{TxpDI2&qpQ`
z_VR1XO^aFMbB~h?ibmJoGW14yCIj#TfZYRpEa<wOBT#a_9ygcce%vnIp#xJFiP(0M
zTwL<@9HGF`6|Q_&^=Kux(O73eY*hFHFb3Efh?}VHW56|WD~T+%+EA^WI*V%Bbb--B
zGe@0Z)f<fLDqY}ssTj9tZCE*hWG$^D8eWU!i=aBsJD{q-V`|08R`l}a$L-QVSe<cE
zXR@iF1ufZIQLT}~YtLyt2JJ#<L|vU5o#>=h?4cp<N`X-Yx5+lrlV<v+T&0)Og9_hm
zTrv9_zQZsVJkd8bXzqV<nhY1W3=hUuz#CHW+WJYZxSl^AEOGc^{zH(v2DvdI<YC$Y
zjb06^T9<f_Kb!CTYuJ_#+$tv$!VP)fgEegl`Vy$+avy@2qU672miaN&@p;XEB*nCu
zejVhFP+YfQAnHU^{WI$~>pMMJMc{;Aj&z-q_YIUIwS-tmSB6j~-t$V!v#1>z)`@D^
z$Ti}Tw<1D|rqNq1wDS!-yAf78lxNRmz<S>{YrrsOXo&}WY?(pWD{d23Ko?{&qcwG4
zR_rkUEK3fN3eH~I%oF@J-j;(Ji?ZymQD0)2oQm_A1@f9TOYx_{8>46gt#|YPrMpvz
zkGz`)Vnfcf4ew@f9j^p9290<SYX4*z*+tJydBdhZ;nNToRLIaPJ?KK{Q6ng^HxN4c
zjE`p6_`{3=1vvjdwSF~g-=d+hA3TGIQ#a|z!nOtMKHe^$+zSvH8-Fl>s^9iSNJj6;
zyk*>ON-^3i1-D#`&)TyQP|=8@#a4zl>5cpkR$O86XB~4LYYVB!2Z!%n>GFM5KRI90
zPeK#A<H<Awm1PuU6S-(B!Y#5(C&agH$nP}Z5NJgA{|MR?*mbQ)ID{fQdUaLf0MF4G
zpN&QVZ}EJ}SGg4!mw`0iR~Tq0)Aa8{QQs0)ll!W#arSx_TBEEMsR4vNOTH|QSwTSG
z{Tfv)i_rdx!sx&hnWakPv4~MON|;c#R&2Oj91=8@UYfuzS4LqaTS(`8H^5GglfX>9
z_U=$a&DoSx^#BI}*S1iE>bF6-CRH0}UsmsXI*0TJqA8aG^bUKGlnLO--+<Lq<q(&j
zwQrazFr;nFs-^<v>#Q`liAGs8t`duHk1$^f4PiLs&#PO=<c881WW0^eV+7|_*Y=f%
zu%}uz9;)h$_n(#nUNHh`ICfUq0S7Di)cAHF3EeA-h))K{E*!&vn4leDhpuOCuNZUA
z%8zJH;qXKWfGl8G>+v99V;PC7ijd6l<&QwH+05NKcGk4$?7do)Gyfl5$tEYKPT~d>
zoCilPoycU(WQowfre`STpKbCEPmL}#2JxDgz0A1=xqOkinz3U7jGzao*6nwS)gM1-
zUeAQ->6A!q1x^{F%Z|LebP%)LSLKLRF-m(WUd*2BQUwipr$^j_h}F{+LYuo65WDko
zM3-IJxxTCN*o*k^K7JBz(*)62mAHziCE}j7@z-+p*h_JEqj$KuN_WH%m>XI*#3e#)
zR;&k^UDo?#=|HvV4jd)xKhIG;$PA)}B>@O~Qx>bxX<JFwk9jtz3d1NO1=P)Hh-Qg4
zHs7#ZV7^#}hX!@$A|vUh{^=JDn6e{$Y=5p|PxcB&b%OqT8*MpeaKspPHI7~ca08+o
zR3XXn!f@zNAmY`-tmN2B67uZ9tndF%<p88x3Htq-R8Ol9a2fiapmfJUcO`|%u>+9w
z#<wd*CTDQv_)f}P1CXcOtxc^;A8fN=DEYi-I83yC=|-E*w;hcvgJ*g(h-c?wqma}6
zI^(LS%_Nx4|33+t;D5|1HShzNYjii!y{j}Y<H744{SME)gmg!>ixE@2YqQSYF-6tY
zsII^nC7Sf6Z(~l+QBqa6&s{Zzo*S#j$}E&l7Tg3S(~rRpNvBX-A0~u=!d+KGhgn|)
z8>5q2s$Z=KZzUmsI=*5Sub?^*KSkr{L!<r#al_Gl1;%g5(p5SV9Etb#ze%PPg2Hn=
ztPo=@P3-X~da<y}`~E!;*U_IKQi$3_N&Bzbe+|xxfICNW@p#+75<>LOc=yP<G~BkK
zLIQXr)&HBNM82Yqi}FY9@U+ZGwZ|^KX@L3&N{LXGFykIzu*jn)73}wNGWCKbANl{E
zpKjYRniLiA^#!28LSTFcY>dqRs1Qd58o7>EGhK+9;?R*UhNO6h3*qdMkOgj);SSjG
zACBR~Qv(b6L7<X9xOTAHf(s|xNrth}LW^%`$O^%jG*<m@#KbMY8AA(o4`q3(RaPyS
zbKTpWFlyK#OXqfnz2fwtVHWgrOa;kRN3F?<vx#SQ?eG9GfqwSsUlAIi1%O?*qd0hv
zKM|Ap5!C-$yfut_4EuwkJ;2!9n8y8h9l9j$ipSQb4S^a&{dGhS)~to4n1pGC-uwtv
zxS3G{N5M(i&MNtjnfX4Q^)ZC<8#BCa%wb10u7ru%S6mMYd1DeM^rmN)TX&#m&`Iuc
z<sr#aa6UXy#puK8C)uEjkjd;q6BXVfEuo8?DjwNW^OiBz+#A+kx=GQB*=;7Bd;70>
zVv>ikeM^XYfoHrF$(&QS{-MUc9;?<jPEL}XT?ZRuhR!o=&lA~p5=I8H2vbd2JBJ{f
zGsE?NYtE{2BB&p0k_iv!QF`c2=s?CKxKCKQ*|I*N1_9@OCZLb70&f9ZvQ`X$Sc~se
z6JrF7L%R5{$}|x#vNqSChsw=T;~9>pi6pA6pJ-9t*K5>0@A-oDJ_`cg+sR`gP>hew
zeG?wL3n$hS!r6ZXVwx1Hv#O4)pdH;H&ix~m#GwV?6kgzcdE<F^21-)|VZTDEjj!Sk
zv7EAL0mjDSkorC8G*PB%IFO6Nn!*1h`Yzzx)vmA4z}od8+1`cOOQ3$4Iv+fVas$W^
z&QK>WT|`zIRf0fyzJ6+j_YW(0#<O>1-`0l^aZ{uTqE3oi-^6MI7_tX(acp~`p5xhS
zFq3FDx+`L_A1m9p&Vzl9$p>JoM7%f*yZMozO1}mY&kk;>a}JTUU)pI-b6%O(*dp~M
z`S-z~u0iFdDA2CZ<d-6zHc8FT+q8WSp*^;stJ86u16L$FSl$}uFCN?(jDE73QCsc3
z>%Zp8ozGVvyjUPf1=sa%*u&`Gv}UQ)m@BRI$fHocQ_}%F6O2$Wq=!O1Mkky|)$ybx
zay-Y4V~4Xg)#3U!vZ>OaCy#5u7^Z4~tO{h1$zVe?C$neQM7C;gGxvgf`QIdmOk*$2
zlnP_insh%p;%%KaeHqpM#m{T@-7xBIy{n42rqHkz@S(`ACazw*ONi;**6mz;NaA+A
z!HMDaoBcm=^yFR(;d6^04}^%PBZlkEZ}+C=L<Gl0b{ddZT1%)tK;uV4nH#{o3-B64
z>f=aio9zF1{Xqq3Lp6)$s9-wGX6l}DX|mxRBYs8lu8xvr>c(&3nkPET@>;j^=N`S&
z+MCl2YT{%jUe`ff;3Ck>+p1S;6D@EJVd(*pVCd{tFTTk^j8dB_E4fd;xA3mfbVEi=
znGpdnG=tl53J|~LM5H~&)k!;1I{-WCUu{Jv^Cz34UX@b0aCaZ{*e$8~5%p71pYq7_
zfqCN9ylm+#$mnEPAX^&YPjjVbh~Jb{m~=_#Sq17QfhZrOi}AGdqzdjHb9t?pE?glI
zExBo!IQr(39R5sh12VUHfz68#veRbI%J^+DRw)Y~+s_s5M|~Y?DxnE@b5L)=5bqW(
za2PS$YmhE#eVK1^U1;C)vra|RK~^k{DDpnYc3b<#ejpcmdrMsAL$Z-R?4MUuLAKW7
z^~~=PT|2^1_pOs7QuoPs<YbznedR)O<q(mr8#L3Wtm^%t3xi3%mB7gSZMGAL(vyQu
zX|2dFwS4Ms^HdGfA5QGzy2%YIsEOY25@fruiqunMOk^%1kD-zRnhn<@qZ4;n8*qgE
zTy;#Tvsjj<)qbiwV(+N6NO4va8*_y)`L?d>SSR`St=?pDy7%ScAR(#9h=t&F5@xuv
z*;l#ff|E!V+Cgbk%+NQEWWZM|PdE5}I|%rnS3sXLg{kQo9x=k${>v{QaL+OhBbgIL
z@A8g84NaV>B+*WWttOK~kzVbfDflAiI+&h1M$+0gAOMI9c<jk%&4Vr|tw_mFvMEaX
z=GyMWL18$IOTNenva5(P#maekzz_~jdeGZcz95SMI6x_7Kt)f~kz})IXJKY#P|V#n
zb^`=4YTM;Aq;N1adB7q?_Kiqq#k$qsB*X?-b0Wy37r4v|@prB#?JY$sy4M1<TidpG
z03z78idRByFQsWFl04frT$z!5g;0HKdEid-dx{9t&BM#Vt|%z7a18o&1?Bsn%V@i+
z6L5Lv$0Tr1gI37cey-KP^Rl2IO7xI{C{_<vpf?@}wafpu8q}(%%Dzl;9-~letDxL$
z;`BrE<t*)kjoZwmQY>sdo38rA*mBgq1ZP83Y9@bmlthWbJ{ES&zBfILxJ84y5teWz
zjdBfn`{`DPNH9Q9mR)gG4uLS}_(OogzEVt@MjB%tKlmy4io*jaHJ4wj<V_=(QB=Cb
ziP>GM4$}8;cq-#KKwifDfOa6@YiaPFFog1o`;yfBK0srvb`ic;R03lnI-AO`&lj)b
z>Bh)EDx53CfhRnPhr2^8ijrk1=A8jcC?=igZ;0L6xOz-s9-^-HkP$hG9<$&PkFS~U
z-C^A`G~eN-RqCm})s%j$@R8LY27tcZ3#Qz^Li;J@=edpD%&|O9HTZBosq-(iNOdIm
zC5}B_!Y}6d+1!9FMt({@l;TwT#q<XTQXpt|SDKS6ZYCa9z!7%tws5(vGWzh;-VA!_
z_j3>cjLq8LyG(Z#R6cy4;mc;*Z?y`X2RR&n(=@@OW)#>MLNp$qi4~?mR)?9Au|3?B
zBW=HPMFZ`uK+Xt-RJ72Wos2GQ0}Z~Qjiz>gF<CH(Xt-B}$L1jHScxR(bRw;dI5wdb
zbcS9gktyX;#TCqQs8r=IMlM5QA|cakDF5)aD*l+u48k}C;4+CSq(P|G43P>igS?{y
zM0f=NYBca!?n>xt){j^UV=jgMCc2J+Em!H|tWgiZjLZ)TiNyNGV+OBm#nTn9>PE4A
z^@BM6M@n@72G9vsr+uoh-cxRrP>uBuN)~d0;-}AO_<a4O6VL*eF__N8lfC^#7a^f9
zji37`D3vD$gj<J~qJWWGh-@MWBPt2v-b+@W(r_7NuEj<Sd(|<^jd<wB*G3ef0651{
zPM{?o+{e?U4DU$jXt@O%a2_P|CGn@#Ahm|L5@UK<Yka;GwbkK($98Gz3Lf9Nq^Xm2
z2D%%kXE|y(WKySp8`b+B-jF-xj)}3az>AtB4;xC*F3+&tW|-7KINaHKhX4Y=6ViG1
zWTHHBeHlJ7A?qaQVb}ajF`%AO2_0Yg-_M&&Du!4b?lVfBc4lC*Pj~|%ZrxPP32Jq#
z{}=k|s%a&AT4GfA4ohw@aH^2_;U|e=Sifp%BJhAGUhfCy|Cgq*lS7ii_$79!@IMcI
z!$N^i-YC`gbgRhpRSztR80(-<pYLI&a>e==8+31Y?8&|wclphHCUxK7p~N~P_ROg8
zlAws8^^=S^g)jfiprAh~Yd#O->V~O-$oEH8VyCP@{J>BY*41I(B;Bg=`S-Olx|=~L
z{OY<{Cr<rk)PYzgTct*D$gr<G9V(WEj_qlA&axV^$5}E%#qWNd#z~xb<;yg~u#j-_
zo}yfjRSw8^vg&+bMQ3hy@7(Y^o;*4T_2AJJ+#3Tq4#;MND$^OwI^~E&!h^UvGubuA
zbTvm(8G*k->mY}8g&d^OWNg%M7*gEI6Oc}zU`9jsRKa4fgq`yzyV#sVg;MdJwnVr!
zh;_F1kzqIH+=5>m8}v_*jM+>cMOFqnJGu=&J+PLZ^1FoC-*^8K0@FGY^Ij9MT0_YD
zp1YIHMKSh+gLgF6Zsbuo{K~hrEc-x%E>B4E$f*SqAO`}o_9lB1N$to5n!_AP6>JjV
ze7#O{a6c`c^UJ!|&Ps>U{uxN&8NB5BFHyytti#uL5zZkip&3a9wL52I5*EKvvMg|Z
zI}tsx!U<OD?a^51{Lq&`GzCz`29<TcVHnV4g0iYW5c1iKWH6K^LQ5^@rw-!(%Qw4A
zKjK#W!RV5mN;BeNnJ+VEHC(R`{jEg>X|5>+d6E8nr=+#AQhuWQcKzoxBaaEgXW&8C
z<ZMpY2BU&-K->R7_DMe=sYf{s@fxEXF&VqzfTW#yGdz#3d1^{f6|fpBLsj*_u+d@?
zRjX%8kVAcL-d>haaa*BHz&14SWH9<|pQ~bGs;S|K<WG_r=RBf+EO*p=hc%7atTsv{
zA-x1pRKyu5a_2#o{EWs}o2kIp_0CpM#fXG3_9P{zP`yWZ8qbWcZ*YyKxl+J+UE@OW
zeg(E&%0L{*_7&vF@4d*>ZB|p6-LUGKZ&UTzmO3batPUfAG1x1AKbZT5;Fg?F9vu4N
zC);dC8W1!+Mdk3$e42Rf!?I*&eMS)gHWI>=tnZZE+dIGcxe}D1E|rI~zW*hJO3JMk
zq~F!=W4!CTuOS%6DPY%PWT^5TPvmrG6O1@!Q8;cvp!jzazk~)jVfYb7ICK{B%N-sw
z@xrLCzkI<#s8#W}ZE~*GV&gErc$N&w{LU*a&}CPJFQ|p$mim&a&6(t-E!5L}x@~kf
z(vAG!FPVG8upBKXj6>ydf{%i~>d|H)6>kR4;;J|r7Z9dR11qTfkd_9o;gQ;9!R4@6
zC3(<>$zsW%$ySsFEw5YX=Y$V#DMCCj=*M4>X^~LvM0(UOqm$N<R`_}+b$IK?v}*<)
z8PiR<{NX*V^Knj81UhHVp=E+0+O0stNf{I5j9~K{0kUc@c)8@;BAU%0xgGbEqP$zK
z&m8RK<9)>@N_}ZXO`5nmZqSp%2P4%~Bu+4?O5_h5O$dHM9I{fJIVzn$So;J9Z8V9J
zrJNWf8XYSWichlV*vg-6+acMevt}@B0ZajFuQa4?^}95$3<?5R&%&ddFEAbSRzpUm
z=T!3rcVS8r_Cf+Tsi=<?(cqGhWhQLiL#B_iKDYVT^JD<{L^1mVJCqKt*D4LdU6yw@
zGTe)zECwb|=5sHj`@zG1)x?<QZq3#dB91gCmX3K4Rhgvk-esKEpd7nz!tY_|X#DS5
zVl3+C_&a64-5UV+h<7}MZQO8Xa_^}tl+=x5D+;P3Girkyj<a$s+zYeQ{841(+V8ui
z&E!FbKpZ0AZP5(Jk7m?|oW7_Vr7dIKb%JeJ>xHW%X({}G09*oFGANjx%97l7o%=J)
z;=NGgh3=+Uj2l(bD1lcUFwrt`Ap2KyTp1S1qdtH1fiGop0Lae;+Z~LcqUR*Lf^qsO
z%ds2S1+I>JlU*+ul}6Wnq(|P^Ow0MLPrM&rCDwxL^r}N(eZ0@ItPuJSZZ%#3IkmZn
z=OqMnOh7QLqx^+BQ<L-B`_z<a(R&?2Y>C$x;=hRG0~st5Jw#l2Ao>suL-i+hp*jwo
zsYHPjCX+ifV3=lzfLT#sE<Nlwmr&5m4kMs<pF}u*X9X*|2WWBT5h`-o*k5JEe3F1&
zrq_cJ{qMWWD3~@PPGN^1evDxPh+R#fl5q=c{LxZvN?Xz)n74cW(5`vHeJk5^&^!<_
zf3nix$j>YKfvcD1yDUI=DnvLtIrb+n(W$#VbqH362d?K)J0ILMAeovQ+==UrvhnDW
z2mW<KzR(&S^b+4#UU42Ag#^+YEGF{3?I9xN>n0p5=<|LwzW7^4uWq%9Dg#2JA<Y)-
ztP!HLV9H~lrMLTinkFb?v(V|9A7TU%*Dw$neP+r1o1IH_yW8QwbveICnx7p#pR%d9
zeOm_BDPVf{c@E4=M;&-&HV@^)CWtWYSgOIo5mOzC!^E6rJfsn@$zaC~;(V);j-^8J
zeB$I8d2LE!9I~d}p?L+0Cc^E2V=*l^MPoxyO9GA`SxnGov<G3<$@or=B8)-l_Ji!e
zgs7MR_OfbLNPD%_)plXE!t#V%eux3B_aV#MVy`JPtIQJmkdir8P*UmJcj}3f`7$0M
z049&WI~cNC3NU`bvHk)%x?3G5oZ?j*q0l0PrWe_k=y;H0ZODD?f+hoJv-UP12H3ZO
zRvf3y_#B=_TG=gZDUL{wfSukD<IX`3&|yPCXzsm{@-mZsU;F0mT!g*<;~0Cmn8BvM
z@!fRk8I~SE<gh0;+HF&{MIMbyHj2q!+0ICx)kxI{R8XH!G;(Ho@#rmYGtc|)-zi;1
zF+<ZBrCx6@as%U4Vh1EnQQ!R#sgIi|x)o}umQda*aOTjyB7X%sbQs~1=*7PXYScTv
zLS;#W0GbEiB%2n9)X%Wf*us0TzluFYIw-gI7%JrfVLv(mcpf1yJ^rYtt0Jo}U?a8f
zdCG%42)KCjy-DCd0pJ@^@MwXrMYl?6R9r%Mb#2zH#FSc+a@IZ(=MsiCYLoGa8It*!
z0?~2}u`L;mjw#cMOvdx0CHkRA)l-cw^w@Yk@5KbGr#zNxS@sw1p?MPtC1<_+sK_X8
zi+#n>*iSnALQNAP&vk|!a;aa8?uE2e*olp{aVg@5j#dBC=@N(PSj$`3wegrQa`HZe
zI%1#ouN)orBlDrnjB_&Qj2a`*hSK82cMb)v*21Md5tT7205~0ffZyAnEbe3jJq&_!
zc#*cXlJxA4>xZ$Tw%21{E%@2&Xwy4T()`bxuE~K0F$doa=G_UBa2(61mRo@+Q6dH2
zRWHA!^Dv=8>4$BB)@x^{s)&M9fxFtqYmr|Q?(y)$5gI#A=+1ctrExykD#@dim#24m
znj;GSUV6u`7SfRQ{BBZ`W)y7XVU@tvr$ZIQ5C$MGWW|NdIn9|dvqXARR`;pA=x;7u
z&8%uD(qE{o<k1_(bw5aA(Z(<sp9p<Tll51!zV-=NPCzy_v-HtQ?PJj|!Ob3VT_L=#
z;b#_R)F?x%m%p;FsC7x^C;(7Z4<0pqSbI<zTsc<PB13A^Bgb=j-_rs@8jht|2`m-D
zqR0+FSUj&vq!YsI-c!)28ToK)%4Mx3o2icets)BHv%>u<a0o>9ODU|Tl1>?mMWh%I
z?V_FOF^g+?`K`8D0`6$x+4eko%eqXaw7O9gxTpAy&alzF_(n_m`+`o^#w_i>6lQD$
z-yW|r=Pvb9j?x+}Jq$B^l4o}{i=q3T$3o8aYrfhHI4D?Ap<SM_U*I+~Pu+Tr_n@G+
zI-wxMn|`2D1U`MS6Wxa$PRX^6ZL1?)nL2wONH_aA71s*?13+~K#`R)=u~Be~VD{iA
z>9{jd_fKmhxZHsx$5waucBN*_`LPSE2GRMY{{lhd1AJJQ3~-k&4w@%E)(rIyArH|w
z_pYo+IyT+u0C;@JV(^#I)u~yW0kkzy6HXYfZib``Ix*21Iqm-Nxc}8VKJPwmdxU(b
z*U7<feh}V6_5EU?n_-jx-*inbN8K!l2vqi1=%bCC5tdhmO<BZ>JRh#-+3>&nr&a|&
z(&a|Kh#lg4R>ZMcSg!Y2@n29c!2-TXMeY+HRC<gFksk5v<C16btSt^bc?*gP$?<XA
zn7Yw6xvEpnB#<H}z`~|j?mu{U!dLY^I>vxSTmF5j%a^?g`M&?f=~zocH<mA9hgDy2
z<#2dI*S;@jEbU+C0D=C{wjSo>E0uUC#_Z*1jSXQdhC<Dh=<7zqni^`j)S66XA5Aaw
z`&k*fbJnT_nYsQbS_cd^YYV8L)}9s9&dxZ=_d*y=+;N2M;`NPvs?K0T?^;GLHQJgI
z8D<K*%q_FI&RvrUcu>HDs9(GhaSVMKfpHqWl%Oi_(&PY`D?-P)i7pk#@|}W&;UrKh
zmY%Ro(rM-9Tcq~HY$OhmA$4+sQw|%e$1y^Ju<Wb;&Io5{f9MlN_I?~?e1FD>Ur~Q^
z#y4K~d11o8xXkq*uTQ|48I8eQGG==@FGyCL{`K6ivS)3hIv-Q$Le?6N?a%7r&&JLi
zurvk^i1q7E>D6r?h`VdtL+!1l%>oB++4$|$s0Rw@S9nGIj-+64YL!g5aJIOSta|8r
z;kcYYp-=kfi!h0^0~sto%FerV)x`6F#l`WzOY&>qPytyPg4`pT4~q#uJkV+yjqCf6
zJ)VFppWH^qzJczC5Z;y{-z*%SSRo!_d6DY;bYC!1bUJSzHSu2qT>@&MSK%$Qwwbh&
z;~C*i0GbpEjKgXi6vbwtxbJPPh&>&d;=Vf!hl-R%&KWne*0$=_%gots<HabyrTJPP
zx>vMPOkmVVH1!(Q>VS~nTlltbLa`2|Sl`7t7DX8nU{n{0`xaE5JRVq9naF!U2YsU$
z+9_6j?e&4Dl78&Ri&h1*p}&hR<WMu^*%i$l-dZb|wn3?e=erTpIJ(L6?Hy3N*vkU}
zSo@T0+Xtv~0BamBPWbOA7u(FUV3+WEUVfmTy`|+8VZ9e1UwX}AXPI_ML}T=U1a=X9
zIgIxPa#1gnxP0|vD$k;bIpoNsnvWl6A(aA(cPKeTJ?=xEi-os;BmRAVoTkBskOe8;
z9^Q0M2Zqc)&&z0Ji6621AWhTTK3*tJnL>7T=mvbngF(CW3X!_E=AT_X%rv3&2)nE~
zVE`#*w=$H9>t^xp(NH0bVpk7?TW@wb>?kP%2YvwHS-xV=_vNqmIhDDqu&6M$sUb=X
zk1(x}S-H;uIp^%<4`CIC<`{IX1sDXcHj6t8Co7i2PXJ0AA&lNJrPNRePYS+#>XCH4
zeY-w=PS;&cf_D+5yfHAl)#Qls1ucOELZ>$oKE>covu#5lKnJr`+QTtnc`~+TV_MON
z>fuP$q%G$|ANyicY#*Q8d)~dbh2UHOKGQ9UyH=%a&=Th6^Es_S#IbT%aKQl@#ozA)
z)DaH~+8QNX<^M8Q*t)>y+IMsja#OZbY}HC_bh{F2Sj+BbS+{WV5w+@}W#PHP2duYy
z{8|e#%}yx$9M11LIT*yw3;-QlPc}IV0o%3J`~!w}Mf&T)tICthGz3C)b=-p~8~Gy{
z9^nKq5`)YKKkajW`=%m(DQk6IyfhcTuSr*1^~L%34I<|<LgoO%RwM91Keg-s!$zT3
z@qhd^U(q{Nm4>hfG8huFirDz8s=N9#^2=_+LnvXvR(Rmdi3Ia8M?tRUV({ee(3jVW
zb;~Olj*o8PJr`ianUcvxp_c20LA1$BuL^X4M;*fWi#g_7J`WFbB;x30iS%VG9ZvjW
z+oyae_C7nZ;rbhfbPmQ{A;aCULa7VV9)PNU$SH-Baa6OUHA_^zP@UfIg%bUCd7sQR
zUGBClIk=v+p0qlCB}kKw+poah#{ggC%!$r`pn~z-o;`nU^)S?E!n>h>b9BZ<n(3A$
z`ZPh9IAyRdQebGRC<ck)h{bAYpi?w~g+Jbc&%zf^22w(ZD#ckcaQF|)D~0%8Iwd}A
zd?e_m>t>>?NRse>2^la$lU*H5U2PK>`Jf*O8}f$;NmDX?u5&sZJQUTv3Zajf6Lggf
zugPP(-0Y3-v)Xk0UdC99R2w(pJ^fEg=`HF%UG#&wY16c)8YAn3R@6aNMpf(BBDj6F
z*R;!lw{V@z!6g5Y86c@)&nZ%-k9C{Yfw6SAE$!B~xhMIfE{+^OP|k{3KpFZ0WVmK;
zDV+yWm9q?*150D;%b|~%%IG&uzhdBsoRs`1$dVssCdFR)uV5QoX@9*c3$ehv+KGrQ
zQZl|=tPAY=Ftlg<_yGv<ocy<!+5R82_i}Df0a+EyGsk%?)&g<6k@85WZ6H5gW9H<p
z8T^9S))KTS8jc>De+{~C6N-<M66D<okwt&O5Jt^q-hN5&uB`TY`M?rGD;yX^ZCsg$
z*D)Z6qULt;`*ho_K?f$o11!int(Wac^y&nBVG7NB9v6E@tA;12gCx!Gi$T{kO^@st
z<jH*}Ayt^@7#K@u^ke(N>h;iHt)mGMVaIUBJ9%TYx29%5!WZXk1ta<G>|oXywEChD
zjDqB9j=KFYYH3g1XZh`c-h^uubEb4h?0Wm%>H_84pzgK;z<5APs>pIlrSmK>1We+&
z+DHs~s;0`1F;0wE+v9rD{t+vE;vjv`hu+D0qFP<mpj{g%#CBoV2}&Z$&UqlEEji@R
zwJ$RObJq&~@yIX95*r_N$X40s4!o6G?&U-auf>Nq8S8aQF9x(>CH8Al-%<p<ssR`h
z{wO(3U06!9@D*pZ<RJG3(HLw;XGJ!wsoW-3jS;Rf>E@0W5={eCohwfnBA8GiErWJx
zMN{RiRFG;0(ya%a3Q_AZJ~hSnTZmnd>6~|N!w5YdW}kw69>a^gmqld9USKeHV&Tz)
z+DP<0C<ngjcAH6hGW?7yu3GmJ)cF1;8T{vm*$u*%XZ<-iG!C>Cm(DmVnc4AJ15$^t
z2J|psJu%ruueOLnF%q?;t3W}GaCbv>CROYQjOXNaLM&Ve2Sz*CPnRO^kp){$)p$sC
z3*~B%h)gztJ?K?ZSbR!4UD2RjqPw@TXe%|zy>XJJ6JZkC`-H~&-L+cST=3Q&^g|hB
zK6^EpWV@+_oMtQ(JEak7ji-GOc~m#ZCL)5VO%qXCLUp;@w;zi@k~sgE2rAvcH1SE@
z1&dag(AV^V!~S6eWRyZgO21_Kw~R$sSisMHgp^aZ;XQe`*_z%tiZINXWUTl;t)zbM
zE6&2@OqtEYC!82$dIVEI1Pa8?*GfC0a%R;fswGI_fph4FGRfnnDnXABL7oT@J)+`-
zW2sGaGH?=r1t$lEc5;_a14@DIQW_s_OCHpk^6F}YZ;u;%9ULE&6e@SVqG;%{z4=0b
zM^il(B8p$b^jvb3ITh2JZvdGskfB4AtDD`c353B5&1#ck_so>I2;n`JD@-~=hT)+u
z=NvOzTR>2sIWJ40x7H>~<M;k6O6!0Y`S8H6KtwK}c<~e<vlyX9l2NAh(jl8e?(m?G
zotD44sV^XL_O)cQhg!MiKa|A_Q#jGHOv4H~-3Q)z^Av6Hp}jD<vP4+p0@%F`as0qO
zfwA!YBF;o4ujZQpYJwOSWlfb&!_vP`j4P*viENy`Mjw5WMn#EO0klyBS5zpPV4~=H
z1WEv5jb34{D>W@IKX5A_H=^LzT-dtAB}M7z&y>#G<-MMh6l0(2+3HE9bP9kCM^HZv
zUuo{E<o$N}03U9Yw}an-@nyS6JLk?o#8$<ue)`Xb_~h<CUfJL3B}YL;mruS&@>hT8
ze=WG_V=Kh|@;!CG=}xA4w)*gJ8;NLem};8pc_TlNPwc}P_Yq~@=yO2+l+T`w5K`g<
z`64slZ9$8B5bD$?5aH+<AE1bB!(%D#bn&Xi<<gVh%Y2wrvPJE2bHVzY`*Bsu*WdC=
zZ{iI<KS8~9wF4WIkyAwwwA^)1i8qha(8hEblH+ILL?yBA1A9ogm5K6ws%|7J0C?JN
z*prv>sNAPJJG@^Mw4qw<0%>ux*|Vd>-<$!?FXS|lsEZtN%0b~qdmPJQ*7Xu%kD1T!
zelQ{eQ|z&W5_)X4mmUtn?;H{rW}NK-TF;92?S;Hzcv>0L?cx=Lt&HOrl3IL{tTvz^
zbWkF-59D`MrGU8UE7;ovH>#&-B&_>$L<A>~=*~_&5$0Y@60Gdn`?$wK{~mNq>*=yv
zEOc(bPd8^|AB^Yb5Nup5Hi`);d<>V?9IQ!+=2mf~>eN$S9Z2y`+Fg9}8?XG-3ySP5
z?ZyW$p--IT(%Ev$ALe)fX-Vt<Ikr(drMr#5!dWP~bzh27SZ;novQgVQx34N#J-dS>
z@b+IjG7o9U&n!+1i}i!pDEIVW+eW!n%0GmY9FO<d^bTc&JHWoe6WAD--Jex&pXlj=
zHp1%J(+?k@7QA7takmA;YlHwX7J#8fpnS^-=2-YPiMs6|tTVp!84$kxNPM`CxM*U6
zreosAF7ydsrkXTxYxf&2<#IE*jdFNFR#%L+0`Ud&II*G{bfPoAHXTqip>mbG_IbQi
zL$ghEF>31J8K<yz!xj>~aTjFG8#j4de|$gC#j>cgHXCDm9OzaReQoe}m{{;ShzYJX
zhbU`>C!H8JrDDKA5Ka>;*FEjb+Z9EZUlp<gC1F?bvKuUN;ZebQPbEF{Cav#Q2k9Dl
zZ-*%S5<Ai9KC_TJ&x%bG<Kh;7?)S|_aUd%s6M#<r7rLcWlBXgnbq4=<?aHg{wLvps
zdkp7rg2x^=EASN~<419)xz8wposieez${6%WZH+UwKq1`GqWw*)k*<+6gJf0Zy@-e
zuQ)JA^xd(=t73b#(8vWogH-<$rYlvnhmNSeK?(-j3dLZ{%|Qkq>+x=8-|v)Z(rqfE
zZE7Qb&un$G1YF6i#Wlj_s?L?&X^Qb_N;W6*={F#}sP=0~>KUa)7OvXuQHzLl)8$G(
zx^-YAJ+XsS12%HQD^qO1*m~!wVy7}3uegLo>+eR)lUZ4}8DzyAIZi53Q)L+|PC<&A
zlOG?11vcdr2!uYE7j3Vw<U>p0!g)xT_jvsK>|xlct{kds=b~&dnY5(v-}(nkg1uxS
zO5^noTe8v-lVVIqjm8R0a-T>4ON5nk8Slh5P;`LJX9}X1NkoQkD&^NBvtG=idlLg8
z7%*Th)}77_0FqSfmg*k&d<7yuCf&We;i+j2XnzjW_*P1rJtwjK2ZnFj-QenHuu@uX
zG>4G%xD#93&GY{+sY{QBuj0PfQ{PD+oATeA%>U995_LR{JW2A^;M(aV(J9t#x*fMO
ziQYHQE%>}G43~R1rcF0kR%s<~r^fTc#&s0c4V?|l`UYG;#5GZ%AAv{ewy6sJ-G3=w
z{ACmefI8jD?PJ0hcl63&O`jDb$~<7KAlx<K$i;LPlIYU^*Bg{BAUkF+pq72SF?{hG
z$ZZy7)cC#@`iz8%;FtrhraS|}dbD_qpNPah#G^Czwr}9x>Yo%*RD|Abd70K^gHEQc
zh9jC!K&^!tc(70fyV%7IcC`FurCS3YIp`{+w649NlnOEu@b73)^=BuT6?MUr*9K~-
zZ6!Ds9m}7uKvtlWLx#;$M;{sKVU|5DlA4Vd_EXc_Z2@E{Y$_?CwQO}{$_mO#5%ovA
zk?{~0$34jkYH{Zf6}+ThKY^9;j*s30;Sx|&BF4UM;O_6@BI?Ww$`j23!kVu{JBP--
zGJ{`TmSuflueP;gm!F~GWl9353x6v_t`J+1YY`J`xlv>}=xpUVPP~47r~89-?+OZZ
zu4#X?Aoen{;ocn-EQAa#a>`bX-_1N6nzRvyUaMc0Z}e>`+tO^+`A1Funiyf#n<~B+
z3`*forg-95l1;ksS7%(U+cS2&9%q9F#O!xw=`pab@4Op>r2Ll6qNOHV>l>BLq#>~w
zi1)XM!ftpVOFi~UwWurPlduw|c9L%Dvmxfy@sH2yhS6R9tm8~_iDp9;8dik7m+}*E
z7`+c`i)!2nH^dg#izzFk)B8<nxgR7BDx}!oOZz!OnfXO*zl@s%B4zLK+n-*Pdoco_
z*?X$EVBE(ldd@eolFteb-<^q)4c%PE|D?bSRk*6q)5T=XYe*6SUZB<u!9`o&3Sr^L
z*M#Ci9ogX+@k0c{)N0RN%3(&SvNh_5=@q7~ycA*m`>yObjOvz;J>m&SK>eBibh{hu
zqJF@b&UT52Q@+~0l`Z`eH%-%s<YPbhxOCu)#87-Y@a+VJL;+>O^|zalS?488wEvm7
zL-4&3V7_G;YhmvF%cXQ?A>KwM<kA=#Q^pqI?*e$?9Humpp+(P9r@+a5Iw{`T3TO)O
T&J`N)8;JLrtmS<i9T8$g*d8S&

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_stat_extract.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_stat_extract.v
new file mode 100644
index 0000000000000000000000000000000000000000..04d14608e6f5926a932070b75781a8be6f38b033
GIT binary patch
literal 14376
zcmV+@IM>IE6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}UueP!C-z07z`
zfg-tvC}%%PFXx;FhAgnjXyya`JB$s2KHAsNYeexqc9G|CiH@GGE>G1)&%yBYK%&8Z
z>b67i4h$H$&OnE}89%YOmd}bA)MX8SWBW?P6ymeZ7dE!f3ebV*QZC@ZF&M8Tn8J3H
zr3v~%JFbAp2hW*rX2VRX0RclLBi*De*TcT7KLP26t22yBD>Nesm_T@Os`oWh&ftuO
z$TOR6><djO6SU|LP&M@?F{m;o88|6a9C>DGVtSd0x60zV%=OoBN1)l&DcXiG<{*lI
zDP1J}h+GUd1<`pF(g3sTpr;DgK#4F^l0b>A2DdNJAzi<KDDWT#l@AAS23HNaSzt+r
z5LZE}S@<zARGOtc*`RICO4>upY4iRVBn5Gg78r%$Q{N9jiP^4WI7piZh29USXKvLM
z>tpAM&4$Z85}Q*Icr7ow2@L%RbxbvcJ}_4DG95Ddh8}JA_Rn*7C7yatr#$&|ylpD!
zpQ3Sl;+h&JC0S~o<u9m42JpS@kBsW|a@&1e-vG5|ofOOdXr$h8c9^?>NMNh<Pn>Dc
z$A}@EO6Hqa`tZ^H&3EMwKb9t);!fGb=7;XdmbS;*TyS=u2pP@xfE4gY{@v`Ti8bm(
z4VF0m^y!9P72?oj*vndL4J_>eGynvG3tcy&u;HFKF@MpoR}3eJk_O<ty@Jss#)b}h
zDyQh&Tgj@^X3J`et)5|HA&f!<<#J9Z-*a721BtCT7-o+1IwZ0C*;O2%F)%{90y|%`
zQ9y2}cD&rlD_Nw}1nbo>5~gg(-z8C>Dq$<eY_$J_`WZfnTVDR<nH@AjjK8^Ol2z#?
zSZDHZu&w~Lar`5<?A|MzYaY-@%_v>Q5V)WApzmgrVWR4Dqf27_zh|}JtCA0EE!p7r
z%a*fFKeNN-4^4G+KN9m;=nm+$no|bGxLsbTTDhTGB@zRUL>{~irF>5*+N#MD@5Pz@
zxeNdcz^Nb(oC+{^yjd)NySfKUk&etIR+b)kj<Cne1sJai?4y=TAa2<H_ZpKZL+66U
z443mHG}z?*jFLaPocXN?@aa~Nz~G^lHIas@@5KOUo$pFxjnK5b^M@igZgcs8c*-=(
z_fM1fQD_V428U<P?%$059=M{S{Wzd<$Z|iUE-YA$(v9?YbN`L%{UKJki4nk193PSL
z6mM#4PjgKAL^1#JaLbwJTe15#g(-CfCx(&{a)Czz0+BJ!%_13%fjo2rm%#dUYjEKj
zI2Y|~Ma*Ah`h?@m<{y`c&r)<O*eq*XnLZ3IjtFHLqiyc>?X^;NHS>JV(XY%?%f?C(
zxLq_3C-8a&T&O=W0wn=5f{;wU<Y0J#)(t;2SVqnnhDhZKz5xL$td`PNVd;HfelX{>
zq5^f&M)hIRN&_|jzrvsQCA)2j@*!)k;nZeFggLeWUx^E3ny%@DS#Y&fM+YN><xC0o
z3*@<AWf)M#`DdAyugQIvj~J)+5Y$~<jD=-w6MlP;A?y`xS*g@0@L7`4ZY{DnCM4f)
zs8r$MMC@hv3PapBL8|JVfErftfQ4Jal?EN!f-iQ2o$#+f+M2IsE36*Y3ZmX`-k}yy
z%~e!@fD<w?f1(Snvd%XxSi1w0-BN(%jy5{!&_yHhvYV2b-_-rMt#8s2T=h+O3`(*a
zM1c5p!jGcb3dxE=yGydp0H6=3dD7bdp2G@dY)VVhn-c+<f{|YHh|n^ZfTNl#ydnu1
zz@_SLU^SN!u`oUrniEtp(m<p%ke_}55g!K+8<dmBfeh$iS0`8kK(goc`5Yu^;$c)W
z)%mqFm-Ay0jM@&)OTE>6inAR6CK-FB)nmWyQf9y)aFOX<eh*nQoafjJtq#fCcJd^2
zu@d<q1eT`Zb7L>Ob&aL9z`t{dwb=s?4JLEVq#;EIY$xfJ=Y*7Q(^Ud6!@R=_yZOWt
z@O^ISQ|F<L{YmX0sttV6EZNM;fMVCLJrPoMyc9K3Dnc;!PY%Lfr-y~^QS)wEVoGR3
z|JC=Q-Nn}An_X%Sp6?f<x76gZRs!J@pO?t{tWue7Db+D@<;JsQ<3BJ2iJu5UD*596
z`22OBxJ>TgdnqTDk1z^sQ^G<AO6b9^>7kqbi(UfP{vAJ1|EqX7Qgo~n<v^E$P4|ol
z|Mmtq;$_ya<2garv~T%FZxHmd<1-ci4Snm#YYWL!{$8UxbmhRa$e<t|R|CD2&=*sa
zT7*0d2y;99c*As<WrCN3ic1jY`BjbC38kEf=JmNuD;q}Z)^eOz+=xcLqi~MnfNwN7
zA@JlqWyz(hPtq#IOW?NYh~{SN`TL5aOl4Yr;nHHR&J(Z46D1j<OHFu)>Kw(nGrO^p
zmx|Wo+koOCTvg!@EA_y|AEp^>WA<nG-VWQ&ahw7!5r^;YBwLClZIrp@$REIkdD5Sm
zZUw@kg2fNHiJGHBSjMsmf$#+X1AED}B1h&E@(Itv_p?9ORGv5^`x7P)3j-?THUk)a
zrKE%Y*7Di;#}<tx@_&7FZea=12#}VeQb84@=?@R{Rf3isMzlAnAUFT(S5QA!SoR=e
z3~P{QsFM2yJ$xW`fUP&c0@C9$oisjyKHm74WH+5`4AW-qJuyDWnoAPVl4D!807h<K
zVRNIlJem!I3U`3TFl>aVYmh9k!#|ncyoB7jX5U-+>$KWqAyK~ru&N8XV~*(@!xOOk
z7~bWKOHncmBHOt05w@4_L<dj1aTpl~^p2_TERuQ5j?WL+s5gt{G|iUs$G**sPDExB
zA|yzo3EYAjmr$u`9yCWc%&bxtrHu&6Se=l~>cmTn$C*Qz0njq#0VC4<ySkb8b@Z_`
zvjU{smpcVowULu2eW4MS0XUbd#ggq){j|;9ln@a~7+vl7b=tdF@unVhx|u-j&bAs5
z(NYP<0M-z=q;y>g4Uy}M<7g<o<&^v_uZ2FH%+~=2W{N=1|AS50^n2t8bv+k(V7Yaq
zQ5P~eo31<QumDV}dM@%TOhwJ>F|ARh%^=fB#UJ4rRstq&O~fEy+si!krAWoC<N-(!
zP8V3Ps^8M;-Mds{l4_`pir2_Py%57R0Wt!YvQ0TLZL6hOU+U#^;u|%zh6IB2@-#*P
zJRbzsDHKq6+6pF0+B=i)?YrpyyY$q9IF_zG%tO(Ys}*HVM4q4%dJMPS(vEXGydmw6
zzFPtyHQz5BNnG?ssocxawB(69lehZpWifHDE2&*pLhkb2C`oBRI%bTT6t1HEb#J^;
zqYA&+X)CC8<2_uhwI}JmXQi(B*WVA&KjtdqlR4Dv1|g(Yd!_S6R1o@j(BM@byIC@<
zaFO{tD|(5iyF_{&zo;}smZtqX@(=xu6?<2?O5Z74sfEkD3nek$3$15pIwBd<&;Sh;
z>N}TYb(0V-irb?MkfHQs_tVU4M};t#NR$FW-YCW460ixF0t9(87-?g&O+_>?T4a17
z#R%?2ylbgQs{mXpTjzm0$yHPo;^`0@A|dgBq>@4RXKKNChS3@RB!ZKpp7xfRgneT9
zhH1p0-Q8|)r!>p1cBjM*xP|+TE!8&?(kwXZPfmqp8jDySix55ZfL3GW)SXb=Xk3*n
z#Yk7$D7-dY+<OE0!U$3`_OFu{_sn=4p4IB^NC6XzLiM}A-<Uq9Pk*afhI4~MQfeSs
zEC$&%ybv_Qicu<T4h*1Te9QyO{ST_Bo4Uep5o+`^>#ZLWPPyzARSsNyx!t-{Wrtd3
z?+gUg2Gq<t+gy_W4R_7nd53qtA#q4B)X=}2B|ne_NI0-?hY;x5Aim-SDPQmVUK>ww
zWM4*3Vug9>IeBO;L)}D-@qK;DK3N)9u1GHU8h&mk-3Pxah7yH=dQ_m;(vd5_v*^kV
zN8)OfoDi4~?D6Bde*v2Oq*cNy$ibrT0<^RhQ%kAbrhhXF@?Nmjfwy;w^(KwC9v`Rl
zXsxCFm+D4b*N(a<J9P!p`Jc~M6_OGw>UPn-B1(9*sW0YCOwKKWH!jW*x3|y|dlPrz
z%SBD}sDmX(A(wgG^qovQsM=LKi2=R&{fPN~(u@aNI%`y)OiRQ#M+d)5wSD&wb~+hR
z;+9|6n%1c<+L>C}>Qy(iNLkkoQ)HVkJ(w{gL!*#mS>yn2-|kGC9c>JBtI5}IHnx-|
zrS^7R?(6Q@E0M_?yBxU`SVz}xo3vP08RLbz@WTko!1h1mMs>5%+bd{Y%Cs)Y9a&#4
z>`KCa0?tp^u}Fzl=Z<r;Ga`W+-ZARVzXrKUE~IxsD^edm7Fpp|S)gq=wa;3^upu1_
z{FA=+BsEY(qc9Ey0~y%#m=;6GjG_s4@RKCXJ9Ky0Ux7z!^Jh}bXL4VYjV027TnMU)
zff|c1Ra1Kt3qPY);vM8aFPdbir)qo9G`{-I>KiMqW_)$%%V(X}#0O^szRbe$wKH67
zY6nm?1@WT1N97vIs$K^Hh>C=NDpV8Tl_44kVW+y(cPq&WpMJz1QOYii-!KP$GOgiW
z(%_H~VXql*sLWRai;?Um06TRuI&KbU+AO=wbthQ;vb}o(AQOSOr2AkY&43~|50mYn
zwEAs3c%LmKt>$cHpu_UfF?=4$vA^DS6h`W4Ch2}=S;YdXCz#KemX4ddTsu(y#8G{t
z#r0^|Gh&7o+B;5U&G_$pwaNc5O%nb|xl!+Rf<LUB*<1~&!8jy2M8iEANtQdc(a=C)
z1DYspSm=sQJANo522F)g7|Y4w>WCh3f9k=NjPVe1V9>_!6A#J{vQ^GBLp>C5X&qMf
z?@7f>B73ZpK9|4{OsNxRjmAq$YDAgZfUoUKAmJE`^mKi+{Hib&Ku5}5ryRaHW7P-M
z{hGgX<g^R3fgKXNvxX-in?AoOT5UQL@>FFf3obR}pm%kkIi{FYM*61hN6j`$>1T40
zGz?nbWE^G+<*VdQTsZGCr*o7lDfvM=*s$oOX?E>0&O)m-BlEtX)^USt33DG0ynQOB
zl}zc{);?7b+EJQQ&4q{r_sLZ)Lr)FLtDeiAOAmfo8apqYborkP7Ddi;zrFOkzgQPl
zoViF>>2YtymU9LgvpoJiwKQxfMrPl3tp_tN#sAemlr1(tOS*o~CKosg%sOO$(vRq>
z(W-W!Xa^oLluWGoj{E7+kJMRUVUzflWOLJVBnIz2S|}*rEw_->AdB$j!&hRg1HBzs
zqd~nn2nJ8mWA{5dgwa3tLDsX>c*37Jda964m{U9&YJjR((tm6)u=_Lab(Y0z*jrlx
z)RDn%EzybyMa%)}y3UmX7vnD}*8_1^W(+Q}b1;1EBkVkoe)vWv8fSPp*N)$002sw|
zQ_3$B6Ny+}+1@j@7*g+VXEx?0!qXUlAnGC^cx)oZ#n0@6Y2Rn2SVh2(Y}m;p>`g~+
zYUe>WYBSPgm<+Uuh5cG0b>rV@>Oh=cej}xY8jbqE@w{$V-(dhExnu}+6NmUy_HF(Z
z%f6J1EC0VwxdxqQ`=KdomxXRH+9SIw+4_yDbO|-)v^VtQLK4(^eWH~|*!hIi@jZzz
zStAR*&y$HH%p2siC<2TjV#Ye?$vetO0Ju$+zdVEaCm_*cQWk8uCTrb5_`^^kidiTL
zI#j1Qx|F($Pwfr>3$wsVAebHh@*90>JZsl06EuW_T}s)`H_x`U^^zPx7JDdqf<xc8
zgmsU~QE;Rh;D#v&AlV|cu7EKW&)`p7*ByXy+LUx;P7F%JiLQPYk|{lBlaj&Ga~4gR
z7bpF<ZpL9oNc65|W5t48%FDN$T5z=AY9sc(0{+5&Pr_$=4hdn4Z1fLxzhs$P@jRf&
z6_s@olgL1tlJg@zwW{9$#B~-lW(F$1nK<G~JtzekP-TjO?+$MHOiSlPk{!3{#_qC9
zPy{J(lwsxv7eyd`@)IB#GZ=e}Q+?T!cR7B@OoDNRpO>quUqpOnLhM*-aMmbk@o_l7
zFVf$<ba<aZdfk$sv`^jgg|S9<brx!(ybH-85rksm@v9x(;^Z(EzmEnu6~D_3jKDIP
zmV!}chudy4H)cIo3O0o-(*ArGMEsX%YE)G}YlWqzIX9_Jt`f-H1*boC5i@R$R2)a>
z7-P`f=#bzAp5c@=y&QssIjXGJ-IPO#$|L@Kr@quQW)deEJSfZCc;{ufni1GO$hz~N
zjF%m!SEUQ87yP;*6nnh0^7C|kh^Tn=FnCGCC@HG$#PF2Wn7U>bm-2@<BlOa~9#$ti
z%Wf(=o70v6Y#r3>vS2%+DwV-|&oFZ4D|+r*ams+%qDS9B{31%DC~_@p@jT}%H)KCN
zWnJf!Dv{`&C_DVbXdA$xS1^{bYpa7QvC&3M5lx6n;=fW6iM-l->Rdr*zCkwA_9=5e
zIX&8eO=y&Vrya(}R8xpygz3fV0{zJj1rfjuX*7qK(KA$tgW9%@pM4TM5k1X?Y97Wt
zu19V6j;7TBA)TNMSU<w)Xd@3KmGHs2@NI=uNl~us#JPN(R^PNrzpr*If;|)E$I!UV
z%1)v}BVM+lP2<Fn7gb^v$d(7T3M?C;s(t5T=FJNgtx4QH36E!PzwM;Q_ykMua87`l
zFqpKY<|P;~Cy!|MIdf-{OvXDjjk^l26)`KF{P42yu%imds+!aIu*k?)H38C;S#nt-
z)v=P1%1;ras3G<V%f7EF_)6Ep9twg+!8*n34W^pk(cT3n7?67zkj16tTcERnV;b&b
zE<aPcpJhSpdHD^aujI#5b-`oBhJU;XQba_XB*-i-p$c-a?K+E{wP5yHp-dDdWsA5n
z6y`o{V|I~d;~*%q?PRwcBre={gEU)Fo8*N&4B!`t+5~Zpu$N~TO3A}2zgizcC1h6|
zVmAMLd<-8*dqLJU0Qeo;<R;y*DW|AT7*<q7-Rpe#Jzu`<P&(vukdA(s3`e-Lo&94z
z03r{WcrituR2R5y(}TSgd?=1bAD_>th5%Y+n66`0Gt+57?e0s|jdk#}Gr=R}g3c2@
z5B=R0(U4DcAB7AM5CSp*pjIq;lez>A(h`5O*sBlyuy||CInJs}S8b}SZMU#C(a2(a
zGrG{&v9Z}iArfs|3Vkt!7ztaIG5z6>=CszBXKa@6Kwyd2gJB4s!Pj|-VwPhfqWcUD
zg2SSUe{}P5o*}XG=ygE_+Gq4%>7GkYKVN`H3T;ACvhtOTpFl$hz-=1DUSLz$%;Bb7
zP63iIep4g<nznY|^fXwm#~VEyA2yyo;5BOV?%ZtbDqK-+Wck&6Yd^q+8)%0s*d<d?
zqF*<izr;c`?2vlR`}vLL$5U_&4CAhnHJcqi*Vgy(2(Me~0p1)ewX$6b9)akFj>d1X
zm%M}Xse?CMH4l};wFl2$YC4w2W7?8Ui7!l+U0wnp88~s;gW-O9u+7{nQSj$);%*BE
zgIuX#yTk8uT0x^jgFr`@UyEIybTdzti4}`FG|3Dg#TfQK!~iFqwY~dZZE%wIhW!X%
zeL+rWC-S(Nt99@#&6&JLSHej-(4IFsB#7|f;fI?=|GWl`QA*AV1D$@I*QGcY>=v$$
z9V$9es-41%jx2vn$#78J;4@-jk1W(mTZvi}J~^#sNmBDrz^p!{>3wEcU}Xh(t1azJ
zPzHfZiU2G48T+Uh@l}A<ABsc22f1=uCcI!GrBMk*e&UWqBkTksOk&%cC^7J5-0c-f
zQ^QZs+$qw>1WRcdrX3JLmmC@GV}cZ@=$|cJzPE67z&_N{c|ct_HQXW1$@{O<|KZ&q
z0GuZuQg10<*m0AcDe0=m9BS`Niv?iHL1%jk%_CMb9nTKFT&QgfS#Y!I?V4oiK=ll&
zUpKTULn|wRQ!ZG)OMz4@n(K8nW(_%JPXJWwv`&`qe3@d3S-ICU5_fxgU8c@Zv6Nlo
z2qqN_!avl4{#hsQryy#mECzowrgI6|W*5fVlVMGMHj0H7+3PAk=9tH}@~4Uh?tn4(
zG|Pqx7+Gux(Ku*Lmd1Y!tI!>5RzA=S)L~jRg#uSCct5FwhMWGJfcD+e-X4=9l)rba
zKv%ke&tN8aNZV{WX~@m07C9-ojld5(aUy?HE5yUhV#*D(PuoR;`+j7#uBi~a@;j-F
z786cG0dfn(3@lmca{MB%$#;5_wbA|O#=GOEoi1de25Lbp)BfnPvc={pc%_<W%W&WP
zQF;ZNza6IhUOEyj;Zlv2r<Kgue^nk;-*fiAh>?2ZcUrq<b*7Of!D*h4-$nzxEEq<H
z;zi2}hNJb_ZK=j0aYEp0zZ>4chIao&qd$bgDsE;PT@Nkw+hxr51Lh1{)#byqie&GX
zBJWmq@*S*p6jF?epO_XVBU&hAT5mgq%)FdrV4J@4i2&|ULgkIrlh5-@(@Egu)~%L0
zx1~(%52-q|8(ja!-=Z1f<jN3bn5YkJ#Dgrr?HYg~z9bK*7k7}YH(3G~z&J@z2gk)H
z*H=Q^?+o3G)I%dV1=xy1lIS=mpJ|gPft<a>bAZsVs-JeBbf@0#Z<!|11rc)ZQgLIV
zNTVAHpE0v&l1^7r(GSFIimq&RlBh(9uw-k@y^%*y+eguOe*n4r@wbGhebejZAvZ?9
zsho+tr3HitXHJJ=lOFM6;EJ3*kM<unzbHTD-6V+S-9;X}yC$e)cypyHvQS-!mR%id
z(XnSbm7&lJSJ^Z4mv{18(b<tfV}ARgt|(}iV7T?sP&3xSg?FS)?Gy7OEzV?)TAKTZ
zy<(C-h3#gdTQC7fCJ2DTj-r(^_v2d~cbc)s4W#y?p`dwY?T%}`L1i5_ZLgB<`1<Z|
zx`MHr62{s^?FSH3R6v$YBs(|{jPP)&d^C>XbAXVjD+DX5`C|(bc_0mR0oxbSFt(*T
z@<<X}Fm{&l5Gz4~T<{F4w*xt$sv7L0jEFmPq3kidR38eFtI7$_$d8wNbUF;aRcJ_+
z;j@|`8S^G-E)o@<Mrq}d^MUNoOawqkuh+{mgl6QDXpI#?mW0Cu{o1|fxkcyG4E&T|
zwI_paUMI}Z(WD1l{+@7pWNpno{6!rAoN(HN{5xjb{?_`r62U&;Qd~$Abh7^yCYGAD
zy}6}kEzE@g@&XubjugJqT;qL1c<q7uUQkinHF2Sj8hoT>7NU6)csl`_Oje5UfSEQX
z2$o^66@z*OckRv};TclGHjQ#(m&)M#wYW6}C9RCP<D>G#oamZjes4eIVw-gvX1_l7
z4oelgct6L@4gM-vylIW@31SfQO0oiu(@APwD}EntxWfQC`op=HgJSx|N!VhrXq&(B
zElQ2JpwX7SqZ|lxgkGp$th^W?Y~}5~EzO;ZxQAv#bj-tGo+mq=R8wrkt{+?>6|=FT
z$Zodo&IIrZVB3?>mN5De2mm;dv~Y>RBm`qL^GF0>te)^sQ67R%F7WkGO3(a%7ZeV)
zQ?RLR%4*-%Iw<q|%hFyTw*1s>eAqm@a-|r{zjR<Hs0C1<;e33Yzq3nKcu=mKOL35}
z!OC2<rucuUR3BeW+=dY^R2}Hv<FFh_Pke!IPemsA*r5$=6ybnFQ`igEIn}h7ZTDLk
ztWl&a;B;USi>avW&9vmZExuB>cB%i#VmkYjm%@`Lcdrws!r+0p=ld^FZroGLfz5i`
ztnvRzzI?(Y@25yjA6j*~x6X%q9FS?&kdZ)x&~gITe_WTRo;Aim8pg$pl{IAXbC$*M
zh;L?0KlqkQ0{AycPPe*N<+C_Ux4wubwhrXM@m}%#j@_tZ=z`@=mnNx}1*hWue?vab
zFi!*j?9&~mGzARbdE>#jpd`f;i#d%IW=T%7u<sY9<PsbAzK`3GUQ|<S)@PC?+EaWc
zfgefWen&V}`L;fo$ugK+-SNkRH)@g&{Rx3RO4QPQV=U*QBpuPs1&!2BhzYp3-};4H
z>d8UGN(l8tpDFeAGRsJZN-rv(2eG?KCg~HvbdkE@Zqg!=xXF=k_T<7lwt?HWC|ehm
zayX6&YirF=vLg{8+?2Y{$5V*WUsfa+u9sd{R|q6(Q5lNX00OzVHidkFc**21HT{E^
z${l!3qbn(>8z!6#AenV12X#Kv^k+?6-gY`-36@bM01mGuy&25j%#<P%+X@An5zs!)
z_HLF%Qypm!v}rm?$W{8o=sRJ}3An#HL#MmEJw-t_yXSNSAs($?L8Zq@{0O$Qai9RD
zr)4yfSOkIbwEbDoMo0ouV>mhusMYoR5A(Nw|7iNsS!!PWPgL<4FSveND8r7MW8K>t
zb{SzU9St`?G_Gg}=m}s9I#;G$tVyYW>Zv%wVNhfYuwl^1gL_8a3Qt)-4Cz`mo0lSd
zRND0aa1W#C%IJY+^cc#TRR0zWa!kE@`wHRcUKw1wlQ8Atrm14fI0VQQAq5kqLNgRW
z>yDkn(pG&P-J}Z;LyVb)t81X5+Qcd=7hBkO-pDCj%rPuH^BdW0qncyUJn<%2(9fCy
z=C}7k;kB7zmrlJa7^Fn<00TMRHJ=WxJ`Nxj0Y8v4qUflIWlxvHbzo^+$&=TAS<e?j
zu1PVSUR^rdh(vLd3*WQHr&aOZON(yA&$syGR&CEFD<BBD9-%T$RV#bu*@d++)E1<*
zYsD05B)6@>`dAXwcQKy|K+0<}O)Rc-7<d*-#;qi`goQCS?`9d*HUM4L?U&;(LAhq6
zv9T^a3bLt~iSK-Rx?=y7uPV9yqNvMJ8>v+_VhB@ja(oRabY&uKs0xgPL%G*P#5}h;
z1oQvSHRs;F@8Cqlp``Eff*YK7Oac@lY;u-*&bTlkf4T+CTboq}r?aCix9!;SQ!}6X
zRYLBGx8${<vw2|DA|(WfL93;7Bmu|bHi^sRQM0O8k`g!03kqMi1zDZ@n%O7)c9xVq
zH5&f;0|L`HRdC*L?4}Rw0)-HGYqA>QME}zo^t)Rx_LkKG$t-I%?WR3t5gH#Tcjg*`
zT&1zo`MKu_9zek|RD?kk+BO6CtyFylU;fm5mT+TsqeJ38Z)L*N%}vkr()srx@+amU
zn*d1%F0uTYer0};a=&|}#?~8X>&Y18wVW-+`PBl&fmX62S_VF})^A8eB}x%84jJ*i
z_m*ElfVB+%$=-wmUQVhqi7O_~*W)ZT)^#@==?AMHk)R0D-?XHm14WL6^3}8GUCo0n
zhk2EP25Brd6BUY=!#8@o;u1&taX#e+c2!DEE4U#?G-~aH^}+f1PC>ARH3HR&8=&N}
zNfLadTLEq6Z;7Y;pp*6Bpml=fqQCsXwVd^Bf%R`}wnTq}2*BO%*0niKKrm<eX%QQ!
zja6TnbCyk!nO<bWU5ULL=sI(TK~s>N&fpku{>QruU5QW^@t><`2npujG_$DT_1=>g
zbIlQE#DhJf7*}Mo4u<9LKB`NKeL)19#Qo3Czd*#c!UW$VX+97t0;St3h*uHx^3N*_
zdqdCyJI(2<Dk1~zR>q=gqZa;>U*;bVR_$+}`;)=ilsb014Sou(n$uICe!~tzy<K2%
zXtSx?nJT_?W!RW^kkqWjNG(}UM?oNiuX4kCgi0{ek02;4^@Q6<J$Xgwl#vPHErlTZ
z!6HFTj$vB{;9B5c`v`J|)3Qc4uruLo%K-FS+%bAiKP1OWG=M%a!dt9Sy!G{|R6-VI
zYiy}kvhxQZER2+9)_2Ot8r;_p{om<^sxpVm`$(Q!Qg!a?Bg2HQICXc=qG%NU<qQR0
zLkj#Z`=6|nHr3$ct>>u*dp!?+VzR~(SD<_>%X>raLgFUAc0%xsRXrtfv~<K+N09JJ
z_=zn&!QL&6t=m93i86mePy8ND@9^u6Aec|SuPy>wqsdt*CC!w5082iPrTdT;)A$pp
zmdr1%?x3rNqcVCHpPv3~9n(TJkZ`sCT1b~fkWUW|q3y^HDu85Fh_n|DsXYvSdep`{
zMIMya<P-?i#C~@OD7uk&2ET<DqGQ3le`!7MmbA-!?4Yu&5TZi!n&)gdod~lr%un--
zEJdWmu*7`Yr~v=4pEuipkv>p3l?p|T4*ruA8vEF@W4C0e($=fnlp)GH;y632w(Ftc
zCY_uZ{G3xr*-kxZN+I7FCX5q$o{HD1%CxPE2LEJuj}eCnX@R!ekGU8jO^9Z=>k1NX
z8VDo$A}2aB0<9Dn7L79wapZLAjK3A0c~?rTJf_b1qDuMW;$Q|MQ=eA0K90>*qo(co
z&dI^n&mt%%iZ#3OjtF&o0=2oc%wB8Tb}k<8jW^kvfxgQU&7y(Su`0$cMgGf6%V5Pm
zuM+Umw!H&e6QpzBue(6vXh-c}_o+Z=mHola4#FGYF_^fY-=dPw)+OdhDKEV$VgT*Z
z3_adzcH@xbfYrPt2)xUYtttBj&P9#^5zc}!NMYRisw-KAj`)5+G$PMKQ`rhcC5opC
z+*<Q?o-AaKT~Xx<7fA9u%y|y%{&@apkYt`tVFQb|im|I!eTpF-WdiX@KEWazZR+dp
zdaP`?Ufew@m;>BmbxHpWY;a}_pNu^Kw~MKHXbZ2Rw`~C=&@MyI@(6CE2a#DsX}Qhg
zlWhLC=^$i4sZWf&sh)r={34foWbU+J@?K-piS)@EQZ4L0_03#zxN`NOUNiA4YEN-2
zy)2Z|opaaiq_MPDyposa`J{9Nn=S-rW{c@G`i@DGpp8g+PiesPZu5NLlN|(WVQIvU
z6eFIoD%1oex@OBR=8Jrg16TNuayWCw2|u5(aX%(%4wM=&V!6NNjEf+@j=O|eZ$C71
z`NzR>(#h2#G!T!f^+pc3jaytOrMt#x*l@W^e%MNsX^Y8SA%<7Bt%Z;MYenxSje#RP
z+$gU#=dPKyxXv2)Xkw1l%DESxN796*!(Y+6oc?>d@WQg~(S6Jp!Xfd@pfj^e@DEX{
z7p?zu@A`aNep-g0dxKKSK0-fZr&Igu<ltTQbT8e@eKXjWJlLdNZSvgMnE)Q4qKi@e
z4hd|+VM7jpd!dYiiPnS*q9iqj5b}w)50)8yJ`p$tb<y{H%P1dPF09QeH}yq9L9c>O
zur#d%h955Hw>ky`L|$^i6kuw|!z5t>3pX8-xcKSnD2syeS4yP-L`}X~l#!3CbE1nV
zwLuu{jdDHT6W#!Ea{f_uHSK}^n7?1(^_d12T<g3|N%OeUu1=<cK41!$g)*aw|ITDE
zs8S+?MU7TK%aJm-(kT}Vmq};(hNDvC8b<Sla80G%XmA3cyv!PVab6iw%IUw>f!V%1
z;Y(}v1uwj`d^ZZGpAo0lxYXm>Dfyj~33keW7XaEGYIa+FUfwK`%%UY4{^+?^zH^@w
zEI&$16b)|kXdmvEKKrFPKT>8J$ie~GW+w&y38^G6ZP*l`t||<TF`R|PxdN1cN5c2N
z>gl-E7M3xPR35+@|Mdu1$zHVGe{Mh_=^jYoqg<57y}pK-Za2@mYuK<Z3#5ItQUVYI
zGohtE0rIxAfx@Oh6vVFHLn@fIrLwzUfwwG}#)E9^2MD3RszZVYE2vR!L_i~)>6Bt<
zY<vvc6OohwVFbFSC&Z{Qfss}1m4dpN5Cn>j5_mb&KTR%-!;xw*k)qF0n1bJcYmU-7
z((vh$b`0INMs|nNRcx}ChgeRpVt$06jX%?eLA7^OBS&m<ba|$USb$7RLD@BwsXm#c
zzPo63&?8N(<f(HaHXGF`R6g(sYf{o4xyP>@_FyaZu{ul(8dEM@u+452-KQl9f2*4^
zP2v+=FbW#tDrCZBb4y^Qy4uIUGYAd-V=5C&=~No1YIMS5p!bgFl!Kp}c#(+g{$<|#
zEqo!asnX%dc!chx!Op83Wv)^v(j}Tx_KSHzD+L`0od)%IF3DR|$i(DM`5YRB{(;^n
zF1p|o*!f9VTh@fBDgrn?+~f+s+ENI<p`tv5C3173L-KSX`N$l{#%zu@u&6C{f_Aaw
zY)0Gn@ck$$^DpcX;XCfudW~SiBOAj4{H(`ob>wl1WukL$KQX~eT$W=yipxa;>sYSk
z1CmP3+-nU@qv$)yx#%oOaPVb4_qj{L*G2x$)X<btuW~JV(|l#J2m<ZY$O)SlBu+bL
z!A*L{2)c|rj2aOwHLnD+o_c^RPg@l?WY_64DjVM&o;EVnxsS7){A=y@dD4CX3T~4t
z*w72?-RWjAZTt|LH@1vTEe!XGY?k5DF2`qQoXkMuA>CBzv?M<AlquDP+@$`?w!Dyy
z2a6NJ>?NF}<{^dN`FQ%6bmJEG%LiG)`NYXSNoy^MF2%ibvIYe_g<-spg(dW6DBf5e
ztXW79jdCjjEDXfCTcpp{e?Wt!Xl(dEp{Uw%NUA+c^TFjS?<Trry3+m_kC?4}H<F~b
z>wIqWePqTi{~1gKyr4Xkdv7QLAi$ntfqMLg?P}uO+SQhi{53J0g}?<Xx*0(G59rw>
zVw(YFp4O;{h2sNlRbku7_Kjn`e;NuEt)p$emdVA<{dIyo6^C9ALrbVfDIJu*b%n_-
z$b^Eq6vG$AqGk+`6QzEp-qvyHP836YMJY%^dySNrg$ZYQ%%xKTn-Z9YP42a_qFHdK
z--fs;)+IaSx@o;(Ey8tr*=G>BXy^}GsPfhyGO(t~XgFk^;^)V6QA(a_1OD!Bvj6{L
zyPhg7)lBm*#SNmFyvs^N(GLkKN4hzoNGn1iV396HiX!B5z}LmzQYtl^%Q_sarySM#
zE&y(?;n{6o4h#hm@hOtc4m#KzgPym3CCE^OM6sdJ!KpC!6P(DpaA_?sx7@N>X^Z7W
zX`+w9-et~V6kSC@Ys9X=P4h46<09|A<>dKqSp{~JP~plnhTiHDGa-D51*6WoRENk@
z;h!&wY?)?9-hI)-Y6T&=ycV<*9=?7sIWxFDYF%xJlI(Z0p2c_a3?dw;Y&APxoT{zR
zzc)8&)k{e|pE#7cg{O`sEX|iX3;_m8d`ack3=`et`E(?M3*iTw1vQhtY;3~m+&Iu$
zdMR|>XY1R8NV$y63+UN|8rt0_m*ZJY4$={MAb?|J6+~iLD?Kw{DzShF4lA55&S7=k
z`Z{ht55U6U6&8ww?APWF8bq$|FkNK3F^DY1Rhu?<&1B)u-L9a(Ht2G@sws9j9jU!u
z<Bq>cOOV*r$*MXx@-(KQn2{9Zg`=VJhJv_-pZrGLRQca=$+3jumg8-h&$vvQ#!0-X
zkrw>KuS|Ve7si_G)@XQw-ZP~V_sW{;Ch)ldZ$QSB8n}*Mz|j`VA`2<dXjNnCY~RiL
zkOG*xsPyJPB^HF%U7TOGbFSGRk4zZ_Ziw$1Nq07}dr4Er8$J&<<mI+Gx<tmD2)uJS
z2U<+l_Va8O6Q?%u;fh_l*TeE&lIf20h<4^7s3LLb3K5a?W(1mY5ROK8poFkrVHE;?
zSnT1Jw7A-P*n3U!;T-?q2JsHPpIGUBl)PgVQQcxsf+U@_81HY2?q$eS4V_5j*l3EC
zWb)p6s9De?8?14v=!D#=I5Q>^S_PHiq-hDEUqYcQ-@GBMr0Wg`SyR}nc=V{%GMjnR
zI70i`aEK>{$HNk;b}CsRzFeSmxRb2d__SxIWD!0^)rq!Jg6W45NUzcMMamf;cAig4
zu4ZsHk#L7%22d*p8(9ZdN^B&`<AQ)x(Al6&4AE#&-vFpv^cN0p&GVp6kV96-$keMq
z4m!~h<EqrqKJcc---g9M5l%K-_|LFqODVbffII9W<`nPzp(=gVL#8CM__G|gSY^2W
z^gzc!#s}g?7_1e0!pHrU?vw?6deTP-zLNjo9Iznp3F~%X$-Smc1MC0p;hpr`(m8!7
zbK_qJ5AoM{Pj+*c@5#@LqH{eTmxF|oa~DjKJ^VTMNbRyotricq0df_-3={V7=B}s^
zlT%DkN*Zwp5!-VWQOW09E*Ta4gmQ!W8V{;bz1*N`b`=S$<|~y3NMcNL<yUts<kB<F
zDuzKxh5dzQG&!PhD&C5C&*=|}M~bi|)i1YZ!blRRBNo=|&M-~YziW@0q_D5if1^!p
zfo{Qn9{!A!ztj=cR13MqifQA3R1}tZmDx~~l%O4-No`_|c?S-gE?YHrHuzg{*3{vK
z(d)oHU^c~p1S$PzH@5tVbq|mN26ew_rpfS64pE9ZDDf?=RgBQ9a6&kerY;3Lxp!HM
zW*LIk?21uT#9Lwk4HFrH=&Q)UHcLcU{%EjP3Wp%D*5-jYiGYx#d4XHty*&*U*VOWe
zxJnmZa_$9jSUaT>+(8;z6nH{`9d+Kg){=v;QyD@(Z$^*IZ$#V*{9cY=6~%{yuM-od
z-s3NW<mSr4B|KnR;@|_Z_$=Rj<*KFpu;I-Xd6Mh&*j;Jl!XMbh&mZEv@p7R7HJdCY
z(@9YzMU+W<P^Rq$KO5JXGo=9v4#d(FYN14E>ERP;N^NJpy^a%uh-m@6(?TsAFj5C^
zcu6VlII<B2Ok_73XY_O|9Ziy<DUOG<<T`77UbULG=VJjxZ(fqiJ=&CX=8mxM6KQDF
zJjbkGjZ5!YDA5#Y_kRj>JqtNM?Y7cYawae;q7{4z8Ex2(!@$^B_EiQk^(2fV4egDH
zPeG$}UXg#gx`btyoc%IT`FYVEl%hOzMa)|9_6%Kw>q6j<mF@=wirw$;D5Iq!M~v`a
zfT-u<Izp2aS@`Wt7GGj3F&Uos>kYD3=T*MN0FxDOd&4UgY)fh2)k)Al1DkV~_c?9C
zyvF(~Q_87)B@(T(Xd86bOYUT#cDbEDzj-|=qwS{O*UEd|M{#+)nx8lv!*<!Go80k~
zxV_G@d@EA2?DvJ?x~cMOY4k-XgdnhF?hpldbVhU-s!7`Xfz`=yKbl}*0SS+EwP0ZG
zvll_gIGnAm-W&S5mu9m$ESyDtGSEj%sE$>r<GDztd$H#bar-X33;`-!D%ZeOD;A0z
z$5CV%oetV%7WdpH<B!jA35}WiT+>nEuj~3L*5oNnC%mJ*v|QB<+E<WGWk^iqZ)4k{
zUUg9Rr0l0_vHY1|EZ)qzaJ;B~`fsUFg(&d@%yri)L48b_@ey*Gq@aP?@NPQqY_J_1
zt(9FJ^X@F}#k3_98Nt4LK*ol*)Oo7faRP02m``G4IT_$PybB#|Hnl{c<%Q!CmPjK`
zd`c${sH}4(T|V@AY^U*TUd+#OTLOj(9EAE%ITD!)RUfg6r@*m%d2I1@fvkVSTi_Kl
zyBg&k=o(jz0b(FQA|A9B7b#G_XQ}o-&7jb0JQi*?pWOg#<rg3j2U(L)C*|?907Uv`
z2ipjzHapr$>#{~KO!4j^Rum?*s_A!JlI5&Vp2`?#Qo}=*yRXbL5FMDUym)|X2X+)s
zLU4d!Z>=}b$Xlq9G!lSTN$gaj@o(GLqd*sl&$A>t&}~7B_HV`vxqXdZm>@I%Yom?i
zZnPRa6UI02Lj-S0?8K3E^E>1t-z;@GSo&jcYV<pS&)^R*XKFXI_2OPq8XIlvK^rLl
z9)Q0ve?>9q*?hDPr@||%;R1(u;)161Gp$DVE{Rp>LS{$0a(&a0^fP1WC`JPrJBIP+
z7Ws3N)H#y?B{I3)fPO9OGf4RXp3)A^;uAVO=l4b*#OX^rtZr1}(Ih>MKrhN6ivfAI
zMYy<bXHIz}eYi~DZK(+RmRrE!i5*q#XtzN2el|4T>SO_^pY%Hrg3GTXVLu5)F0;yP
z5V_nG-)6Wl?s!J6g%MKg#`<5X>ifJN?npdPr7@gal_5yIYvwV^Ox#uH=`pbnw1}jD
zQXc`=#C)0^heexubC@tyRqdY1u>ov^W?~Fm$W2JpEuu3iuufKX<@SfC-$fWPE3uZ-
ze~Hnc0wkcKnZ^%0hyLS14-iP<|7n(SP#eFAHBE3PDs^0SOT#n5xgEfzjBXs0re)qD
zG^&pI@AuwnRlUh#L9{GL)1iuV=zvbvQ)G4bX22Cg@N`^EWm}qk`P13jcP;t<02l(E
zKZ@s;!a3a~93V(JAS810Nuq2s9V4&~G^hPCHZ9F!v?Uk34r!pqChQl+>@*oEf?^uB
z5`Yklg^Rq>%e@l1gdkTv;U)|CwC3w8N|HdpN(}8WW)qxRjx<#WX)@Mf{8&|IsfTEO
zzx0=esQ*>_2f2}#-Qe=BvJLjC08J~NwMTU@|JBHi92;!{AWwJbP<a#dHf%xA*|EzW
z*;t>^7y2)-P>Ica?97|B{vlK8Db&uwL{ug^FF2-v31Dv~iKZcUH$hSX^B{K@yr(6-
zrxF5EaVL~hf_+GLoX)H;EXxmqu!ox+8D2<vs3qbSE3o}F3Xxy<dpW-b7<OhpQK*O#
zST$aF*v$fGmD6{+GuW<wD>9s*mQ4{;fjDLzjn)mpM0{EL|EhlyrvfvkOa6;*JhBg$
ziRW-~7PLmR-O*_Z@Ar`N^EZL03jJN>RZJp<lG;Rhtr8-Zty4=D=sFK$bHdCF2x|ZD
zST=2t*b@VM-j`}x?eRS;;cg=$WijgvN0CDSF~*d3c!-{89_Z<2lAZ*cH)T&eBEO(E
z&YBQg=e9~TZciT%`@Hs~AD0mGQqceGh1CWRtmI!joZ-WLm`me?zGY2@5$Tj3X1N8Q
iBRq7T`D#|K5dmQt-=SrDvh-2RQJ4pq-Hi>H4+6Q}6~&qW

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_sync.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_rx_sync.v
new file mode 100644
index 0000000000000000000000000000000000000000..ab12b1c3698dbe139bbef0b4b3bdfaac86fb939b
GIT binary patch
literal 11224
zcmV;}D<{;86Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M2{tt=VyV{9NYkQPCH$bTv5bz#>xyN)oj
z3^QJ^DE7n>oeYd92Pi@*wbM7ZRU8zN*;B&&cHjzU1^PsRV2J=VR~<p>0X#2Du3-y!
zPLHDX42Xc?5^H*Ji~^W5-Qk8-#OW%F>c-3ZgWThB&H8|qZbPAaH)j9jJBj%<kavdF
zd3|a7biR4|`{gu-ymLc9Rb$J$6CgyI4o9W$*MyibKpx(vP|nXrJS<+`>L@sd;<Qwz
ze4$L_lN@r$dp4{!9}~&}mV9q%U8q*t+HlK~FPUnFXkRV#IA>NyTX?H@0fgNnlAAg5
zQ=lDF_BL*CQ9H&6*3^Je`gYGre{YVj)!@E0-^ShqA`V#eKu~JtlJ)K!p`ipnb?vBB
z;S)~xQsuZmlr;nZk5FYAljcG3K&5_cVB(N6vWJN9&{un)D0}tB^g94w{5`vMits)Z
zGh(*d9ACP&@TgK%>bpg3e~F#kJ&Cd~Sg2tI;b|SLQM`?Mp3)nQ9Uj(1vpq-Kln7~N
z(r!s$DGH9)F2#LPVZ4GAUTWnPiuoR6LkX^NV|$all8Tor11`8#^-}MqEPe0Pr~<oH
z@yyIgXcxIZn-km9C1;#vS79O($6zXbjF`N;WOv)$twiA85p9xuUDFKr${hE+z%8Al
zifM%4IobK7^FL^VK%~T{LMeqhMKeUp;TH8)ZctmP^p6F`wQXmQWURd3<0K~6)-2SE
z-}xYtw`jS-{IK#q67s4Ubk`cECO-?juzv8o%d_~vrj0_X+=qsf4AX{OSA7Vh{Yg$r
zixAtZ+Nw77(EDG%?rB}g_U;h(rV*Zu`VKF;E6%}*377MR%YBDYe<RvNlvWc<fUCP0
zB#-u!vO@IU=}p__DS=EJM~JueRQC9F=|;Z@%2o*$reBVhR6$-Vh_igaMcLPwM7el+
zVF%O}KBXMY9P-C(NcZSSc{@>O=48(E`l+{uFMPNd;P3yx%_|JW?v0oIj;yd`&-CHF
zjm6*yTnWMKB~<6a&*eG<4#xu+tHW2Ld|hZXWaM$3uHcINpZhQm&2S{eg$m4L%_&*!
zgs_uoVcK5u1rJdEI#~wkv9>AKULS>!Z4Vzhp3}Gss6BWB7owzPB}SG!tyM2f$Gne{
z5-X;)E@?22H}4iq$2)gHrDIdg{|#%h(_eWMH%e6gSsn$1OpDGVvtkjJu5*=lXP%rs
zg_I4HQQbL|G|tkZiQg`cxEi#lh?Q23owzNU>D#}fY`jt8f+V<fS|LAPUkitmh;Mxm
zp2g3gP@lsGrr^xSg6CUxga;1tCk$cZjJu>g)_6DoqFR{z1jgOR?L}_Tm#vR(^Y!oY
z7`}0XY$~-D|8uHc&00{pUAo)!dCvE;AYiW!Eb{=9D-E41E}9g)-rT>*Sw)Z-Lz|U6
z&qG#73>U>5KMRG4Fh@l!m&KZK7>%RZ_QK9?(E)~-ZXnM|uFqv=-L!B*RYw1#<zHg)
z<(rZ4F3_ph*QLuOwD^pM$!@lcLK5L6!!D)qMqc&l!74txj;~cQByryd!ekL|vUQjT
zp_aOESe(pL%|m1)zbVh;FBAYbA#}2Me-lVc9uqe%-3Z2mt&BCCJL!&O5*tM$N`@S3
zhFZ(S!`?_*UuN0_zL<LeLz=J<Zvt}-V~2Z0b#q9Z?~oBgK7HBym-Sg!l?M-M6PfTk
z(<re}j9^!{6AF)u50M?KBCw(Z48-9z);}N79eN(L&z)<;cbN!RRLMiq?c$o%94)FG
zRLz~mtr}x%C5o)kpZ2aF;h?2YlBuH&e}(_jA?^>)yn<U+N2O2*0=yue^{hJ6!BvMd
zOgD{9PCPaD8b#8&p58jBJ(f)^c48OcTXH<_R+yIsj5yl(%{4BuJ=^csOBiXKtJK>W
zd{oW9;#Alpu{8|yUE@F`Fe%MVlTO$N8f%YujgsIZjY=P|m-3|ElqQ9mbPp6Tan(-M
zL&++BQM_yg{fOC*POHv%fDqO$92>&lzb+u6xcp;xXz<#y<&fuZI>gEtr+NuDABmQ#
zZ30uJ5n*i8n*a~Qf<zEm)mkQht(7a0NNykKzKr}3cx|^B7YO$FZnkN(HBxm;RBr_0
zy}`cxWK&K+WX_%AK<|lZvmu)e26=|d9Vm%NJ0A*dWpVqAawJxb&IcN`3*gW<UWQG4
zam~YLGwk9;Z`bUELr=n#J$acniLI!{se0}0=Emf`$?1_5uBmEns;>MI|8v`y9&|Ov
z2LdGz2I^X+W!*B}IyH7kYFqj07-~&>+XMeXZ0|1mz!@!;kiAuw6_lz^q=ZXMX@+mR
zg-^UU=8Hoh{`RriQ%rE40w1{MveZge?s~t}%h5E&T^D4vhbH`DakBE#Zd+-oH<6g%
zj}e*gTwD%CM~(cu2yvMjBl$&EimoC8`C_9m&f$UvLC&-!p=d@D&`=5-Vg@v@+X`ry
z{ibEaS-!S!a1L@*5j_X+4Z7d=HVIuxLQB5h=v6r^X|8}NcXe{TDijDm?6}EB0r_<g
zCxP^CIc<n%56191raz7f0KVcaXw&3;(nv)6ap7@mUYAr5;hQr4PVrC}3{NAelo&`O
ziC}lig7^9x2jdpy#`3~^J1=Hb1%&}HV>*EmD8v3t)u%vn=|IdH&SFiwDxd6&_z{Z_
zT78G{R1HIqPHoRQ49xL#0!Lloi+YKp+fMylc)Om-(J0rF*Vr-^>WQn{Vdg+!z6e@q
zCt(fwc^ICQa1O6k=0~$yFCvNfErxU0qDxmLlB<}Zas;?ah(SQA6iJ(Et40=Ie@v?Z
zV7ys76ik@HI&?>)<zHnv26E&%{A3tOO)9?<)qg7Y=PMJy=#X(%Up?<Vf&FZ)=gAws
zoVo_JB=U%L0vIy?$dN3f+FRq?ZRD>?UDe?%dZWOK;K23y?I|pVGE@8m?g0~+?E6gT
z69*k)7)6!w_%ImBe+}rRsU1`kzdt23ij|M-LF#@1`R}GeIz2NHeLM`4rH!5MHYIZ+
zro%@URx7!Q#*&AK{D5<2Wa}oq<ckhTzz__K#xj4pjla0nXVuTTC#?&Mlu~6FM@Y%k
zMV|qCl`5g}{j!*STcpx*Po{0IBxE3D4kRN3!h#U#V2wxJIhmF`IqH<oUnfhVq>+{e
z?KfIV?qnsnRpYM@9c{tWho@STC-@4RG^@zq?bh0_?<xs}jTU=C=Qt_vk6FW#I8AQz
zh(A;82+532sR)^82MLXy2ajG<LpY0kgf^T1%sKGr6$(eg3WeQkpl>@C*6+}9r`Hi3
ztL^t~xEPV``u?A%#H7FgPN>?w)fTuOBXx}$<>ee?Omh0o`jh)4&RFgL3|}5tp`?t&
z=EHQZz#IoB*5~BCAbbi?K^D!~1{Ouc!(#sg0Mbbk*Qt8bQc%J+3uxESkJEJ?%0v}(
zF=2J6J;M5>L87p^{|;ZOfE)5-AzCPjGLc$9J?&ezzR?Uk^g#h^V>{Jr>pA7L)_#!@
z=ke)Pq(1jIBqw#HsUrNwJ%W`!2)mwn<?#n~9no0}8KmaT%cKp%j+iy$eZses3Lt{Y
z7a{x1XF<gG0-G*0{5(xadDXZ?pc|$WXP<EWb@lRegn?a{pHSlo^EFEbKX-CIg$O+|
zAq2c1VdAKfi1h>0PLq+dsg#k#i*^Hs%UWnfY4_<f6&lOJbY0{wXFClkEK)p7nVaBa
zTshedY|={=>dKoS61i^51?t^tw%HjYemWe1#)2pWos!>to{Oct?Qq=^QbE69xkD6M
z$p6btpZ#6w@oT&SB{T}E4L@lyJF6Ug23(=dZCdfpG}iI-wB?R~>9KPxI;ZNTw&)m@
zI2S^cy@sm7e(qlKb@C-|+PFMV&Q+8>&*bg2P$j_nP(?rc1K94^DO&BKMG!0&dVe11
z49iHXq#%^f!q&-}_JZ87*JU9<_T51$E%;`L=~l|kRaDRw8(m>?w~O`;vy=@1ts|bh
z1)EGS*r=7SuV>>PNUlY(hB(00V{z*{W4s&}L{E9J_z%qiQi$5cZ<J$--h2#1RM|)S
zlRY$Yn`nYoMbxxZ(#Q2j5!ufg4%-axk!Bj&d`5coNN1>Uobi;({ZTCv?XT<*6^Oz_
zsg3?@p?wz{%f1*aPMPTejSU_{h!#UYKd4zNSA+Y67+S+)yD}=;#6ETO%I<>M+mER$
zS8VRhBJOwxzl}%F3yiI!L(SXLf+l!Pf>hi3w$t=9f|sV^>7a)B>T$2VPDizBsoIJj
z((Km&(IM754-%kpS-oWJ!f(d~wO;^rTF5D4a~e-aZ}*YyRml!@J8*aiR_fiv#VI<a
zf8isVf-XA{JeY|(2pR1T#|9E@fJW(N#BVbuw3|U)jROhL9Vev@uqK$P@Qhp5ESB^F
zjnJ~00ex}3D_TENNmZfamwVA!4Q+RA_NdG6TfuY8=>Qk1#!tF+|HMzALV=VAO`m==
z@))CYow@7zphb=$pCos<`4n~E+m49O)Iy{Jw&XVZp0A%<F%CB}xjVHFWMEcqJ<i<J
ztOX%c^6ZA3q?T{?o(UI$ab>t4(q+ywniktEYE&4V?F(z}?PsK{pyj$M@PvA5QB@_R
zJ>n*EV_J{u0wg@qRMCNk=(j7-Q7M+;oJg!x$wBOu`$p<b+IB$QRYQ!F(aD6QaF;n_
zlk8HDCoEYWgq08?#Fza?9_i`sqxc+<NlGb02^Df~7ZqWpD6Ig%isQ6(MN5H`upgcI
zTq(`j0ii{gLg?uAz_v(pnQAW|1}t|??hOP^57A!xA5K;;1K+W^s9sl!Va5-03yJ==
z#!%~IZr#|2-^PPy8MJqXNWpP<`(se>5vm<tD^?Fc!?P68odBrtbp9=$<%awL6y+gB
zj3JO3nL=#B9QN86CV?Ld5{o;-fzJ<Fj;G!rjB*w03@;w#VY+sUg$WQS@R0wzGVUs4
z+oMBcu^l#t4)+F)&8y~<?woVRbe#rfiNi<*Ne4RcacZ9+Sc)b8$Pm44u}FXJ&dV{A
zo=mo8xBhRT?Hl7Fv$JI=Ry_IlNZvw}9vLdFrh=L8jOm8Ih#^*X+>szPO(qcfOMZan
zk8%9fW8i?)f1~;w*s(KUPd>E*9c$tK*%MlCC65n0G9cx}{!M+ffia8ii}fm?7T=99
zfEv{33LMkfXpCCm+K%Tliz~$o_L`tr7n^78CbT=ck{DEojjw4X*_8nKxAaBcsq6%E
zU}}Xu0P^M9_hRHCEL?4u4L8gN=NsCpc{2n$u+WNXzuovRn18tyBr2eD1hB`@fB9Pv
zn57G>%PyJOm|HQ4Wt)*Gw3nJ+XUg|v4f%svXT6}g#ncs!cWii1MhdMLGQldM+^c?u
zFusvU9U#_Rgi`h1cbn2=XhP&kGqYZ?3jvzWM#DIrr!AcYh3y|lp?cpfbHK{2-k6Mt
zgN0CyQf-)#`L?<Esf%_sJSkHLbEzh}<3#3@A)w{_>h5@Wg`QA{<A_0Eh8HrRQ$liF
zfM@>?vX_({QbdnB5MjO%*VZZ=#=JJEE3C_mm7nj{iAVq*Z2eKBxc?!pd152=o~iYx
zdol$r4Aqu0hm?(v+4?Xf8OmK7%uIyD2U?_B9M%31<4;z8OBG$u^XCfxTFae?{?nPU
z0zPdZpfY}ZI2C=v6{qV@*8CiJk^b$~6rn9CTKoLchAj!1%bB@cH_kzOu~R9oSt)F!
zJ9`_7TS{WvoJyePJx!%v>TWX{Y{bB<e5j8wF5iZhrAl}fgx=YFm-u%cTodMSMbPB6
z0tSDUSpQ=<q8t+**nA$M)#omJBS?+V2Xm{I4y6_lgiY4HeW*g!n)dokj_h+eLUr{l
z+TG0-;8>&8=*yqvAK9*(@{Jur>b@ywm44Mt)S1N0WBG|xt`%5~L(r~a<nm>_cnmm>
z+49Lm*=g|@wcXEB6SSvu6{=0QTM*Bg1U;i|cZO!HjZA96J<;Xhr}47m>$5F8@{p<<
z`cvhk(_fb_vFE&n1=puK94cbu;38F~jk8dptD<spk*b){0vruz7i{R*6Ph4yC_B1E
zV73&=tzx+;Id~5$bTGsSke98^eRosoS&Sh`MZkE7>8&oJ0;E!|O$W6t0`Kwcud74p
z)|r`ACb7b(Y2`Woh7rSkHMM9LrI$tPuX)L(hd920nhLtFF?CONMhKfR=G@q;sB1l)
z0op)U^=y#12qh`f6;bPOu)c^tWm-?4>2ssNyH}42@GStZR{Tko5E7|>@G>$83%1yp
z2TkKozyy8cJG`TqrNPvO#pZC|es5fWfhm9a@_=YX{%ja*Z|1%2p!kG^5gqD8;wGR`
zXKe=JOaj~=Ea*BQ+*vsQ4r$yIBHJQVf4t{Tq7}mAZCRBs95&Wq;NnA$zZV0u*i03g
z+UxP<mp=W4Sk7oowL0^QCgBl>R92xFLA=YMv8}z=yj46^WpfGs3qG_RwI!OYTZMub
z3I0P4uP^mwdg@q`m{wYRdPw)x5m1WP@X-k)L*N5HAf%JKL!V8f5|2f0j7ancr{Zqh
zPla7&OI*#^xZ3e~aBed(peRbRED^u4Qi<!8|FP!^>_P(YLFI7D;u5f$HB(E&EsgTv
zV<NGuby2RXITdO^(RH&96pKD%>Bz<u49}ikM@~Wi4Z+EuszLJ!<H1bRpIg7FVxX_B
zFb_Q{zeb8XZrSQg1zaDBl~-joMj=$&$0qGl46|9ILKiF3ewf1F-_FxVA5!iRroA={
z!!!ttZB<uu>t!sW)qt%=LMh}SGmAgF6->6iVXw16(B>CZQ2Fu%<h&z=@~tQ1XF1n~
zbFaZy@4C)8k?;Ke+l<a3(qf`!Le`gq<4Pwvl^@gC<g$b6Ai3|UNk>kCn&FawBrqBG
zVr3CNESh1g+S^t;C@xDE{%|zatOF$9HmIShIE{Ec+NJo)8gTPaNrLPdBpejZ`e)WH
z#3~_VU!nBv-jsg|>fQb#D`|}$spkJdNpaEKoecsW=5@pRS>E<Xhua4&fRJ)LD<Swo
zlQt7wjC4gdCZA}Rs-Mc}C|v+)dr2c`1FPmTk-7-L(dQ*MC;ZC)S{y&2T^K`13^?8D
zmiQggO}4)ITp-;{ax9ul@b_M%8(=f>?dN0ns{;Rtp+CfOu^`AE43E6V086(M-nzdE
z1BPdt$OJqLSSKsI(&9_(+{kJuYxQt45PsluZGe|dQ#l@M_%uHj8RL)3wI!%U^?C?+
z*_-Le7T5&eYEcIP7<b{JRM?6<FMp%#R!3Ncgb=kfnP>7sS~ferfCaPnUeq_{fMEZU
zq<Evx(oZ^xD@vR`C*jQ!M!Fwq6+P}mp&%P~I$8t0ZX<C3GaultCUi*WNBP!eCT+@l
z)^<%-#wr8iNUSUJ-VVD`@%Kj+@?7E)%#^b(?crcFKo`w9wE=OSJ7d&A7Km#tNjKhC
z#W6CkM+l`zm$J`x#(hgc_)Rr3!G##VO}-&D9Qs<{l%ai$l(}0l)=tRWQ8+C;>%z4U
zNyDcSZ{zt|u7*(?ZEKqyubjO{@sK2BK$v@}*J$ExQ;I&FM-@t2?)IRNqotqLw$@x&
zn!O8+zDHrKkH)2Do4Go^U(M4!^W*-li}nrZtMJg`4a5e5TO8pDH}!2rtz6+0NWLVm
z_JsHDl&{e`b7YY8rf`|3jw}Lz$;m62iWih+<stp!uuip+!7e{_MKI|B3<1ORx-_J{
z?*2irTXGIbX_x4gGMO{KYxC)cnGDu+M!LxNrmSgu!C4W18^5q>KRr+4ECc8u#oawb
z;lhnQ%z&IXLFC3F1bKIytAsM`kd1+z>##*-`M;{ZNPEt!50Tf;x}(W0E)4w%Hc!4M
zO^*5^wO=wkSQroJ-)a)_ojegj59CAnW!oJhLk~sjKo>#FKA}Wu1DK-rmZRnp_x8aR
zLT_xq1}Sv@48^DC({hPU_p=IDT!RPjWe`+24E~hjTck#N^HW#IizCb3VOJs>$T@2m
z8G%;qlJ7zkpiii@>T*e5pXbZjT8%&GA_H0f)g`^pEggY{RJ-8X@8%O=Fo&<M934we
z&{<g&D4^ehs4v|#;WwUal8%uY0foQ}Ff^eo4vsJ9?5V#Md!j>5^cQ8^L}aWf{hI>#
z+(OzzdJtO7SR@Q{6Tp{|vQK^2)@l!8h9!1w^`f)tAw~J$KiWhpGGtx+_WDUlD3JV<
zXIizBQ1$;qWwDw`Tsj2DuMj}5B3lX0G3|5<nqm8hK0e~bQPBPJ9F5!3j=ZMZE4!Uz
z;h)kx*eF`si~%cIGUR#7yHwDVhq_`Mac3+C;fX3tQJ0Ddx|_=Dd;P-k_y^I@B5pz1
z00Nd~$BJxxlxGvApqN7J+BY^-spKWbw6So4)E2Cx9p0UiK{tS$>g@JZ#`$)3bA*o&
zc&>&2`6NvThlhCB|M^ms@L1FAZ6UpuNuwu8XfDI{b*-;9N?jlKT<t~>xt~dpjbCk$
zI1B0W_euH0k#wp(L6qh4VakaPTSv3?d2FS~t`TUpK$WquFj^7GW>YxuwnXr`B#?j>
zvAtfzcXNpD6PvsP8zweGJY2_rgm*>p`NKfCZstiMl$wNua~aYzs(zcNVpr!18G5Ax
zYwHqQ{r{0KNtOb5-1U9UxQ~}8uXops_D8#jLR(q?+SA?lB(M~1Z%~p8-@cgRyloOQ
zh;ln#C9GK}B|TB`pkw|Sp2QLTiAsu3BU~~L>=#QgT6{k(ekY9wa#lOG?)eGwZWT$i
zA7BI|i4aZC+eut?h1>y{dO*|3oxsLUK~g2FHG-wyyLq^anD2!0!3CM?ESu!z*O^AM
zm6T#IWm>#-EI3A#wwbyzp?pupj2I>z(vvZks{#FIAoDjQ-$oMC20_EPQIvm7>AY-p
z?y-w?vF`W4n=(`8$HzP2-3?5Ss@<OVmg`E58<PEglvs7OZ*rG$M!(pW-jzpGP<)RS
zF&6_6y{3UuzVef>J?fWK>vw05Y7U~-RzN0;Q5_>RJ0#N)7Wl@t`>n5kxww3os*7{A
z;`3-GKnsLih5>SoHlTKTX~Ex)(qX5$j{PLmgMlGCiZBL=055zIa)B682hIi#+vpr%
zY4T5<t5tD`?CmWSdm0eW8l$!eM!qnuvLh47{hjHCQ;<;VGaT9%8o3+wjNvk^W^!nf
zfbpFh#`C)V#iVccZ;fd7AE=}rDXI7<?w)p(dINF%#u?R6li^JX@8o-KdbxpqVd~Mg
zggK^ULVDWoZw|T5-z##oMO(0eGwjJ0ZTWdZrj<mrIBf7Bf?s8AbvEmk6Y-=cmg7N$
z7?<e5%WK!-Hcg~=JFVa&!GZlJ#pqO2UcT>pAi->B*N(j@HAR==J1z2=BV2^nGe|+n
zUV~@o7l#wH?>jiW9wq}p;*J*BYquc%oV-T892l!%leO->L*}cI{3q)Q?GVN|@!Nt%
z0>jpTIG;-C`lYWWsHC4rb~a<U<<1$k+naaDy497K8rbpPC9@W=UCEbN3EH(w<^7g~
zy)>pHHl={%-rFWAQhPF4$RQw%WB}vkMT2$4D(cgN2HE)p`gYaKS&7jJEUCWIH+%;;
zoTCs8J{l!l8EBYDj4a=cTEoOSd%h6gOCG`PgnmEHb@&fd>5|SY-xbM4Cp@x-F1Ui8
zKHGu%Cark_8>Q4J2(@?81<K$LWkFBrH?kTEHx&xkE+k@C8p~wXyt~)83fPedHBzcB
z4>i2F19#{7?9;b;@j8D(PT~3e=R-7LL}gQJkC`Ln@M}A-VMMVPx6jwMi>Y)L@1;Ng
z8<ARS!}1`qoG4vEMLksIX}g-8Sh5lPu<uc;Y;ATC6VE{BM$!&o0&sl~(P3{YukBvE
zv9NeF;67CbRd5rg%AMbi%cP62jod@1<Kd%FdSE*skwK)$F&ChZKb`VTzSkb&_%GT~
zF&%{D2(fE2D4Cl~x$;0X1q=%kWJQ0@A}eaRWq5%Cu#auM+#DR2bN0N62flI4hc`x0
z%98_-MtRH&7M%k0&%A5&kj^y$F#83AKX15T9O$T7B%Oq+>UiEh)Q+pgNajOnTrUO*
z-(U|yDhAw(YGO(Jz!HWE&|M1AZ1B94gx|=ESzSJ@a%ENi!kLZL7i$uH#3Mx@$rq%D
z17Ps>_H?)ODkInL&km^Sq{`V{o`WM!#D-4PT%jI`cw*r9$P;cq==Hy)@Pf|Kql5NI
zx_`HR8Ry~8FC2DAMhx)pC_)f7g20bj`LWpLr0Va_r~3h634`qeyvQEE$oDal(HfUL
zt-kFU)lWqt>W8E9=ewoY)3F``F<9QDk$xM{tjC}A`l9?#Mhexx!`G$$x`8vHtV2^T
zq@}Vw@GUx@s<0&C=*whh!tZgY<PqhS9~qmx4c)?(<0(I_RE))6A2vZg5RZNGQcOLQ
zp@!fa=!@`k-gqGP7k=(0r0c@DfD(cKlK*4vAY<Q3u!#c|?%H!zr`beO6+XW-(gKY_
z(Hy1^{-pi8wNqJ+fpPLW4VSlc8W@z)G}oFGKO)%k9aO^#rxDKK!kd8do|$*9F=Otp
zJR9Y;+AZ{Lwre<$Baof#>#+tle{*Y}GAWCsBX;5UQ%26pn{{^WJHGZMJYGXhL-Nv&
z;AcDpR&h>_18(%o{BN-<tUB~>dSqLQkcV=cT%o>*FOGVb|0mjZKSnJ?7CX>O@b}DS
zq^Mr*wuT<Z9S&2i{dibrG&8UE6{mj*$A|`VyY;+P``|yrXI@%=+M-B6dHu)Z`t?ab
zKQt*Sar(YNKq|<;@#_;}BKP>kI`wGZapelO=R8GJqi|)mdj*yc<WHgu$31(UL{03y
zQ4W*0eBQ89(G<nNpiVdgDl%`SYv6<Ro}79Q-#rrVRA@q~Wv=Fla?!2Oc!Rm_vxp%9
ztn0#O;|#4WS2jMpbFIx{;FZEM!B0#7pI9Yk`Sc@;lx#-qMGqyPqF!<A?VJOSM@#(C
zmefqb4eah*07mN~4{I(~2&Q1@y;sr!O4sFBOge7(U`<7HcquFnJ=32lk$Ur1%wDH=
z9}(C&YFa6mN5LvHeS9bQOM<5e2;@Q@BJZ{K=)gt4clw`W{sorxhz^*gM8xMq`T(W#
z&B}Jm6mnsEWxWIP9^*YfAAuWuTxEyHA1ej@wO~quYt&I2lsA^w@36)-XTq7F9sB9e
zy$jiN&UEo4GDK{<)br@%uSDOGbzU9hMaU-jNo*egOplHjGD+by1S&~kXlzUlAzXR?
zhBI=|#l2}v^RxG<bK_xd@*Ao|?vo6btOOy?JZ1kpZ=)TKVSlW4e2!i;^!`D-cwjN{
z>%a;j&kItQBAm>0wAul5<c99+*$s)J-dje~)&04*LblWf=)GDd_pCgoUrbmF2-iw$
zW%-O^+x}^{3jw=Iy?H0RDvb0EOMVNI29x}y{DmFnM@-&44gQWk%ekUFNgm~;53m9+
zPpOLlKFs85^S6*!kBXU5Zrbp~uTV4rvhJDjXOf%nP$!BHzFz5vHf?>wqxvi*q-T8g
zfF!Nfnd3vcUxFeHFzrFn7i0=k#NhW0Dx?U*pzzhk-zb-(fN+_t&8SV8`*Fk#&3gi5
zm77~uOfu0hR>u+&F6`=E0mvr_C=alZhmSDy0z~{2V4o!Y$OkXYUm%}kisNNyqM*+@
zjc+U;W%O;q#thD$cDF+4i*jGhLrv6B4*Du+p)mM<pO9txAH0er9@#XZpU(Dm2?2<<
z4bQ0D@b%!=hGQ`+6CrStQG#OyXM2`hoL&F~fzTpi#qCWVP&}-{yH2I@y$JiU`h5K3
ziiIZ5L^g~Jt;}J7SRVG(_{rv>PeKNH6dy#=SpXxU6*4S7ZpHI8f}G;Hy2wZi3w*Ul
zXtb3Iz=nih8FlSN2h=AIhtk{jWU<%OGXIq}Q|4w9DgWg}^4?jJzSXrMlSe!lhXK#&
zDz+Dh_6!e2zr5n%1YQRo*B=3~bK{%xE`!kk7A>MB?g#?>(ulJVHm3Nms3Vw!qxu84
zvgwcnTBMq^>0z-%IPYUBo={XZeEyh>Iq3F=%k}7YZ8RAxz76uetA3P;7@U9y3g2=H
z;<H<py%)}%)wlh>&m}LDEFWp+Wzr`7nLU3upIbpH`_Qez=b93*+-uW;d`Mfh&VmDr
zG0@;nrPq-@z^d)qmD7&+D-Q<{Cp~wa@6)bZ`?9dWtGc@5-0SU4T{Q*y2j`Lkx8^Jv
zL?EuAxaTcp5soO2=<D?o44I}X3aNbXbP7GCGljMax_R@w5RWlNMV^|ML9^uH>9TD{
zHzcghC7ZH-e=QrmP`1XxhkXC)#DgH(hJ8ZdB5wML6jQ4=Tj4cH6I{SUxa=zqoHL_d
z;di7Vnl`82rmorYCV;PrscgWFW~sJH-HdBokI;ho6=oK5-vkO`6}F!)-S}YTVCC<F
z6kp5n#pUK^8}+N#L}v~^$v|^q;YC3)#&M~T*hHEsdp-AAM>(`YFP<C(8<Qc^-w1*+
zc%iPxSZ2~RbB3Dt7J7)b?gQrW5><u{B+@2bd1d>{r(A~3N$ZQ4t(f%Y=w+0hSr5+G
zS41fWl2pDTUvev7{qHzY++Morn+)|@2~mR&lVX;@107xR|N6Q$0gnVtlr{w$UL-#j
zhE17WxU$Rn6;g=$Gtm&UT$)B>txakMpyb0<Mv}s%^)`{p!N<rUL@{NP>J8@^dYAxl
z{(&(BnI~FXOPb)zJ(KqUOs+@hJvfcuzZ6kZGI0-Ug^2D;XW{HpFq9xO%tb@1u3aQc
zjucke6$?J+i@o*h&=)>JTOX{GMIsc!hhy&0z$&(W@?`JTH_ER%RFyvbi6j)r2}sB5
zJT^eTWL%rhw7xzYytkGTW*6Gvf=T>fosO8^$(g{DF^ZBjslOZ&It<)HkE-WO#mKag
z#1j~DX4~GSi50*cG)%SbR(b|F*IaiWE|rjyj)1!3OSRdu<Oo51gX`G1^34Fs<fq47
zbhgeX^CK$ZMSgN&V|ZygJv$x+NQ7=)+{!}68@NBz7pXay8cz>&5>HvOT)qoc;990p
z`-P^YI!}RVC{_1yZEvMX*-kzl5Js3HVQrlW_J};0Su}Nt-Q(U?+Ewo%Os+>t((xMb
zT|X(Mb|#6;PJ-z%d+7j=W+C(9RO`@WysrJ~Uo#evQFu{8L{9t^9xoF}#M#KS!P5@8
zCorQI>mkE{YEX~QML&;s^qh}a1Rq2<88F<qyLuo$##B%#$t%@v=F32BP5en3t(Zm_
z!G>^g!h%Gm>_>W`a>3?nPff`;m>g|9qR9rq66cAoQ6`+#rvrjXPLxMNQeyyEUikCz
zX9(($DCVhY5EoS^FnXF&>XYK@(G{g-TS9+=4nnbeIVvEFR`q(Ba^kd|NO*iy7sw8g
z<V3XYZBJmAh;Vl7%3tjNn-0YV)$&}Q+^zDl#cZI(WixFXLkNDFgVo$^%FuX6pR*B_
z;L#5>ma)S*5b*uB231*9)+8i4W!b7mR1}a2ohG(d?}!4M!c5`B*YfUyi4h~Aj$mh!
z6Uxj_XCUU9sa>L}_EVD%(>=th3yrH)Z8ThfVm1o3ua}vOFd?Kq-1Z>Zvj&<pe)(;I
z9iNx?vTP0Mn&*i#=wTn}G>q=6B4kVlreQKR2DL_uu^*zA>`B9#rJI0>X8?fDss|MK
z$dlF<gxSg6(UuM@`*uuWO8>Nm%!mXCA=;z*H55M^m9)@yfcNnw=p=IUDP`?zFNoM8
zCmq-g&om{iz?~u8!CAefu<5V<)d%~haxT4BsDgjz5=%+q5ixP8vSJPVlIM77n;<#x
z^MI~+bsOJ^UE4!5a6wVD5m0$*!IH8Ax^0dTR_98lKz;E|St$o7UK*A36k?=a4eO@%
zA7H4~38j5k%O)n&E~q|E>$KAu$70sAGZ@n7oo;g+#Sg2`;P-2y4?ijGnNWcL1zTC4
z8zKo8cAtwL^+cCQUptCG!c>)t#z%2}>U5bsQukl5xVVf|2Atlu85?ac)Ur|b?BZR&
z1uFq=Yr}QVSlsf+LQ0b(b~a8n)|=Rs(t=$qP0hvprrBDSt|H+4t%J4}4Xc8^^ZE!>
zfAL*eE+=kASEW%g=+ULgc4jH$X+a}rw@+483m+OTe(4m!Miz<AJ@vNm$1W^MHCYrl
zw<|LWsra$-{m7@|5C=jvd>9}*jViztKP1(#YJ5}g7HH2bL$|Kho~rpW<fd#{%ToX2
zwMdD)2mdgU1;@K{MT8J3wX&|JVJYG%#_*>&$CJTr3@lna`qexx&UoG|97|S7I|jK(
zB-(DjfVRiU3nK*<FJ+yZ!0g!iR$r(iu7!;VL%dzlIlQu!CuD>aHrbXUP?^mEJCS&(
z)ol!H$FezgdTDn#BX=La$t)fy8;P+kPLBc^gt0m<c5$Q>RUyAX7n8M}=rbx~ify9i
C<Jpn`

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_altsyncram.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_altsyncram.v
new file mode 100644
index 0000000000000000000000000000000000000000..9eaa7085d90f3a6b454d6e2cafade5ce37b4c9df
GIT binary patch
literal 2976
zcmV;R3t#k$6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}U8inCOIlr$%w
zfIR2kHF&l+Iy)9{O}~%rQ0=nvLJ{w@he8D%I9l#b9c&jp@ak0>Y?3;MfSvA|;?V~o
z7}*TuNm=$wk3tL}J~gZE_NST1i*yWO$gP%VtBd$^>{i2Rj}l9-Oz2`<d0|bQ$%oMm
zZ)TK)#q@YVRayu{79fz2!LDzc@x1ImoASs)*zBvD1DZ*|4&$3F8wFYPf6Ak-peB8>
ztuytfh~l#3tS<0nR|oA`rU?2b+Sf|mpSprI!Ry2bnUwr@kUpAJGFva_9@)^AwA~dT
z7@vD7S)V3PAGD(9(cwzab)W#CifDZRvs|NngZ1#ZMca(BIN#+68gFdlGd+6RD|X#s
z-;bf|Z(D~m(>xV%nh$3x4&Ib)mjCePK7T`31=F6{`c^x<sZ{LmB~9(+7on}nLDD_v
zJXSMC#|vW}y<g_DvcCzCKDE35Bl~{ak2%WpbAyZZSQ7A!3h1dd8~`|k>Vby$r(|-;
zB(ae?-{J>B{zNsr2mAdNc!HP)v}uFX?%4Q2p%DlNn)KsbK~S<E<-zY2xixNY?gB|7
z|2zH3*iDv*JWGUkx^wlvu6HYnHWDea4S>lUT&dEHs(+E|${?gUp|7a0HQXiARC*Sf
zRhUPPmB?PTXWU<Hkq+MB0lY#LXt>+$q0(tUqVUlz2(uSQa;PZUzC{xZ@;n(uC1gdw
z;;6lH<+K4_Fb6uYfZ}9Uh{W_f%e4CW!W%<peCL)a7mov^qf(<r38GG5<<#nHwH?D{
zH7|_Dw5tS>tA+!->92t;Ufa_=7lf$zX({vigs~$tiuGDw0cT2T3PuEh0bp3&nWyKZ
z3Q=z-p2dJ5;0b1fkExSDRL@oZqfA>gAWue2iJ4G$1wC+YyTULL)(_#Dfe+sq>S(oN
zJohck`RuKUaY|~omFtHW_P*llL;RKQf)AbUdZNbAEgQ~uUF6q(FBs7ak4}2!9CnVM
zbk6i2g4>jUrKu0<9b!ge0>j{JiJkczDM#B+NA`gFv-G=i2#%)DdJ%oh7vr{L$0mNz
zKQ6Jp!dE|@y1|79#zs*rvsXB)aqtHvVgwc!&UkNafq6_cV=nROJJ-7%Q%1z3=nyrP
z8-TjY!hO^?t{A|S$$&^$ze>xYFi9qYr7O*9;QbjgujE26-Nb>st~*cnGnG`vNB%aN
zp%~SaBi#~J#8)`A_x3enC5owG!jNI#E`o&lpt~4x#bdu5o?>_0nY~u2YV)1y%k{!n
zfP>WWgQGEoi|8Vcd9cxhQMD&>B0P$FKO@zUd`N0np><+dmmD$x#)?19(}Cm6bnKEV
zlqJAnFl(i=g_g8hH%A*XjC%9ElXir=R0vBzMK-uL6OI8PCBQ_O-C?_aTa;ULbt`A;
zlT7YgkQIPdRccT$w~+=4H7K(af?8lW1GkQla<_$vamGY60^rpDoOo&V9uoPxG7K~*
zB92<6)KMhEX6Fg6-bhhlgF$=0j*;JO7mGe%7Z=bE1AihZQZ^v)*tY`y0O1b>4@qP5
zZ7H<S7-pKI2(`QJ3*ZS)#lYB{h+s|Idc-=`+TD0$6B(lofC1CS2}dc@C~?tPLTC}w
z-Xs}JjJ(5U#5O5-Y2hxpwwPH_q@Xu}3<R574ViLA1=mOY-{apTkhh1YHc$D9xc}T_
ziWIsPfu&GOf1MUD520_Tr#Fh8@36N3kQdk{aEQ3Y60sBf1>#cvC_6ege5F!%XGt{4
zBlE91BL8;09Ev4`DdTbR$N`W?j=-c&+jlSFYNT6FFPqyr{=VVuz~lB1%wEOOt&fHj
zJ_dP%NS;gq#8rAj=}9Gck$HO@Kc50}>_<Bc;>Xj=EI|9V+GDPV)o8&JlITzxyd>sr
z6t(;Mnq=%)Z(H#bB3H&^)8yTY4=18Hz-oHiZtmM%1<9UjNazc0U=zJ{`Js7~LzQi&
zKcIT=9boSJfM7|lq=*D6;Z2s@38W6c$a-+L1;a$#w+T-CH&R<sOz1PkR+7WC!v{zU
zfB8AF@dRz5kA6<CT>Q49nY`mK)QwI_a~rhAX7S%V`#D+2*n5vC3PsM|=^$s%;X{L%
zCmJmdV>6X>hFh!4k7GIiCmvAG&?w2!Gc<h!Td;TdB>5o&3pKqWErk0a`7;cncJyDM
zL&0MCH(f?c25EM=|Aq9eXVCS99~)8jWjet#@HatEh0A&xMvrtaXED0_aoQ_Dv|XYD
z!k5TV^PTKMXTHbcG$TdxH3T6z*?M8bXo-NY_ew9jbOnDmLV1UY=0h>JCFA`JtaQyL
zof<2f0jAyy0@XuPty0#KQHgS5i0#)c5l(VWd`M`nJuq9==N{DS`b5eyurpDs>0jl=
z{-%UBGT{0N@^^nnX|OFJ${g`yv}CLTTUtvv0v7pYnPheLK;^4V?&@_(50oN7F8#Mn
z{vv*!z!VCjdy~8m_$F!L18AjN(G<+`(>+y@x{ajAN@N|eWfh4Nx=O=<hk`W*Hu!4j
zPDSEljX@7YlQ+ow_zpzjn<TUCCS4t#6-Q8$tDQ<zhgOa%<hKTHs7gI)p#v|$bK^X`
z`D_^wE?M&h<Bj7M-0R^2onI<0QMhn$T?Wr@#GBM3Ta&rGVOu6Hj#*9IUVPOrim|-V
zN#iZ^TtzJ2J_B?UK)$JN5&T2vUedWTDRZ`}>}eIj_t#?u7J<g(Gg39i7+hM~Wt6ot
zWXXEVKj{h{@x+aBP@}0HMK)h=@wBAWAC(aNO3cCmQg){69<hK{kFGeaCpRl)u?)T$
zY>0sm|D4qzB`>78uChd6V5x2;*^NP!)I}bB;E!!87+0XPB}LJ4PLsuvMY+*1Y%JzF
zt%}DcowVC#C>!QNIy{r0CiU^^yj8z)74s;0;?KzcPDPB5O;(TgNh1s-R3XdkTDOMU
zg+9ihUpz5vdq84M_^%W^h{Wy`!wuC@iD1_FQ=ezZXntBz1(Sccw*2LO5e3KoVOI?_
zjz`Xd`DMYioj%DszyCYm{%tRSNX&*14y6@sKP{SJeqBN|ew{27SE>xmN*AXL>eNm;
z^w#nul530cx$oat!sQ=q0BIL}9Y|D(G+*jxN_bZz${$EwDQd71)ty~Ne#g8pKa^2m
zk_74DJuR1l1x$)BbDVVKbJ{ZwNJTnlLyJE%lA`}cr99)49=chHk#CYMFjH4I?ApWo
z@}Y+z#xy0JM%du2V+ubjit6AeOrpt+l32^Fm=48T*DAw7FI>{ciSl!nw+VrnZd;&&
zH|nW<X~m)a-nvFeA#M4${*#hl6rImI^W~;d{*a^(i52$KyjkKP36c_J6P80Hk~U)d
z2NFzT;`m50hYdt6?<Jf2h@I>}i23^(N{G#+9>aVNNW^c%H2Mmm@W0qQR6)OnG^4_m
WlIaDk4X5NsIYQ)t7Xl(#fJ{zmYraMR

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_gen.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sdpm_gen.v
new file mode 100644
index 0000000000000000000000000000000000000000..a0b18fe9638f59baa6fc415ebde3c3efc5a714ca
GIT binary patch
literal 1712
zcmV;h22c5m6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3=SXjJ2L-h*Ed@2>L?}p5^FiCe?fBnZD
z$!!lz7%*`Ors`iCJGwbtVQ@BXni-#SGnUgWOYunG1|ww-xNHfa7+-7)5-iTSX)u#r
zJtaME>}t&u?wt%M)b5C=35n75PIh7fK;*9HQNVZul|3c`57r$mvEaB>9afMM%wUa}
zGL$ShruQGlWp%Ksidnv6ET0lpQq4G(zphdWY!z57_Q?>wNBY?ZnYe8Eau*|yW5U>B
zn(;kRr?{HPsX2`w{~z<snzM4W)&25Dqw*bZB4_$!$R%xlYiQR_C2f={bC9HIB(MtJ
zy68Sf(^PA$XJF>ehwIs`F`AZ5S0$fd9lh`=m>&9%2Exnf-x?0mlO;WyB!$>NARco@
zQ|F#kn?Tw>ve&F<7DAWPwFU{eeKw1f$W8#(LbQdGDl6k<J5U@h+X)3NX`4**z?qyo
zd~=jzX;Qwgg7<^K-`Gjds}tPq5*-`oiaF56wu8!1T5jS5-M~Vw&AV>AGIW_k1FrGa
zsbp3%w1J{KQX>9i(J>+zM4h0dS#Gob4N8gSkd>tgG@|O+t$UFz`5_&??Up9YSmt3x
z{{DbL>fpWqzd$}`1kWWzB3A3Q*C5efF2r>+^pg3{`q%E}^sZL(rY{f?8L@FeHIta3
zBDJA`rOGo=<E2x&@L-)lL|WrR-rc}H$F}}`)9)33(bxZnk_uYEUF5muV<Pu_C(Wv^
zWxRicBz&Gas_5tMr)R5aAei%0TI{Kx6prjT5pDPeT@5j_x+zEYb_7t97E;pCWiPA9
zJZo^Bk1g<drVBP1vjdFikIQ3s*ag4SQqVf1B%Y`^7<I7(J9u$JOx<?&uT%mucQ!oy
zIa!f)9mLC6)a};%18{oqXQ>tMYVv%2s9L2{3NM$^+`LJ<8d%s;EC$MQ=sNZe1v5(!
zvDhqcy<pV=XDVY+^$LN)q5n_p2L)?YYDDOx<vMEY3LHSjJOqmc6X}_AmI%BD&}Yd;
z-Kt>OQma^Dl2*SrY_gh?kK10zm~BTO>ow{wwjoa0iI&MT9j168z65v1Lwbaeqa9UY
z10TF4Sf_tly9@qI=34gtPq%Qk-~?JL1f&B|Ed=OPct8`4AG8J!2TV*jYHCjq3~nDW
z3+GR6xSX2_6^ZT#W^*_=Lyh$3n5WB3J*>A8#L7ZR=8o|B5W$~z1ta#k!vx~AOyCGR
zHFK9+HvJLcME*ebZccHBVpB<yG(^P2(dd7i@KwgL#zl`;_HP3O7TkfHdduWdkWZd{
zOmv56?#*X|C$L!UGmTYR>I=wWkF(uyMr)iudlmk>Z<ch_y!wICtOQ;@zMA8(W?=CS
zs^ns7DYx1f?>Gg`(PC)G*h{o#tTjKC=49q6Ya3sTDOXY^>y_87W<eBR0Xjh7xRR8v
zwt>0!1lpoAU0bxz*Fg_)=38Pjez%G_7K>|R-gh{w2~0P{7S`>h{g8U$F5_dfDuh-2
z(QcS$*DUf+?-2huzU~O(z}%MF0S0*pc=KuV<D+!+V~cr_ABesIg;dl5bv*<4@>uAP
z@LkH!o~krd`r2(6y|d`|-~qe$BLsfDNq?Hu_a;Efc2w#-jgNY6P^f93v3c9xa!g9(
z6Uy2^9Kg#i<reG+@GbwjYX>#r^SOYoX3C#86voG(6EF%m5*iE8ln!t7Oiait(lhRw
zR6BRk@g0WxVGNueg*NUCQnxF;4z4i6K43z58S24H7V>T4r;x^J-7MUoW){OF5<IVe
GA$k-fwo%gn

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..2e4233b5b7546ebe920c05551393db7d1c5907eb
GIT binary patch
literal 3864
zcmV+z59jcU6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1?<`5pG$B4>i@WPf^PGufqiC)i8lQ;vC
z)Ky=zwnj=|a&u@vy=D!ybUXXL9BsjX<umdz{0Fplqw47Lh%kJ1l&ypbo@jS!*>jcx
z!6)(f9U^<0s>F5|x+P9$3)KnT?IsCo9cpx3BX_<C`CmIf`)XWuipa#B%@H7L_SAIt
zlw<_11K9O9@7xDObKf@bk2ZK3pV<UddFCcNh?YNaU%|`Sa~j1&Jhe&?r}RFio=!u3
zgt;qPg8WVxwUrt#LYgonRzu7794z{A^I4?D<+o4|pGA_?(GgC#{jOrcy}nTE=|XO-
zhzf<EyTle3Xe0jzD|T}M?t=@)!63|#V;vIr#{zsO^M<-`F(;QE<@+5GpDI?pi72Q@
z?L`rpK3bY)FYQxSCM4fLE|4%hqImT$?eEW7WcNkj=x6w|oy#5t{6h^EdFouOW==JI
z3tEO90Pe8U<vUlyCKKep5|@k(a`uZO)kQOCLf`^!e{aC#2RHu9<_G`Y0x|YVEQ404
zS6)Y?vAafaW_<k<<LBl8M$O~>KNQjC>4$5y^|I17FdAqa00o=vMBzShM^2WSz!<p1
zY~|-vm8!@wZWJpp1ODXYVyu#kU6=%(m=%E?=cK!9I<}wH<j62%6PpU0SRU(&EG_tO
zc7<;3{QFcR&Ce5M)Q$?Z@SdpL!Az1{88cW9zxMZUM493c<&LD6g^k)bkW<jO*gkK!
z+^}O1Cw}1s<uCk{w-eJM%$kXgK-eMtfUcj$zkQQ|t_AN>l6=HLGglHTHus<FBL?<C
z(@`%>K4HKDw!7!AYxa!)PAYa{&D*Oe91R7z-MeT|D}q6PklO7_<H{O;W<zdWUqQNL
z1>M_xGato_YJXUB1s)Ocw7USwz=m?C={QEB3n0^9c`6K6xpKLQP&DeSrIqu=G%B?F
z$d3jlU**r$1x~JoE602m%CvxTo(p~Nvdt@rQ46j6|2M6#+dqZXm^CSo7AzXNqN%sg
zv%UojSNE~e7B5^M8;Cf*`Ts~$Q{ygeUWE(hL8$!>^DzvH!A1GLuE_mSs{uRdrh`P8
z;P=kK%a0^r$88AY45WXy4JW%*#*RSuQ_zwj1}FleYhIS9V{2-iZger)#NX)6byL86
z_ECsyRRARAJ3j0jQQ4l=_jP-gP#Fn=by3)L6Dl{RN4MPkJ`$~ji_Y2*Uw+seW1pE)
z+Y6K8PW7O{rF_KL`fm{!Y<0kG(wP|uwQF(*+lPB*UxWiBS~*T*xViW?U2SKMW>|53
zA^4LS(ZeK@=m=IPpX=?{Ww!vR1SA{|k({AtF{uYh2ENmsh6TbpEC`+p%~;kA9c&%h
z1N&_k9p(OtVwlis!86>LvgFSQ-_ve><UeUYC5<s;5BZ5hXmxqc4tF^7xzY7abv`n!
zxvBRLJqfyIXXNkb?pl9znu-!djXi-mZ76<8UZCglTQX*LG`pc0b~U!px5ACTLnFn=
zDx)Fa#7nJaW<obf#FD|+^2}+i_bhgWaKnG+ypG!!RamK@tW=@ZejZn(-pabs&eUOx
zEb?7oa-aE`ZT!JP2IZteE^wP9>Rfx~t&elcbl6->w3srrSq__X^zfns&g#>*SG#Mq
z^Kv|Pnw2mtzJ5E|^uu8g+J=T5o{VyTS}s24UKl}ZpW}l9@ngukzC4HC4=v2m9&_ux
z{e`0%Es*MiRFf)#o~-8z(l>k_Fyvk0H9)iEEb_m$8f!h}x|lUdy}T#NJ@*>c+67%b
znK0aeE_v&(G)24<zG6<ePto6?rI~NoIvACS%58gPnSx_2%fB(RjdX>a8clpWLPGz~
zae@CzeXMXnGtGoppdLUEl7=2V`7CvRjnWew-d&&~$WR3;5@*P4M3L}Zz3;w{p=R7V
z1`xeAV%Yu1YuB@O?ZYvZy|G=*A6fno(%Gg-*_yMHRfBo#etP4r?RlCG1*sFd;W@!E
zJctkSC?)ylIJ7Q(C0_`fgQ&sfVDfbTf=u=wu*;4hXVtpb2j^)e8GVr<vP=wrPXdr2
zz+X9%7*?)I)*2Ci0Zls+FL>t>^EJM~x6au4MW6ow`xjH<EAOvKEPU`zO%p^-jA{tb
zT}Y3U`HChsq4Pdj=k<&mIaDbMS5A_}KKO~u(~34!jrSANKF)8l*sPUwtSGxP;w7m;
z#Ql2}Y}2rZI(GsB3xanEc%O0v)ZQ(jN<06BtyjH84!<}P7bn$F+J4fsMyNe_H3?<D
zsL~6iZ<;T8e&c}P`M<5x_O3}IOuEhQ0vuI!6Mjd0eV8~aM@q}{oxzgml)e<h%wLv+
zelKbj@*PYQ8{>pR5oJKk{1j4CWYY7RrpdM2@cT4WPHb_3p_vYbCXOWlOePqm1bCev
zPVQUr*)dLD-H<IVoY8;=tEV;6E%D*5iyjg;{`Bex9Tna1d#bHNw&QdRe<-1}EjXA{
zVlfnsS){BroB28~I}lY;6NIY$eq)W0FLW?YQ9yj0k>C@<w9w=so1+ZGhLeGuSh~KM
z(``vb?T^&_pwo(6O~MmAJCHkR4O=U8GAauq&oZ_Pn?zqucg_lcY<T^LQ6kl+iQ8_k
z%v&IJGL@R|J@+rDpd-3Q)B`W<L2<pr$gQH699a660h-!O>E&ys8qOnQQ!i2U|I&#4
z#A9XE;Y6mD^e-L_2D}y?53;k+2;!l7UPnuHTpi%FIys}?XhEdGqdk3#B$;=c-*$ZD
z$@=b}BtfBis59-K3sXX!Dx?E8g-yd6DP_G-N%eh$0J%oJF79r)p^BOsIL2c=ssqNn
zS-|V&T~Pj~a~jeN<p<Fk3nBJEiz20>t1J<A)C@Ib746lOKFgz2ay!hhOF<p}i_1Hh
zl93RL&#ljrYo)&FY)xU$(W_urX7rE5S@MefW`Cx<z6G{3-u8-W(o9C{ls>A+3Z!v_
z1_Jiu4`a*eL37yOm|tm`qBQ#7a*;#^Mv!>ag01&LtugB6B?zGv>on$IZKeE=7X}n}
zq587n<th*)kx-}2H5!l~vdhk+%Yj+IlIoq<yh$+$fw#C#!UK(GFw|wxF#Ds0hMg?N
zv+=Rve8ed6&m;!%rg^G+%Zo2aY`UP9hgBbMokp)R6GGoiGp1_+NJFC?=i9d4q_(b-
z`VqI?KRfgyF{*!fGcn#&O;{Pxi@_adPmc5FI~Fc*TCMSgo$twWc$p<;0QkI*(ZAp5
z@VI*u-31Tf;=`|X9yKnYEHw4?=Za(2q?+RpGma^fCY)SiLd_-w>Zf!~b7+2G%JF8x
zzoNR(+JeuYMHQzc#mP7WbajrtX&r@NheOTb&+bY7*atO&rr620h|MG@&F&{ep3nIF
zLp9^lgw;=c-pRY-dt9j$2$6RM^S_91fs$xU8FF<}iTc+kHzTW{*v&M&YVzY&|8Zfu
zdV!OhU0I4KNQV4Zmx1rxL?0uJxjf;U9067yf$ZYW(j|x_eg`^ieEDDXWO0)G{8!yR
zhff-aY8>sh%82bnn)0(X(Sc_|aU|=Zs)sASZP0QTsQl0rRgbsioeFT|S=1>=gk<W0
zQLx1}k5pF(Ftn{|*V8rGtaD|wtHugM0yv}%B2t>mbXVP&N2?Uzm}e{-5w%4Cv_aR#
zt2l$a>qx+A!K}&1YrzJr3G`bNSKXA>fbqukdW-w`zsmf@jlbq!Xqc%F8;MdUyR=_d
z6EP_YO)4I@LE#_sf;!2%Ui`ger{@#hI}j?S+&nK`=o8Wnf{)>`q3fN3ONCb2R6fvL
z)2(bA40?`y19Ne9(}Su|J)M|rVGoBL*Wk1$&Pw(l6CvEMtNKp+%x?R6Z<3%))b~q`
zHx^orAw^s><4M#7L4{zPKqD-4!Kj984&4%BNeZ|y_{&5@61v5X4K&j{Mt~FwLdfPu
z6U#WIHPciFd2wXCuly^|D}mQv`(Y<7Ddl(EfP81*aZZ(NM}O;*-CZ1pG#7O(<<97B
zSD^Qe91{HYOtoEW@OlBDdiZB&^wpv=VO<ZXY3$E`*?~6OLnJ>cb*!8_-SgaYrV}>j
zsGQ6g2N0cWug;^BHKFMduus_YvFHAj25eq>s&yqZnC}MbVTKnhwYV4-Vewn?yBUmO
zJ&KKu(GxnRDcM*xmetyIhHu2}mk$iVL^0rB^$nKL8XZjRO?>SEAp86lkAi1H5vT_*
z6-UwGTf&UoOvCV0ON%`4RsYkX$B1KBWHWK>_yAcir;<erikp>R`H*smiYf7ysMMiO
zz$hD@ZnPC2$s?2V+)y0OCA+m3uFp;s3|HO=pYTcS@cSCE2ZXRttH|-%UNtbX8X~yU
zi0A{HG!N|?*&e^QB*8u>{BvV%gi^n1MFuT9dW0ua%v1mHu+@+JYQ-hIrWrX-0I*<f
z^R8Sx@2O4<d;4ZJ2myoXMt(OcKwpLJFTBAg$|ZKm)AbY7g9&j(xwYl?SG+m=0Z`__
z!=ltpX{Px&4S7Aq>;WJ4`}%+V-V$Q_eVK*OKXuR`SbIG#*IwGt_2ML+lRtfJ-C0g|
zK=9{SRFZyRAs3qpW6tf9FCJ>Ql2=OLC?j3P3t7asRiN(gY#~hsV%8&{1p3-rR*~Ig
am+L9xJg*GDO(#;*Y@VM>Sb7Q3y0!{9caGHn

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_div.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_div.v
new file mode 100644
index 0000000000000000000000000000000000000000..beb3e1edf90a3904eb138d555e408c8822f0ced5
GIT binary patch
literal 2152
zcmV-u2$%PZ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1?<`5pG$B4?6^WCd;mY}W%xnZN_Qom7B
zKdwvPcw0jzhL^<|e+e+tVIc<0dBgQF=mi0nARGk}y!Pn2JmdenfP-te&Ybl6pbC6}
zQd{QXlUofg#dV7!x+mAmzyC~%wS=nthUf_E3%+d?5B+52A&O{K6wn-TQ<dw@q$4q#
z1d_eSeGM{_(Qsmd@Y9a-$tCfq8<74#2LU<4Uxq#{Aksr~={VX91D5zDt9D8*Z6_;A
z$|~(ur*$eaS{Yo|$&rshJgPiA2K)wf(d<>aUeS7bPkkrJVS36vU9l;OWM#KbRGa(~
ztu_*?D^ITr=P7(SGyWVEt#>0ak#Ie7K}FMb3w>9ZtbT@Q*f$gp;v1R<y66oa@^S<>
z<quR@^H5qN(8R_AJBbkz9hVr2C|aiE(%=Wgt2XoCO9ne0oN@)OZI7yRN^yWx?KH_)
zNQHJHF5c~N9Y8Lj6#l;oaa|MyS;b9bGkvr{9kX}!xBUZUit%3&`rh~Zq9JU=)&_d<
z)?$V+b*_GQdFZ)`wrzeMMm9h4^o}Z)nr2BL>dynSwdXVO&SWN!vZFaX5D@U5cAT3{
zI99jVB6=e^*QT-MXzB(>%vB_twW7j>T8@35p?6&2eFtmQovQ}zmZ7j7#Na-ToMUR3
z(>v_R<r{;h<GJEM8QVeyQ)@xF>u^@FKMdZWOda3tN06|w(X>qyW$!Ly9QjAE%}$M7
zg7DHu<aFx-g?uJKoY4=iQTKzc*}HaAc91n3z`o{Ad8izWH2nZ$_BFU9hKCk>o%i*|
z&_@Ebm26IBVJ5K*(l7xO0G$~MCP{vOX44uGLX^5zIkzTk1#u4Aa3ILNXwSpu^dO9>
zi#?iOQc+j<6`=5mMZntom4Tb>QQWp<u1ANCkB*aQzKkytI1z*nm9{tu3se6_`Th!X
zQqt_csT3T|MK|aVx@B}#nmC(}N516`X#{22e*$}=v;5v}0pAi?*oxlxS+!Jgy+Fy2
z4SczI_<UPWu;mI6J>~jYw8C=pI9;hFET9DmBJgp6`>>mL@%twmED0!%<2A>=_e`ZO
z1rSyxg?OJDnW|o1$Yj2vi7RZCnS4m0IA`MeN49USAJP?HZQ=R?ORCmWal&$ykR%Bq
zy?;xA>+{_uvY6#cV$f9RyBb(#Nt=WKnU%j>B2Y;P<{@giGiIslW1RN2T%vYkMct9+
zSB0Ds<CX%%ib0P~iu%GHpp!2zHv%9r%Ceb?Zt4mPGx<eklUm`*KV8^onvB*gD5IT(
z(#-8auxO}4`gO$wrgKZ`<Z;YZt^Hf7Ko2GtFJ)}z1PC8sSKX#Pc}WNMFrg+lyAHRZ
z-~5^)obwy~@Z;e)S-{D<Ddb+M?cx`oumvE4f=LfHJ4BQHqWqtMOnVZgjDQ7|!jCOB
z2XW}M?;M2rgm397I)|V)@z0rX<Bb=G&QakS5vP!I<6ZuOyy)m7&X8Y<$9Qb-K%_N&
z4x!We@GyztsVQ(f=%c~~jMrED0ng32lfGyxAv3z&G>_WDurp^QXfM}}B*lYM{QG|3
zd(QG4(i2^h_a3^Ur7Gn{oerPL663@k^h&~yrJE3UyHBfrym8M0r1d)$LD=Kc<U@zj
z2bxCcPGvQ#4Z<5cZI){UzBX2-rz*Ew{d1$?!BX18=hbc9U3^^Olsz;=2y!j-#&_&}
zF%o2H5P@|wcX7-f_dD5m%?<1!(Pazjh#99GfOwntkB{%j8qg3j+_4mr!IK{){&bHB
z%0DC8W|rqRL5FBCFfBlHID;NAU!n?Z^VdE~lnnk8$SL=NirOka(bO^O?5+GKhB<%X
z1qupms@_2cW?DCzldXM1p(SZb8O#c&b<vW7i<)+1afec6JE{5%=R61wYA6t7#>9#A
zXCq|7Z~~hsh8Xm>Q6f(y!uCLK%VrbPu}O`!Kl9n<3*L>i*PTTlN{loH-q-+Xu1z1X
z7Y)<6g|_c4&3;Z8uP#g=kV8%swpMAD6veqZ2w5hRI1NX3m4%G8nNK@%DU{m?=Xq7~
zm`JodfTvFf0IV_mmUAp*rwgCcMZ-au0C&>2YT~g_z&jY_iAe<FR(j<z5IYtS=XQJE
zQ)3IyJy>kB&#;0>6u!)IcDn8MdBmw#%zTOt_47X)dC3Ar{<u44qZDu5T?s6do-m2)
zf?4IdZ6>~lPXG>%Rm$%|gqKr(&0u3j-NMWx>Llnq0QO)f1tWe56}~i6iwCpgE*>VQ
z#a7$P2x4Y05Vqha1Zmt8w;EF#>evja>=$=?T*yt?eU@xK7NCTM$xIrmeLFI%RAxRg
e<ft}i;87`BR~$r5OIzoexa!E!_zO7dqH)91NgUMx

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_enable.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_enable.v
new file mode 100644
index 0000000000000000000000000000000000000000..484ee836613b7c502fde7af4f9678031a0b0a184
GIT binary patch
literal 2904
zcmV-e3#asp6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1?<`5pG$B4?6^WCd;mY}W%xnZN_Qom7B
zKdwvPcw0jzhL^<|e+e+tVIc<0dBgQF=mi0nARGk}y!Pn2JmdenfP-te&Ybl6pbC6}
zQd{QXlUofg#dV7!x+mAmzyC~%wS=nthUf^>rTi;{ockrmsvVsH<$)3xn}sz+sT9QV
z=>;$3wbq5#*7#xxU;3^qDXj-;Nzjr82-`)K24bX;*+J1lhkzNPWDNelqhIm6b?p4l
ze=GI-q%fxRIz^RIj}s{wQoNNF?gpV)B)2-*^nC|FZ~Mo=ZO1)$Uyg_=Q^u|yx5{C^
zhHcpJ9ue_3kBCa+jb%5$rY4U}J!%a2QKX2DpXm2%$MY`^R3Tb<QT{JQbLc?M>Lxv_
zH@f-UDzi?ihcygZt-Tlf9c6|f=h(A@MI9mewxDhQFln^9B+u&??3Ba25BjY%%El}{
zqylZ?frxGkx$A4rLuSUaTl&p)y{C)Fh^!azz@2oJIN3ixkz_d;o1hnUs}j%Ho7&iJ
zF{(E}A6Mwhu{=Tu1qp;v8c02p<R?%~cRqEZCY<q6LV=!)`&M|zLZ?>O(?1po&z)wY
zH&*?Lpao7#=;x_bkYz}eYO@hlf**%e7VCub@b}{p|0Yo4VD8dzcK7oW-puLNU*K|m
zC(HIGjqfhiVb5O8$U{~{&rrjqHyQgoY{XI$KE*4e2`iOmajEMazeiY95?E9=$mnY-
zKUOFV6)9du{1Rq8$OfP)m`L!uTvL5Zh?{Uxl<*`4(9m!zIN8v;S%&}qDZZ^T$Jdgd
zX;eDfgLoDa_H)AUFwNby(wDGrU`c{;3$in`OJ0YmAsY^oQMp+)!)oai0@ewe-^D>r
z(=sIud<B5U<7gZ_Vs5)2z-_G?1)JZ%o)2oM<zA=mchTDLss#ShbQ{M_s0Lj6r~`b%
zqq5h8F)~>K2=^G^WLKuwoWR}ml{TZk*$d{xXt*%9kI1*-eL6#tm#zkEx`#vm@s=DD
zAU3xDr8+JMS+N6f-*nvMyF0U9d21&+I21YaB2YFqxi;FB^%sUrN<O!4O|Ubyr;!%@
zk+>+P<Ol*|+qyD&_h_e8u5r0dBEsEmq1R->m(emZpvdETv50Y;r2g8o(=i@+&i|#-
z`Cwd#($S3N43w;9T)wcOrLd`_jyG{Vk=(eqQmFJDS62Ppo4HeQU-N(-=}ZWEAqO|D
zqGP>!Fv)u=5%{VS5#;34rbA6h8?29`smKv-z4a>kj9!IWCi$B!IRpRA<{?AWO7|lE
z-c_JmDQ#Iurhw@sXGL)0b<%1+Jp1o{Hc4RE1Ae7w0gg5ZSI1I~mc*>wCPLM-PCzmi
zzO~Z}dbKNdPXs0wa}ZrNLin~CbvO(p#YY)b#xCZ2W0<<5P66?(a}#L-UlOWhh3!R%
zbh5<R{h;<@s3N=uy4B*-AthyoWd=1klf$%EO>$Q!nqwF)%>UO*JJ7syuMPq<GuiAn
zM*hAZ-r7;)RkaraxDfM*{}jR9gz;CwLM3E%gJgrx#)Xvt_j(fsok1D=ViZW?S+jLJ
zr|AP>O3Y$@CTIsV%jdMuXWUH(rKSQ<B$q2{{O`5`f|Sg!8Ua>znu_PuXvvO?)+NR{
zvGi!9tyVj0QBRjP{X;gqdSvQnc_>Q#W)#Ihn}WtxPM##0E}KIGO>}X~m5J*K0)B1X
zB;PE5IwNa>f~{u=nnN?u-1@+rPvRwW<0U;2JKW345`PpNmsu&MTQ>0Owa-*}$S>?D
zWfvwY3@_dE3kG8f>UZrmd0<0y72o6?W|h*mWcnso9*5ycunB^w|H#UKwN*E^rj=1M
zMC~^_U9rZ1RO>sL#r5ybD!?e-XlPT>QEt#lp7GKVO)Yx_NQAB5Z7!Q(zaZoS<s2pF
zZFYGF`3sOtmv!I-7$VB(UXPxcJ2eF{dzKde&Jv95`uxogYq^D<>C2e3oRMj_dMtZ<
zUJJ7};#ocP<TFaJoG+k{EP<5BB6Qy?OB1sbw87Vuc5rz&tJehn_CBCFj9z3Bv9Aoy
z-HUu@W5@Z0EaJTwhxDJ|Ttg4LAS*NK%=G~fPNM^<YknL?c)*dqp+_oxBce))NkvN%
z`Wz+SvcG}qKI^_0dDF|Wr5@p!H}<JlxWjI=muO#xlft0e>F#}q*2nIjY!J#~0}3`Z
z(8EEGPMA5SYkeot0S$oTwf__4qzZv+iUpRH5M%3(oo&#NSy>t=1fhc(jAZ}e?1&{>
zlWOACZGs%suh0VRUyqdcu?x8OQ8g=#<`k(B?ZCH(YP4C&hak9BgO59GF)R3vvd8{9
zRwl)U%IC+x?3w4e3srOj<n=vr`mia#NxwGrKQ9M_{hfhTl7W6$quI!XieG_+L-U(n
zRm^v)!zL(Aa>g@0zj+vPd0;o3RmDCRfu}Q_Hc$reGE8kXh`~W=h+WPs;&Q`My@d_3
z&&kJID65>BgE8Y7%q6wgH-?ut1o3gJ6Oy*W@RwTb*L0lHi;H>gTbaMP3s_da-Srn5
zMUie(#$!SEM}YFz9H>M56#4Fa_IO(6DrL(2rkaN-qTMC(m%lz3Mj|9K4XpC|Bqpc8
z)Nq2`#Z$8`rAdVLsm8)oX2G)KP=quM&a&4+zV7n70cDlP`J3rLq9W0)udP^}P8?4d
zf(vjknOi`w|DZosDs<&IG`WwqvsH*8c)O#n6`B9YA{{aDb4T=A7!BbPSqmD!WBd({
zuDzXCvHL9i3y(0HjRpor1$YUREivkt?CtSDN2J!L90^T|Cz${Wh}1|1hfWGchf{0j
zZw3i<WTU=SDvBrFVy(Mp0=m6|U}d13+Nn9^4-`N2PC`$ew#cK=;yK#@r{)<OD=WJr
zE-*{0Ys6<DNhxpn>HPYoMWyTPh~4>j3gKlND#=6d&)!mfaau2-7DtMjhqIxYR7=Zo
zn2Nxv?dqTI0Be-C9n$=;PB=|+%$t79Sbyxhvt+mq)qwfVZvMlJqMZHsL>K@4sNPjZ
z@3RIX_Cm?TeX0jwPV}5&HS8+bxw1;%r*gs&aFw=3#Y(E3XHs#RuQ-<nT$hH@KizlC
zBiXZiz2^cdO9)kwLof0*{=PwITp)jpzfmZIr*NvGIb31NUHVV;B%f#OfKdDKmf0%n
zC~Ync&_<%+eO+^gw>symt}1hK@{O{?JckTA8|5qrWKF_vqV}MAyd&lG<H|)0aL7N7
zY9I`*3S@dLw9{7(b%BSP2V01XeZpC}3Ob?f7Qy(zH}r|o-~G38l0O6if6^*dB-AM}
z(BS}g48wjGdJ&z|m)BO0UJvMOv(+B=L%AdUut%8Jxp&6ARO<jE8#<_<Hev(9;F3yu
C{jz}o

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_scheduler.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_sgmii_clk_scheduler.v
new file mode 100644
index 0000000000000000000000000000000000000000..aa1abf76baa3f135270bb7008e180ebecd898821
GIT binary patch
literal 3688
zcmV-u4wvzZ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TLW1Q_6Srz3~
zsG4ngRK1iLjQzke&nP!1%v=jW+v4Q}B4FHf%^iT)0&D&GN)UA$+xW01?)byE8&G$l
ze*K*dq+fAlsN52wveNN7f2i%IOQ<Fi8|}PG-2N)!_6Vus-0JorOEhpJOUAaF7(28b
zeD^}Nh$fW0L|*=Z*7CjXVO$wH>Og+uNnrSso2<vG{^WbH#$;X)M#N$esJR69-}SHR
z>(bOGZ1^p8j^vYG^4m7<IeYvOHkVq%2&~OKctgsl`1PA3d1BEp3GiYwy_b!jT>IQb
z|Mux{-q;k~=6HFpO84w-UU86}uJOf%#`5!sgXLksoduU~#IFvN2R%gg&zeFNesrU`
z$88&Tuyx3jP;#ZL#MiH2FS{(wb#@z$^b1Bg)A(MZE8P485nQE&+5pxT&SG=TO>mI`
z6KuK>146tuEGkBtGZ@As$1w`#^8wv#Rsuf9yj9o{$l(6sPPUHM(jRLo>um4TU7oq&
zmaAZgdFDwFEw9F5<z5>31aFG%G;bOt0157Hnssz-x<kXr>2$e^5?M2)_r6E!vTcII
zVtGG=#p2Hae>sVRa<ezK8$K&INd4?hVH5{tmbQC-;2puzD#rrX)E2kt{}OfrodI>{
z*5#UhGVvSPX~OyY%6?kJvrdQfe}fPoMBuq1#ta+jq8bdzz-AoItfN8s#Gj-g+|5BR
zX7l0d|KArM>(Qppx^C?69EpC;-mTQ$C0c{1)zNKD37$pp*PJ?X3qz@BM5$0yz5wi8
zPE>nB;WQmNU@^n78pHIAgz4BL5wMlsu^z|&mW*1Gu&>o4h+-bJ__5HCR3H=Aet{4t
z=kp-E>n+UrMI_}fdngYF)fK=}#g$EP91<3zD6Z^Mg~AsC*9Hu-N=~ewb0p{$=ox30
zC$>u@oOVOn*`GGJ&bfpdqKlSkV`cK@24mj6d6cGkd<|&4F6T;>oWB@_#P2+cV}&hi
z`z}Ta0{@-7`!Zm9T6Z@l^@PZ(=Phpu)Y{NBh1L0%95p>c$1<%TX@fizF;i9OMvjmo
z6^^LFsQw@SZnGOvs><>ijyVhzP&`uZoc6*h*pUiK>f(1fQ(y?)taB>nd^l4s=s03c
zdTV$CO9Q;V!{j`iW=2T&UusT9%C%vwKm}xnyz$RRBz@vS=H%q_v#NZ<y1nG>3c~55
z6<ec_Ygwo{dQmCFp|7~|_(N;DTbcuO@OsMtD$X+Z)AM<NzTA7s=m*BAXR@bcFHkSX
zfFLsyUe)khRoI3je|hPMDH%GLuTEk7PBV!{gX7&iSidrY&B;$(n5yVVJos%B%fMuJ
zl&MEt42fvi?I8+_zV~w&Y!eSDFpko|c(htXA~Hdgd}e4{5yMMn$GrItFc8H{r@e?a
z89LbYV5}EZneN_IS{?4SX$@k!VFG^lrW1o`<x{F0RT#f(;U!rRpx2RFiTFPs`)zs)
zgTwo5*D29G^#3fF(lQPlLR|Q%GZWZHz_wO6{^IUjwG~-+COd!}?p?GpjKQ2iY|rb`
ziaLyKaVkB)lFPL4OzAV#f8-2erjKo4c#&u2q5XD2_P<ontb3EVX{u7q9te4x2&IiT
z%&gA41^Sc;QLScd!m>3cRLW4Rf$|u3a1AC<rf8+tH|*ve+v$e-TabSDrL8SDr3BVu
zBXiQ*9)}2A>Ue2}{rU}+Ns!f_iw|$+a)H$Zei^9OOTL-SdqG(=mr!<!!IQF6ZT~ED
zsyxFeZGp+7%R2FTh!Mb=XJ-N562NU2?MOnynhO{amrP$U-KUUW6O#8ngBBzjE6Z{$
zr7K_{<TYmXyU41+ANS9aTbxyu#>2X}=4g%F<++fWCJcjf|B@NwanryoB_xiC#QMFj
z>B;=h)IzMl81!gAC@<ds3GZdQ`N^^LGtY$@0Ujatv5x*%10yv5_d)K9mNxyMX+vS}
z^}X_+vD~wtZ#D3YbQJGeG%oN1q{W1^^DX3w99t#GrsxcdN<*{7()CFK15RS|)PC4&
zD6Sz_GlDi=(}vk)590iQB38d2&4$gUym(yRgY<?&kgn~D)*TK}vPaPc!<>^n5qJW*
zjuH92=-VC$<*+`-pVRQjzTS=V>b|hO3c;0pc0ze`+WVUmF5N~m;1BS#0g`|p7BOlB
zL1mpHS3Rmybkw=tm`M2%=aH*Ig3JWjAq$nK8gYSUCp6_rOmv;^XPz6;CLow@GL8tR
z?h>P&NIe5888DtA)UfPWV~a++ACf59U5nVpIJS&kb>*QQUspcW;<k#ls)uErYo5I$
z(vq=&?RC-NC)T)@CSl#j2dNge_6F94hoRW4XEml`L*T!K#fTz-@rJKVfCc7fz@y}T
zeBEtBtQ;GsT_Ume%dt^jEBI4h4sy7I8MnA@X89s$9`&)x)uJc4Y@Es{LK~nIk<<Iz
zPS6fhO}U$9`kzG0wf%tBUycyUY3h7`XIN-qr$?qHi~Xo^@USrCk~p;uOiZZ+=5HJ=
zEU-filPHEV_dcxr?paAX-ZsftHvu7q0ENM6-4cbF8Fws{K~pG7Q{L65Yj8x6JB|%u
zocNjBPk9!z|H1_Uz*g__yPNITz}`!QQ04Q(1K4isL5PrAkn*QYLRZ4myiQaun688(
zYQ!B}HJLW>B$OgTBSY_1s%SX<(GX}Fi*Eo<P%7GcjNy*9y0=4+zW!V%DIk@Qvm522
zZ5IfCwu+6|{Itubyg@h#mBSg-2#&AK1f<K<sjyJv^th9_)6J%}q;{y;3#nII_*?bh
z1Y*CZ5gp5=6FOd4Y~SRE2NnNSzx(hMBj6`CX56b~y|A#<*kQS4U@U+ciN8UgclMmG
z7`4?Ne}rhPe1CgufR{K~#kxRuqoNK8n$Rg|=7n8EvEf_7CSs7@1Wkk*hglGEdkHW&
z*q%w7^eDvem%@xIO5d_W(Wi$lZ3|(9Y~|Lh2CCTH!HIn|>>SN{iFO%X!Yu?-Y#Bf<
z{$r3l>3FNp>=IH2h^vel?C%vva&6x2l%=Fqj{%V)7B)Po(*&}10tKv7=9c8ea*=pB
z>m7~u&=b0b_&D|qu(zpA+C9IC<zqAepGAu3N>S?Kl5|EMbqevcqH^{L5TW;!kOGp&
z8Fb54;!&Y`o~(&PGsdPX%{5F%ZV(EXd2*L*sPy<P64va`f(vk6R?)XE{Xj(vP3S;*
z;vvv=v~x^rq9iEk?z#&3xHog|J<>46V0MltqUTW}%D$ET`FT$knncQ5C!ZgjVG2!7
ze@LX~J@{ldPURCrEq+FIM$l77FiKeKCWs>ERRH)z58a-?=?T>jcSXjljz3XOKJXH+
z{h;?ifQ&ZFmg`K6$Fw#(q>PqLu?I?f6Zpm8k7zK>gC`~|Rb@m=XYdcOg(2Yf^;aW5
zmqM{BOyH@xv<p<SY=8M})2Ua+zGJrzo=lA=IQjCP-<^4SDP6P3@<^ErFCjv2l)2Fz
z$&qhgEx`^8LBzt!4|{Sb^WYfR<&)-jY5hA}YH{fJWxJV?YXT37ORyysiM!87^)r8R
zKyoucf^<)$;|I7!n3BF|gcgXQ)5It2O?%AUP$$u#SDjA`0Mg<Iy%D!Cey|>({Jtv;
zr5F+qT7WGnNeWh#>C1B<is1Dw)Ifu(?6wQ6pb6XVMdX1;Vm!DzHM(|dDW#!#kdv!5
z*!{P}siCIdqmkPe+SuY1LC^fxYhv^1a9AkEmo(1xEH`H?wWl)lNj<!>nS>#`UrGsd
zoVNvX&(!eRXq7or971)M3CLRqf^tgGklH&?5RE73uq?cJp{{Sx#9EfGiQ`~e@bw{}
zuu-Icf@CE0{Xll<>6kYa5BoDe>Fc$kCJio9GSP{#K&ucxY2@n`fR7ZmHZ^lyD20mA
zmg`cNa_5f`hjqnNx9sB}gpLBtnNyPR-jp!IB`vZ1u@aF)B{t|}(GiC2kOsw2fk!X=
zRPThq5RXvJFkgF&%_e&G?wHdqAgnkxerGctoEF%k_7GOS@c37WqTG-S{s<zywk4N^
zUhOA^L44W&a%PQ9HqbI_iw9bKdOw5B#8;xCtG87Ibg2n$m>rZdR691b>B77fDMLQ5
zJH}{LwDuxpmkCrcz~YB|Q`iji8llomOHt`iD}=pp91GpsdKVZa>rFNTQK1u`IkWHX
zRz1=YJJO=;;eM#i+!It>=qypC^rd?_3*d<?*421;oD(&p<fhD!0L3!fI|{*ro{QTz
zluFDEWQ43Zl#4h^7{w)^^X%kt@!*Zgn7aSt$@rhr)?~V*jGhxK=K7fA>?LF?bI><C
zw<VW-8ljYQCaD7w&4VaTsP4XLPYW?#dr|0{_Gk}yblJ5e-&%qQ9qD}(TbpxNgY}2$
G9oavmI8Q|Y

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_mac_control.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_mac_control.v
new file mode 100644
index 0000000000000000000000000000000000000000..9eacf019eb634270d99cecfa5c00a75ab9175bcd
GIT binary patch
literal 29392
zcmV(fK>ELn6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}U25CW8w=E(ZM
zS<wm6zek{SzU2^tTk1c869fvW!VlGP2C(J_sQNF+kTTC)Y@z3rQx$_Mwv}D)lTrQf
z?L<|9W*3)mkSc=ZDJiv`6*FAXuwZtR7VC5=2%4?6xgV!~F8WD`c>;dzUh1E+RV<K&
zvQ#{VukuRD5CSppV4+uIs&~&Ix70><SZ{<)uqC+CrhP1<XPctfr62x9?yzRBuCi`|
z&iiv)49@s}rScl+bg#xZ*3*wk|Ha&zuHgbMTnV$W5n+;{c&#_X?eu^}@}%glpd1sd
z$`SX5#N=#Z)OmL)EiK)nWV_;G(r0Q5K0I#^YCqiO26ej*Xy&1`heWuj*5U$!PzoBZ
zx8S})r-aicFlEf4R*dsjCH6!;pmH}cYYttH2(oY})Mi23C7kxVWETS41`8Lp$2L{h
zpdRObar2V$zWq*Aw|e*xM9WjB!<kGwZS%d%(HS$-I%<3PRw~R;1c_tT3Wmf&Lj7O-
zCg<$7nuhDI<10jdx59ZI54@J=+7<mGF%~&^S+Xqa4Aw_&^vu7bttqqqa%A1=4YW@r
zuI8A49z1R+H7{<R>Xtrn(j0CQ(S1%EiQ3yLX_cMKT%MqIGR?Gr!zwXGZEk8+?J%U*
zd?=%yiM_ipUxswgqMo=VC-0*B`ub5e5$M+-TTVR;;qBq(!ZCdKdUuY+9>et8tte}I
zoRp#*l$b2i&Bj%Tsa1?QRa#h7^A!fH4-cSWLrz4MV)N3&<w~&O4p=E~ZwY;{HvV5K
zQ&#+C6rdFl&^mPk>gdSIK$wg%!{CV@g*emzoM_794Zk5|d*3HrlDvdwY72`wTXD+b
z#s|cr%|k=#K}?1lHIoK~HQnRNw-9Zz<Sy|`3AED+_e)Cx5DO%L!|p2e`v#|@tZy2W
zqMML@`C8crtFZGT?cnZ%FRPvwqR3QAy~KNw^D{2^ON93b+^22ilD8ky)dEiMc6Y=U
zC|Xv2C0^0K{I0|+`;chs4Khv;-tjtW-OyL=G^Mzz*2_L&oRm6U?I;IUg1fI5I^}16
zDym#-@XK`k<8btD<7;Q=vZSZ_%<<1<bRDGi6?0h7xN`f>Hd@w0!e4|(9+sOWk_VWP
zmYoD0#jua1NRK@>=*>^(dVb}E-mxy$hd~G}cX+pXR20I^V-?1Df?Tz+P+Cw8$u6-*
z8*-(O!^sV?dQ<V8+tts-<rEl)LNsx&;*3YXzhYU+4)t2RIDeR@PiAAJFW8^BQU+=K
z*Dte?blVM+4pbCL`tK%p*=Z0M_ifM_@WRPSb6IoUL7-4NmVaa<$r!t38Z4y8)Z95E
zb`%(<*Yz(?+T(HHhQRtvVo|Te9V-)Hlh5fR(=7cL4~$Pu**<v=#Gvk0G;nThq}QH6
z`9ZFipF_hl7T6-PR<?J{fw!8n($$-);84W7ZqD*@#yxTtR`-L8@M?q*#(v<o%cn%$
zRQ(Aijje%;R{%~XyI!5#0m44IRMF1ZI(j&qB%-pO&Oe<YmYWdqobp>)1rSMc#pA_Q
zYySWW6Jz%m4zIwYfFb}A77;u6%MLFXgX|(xmgg8S3FgG!=Ob)8!3XIsskk3nBcCVV
zy-&O6Rit@Z-n1<;IPamX%SAVrK!Otw511~ewIL8oaMN$Yvhe|)utU39ve<BO<<Dgj
zRkb!7Mt?TUCKhr!*q1^56`Td8YO_<JwY|jyI9XFv#6O8OOm3Dg89ebJ!oV-s`(rC|
zcD&I76B>fy@&$N?FII^6fY`dBcD9E1U+SFbZ(;XU$2<VPyBU9L=ks6F_zM&x$OO=>
zA)=RWsR;<L=8nURSk{y${;kYPo27>(JE_5L{=Y;1#?WTsx`1Wy10g`V&MALY9etc{
z9azTqHcn=ir@h}X`5gn|CWq9yn2p=<O1)eHptmcHYy&Y&@(xQpk;6#Yd~8uH<B}F=
z*aUpzAIZ%s;NZ-ng(<H_eRW<MSUmJ#mO?8(N+6Z7jp{}ldV$|=(g*dIdqHJ>G1h5V
z+b?0KL!b9ZJ<<=A+EBp`9e)kruC>TkAVNV`#vuEl_It5?!~(GVVWK|Sgj2_;HR1qU
zIWj|uN>fZ_-uen6uR4od?;YUr;q6-AvP#n<sWWmBQf;=U2Rnzno&U>W#ib*_Ol1m+
z>=5Ays?MMMj8H^Ad-cvdD(uy0Uc(-&QSt%Tk%ApS6@K1G#X~3L=qSYY{TA>jJyybl
z5?$Q@p8`C2%a`cc9lpV23)A_4-xr=@Q3{P9mM2vJ_$z?no*gGprlTqu)q;329r7@{
zgIC=Lq}4*<QpB9!v<kR95=`Sdr?Ef(Vb{cQE0edlpEkF>v0nY(hKkF2ZqUTm@aiAb
zYMWrmGNz5+vI|QRIMhkSx!}&%|3n5w=lLia2fo#TsASrrz<O%PG_z0OFnuX_mCz0{
z4oE<vN*J`-RwOi7u8$!fh{=)49`hhu<}3JO(1*pl9)l4+&epAl^xTe21D$Is>|QF0
zP$Ck;LGUBP;=*7r8-=QOX9{uu54OGvGUajW@(VuK4Lr?GH1d(JE`3R>rt|%qlG_^x
zGPQ4No)(|Za&dXEI``=>t7#r|301Ued&#itg#thUPb;+GK%7Ow>X(?=U?M+!#QmHK
zk`LH=svEof_$AiY5uJ$6Lq33(gB5PS?(eFENFbO+KsotPtMKJzLx3wv95-Il(^dnc
zQk|duJh$L2T+6Xvq<x9JgI_}{ZAVUR6s$2#&gMV?qB@(%sX6va)gCz^0VN%D<UYRK
zbZH(PW$M)7J^pE+lC1+ZD>$OZhuYO=#mQtIM0MEtGtSYx0xPzQ^m7ZaEdLur3;8TP
zITIZ$j-_gTcfD2#%|;B)Dz_)lc`Yar_vmrpwO3hTWH;3#Tm^Fz0W3r)+=d}a4l&D>
zKWR`~PJsh1+Cp_!PjF-~WI!qTzywF2%L90^;a3CyRj=w;@3AR_c86-nhM^fRRVg%P
zHix{~++N<k*?gv<ByW`wGi^M8QHO(wye*z69o?`@1;I>Aq)H2<_F|JQKt!@n5nwq!
zJ|ZzI%*5k)O}JQBJEwd~#T7zLtaDCKUXnnX?iM7!FV*iGFOUmV58=@(#v+ujquhqh
z%%KBeu@0KmYzy~TJ`8B4h`yv`3_Y~rsetXop!FIw@vYmP=PRbxWnLg`J0piRqHyUn
zPm}+IPmHnokE3vrpi=z~R;3iPdQEB`{ILhe<e~+J!EdBeDcU=mx3=0EtVypzjaNXj
z5<UuFm(#Q8J$S!kwlG{8O|7CVUfYLcuA2!nKn`sp{0gt8vB*!<L1B)4*p`(gR&4m(
zSV{kaX>oj7WEzxnAnf%jQc_URAvo5WBYK?m*gDx4^~*!=Xsvm2RMBew#lVt#W|Gca
z&%@)Id&nq@cD{Wrja+WGcl2BV;Sn8{8O?Nwh;8@&J}^YFrXoq=T9YmtOxB2!B?J`q
zNI-L5qJc{bIgUc>G_Gp|r!ukYHi$Oh<{u=cAbhg{yNL|pV5Hx6e}{1-SO4*MVL&%+
zAQ}pvhOSS;Y_Offb*E)RK3z*nbsdBZo*YL*AU1oB-eKH@2@K8F-3@YHfzGLJh|`g2
zYXMc4useqAZ%c@z?{ym4a+?dyOntZzUGkXm4B9qBe0AXEpxxcYsBZJU;XC)!`5=~Q
zh~5Ao#zXIEq~{op;&6$=l_j-rz)f@JPRRU$B1YG@JOwMQnWb99Nc=-9^`Be%>T4rE
zCzoJQ*Bls6p5{8KfZ2hXCDgF^&}%bzonRo~=u|4c=|{6ZiQ`8catq#WTqNYt<9ce{
zk=Ext`8aGcyxnEMQ>0H!Cn^3I`6M`vVCp^X)e_&uJM&+aB`MOTj&ul??-T%Ql))@d
zJx`%kUN_GQq>ql|Bn-aKE3@N*I^hAE)f*&ax#ibSj&q+D^)xm14g}+969wU1Yi-tN
z#RSns@y{ouQYnDGl;H6tv9p&rMrjDss5;Ud0Arh$GpWN@x=+ZtlQOsGDWC`=ed>2v
zHhf&e?r;ZUDh|ntJ6n$&X?Dg3sUYTAuJK+Sou@FS2=@&JS1k-HC@F^a=KlDYWQ`zp
z(z`7W#57gQlo|-n%KjCD;PmJWJ3(aB*?`rHXU?7Z?o&N@HEJkNO54Z4&ICbZtctnT
z%tGXR6+<6S-D}(;0q&X1nmE2zEN*Z<oiM`EJ^pl(;idy^YCC(5Ik*7#Nb5*sTB}Oo
zk$6Ho(BYJ&$2xqIlmaw}a{28>c3ay^Js<6!R!!}Ljo<-AiByOu(~sX~St(gbcV>#i
z6`6!D3s((>JX$6X98e(xMaTQXr7_CGY@<M?MY>Wvxlb*3u_@2!A<k$xhKBUJt_|)~
zBB6G9Bm>|LHa{E075!bzMb}?m)uDRS0B5<>j#A5SClaZZ)SQvOtd6BB#f;0C{p{m0
zxOqQq_K{n{5|4QB6^*OnhORd86(Dkkvd4|cGj!JJMI`p6kXQ%#qr4(6X1oMP6ED&o
z1q0d(X<&~;s+hf5*}AH2SJ9a;xm(t=Bh>Dg)Z}{#WKE-BYS1k|{aQV3+c5=Q_;(v2
zmNII|4BH6xB#Rr#P8>@t@>V53?CffDtQ@$XM5yUB)<8*&h$S`K=dM`lkU;SN&x;;E
zN?jDGnA=$=H|OSju=KZ60Yr$#3@3tYY1gjB)voOTsNQyeZQS8{eHMpB&mx5;>;MN*
zmV}RdzINI|h4Qu~SPdHIMZt7F2mD7CP^EYsI)xA@YMHjxG!-)RVh)pl#A4oQ0C@|t
zM+xh@%%vA-()=a+PP`lR{*+WbL{o-~db{w^NCZ&@*<8GG+@g*o@mFI}br18ck=@mL
z`J?N=N;>z(^ZpL>=B=gWs_jr{Ob)u3Gb(>fMQm_*KJw3}8Hjc(5~^5q7yUKr&8U_o
z|C7(tY9p$s5nyVU@iW=WMnJyrXz?>wFH7ghRx~pE<z!45G9pZkqTj3}5^iHep?Fg9
zMQnX?r-#C0bLGFzX@zqCWKXP4M3L&3LD<I-;`E$y(MQRY1^E4HCw=IfyQfk5Wsh_x
z+AX68m^S1`pY6G9%g0_-cG)=tn>~bvc-K$S)Dle?GZ{CLePB^hxrm=AR1SdPBPVC<
z7Q;cn_wouP985~bJUb;ncm)#YQf?|fh5i5p(KFf9!tTah)A;)s(g{FZ?jU2K2y)w`
zmCv6ng*J!y^>A<RiICSd^Jp&92+l!Hh+(QHYYLCwG7WA5wg9RGGXX8m$8dfJNNfl)
z{QMEzX=2Y|!S;Njj1RN;;+<2l_1=x|&=s^7yC39<A76&CEi-6h2r~AoS6untBOn5a
zrsy%jJZaZr?kdjk44z|Y-yy=$%78!rl>06DJH7P6eMM|)M_s_~2WykvQacG@ns#uN
zjBgQnGFP^4b%A+WMh-g<B#k{^Nkr)F2i!L}yt{Uu<L1Jt0i`ptnS?=^@k`x|L;b{C
z<L@5V@;azDEF371pn3k_>y+hWgS{*7t+zXN4`KF0Q5kpJrFbJi$!y`iNfszdis3Ke
z{nQ-x173qN+8h#E0hlNH?$#=kEtxBdW7lZqQ~%GAFosn9Pby9My0ol>&LgHoCA2;E
zcY2$dmO1eF;nELKsJdA}n|z$95y$w)W5=tiIh0l{xcLQ)MQbfy4*QRXJ(qzS_BcEn
zQbo*pFUktJ(p{3jXT>Q)RsF^%z-&X#<f-!oCOBS322#KcQV^bY(Il}+$h4LF+7a}X
zSmjNq9)TT+ugF!JgS&{YGs6j#If>YY+zwgIV49IW$Ww|vZp(of>1j(?XCTm&YI=Zk
z%V+qPxT3LR$)vCO3?|jA33$5HcR5=;N2$=!LrkJg_PzAYeR!Ebob`fp%V;}SKtyF)
zuro8NZ=!+$qW1wegz5XoyRj5IJEDY4#J(@O<A(%f!;jA;oYE551a>^Y6N@|<Zcd`e
z<fJ5zXcQVN%73#RNm0er&}{BK<`x9Vk=?BL?7q11S%QNOBX5`xT-j^u&Ozd`0j~db
zG&}Tve&$HRA9(A~hq9!+Igw@G<mc|h{@^z|!L-ORvY=JW``4a+WQDa_^LducIKLhH
zB0?79f@s9*HdP&alf?~qr%Lpgoimx*+XC-DZiC6ZmCXz9c?`Muc8(8VNQ|GAClC~`
zoK-4@YwFX^>YHo@MY!mfm1JRlb8Pi>9c*&TsN3qPUH%wnagJh<3U2@fPQ}8P4lOmf
zjH2Ii_P=)<y5PHxwW^QNFormd*K1ZLjS%-}3adKX{$+NZjViJWu8S&y`I;f^nlQ6+
z=Jr|FXbZVc<DO5<_UyTfJ<uVIwEZV~tZRZWh1i%lbV!KkR*8(`<>r|c${@UEqq4de
z;r(kyo@TcS29}CjiBLF;9XDgdXlY#To;oXG)33x3gZ!zmO}Lp(SM0r%$bv0NDhbG^
zLT6RhoP0_Wo`8*k1}f=wf~h~*;HM6%u-<&^n?O}(=}kUz_DA7`po}P$mgI~C%GE;r
zmpE=jeEIf}ITGAi+Xk1qwN5#a2bdrvu4-8imXzIJhoqTdQ^q7?8h-_OZpw;)ut;p8
zgn}DJ&wRoGRikwt|CpoI_fr<OX;K+5I3{2rxWTLMa7yfQ;w|R^XLhsN!yAAW8)KX{
zsi;S41zNO#{um^`RHFwR%C=@;4!QaIEXkGiOB=X4RMn}hr3j=be+uEmgdHWcmVm9o
zRYmZ>1N|B)v}*yG{2^@{-4bZd>8otqC)StKvCN1&ZCoOb*>B3PE=GAY?zsbW5HDpk
zJSpK++_ClCaK9ykgupqcisWV-iKrkQKLkK4@H=z9+wA3r@dGSPO&}3*$`3B?F`0Z;
zz>zD0k{WN3#D}T4w0dE^T?riMM|f+nqIS`~rVVm-A4SFYP*=Q1L$EteXtB>-B-i3W
zqg39<=j0pV${yt8_XwDWeQTBnoQ~D-h%)bW0(n=o{2mR(@AA8k^K2&iafxrXFQ3O+
z<79*3i;~A&W5jnJ+H03kQOy6d5j3d4S;(I1qdtxn%nA-&HmE<0{OnlTUUm$BC`|k-
zH+WgoC!<;1lUf0;f)t%oLX3C(zUr3aQ0BCkD$ul>xyaUFh6q{KQH3CWhX1eT4k$oH
zop|6#tZ!?7!kT~(!vra}@n!p#7Jd4eo7KNDkP6xOGhWXT+?LWk)fV(!9+^S_ww3Mh
z6}}#T4u0cD0fy>c17@hbj8YN7sPyDB{j5~ha~(-H{wxc)&2uAi&QfX=)dJiqwx-tn
z2VW|<whBWz_$9WICPv`CQpBW6HJJT?Ph7c$B5#`g<t(XMs_6#Nv(@L8a=AL|m?${|
zhGun8ct{m}d`>2`Qk6D7`K_@p{MjyB=k2!&lXWP`DH$PIB#-ENG2CrPR-$LPQPMyZ
z5;hvR1)#4uf+xINK@EJRK>0^N%X`?g(4v%ICoWl;+&ASjiEP|sQPH^V=SMJqQG8(r
zGOPpf?1@nJy0o(O6%qMA_bHnLI5iz@1QPs+)MSAyeD#<0SWBR~{m~&G19AX?Ryxt|
z*=Fs^$r?^gU&ACDrv!c3svs`L4l620wSx@Ng|+LS?Uw-W6R}pza6@`+i(Q&i-*)kq
zlvw1t7b{P#FPy+6J^Lg?lR|8xNoTvH{9-kt01N#)G>Cgs6eO<Jt->H?Un!ks^tp!p
zWH;caN=&Z-uF?Z4Hu1#w4GY!&tQ@W0vTxKU(UR+vx4=Ck!%`ei9m_P*pw&hGAEFIs
zpRiMpNajIxvslJw0qrwg;U6)`8@_a_<K1+fmu8-hCxTZ_#T5*|*kljHrW`)T`<j~4
z0m51;!5X{RGCi5M^9~|qC1B)DH=&=9y1BKaGjMe@vy?fFehpRjMz_lV&&2d4Jo_DS
zGxIN+DJ^Ei7u9M~)EUQCo8xQs-edE~UNXg6TlrX_0s`?l1O3)`(~GI*5J^QDcyc#o
zFgx<);CpmwfFlo+vjL#?ha4&Au}vbAFn<61b#Y>ZO%5yp{<$-*6N3{;t9y)bcw8&t
zZ4-`!o|ja*quw(q9r^I4uReaP>1)*Fl852}J;*S0NGSM-eb*`Ry2zB%rh$$%>Oz6@
zP8=l?@<xXImcAHLwA5)?-zopP&O&XwoDqsDd=q*H*-KE&Ix3hpy(arjC`8F2M1I|>
zQjX<UP&(u)#y-%*$bVMZaW*^zRI*_0J$Bh@J+oa@z(_l!W^-v!su>wm#=J+%&<VEU
zMKwHmOY6IlIeyLV!&IssvwS?#G9j|IIdt|8<p5aU7^4Rk-zvNBz6lqY#3@?v#U7wv
zqpezfu5t-olL(Iy2oBqnKa1R#H@}oGc+x?^Xs>mLPwIG5vpWHDc(AbUpoPNc8}D+N
zMp<lZvyK1~my)Byq>>;?8Tc=X17m|63?;@@8t}eT@~kzt-kZ42G4usGifiIagzO>a
zm+Lwt-s1(9o^16fgHw&LLn}aD*(pLgf!s0VfhUBlZ^58e`v(;NcZUoe8;fza6RyF0
z(YIcDP1H_9up-X0YbuA3`LUFmbSj=@Rb>CV>up22=bX#`NQ7LJW{&e5+;`eYoE7@`
z9d`|a3h5<RuNHQU)>k=PpehCcOmt*i!Nwz)zGO_0hh-p)666K^B<aUodkp;&b+`2l
zZi+#W&Ee8!<aSXO=<hD*of@F45-l@?m(diu%w2vh<g3-Da*Wq2LsXf@VuLx{&Z`DU
zF4cqJ5+mTB>>ZdVd;U5{h8huN@N9lxJZaO+2##4A|5u+QufRZd;NPJhF!GNxHjAxp
zn&dC8h@=*xX8{ZOy~d~@lA#Z4w$TT@g~sIiBpIJ02+&In3p=Jq!n?M}>1qZX@KT@P
zzG)t4u`%v<TTtB(-nBgr33^bJkIS8eXA(ToWqQl@mDZcbMZc2;S76wB7!WJ1#8vNm
z@$q)+BSC(t*o}!l8XHS(L-8Xb{o>JXJ(iIo!UfQ#x<f3GN>|z-KG?Rdw<~Ho127l{
z8PL~Ci%V$qW<$<_Z5-Y`FzWk2u`rYNdJO@U;#!u5LOM$%{D=;`@+;O*%-(HmY+?%@
zF2ExTslR!Cph1L?z?+N1jzH4VF%fh-q*OERkL&T&RKOuRqD&nsR`P6J6JDMB(f+o4
zIhijt9}~Z~5MM#b`Q52UlTCV4xu)zk_1<35j?C)yszgPLjorWD&V~_R+B=M?;Pd7z
z(wT;>tEUv*Go&EZgQwxRcvJI`X+w%|z>g3di>&=DYOlV%Q=x`;gvF)C(M6VI8XU5=
z&7`kjTjc_N|8~au(Qa*(zatg7E>%2_oz#S`&6y&Kjm$yNKVv8E-vUb=Kgh?LlHv#E
zf-Y{ok046c`kQz&7}lOdc-8(=*n)g>Y2IL11u#)mC1gw6i=5E|qpK_FxlvJnn5jkt
z0-8yosPBBoS(^2IkqB9WPtesC4dDX9n-&+5g&WaF2Jo^Vp^i8CiitY-glVap%qGB#
z$+ZgnYsq&UJ(%*W|2WQVYIWS@%T+y^I7y?nC}j{8s_oTKh@j86m<nWb$T#n#sE<$n
zp78;OIi+NqGLtg`h+Yzb04^G~eE0vuN0}BXoazKU&{Km2SM^f2h)M`|xu6XJDNIL5
zo&|g)*uVuH)m9#i3T|T@csKZ+4#asaZkHEa!Ms+cJ;p-EP0I+olz1j*8=bO}xr&S^
zj=MQ%a0er6Qfo8<smdI3Q9(WQ)Gzd(Dr^RosP|gA2xg=fl<Pfdad9Uv@*-jfY;zb-
zkI)lTAhVI<Tz<cu@~DqI2@&|q77VnBoD9Jhel^?PaZbX$)1gi{vRU7-b5v+X1QjSF
zD@{RoZ8fyN{r$gd=QWcdHU-(MEa}i4^Y%06-V>8q0=BQuq}ggxreuC};7^^V+Y+U%
zq$;gYA6Mw*e#*T(rK9^J_hTMX0chp*IQ<(Z$tcBL;894!?Az3^XKMLsd^HJGiCKow
zU_?U?@o9L|J{C~Sx$Jp8wYaZ%uTD1>O;G4|UZGuiycm1DzRj%Uh-Gs$S#Xf6)Kbp&
z7j7Tae85{54i5EwLeugZDty-wA^x?o7F#4RfBYfy(zjPO^6<$B!V{VP9C^&Wwc`o+
zJWRb*w)UqfzfL{znxgR<cw+YNVhrAeD-l&9$)%zFtyk8moK;&2!Ri#X_S**xFXLXA
zd(9szgZmobps~XQ6az*r2U;d8ie?$AYqozYo`T{LSfw>dE-vr;Hbm8{plO9#MV+-|
z$hyyBG7w_)p;RSu5e$uBT)rokn+>iV2$Y&O<tc3WUeR91A(YnboM9<W;-r6e<y1mA
zzxe{8k+RUwCr;o$+b!|8UydE`Zs6P$q$H_{7{ImTF_crd5DZ)PaCvKW@`1{mu}%m`
z0Z~d}zU0aql2pEfEz{9+n~VdxX!n%JL0BGqQ(|UTv^U7kv2`Jc!i*nM4mig48z?XH
zgsa^pECcJVsJ*(pMxCIAA$oN0@+(C@+8T{E`Y0NAigdr?s_(By;I4?W0=P*Nu*(D{
z?)<7;!ly5M!8harH=p1DCR3pVyavY`w72X)Q&$dueqKB-&g;^Dvn{?th>&%F6Yo>t
zzi^VgM?(M3hzw=Evlm_JgW9_Q>Wfs)?Bj2N9YN+h<gUd^OUMh~#cx;qf_~DzU$epd
zcy>qMdlj*GdNyUdY{!N6npeSXn5ZeTdiz4%jty{0Jx=A^hE1Z0NKc3)t)drSoWq`a
zfQX5{kztAvdO)x;>_E-<kuDDF2>mxL&Wo{69qZ<B*~p0C!EBF=|5M}3Qnk}8Xs*5T
z<XDI!(LZ5I$v*Ty|3=~avMsrGQ!PXtEw95{X+&Tc0Am~V&DjOsSS~SL4Q6zJE&|?<
zWocvk)Es)CE|u9w;7-2`0Ig&iD2UOq>dSF?4KQ1a@jjwDS(OkuP+ii>jv{R`=1)8$
zM6A4gi$wA?yRaKei5PQ|Hx@iCyCA-WqSz|gh{eRE@D5AvLf05Opa^1a(3OC%n*}X<
ztU@RH{}5El=LR?jb&ld=R|t?)zda;$GUJ{h3Ji`Cr9$&W-Y%NkC+adVJNc=59X$)v
z)H0R-A|-&;!kf%d;hf}7dM#1kY<#0H+7;TH=ZKbCWi+sFjKlG!9e7iFI6GjJE${q7
z{F=}sNbF04eA3Q`kiVK(3Y?<Yu_G6GD@10RKn57;P*(nKcHgccJ&x(|6BN&ND}p-z
ze1{4`R}ek6{g!)LHO+1u0t?V$>ZRKZRpo-=aUW=rTbSTsCOK*2<%XNcPr*-}Qm}$a
zhWOR(V9!r3(asj+YIy`)=kE4mdY!6x)vFcq8?lotg(;s7HdKwZGjkkItTEjA|5M<u
z5WaJjp(BlXMqv~dy%TeG?cyiT>$D5B^0@7~WiLHTy&&&uGxvk|+}*Tt1X!aVX-bD1
z0&{7<awN-l!FMelk~2ttWP*s;rf{Mm+E%^Z3iK-FD0V_UEgh4aoSwaNd9mv)cexvb
z<rX8pXiKD?FZ9(mD7~eK?zc$v@&em!P@-)M94RSL-$^N83&u+18MG<DSZpp5^UO9j
zn2^P!f)(l=k?U3Lnut-5DzhqT<64#uK>9!4#-(<OIsKwITP5srvTbH%c}9+Sa1GEH
z^rX{Q3d~Q7-Mr2rwl(m@FbLpEa28|nh)>VOlys6!&-$ysg<E3ofb9)*8bC>Ov}Qee
z@1%xb+19<A`N2G{^%C$VzqeI&nKdf|Y&>D`7X@Q55p3PA1=d<om>rx#NL|wYz_k6U
z;UV6t?JV9Jl-QC*fkSWxL-^B)XsL4ZczhPs_|$Uo_knm6c#BrXl5<w9>>XHmvi^%H
z#Tb4SPbx!KYjaqCp{^=lcYgqzJSC*C(LvsyvFk_(g3Vx=ueQd&AUjQT`4RZ!+}@_N
zRBBt7wXT6UTYe`3)(rq_Pc*3vU}xy3I@cwlO?u790^k{%V<uMX$pB^<&5byl;X1Pg
zjDl%iAphWVfdIXv7Z%>A9fzu<0hgYod4+Rm8a*||M10M?s3<}+rXX$2m222?^pLAB
ze}gkr2^}uA!EaG)Xw8y~kDgM4{u@Ip+j~wGPPud|pZ|D`M-$yfM1b$2fR|7JMML??
zub<QYVV<ZlQgmN$CKWtDk2?rEfk~0>hmqM8cd7&LMJWu=^F;nu7S#qnE#R#<OQOAr
z<m!?_9RK9ljYk37N|diNhyAqC=U+W}Oexl!6)=&xj!`I+i6W7=OpKX_xWloK!08tg
zPyq^O__zd~D{^t}Bc=@%JMcoSHq^dw#(s2M;84`7Lr6|h9=zsofg5ado94=jsE?WK
z2OD7?eff$+;<7{(XYped<kvS;C9%?IE&NLHDyo4l$rgi6zkpY)C<MLKN5<KJJ67ju
z&a@fnlVo6X+^*n$M#|=HLOdH)-xI#tjx-F!qK%R*Qeams1x9|8Y;oa)em!|%Vo?4R
zhThGJ*_RbFI@w*;<pI9_Xd)TIx;;-OV{*`)|IbY?r%O<BKc1fS8C|^X*!smIP!)!2
zVcHw>(i>+Gli@+FeXa(&xzEKAv#ewo*6yhs8Z#bZP2Ye2ycPeivlqM6)BHLC<WU|o
z_q~;njc<w_b#_U;qr|_KloFRarMX0(D6W#!NL>4@`&fu!Vfzu-H4jhXrm4()yVSb)
zzSz$s6^981(%PJ~*xv{&sobNnEufF6zUv~JtojcP-LDxE(93wOh%8pLaY#Sra~TP&
zebof}d@N@m`f>q9CW>VzFiRr9fpHcb^m&yR`vGDf^FA${LH_6<vfThu1ey0@G*SS}
zftn!1OvaI@F2lk!j#+8A``O%&V9B4T@$ZvPO6TV;+2JK-v;N1@hC2d#z6RsgfphHc
zA@jtvUla3M(QaL&utoU{p6+wANdRVeXfjNt#Au9eYwZL>dNS`Re?Oa+BlLNDCa|>|
zoCf5)<q4oSk-z>WlJ}ckRM$J9cduZ2qqH)v?mOFA;$*(}zf4^+hwoHHu)V%Kj=0HO
zau8@=?=1iOv{CLvN*lyUkdt*a)bF&;n9{e1OUCZ%NI=1iiLIy@Nq96Jwbt+TZH<}9
zL>avx8+}u@l_{e7w^N;2lM(A*g@|yh55GwWjBXaE@Ou1saKn>T$D*mJBRg}{=@BN*
zJhWg<4PI-#f6T4)%pwB<mR=sf&<pfyAiN#2Y`1H^8_mru_xN&pge1}9&~)U(QNf6e
zb0tw=^Ltq`|426&sYm!38I9rC9biDV2vO4ZRgx=M_7r2R!QO+u8hJ_`Mi(#-JdZbf
zf%^~<ygUoxKVRiJ3GNHv&*Ptj0NI?*0{#+I2?2|9jD^Hdc-BE^?x@)2fj`U&et>UK
zgd2k4&xg)B`vLkGqh5Hh#lW*N`I2N)_(u&&NnC3->7KrGStE76K$2oWGU-y#JKvGw
zlT)Rj&XhK31?pKk4^HBBZE_XabC+CM2b4q@m**u|mJql%XC15e1`)E1z**li`e@^j
zdYCRu7nlc{WgM!+aYM5zPwPj42j0Kc=1c7H9uVHz&&cZ%mF4#4RKs;7N0!7p(mnK8
z5h_FLrNVW&D>9NNAZ&tanXT9~QnG|#98tP_+qHX!%K^3L@dD_@GmQxLW;b=AUTfw>
z8Z1!pZ9T!H<*nH2x4pK;%mtj=E<la~(!m#CfT$p*ns|8_Qa^wqThwqHuDu*~jE?3)
zU}X`)cdZc6dTd}6;0z{AuSA5ubRmhtT!^vj%%8g(QdqBzf!Kn#D}l~V>_pq1o;477
z#m>;Gz30d$l1J=dfak$gDoBgA^g*jOXgCv$9iKXU+R7eL29qb%<;mhv4OdxONFF`E
z6ITo@4sa$*^LbiYE8<#E+oES83Xq^{1n|KzD8E|PfLPo#UNoczNKVK=Y-Z0s@2`GE
zApip~XasY<0T4H~1t^bH1pJT<a2Z^AC4l8t+R7jUCrB8no#>X_L3M02RR!A$)!1$N
zWV$Gijr<Ooxot$ui&`GyC`FvN|Jio%O5Ma_4`^ViEcv*qe}V<O-;qy#rPxHiG~T0o
zMT{BbyehO7%JkAguk9D}Zg)|TQ_Eif&vCBe&PfOYlLHC*<V82r#~T;noSK8(b1E;^
z>b<eON9-Iu>IUM}ymCaeBqS-YZAXIi3aP|W*2be0DEV;3Q}tP?@s)0PExpUc73vgL
zCGTDJ;GEQ6>(0MKXdDU6pZuzPxedzN>E;rDB?B(-iHp9P$KmkxR`Ii%GIbLoxaP$q
zD2!Am!=lQ1xna}rmezKgedzfrcj7W;403>j0^>eeU3wPWRO3r{77vGYV6J}hRqqVp
zyS>Uy(+V!GUrD%`e#3rKE6Gz-@;Ohi%|~VPA?8nCHmvoQ8LzK61=$qfVO<aC|B$+<
zBin^04Z`L*(H#HdFTV@l!pJKz|L@B>I(bKNUgBagsaC|Ug7w$0=fdOfxvrvue`E(|
zp@9B^aK6xdzD#0O)&+xa-@oQNbKaP#Fs=^Sn@NkzyXMY=1nh__AEZdaHJo4<?ar$W
zKFzY15D~UyZaFIU+g~hgv2^1JHDwX(G4*tS$;C&_vhTu>-j_6(EI`o?Jj?peGq~~=
zK%(D;fK~WgV9`sb5RJ0X<UBYdQTVf$&ngyc9$iSY>A{QXV@gME%)j)8flY)JDm+Ue
zO59#$w|i{7e&zQ=Y=EqW@Q}&uLf?<BSAOii3HoSY7b&kGg+u=<)-DiJO@6QK#V>@%
z4w0HdZGZ_rjS|iQ$O6V*>q#a%&YY-t4SvDmMjfG_dQc?M%=jFU+mKyRdSmpr8XA*f
z%);phAAkM_g3H!hM5tdgrP7nfH_+$|SxjSk)i|8Lpf48!Ee5pdvN|KR#nk7jU0igS
zsdK}^S-pyrCaoaIgDClyQU1Pmf@Ka#mH%@N3C)>IU}|GKpIYgkpjHv0`0>7KRbRN6
zy61Z|W(R-|eBY8l7U;>2Te%m-m&#>r*;^s{WV9hG>}rKZmQpo~u|p@0eZSIwBzV^1
z@;bE1pdgW0yQC&)<dBFzyF(VB#1o8cQY{cmVA&E%n(E2QZQ1P-HwYsG*YSoRY>mYb
zV*<%Dr4-`7{i0U}ps|QZ`7H}4Q*)4ha(OInuiSqwqux81or2A;2|URN73uuSn*FAQ
zS1uIdaiKg(X|o%2_{f`xfgP7HXP?vfB+!(U5a_hDoN}BB;7&Rps2}!HUSMb^6Y>IZ
zYR-M-yBd8a*g(Bz8!}5r{cGhqz)ze)G_2pG&}QM=DD4NqTicUv4m23~0Bm}|-A+M0
zBI_cVwo>Q0@}O3hIlZou7gSPX8wPVS7$wqpTJs@FQI>2~W%&+vhaj1TeDeTcU(n4a
zWU_P6C{O~OKD2}9Rw8pIeaWrid?daKnu+wgvC4ad`66|JsS_AJ3+kBo1_Q#8I8w&<
zlZgFtsasz;cR~<4Lg`k8egBV!muzL=OZ~PDyYCvy->Ge)!DN<XtD_76S?lIQ=yfB3
zjjMa`w&0p1pulgjmW`^aVh^M=QauUnadmg&*;jEdD~NJ){Z$OJ7F|9Dn3GJ9P@_m$
z&cC0S+crFvL=)&f3H0PGy7h|f;s{$5Ve2omxvXK@j+`L!__%xGaL7bkul1<ir-P1g
zdX%Fo>3!|1I5}6)0t+NcjYUuU8V^kvH3P|G^u^qvGX1phJBu56y+gpeBzIS8U5K+X
zOHM7zrJPr)E1!KM_C_4-Ws9Q<Lst{2HwFGCLK{5zlkjrlF(h?i^Y-KI&n<~<nZ#-Y
z_(%_K;1i)(Yjvt}rNxPV$?*esl8b%sZ3irFpJ8C`9yK)ICZwi8fx@U+_-HdqHEdQr
zx6Ch3%Df!(_%p)+__iySKNgjb*GO9U7;-%p`~nm*b`>nJ$I7R%6IHD{MKQwu!c&8U
zBZYHZY&*HDJkTVZPA+<FfQJ4NAH5B=M+e<)BTaPwT&aY;L7*I>@C8tYgBRy1X3|xy
z>B-T#A|=YY1qKNI`Ci<?fwl~%x#sI}hm3?^bGrxV4cYsiQG$x5nWm%8$srvvynpoY
zabI-3_@!Z@tel>xrVvlqqK3{MBds~I0`pcUhaC$LtXjGBPehAfwZfBgmg1#Krh#*0
zQSQtS$OOMJ#ai5c<vKK_csYm_`&Y$BV+_AciTnNiBH5k}5P2qj4=4DjA1v?_Q!KJg
zgUMs1Tp4>Z=^MZ8EN`X=Kz%*7;>8yN+CQ9&XhI}El@FeLx-I!$7T8L=aL?%@e*^(9
zAG}t*R0<#foFtKv_Aclbjto&l%CSyl({pFGMMgQztuC}-K{S_D`qrB@vWyT5$&5-H
zHLR-wYY%kAc?R9sbPaMJwN-@I!B#GQ^PDb+!qS4kjesZttkfv1+>l;BkVq!FcMtA4
z6QwM3RSOG8fwkE0<abzF`=e*x_WSs>lT*y=i5i%$%4fYyMTUS;{43Ma%Sn19Z!4$C
zdop&RK-o~HUxT!svl3E6`_81<P6+$X9AycbC2Gkqc=vPMfU(WV9}Y(qi_G!LF{2uU
zS*^&@`Euz(NBh#*CAo(&4kVwazjtiVXd<4?N6fM-ZBBSZgo5;^m@(2y-HV|Mms?8c
zm26MnZbr~hCK2p8c`E2A<@~Az`B_*cmI@L|+YX@eb6Lc%xI4UZ4)^FE?R7pe$t9bl
z2{Iz7vNTGVcc=ZFU8MO{<H;1ZNEE|O{`!PPJz-XLqatQ-vu#n*o<HAvl+o&>`mVXK
zfd?n;Vw)lO7i($K>q(_f++z?X)1lsL8wRqLIDayg#$PW5p#L^gf{&98&%R|q`<jOQ
zh`|Vw8&_z)7F&gGj^%%U7%~MNKZCu<DX-BOJ<E&y)U!F}D+?}W_~(Leg;0dv2qomL
zM<?7N5?s}VkWbb)OK^e#A1XU`XIm-mCspU4U3$c_d<^3975#H5tH1oTbEjrJu~D1;
zqBVDQXU#f38x{`Xd@m+^N+6Eoqu!2P;4mPro^6~<mV@3Q9JX<y4iiB7(-3VIE_5|d
z^=P$6E30BsJI<I7GPwIO7XDt>g+j`_4G8rEad4-UiTEf^y3rhRVHsErMh3DassJDO
zWn<^lu#R%ZL7|zz38cl_)E!S!V*+VHQc;ND#v~sZ{84#KxUhGbXPZc3;Icu--A7>A
zH~MdJdivg1OlX@nLQE2Zmo{Wgb2uB?=_u*n!yXX>I#G3<IE`wm%3?De=uq9GFmK_3
zoc`By<^(3Tvv)_U?6Zd*JgLRvcvDo^RoIbW!0VRu17{1*m2cIh$N|pLucyPZvjOJ4
z?r4r)J`V#hW{@-k>XF2lHN1te^gz}LP?a%fnE<CID2l=^wxGb6cD3Amsjc~C|2gKK
z=;DVD^W7&UiDJw{!=$c%aRl~sp=0`lAdq*klTIE#y@qM84hn6_C!yL=)4X6}qx!p)
zyG93*Vu*M)PB*LsNRfClQn+l=lhTSt%9cQ?%}X)2@$sfw4s+(l{t<Goai18`rbB7P
zC+IV}PtImv0RhFsg4viUS~3Xy15%pgM>zfS38}Y)?dGo2J}A4^qiyrk2lm7dfAr@}
zXKQEi_3^gkax0X0KR_~er*bB{c*Ps7YqeRg5EuaDPhkt`c79L8XGwjyXzpaK@@D+n
z8TKc^AeApLP^QYglbwLvF~c_#McAMX?|Rl2xM<?Ak#Vua=ro9_Ug1E?Lnpq1G-mfD
zuy21AT+Xn+>`?_M2iEFb2Ku#zKFlM{2G${;OpR3RG?*QpyI4cCo8uo?!?sG>p^2X}
zz&?ihFlvd&CoIfVVt^*e$kcim2eY+~k?%#`sjxcK%VDZ)KM`j5r?OVTP=!A6N{391
z4m%1_H?%tLO&;z*vy*c}^))O1xv!U)qratbV-d@0JAn3==IY9>d8Tjudt)J-?RAhA
zW(8-8S!e<f;!yB=SOx6z?Oj14rY~xe&JOrnCeT{>hih1a8xYHAv>fPMa(NnnNWW4n
z4bFZ~5(iaw;@Q>JO4~e+qD@{#c%5k4b1y3cAIRskZ5!Qmvf2ibn65SlP-59ahtliH
z{w>b4!Wow8UtN|Hj4ER?HcvAwcT*d;<XYX4hLYOJoK_Lq^Pk+~3f4e=PWsl3ZYP@O
z@tLOoM1E^SbarG{wdzU+zlOlt`|}pTH6;AtqscyG`dX!KDcb6z4b&kAHMpN2JiwOW
zTV?gu*0kb+mSUSnivyihCk$Fkx&p?Cx*G_)>uR2u9IdE8=$a+}(j0Z{m2T)c#h7L1
zHhQYAiB>K<cNUet!V`IE{~%Y;h9D80U{~9^u3R#hLq-${=LfREoVR*_*2kmAOV#9s
zL=RNDcuNDb5BYi0@gze5B0FR7xb`(v`;!ZYJr<zN=MX*${YOAe=c80(pekhtdcPOz
zt*Pd8(IMEW1bSyuC&8^Cf=wvdKv6CkoVdn2m*kSi$CNJUuEjsk3>nH^bTA)woyemN
zI(oMz$4tmFr`nX|Gu3GE6HPG9eZmlh7T~sId&dmdCH+dhoVscaMl{sF@5i5E;Q|sc
zK0f{qknhwsM4JKCmelrOfm+{4!nBzH1G(f}qU4}Hh|KwQ8`2Q<aJjJaERi7_G$AD{
zO|_wXBb_+bYa>tpmB)Rpv5^pOKS7{P9&6Fwdt@Cr1qFgfv`TV}Fz_q{>zF!-)XOmH
zvX(G}>p5^oIjX!SRsM4T!`l?_0}64Ul<yVut2gDefqTE=Y&JLFH%dJ4MFQbH!{KYI
zbLyGMEtn0+p%h0R&fuI*^54TIPT;pdYza6m1$xeVX|+TPthaLX65N8!L<)wtCk4|f
zDn)BqAm}yn5yQ$TKJjgRb+l0{Q%@H`npyI%qiY{HD@#Zs7TbCB(#v4HQdPPAs=Wm8
zzHMpBokJWD=@os`)hFJu&yKq6&JV1>aa`P{<h}@8ap&c3_LPBlPo$XX4#yvJB3AIS
z1f-ZVz60~K@dT@w^?qpqmFj;NsC`V{>VIVMcb_%N672RDB_F@XBZgA#N#4hyvWY+J
z3A%iQi>9XA_MtnqyoSD!n_+?N_ULd<IV{AcLH~3<toezw;C3(55=oc%J8pC#GAZFl
z2S$J`$v%HdZ5}Hr{a_@h->E#72d?e2xS5?PC&}s`x)q`eMV(O5D0Em7w(}yJ+p<+H
zw+&6b1NP$i!=fNMSMU0h9d^q*hPUunKH11U+-$kvzu0L4#?7I#*5zYnn0A^FGF8k;
z<i>6(wAkyldca~&gaMnsgnlJD^TK0w+bG5re%4+ddm<PRtK~wp3c1`Za7Bz_W7>^%
zjJfTHs5{jpi?hp}E;)FX8=u>}89UFqgBP>{eg#yeYln;yuCB@XnU0sWyTYh-yT;ae
z78v|^(Yl;(Lx9m0ibb&O>r+mnqD3f&Oz_+0m{;EoQS1<<;rM#8&wZH#`W_c~;Z_2I
zU_-R~#gT&azn~C7|GnAgv)3r(ANNZk&vqW)$dWL3T{KWik+0V15rjqG;zZZS*bHW;
zbopl8*~`uE`&XR)e(qAF=ApCuiGWt}2h51^RU=kgm~DdUHkbPc#5hK>dp?8WfqGYJ
zQk~_cnRY3xZjvqKHbp@eCcGiPX+SLQmYGk!9)dAQ9t|5q_FxT>Q6+}#sGH2bhkYGH
z_6vr$vfKUvQN_Xzlyn0MiZ(};9$RioZ}`Ll$|HjZn?(ZJs`}8j63c4l2z8H0V})LV
zusP+$0d({<#BSwYYXD%24{rS;E-y_6RA3NV*F&iGf%Qmg$+-}IK<4ZWv#(;MxL*`^
zzkHEDZMB*p6F*SaU$mkm=&;u5ZkP(Oly~cq@Ce;Ka)h82vd$SD_D`6Ku82)e!uhJj
zw1Ni>7kpxIRlu<aPc(b{c6`5$duTI#<50G&J-GUGdCMKt!JK1=a0qox`-y6S49){U
zJJ>w=2(-Q>rjIXaROPe`7s`8x<5nh+6I2U}f&Kus-=EGJe404*TE&{gd>3?2_hI?P
zlNd0E_LT?n>xKBI-cxcVTB!muMYX_zb-4#j8ox)ZTH$0TKupG<om7MW!&@<BMx{x|
zT@x&5!#DbBlw0c$&J1vuz#q#uXSB5t=$AMqwrWI{sidbCVo&!o0dW#>&#Aj_F#>-U
zM(6`u)-mI0xj@L$jBw9*;V$;-*L`6Zf9~9{2#wD5f=A=H4R;<=GUsykx@UXFdMTgG
z=5s^l!pe-#7SkSl7uXWrjZNtS09v>m2OKzwH01STBL@@xd=v-Vx#xcp;Jpe^C7%(f
z2~W1@ukgUn9G;Z&mZJgq;3{+Xb`eJfImSIqE}@dy;&)Ase|e9)+Q~(*TM2kiA%s|A
zcNFwxh&fci84rz*v{3>3=eK=DGfYckEIR1s@h8(vC&w!@je2~?YQ<!FwaG+bC`#vw
z_RtzUdf$JSC0eqf^fg1BOW!t2$j6>v{rVkb&rR<Cs}SkfBvp8W2qf5eS|G3~hzF<=
zUR88I{8mg7@~uUk;IYn2UFUBfp!pWlK(K^?%+&okJD~W^Jdh3boCZ-ghJ$an@N8NE
zV^KUY5_3~vZbucK6HUpsgu-asLj*~{@5{Gg)hDi33{vzhhMwT_phIXGN<@>NyI&r!
zRck~#M%kuC);6EUpNK$P3_?7%2;^dH0c0~3De8V6cd<-%8I_ArRx^8w#52D^Hr2I!
zW68)Y$<Bmwk)!A@Iy=6Qq;F=WI5IMN$+9hrKSN6_s}*N#%Mlc^?P%#+VLmqCN<?IP
z$|p}pejs-p=49XETP>nnK7_F;d!i0KNlITV2S!yQVF2TZ0dk^!(bE6OnJ4e~tT?`>
zW&b|d?Dw8?P;Y;xRPj*DmSizV$lXbB5rRly%uO=4?}8`xyu3VbSudqlT4H5jBz+IH
zd7~-U6&Hr;iCP(fYY~H%m9o!NL?K3^Ccfsr))DO|fz!GTQsLrhYLlq2HSqWQ*xQj{
z0HGl9onQW`)oUDPI99K<mgtV2&F4d=Dv1aF(e58dwODlE47J$*Ecj*3Rkd4_&0xvt
zET!G-KU%KZ0p8kv+u6D+^Fk40=N8Af+M@<wYZ$>lP>?F|e$=f5Wx#>|UvkI<lLk<W
zxoj`c;6^}PL1mhXr2W!MGv$gY0J|f_kwU#xSEd=vPQ#!Kt|xl`*Qm&wxHo>8T`@Sx
zX^_BJzQrJ;FN5HLSF+!61J$|~C0oG;Z^peX8l7Lz3ldfZ!JznRO_YD^hzpmVO(=fx
zwZ(r=iuLx{J5nR1F<%xn0n0Kp&G{~|<%9|6jq!!eTR2c1G<mGnr`(VovFHDi`6l8l
z`|C7uJWcA%#qKRb(JS$9y<?S%7+)_Wo7;A~uoeBeGB16BMwiMcg`|5iZ3IKww&_uP
z#_`Iz5#jT~`f^uluL=)S6Ax=czLDnKS9C5}Q4m=GHlXt$&-;>levk~94Ez=y7Nxow
zB908`)6A(UtB*EsFL#6;;aWhsCfomNE@mv?29rC#(Asf#=JRag1b{lflU&c4WJ_Ai
zCZTJ3?9oP`-^N-P;alJN*ss>j2g^MqWe(+x2bj8umnk0Xk>VWN)%#KLAq!@DlDZ!L
zuVyyDE%d$&vI*D9o&}GhO<14R!F`|qJ%`qk{Y>MkdRu7uy$%hz{?l{~bQ5+uwfwlD
zkmhXGApHx+E*<b&TqK;(DGyiA98=6JnL6D^QjFh%w(CTec?f5Y;jHK;^vbJiu^3I3
zN=EgM=yOccEm`wt7gLzr1!ggz?Q!;=B}6=A4~KhaHeO$kydWPxR*Dr^T5*x}yZ1oQ
zkg0lZN(80(F9&MV$l7q5V;)ClsmO^;b5TPrDzJA}T7nrD$w?SFN&X+c!fKqEgxF|W
z{?uB024x_pC^(4n$n<IprGoL<yswL7%2k${1DN_Z#2`S7mMu=&*4`A-YjuOsmmDRM
ziGptHR`9}F&D;ZdC)n3oEn*Q+d=37eWdN<}(tCnsua8+_2+FrcKZ;k8@O8XW5-44x
zln)mE;|v}mrcNhw$Z0XzSC(@PGYbss=d#DL(j!t+yg@W&&4+J<<I%a@JfJtgJNh=J
z)^g^U*xXvyu+^{~vQrGy!Oat!7j2~1bw!nyn1ZrM^`Ll_oW(fajInNizJ8$ZW#jm@
zkTcm;ER;cEb~mJr2@<C&j1P_xQR^x)G^8+XNQ8?4iF7xKJ|}D~-MO(ci8~-ur&!Jv
z$W!>;lOeI0hohLV`YT!k+;LN-K*>KS7-b!bT~;63+EPaDlfe?xrISVDQ#HPKP2>ls
zEFo|R8teIXXMcP(C7*r3YVX@&-a<s4JK&=L**mRkWoI?kAdcZpca4e@yxSpb(#ouk
zVKUw2jCC)p5Jf0(=~0+oJWG*4h=plye;>?3+B@!(Rp$9VDNS&2w$!I>2#@g1e#l)^
z)~clG(=4z(tv1+<;-W-NKqJf7Gd5Q&evnTy<Du5Re@KAE6nQ9%i<6mxwIp(nI<Sxm
z3U5jreG{}WQx9c&<x&JMQg_DYbu&ox`6p?pwg$jsIo^PH!tg+(Dd3NSmP8G-nzMb3
zET#ck+YE7zxpwg@T=&qJfDjEUS@yyMSM+D~3>(8@>>i&-wa;aa^*53+DFV1kA%zMa
zmg0GDoo2$nt78nhYRl{ojR9BtsfiM^f@i`PD|yY#e2EY9zgqIbnlv_jg%{Y8Z(Te8
zRf>~jYe~|h0Xs_dYi>hk%rsx(EsSA((W-qrg!#i{V+a{mf_wcr)$i{HCgp@?G<znS
zxC0*6VDF+sVQ@+17=U&<zP{O8WM13AWx<4LQ`V=&DqRZ1X$31L#jxQ=_JbS#Ffbqg
z!Jv>B3o+`R=9p#|6$D_Nj8~C1M?P(;$Y&1~8K2iy{B<&1;kcZtGS#l6_Ea|rX7upd
zb&9CG3Y54;zn(dB)G3%YjW^wPTx6&@0Lf#oQX;#G$z=W;VxJ7;LQlk^3RIs(C+_14
zbv$jgp*F6X-QHM429+zz)XQKHG!lRM+48{WqK}o>z}pZdqRbhRUTbn5w*9htZ`wms
z?f!iX=N`fLMHj$4aw7}m@lvq&yCk5ST;dW)bezw^DEhM4)gOGbLP{;YxJs)#L~ZfX
zm;WS}7)qFYcIL>xK8R+vWZp0r5?3zOuVYc7%bpW5kIyy6&82la6iE!i2#U3Q);f-K
z*S|eON*8=L7w#|Q<UOlKpDupwPK(kVq@2$7F^zG5+o%<rhfMxV#te~{peMBf9b{gE
zB@XY|U%^M6DV?J}rNvv+)7~yHv-fvUVG!Id6A3CbZA^<$g8=Q8o3TR=bA3U9%{IbI
zUjn>aFC61Ef=z>G0cht4eEkGmAC@Hl@JfyifFN`F@F$_uKtPYZzZM?r8Yj>05s4ed
zxkhj=?JY+)6D9Ic?99bA?@QGm$}gvjmd-O^cPC3L`8q)F2DjW|8O~=A4jFY=tRNQ^
zFbqV<WOA}yW+kATY|uFrO{pY3Kc+T==g478yc=-$x);sVtHa+0nPHk0x)8E{uM2rl
z@nj1VP~ZloA)t5f{*;dPRqIS6-C!Aps-%j})e*Orrmj!LF*Zi<9UtbX2OV|%&t2z#
z-24SHU6~R99sLH8I2P$vthzda`wX8Q1jeM&B(_V<h~Y_Z5M=dX5;VRFC}DIOa1p5G
ze_1SWx6_iq%cUAOkIVQjj143Sw}c+J)9_tR+JEBerem%kBSsY_&eD3M`mSYjyI2<C
zb*-p{hmU0hz~cyCv&8HGG3J{L&1V5KYIKqbb9)e^YQo`cgG`y01>0*uqzFnW)>zC%
za6Me?e7K9H*g={wgaai8yUzF36MGJDeD1!Jp!-*H*w%;U{iRxah&z64*BvS&!~jP5
zvd4*O^oOzaB-B;bI8*j!3E^0W2qzUgx(_O)-<RnyZnuVcu3?n7PIc%bCI|-{qR<~$
z*aMcu2R%9Nsxkaz+kNlW`BAt!Kn=QBt%8V`-wX2ZQR=$Ny&M>gHg97pIaKMD9~KSl
zvo5I+r9m}QRrRb0#xA5`=;)Yocrg?%%}cK^o}qGRhc<nm`FM*Q-HC#eSg)5ps454O
zdeF~G8{8m%_(Yd}QT!WTR3rA7QKU=(*~1#h>2ifFLGqaMXZnAmjFZC8vK|MWWnYSA
zRl!Fe)=9Cn@hT1b1sV%=eFjWy8a^=X+*4$E+LCTBY{#5o%bH6+`yO_lP6{2;AB2{$
z>lHtaI9b-Rgu&K|(v!G&1b2)1+vlT;2j1+7v`!3HMKcvkLZYnq3?GEMUi-fN1Z<`e
z;iw~VPIv@my7JYljNd%&T^4~_(RD^BF7zh#;(WPSIpq=(A+C%*H#DsPZ-`hU-XO&|
zoQ-evN$e7lg)@${jL{}Fh+kBWj%#*~^Pyts-ONj#>aH6!-gO_mVU$l<;E+}fJIR{>
z;??nS;ubV^>Q-VNQ2mu&9B+y$frXrClSfX{cIO<XP3l7aR>?v+P_BJ{8D^l$L~2U7
z$~sBAldASnpkUdQBNG1RbOT_&n)|{HOY6I%;hq)!QvggIWu+t%newB;-_oU;rg~V*
zGNLcEpQ0(*J`mn-b0L49x1S@zBPT6TR<7yl^6a-@7*5Aqt18@Z#Yp4L0qvgw;ud%e
zO<MSma?=*lZ#HQ*s}Q|YKW|7|ltjPHu+&gzO21A?&vv)|tFP<{7gCW2xNS73oRS)w
z&WS7R(7sO!v>&i@rTQitRRWmyXU?Y(JD=3m$jJ_CEjV3kvfE3@*|959>rTgNK2hAR
zPQz(apocdAzz2G>BmUcw^I(s<v{nE8g2`u41VOb$!xVQx)fzY`KC!ZYM?t8+KX(MW
zFuD$DU8wEsX_vetsFIAt@vb8)o3+AH%lO{FL--366D4^<rw{bsyhtV=k?9x6?I+}q
zTt>#K_#DnkzhWIO5X;hMSBhJB<--nIn)V*E75KJ{((Sh10Xwdob+w3zg}_Qq$<WbK
z`N6!Q=D?9SKDqx7+UML7BJNDlXW~Z8N%GEuPPN?WzK29ek(AyR`vq{HBpbf{wptc~
zCBT=@hGs5h5B>(60l!{E+|#>w!}q#DIuWuLGqILD${uHkg{Z=(bR3*5K=Bs2;ES&~
zE^wm$q$TR(wjI1i6U|Cn!nabxw5(!b3~I6J#}J@7o)-3nIf(N1>RJWRW(tj(xK$1M
zi3yx$Xxz$ALC)hObIZF>Le!27qT0zRrf99d@LcL~^kEV7>4Y&iyTuV!)lF6QhE%#V
zq26t$Q=}oTSwM%*D!F;8O<T{s8%<9(JqyFBfr-wTUSGNmDU+m<@qKM3boWc73=kX6
zHGp|qf`<78*KYCVX#lW8YKB|57;S)q=$nyKz6c{uBk3^1>xGfYlL%km%B{0eO&M<z
zu!ahWP|rMvM+9rZdA!*NU=xb1&Pxk4gS||lN1p}D4Nb=>o4Xcd<aiN1<dRLaE0Q|=
z|4fme9rP>(3f@>qAM;{6Sa~4vzzFi|x~_H0-Bi<EMn6^F*k}AVol1tATVaT*D)+c!
z&MPtNEH-X;>sSU$rc^I2-Tb&WDns%T|Evy*Qj1(q<CnXmy|7PZ^C%75+(z6_hVn-?
z@#a&&U*Hpj<k%4R>?GUrbfeO0U^8)e;nj?n%4hX@-o}^mgV@rbqcuo%v0!4z#TrPa
zZ&nrsG`2)|35xLKIW3*@e(9OqXCF63VJ!`h`EiC+AopkcNPBC~Nd;K?-EYsj+O9|}
zPXq)?8vM_IU{c%GUfl_OY)Wo%2t4cA)dGW^O`2)Rr_5|>Z-?Obl_LUnZWVhp(4N*}
zvZG>-5+EuCSI?H-Mq3U)=yw>vzcNra#Seor=b9e$i*@0lRPFlIaE@cw^bt|X*6qQ3
z^!7MHUl}#k%)3oFGC4bLTA2T0f$LvqS_th?^=$<?5%X#h%WO4)tJ;`w17ldYKMWpX
zS7aPx(T}bPgqa59F8l6m&_7(4ckH;IqUI3qvmb?~<*FBWv%z^u7&v!qIceyEZ!Y0h
z3y66y{MgP!(deag9dBc{P7xf3*RV!;rri15aCdruuQ<}JgxhgS(3_EKoWqIQOn_S$
zsN^6p2t#!Xm{^PEWd*=VzT&#qVb~ruB+cl@QeR;IsG6WiSO{ckPwQGN3y8Can@IA!
z_VrPc;cf0U2KLNJj?=~XBowpY{Fx&Mc=0>>D{{-8@(>Q-3CKd_@kqd5qJ`#hTNhdp
z<q}%Da1}Q*XG8GT)Fe~<*2{ei08u)EN64(Bly|sU?tKk|5OlGak<NK=02xh1(Ry8b
z^$iR65Ht<1b0M*WTC-ibVwO=s<;~82KAG~&RV=*)!C@Zu*;Td5FpB%!9~~lOJSJ{a
zP{gWeWuzj1v^d&r;6MqIh?6>89p<Ozwz`}c&6U0w6$DkUP~xdBK3oXFoTZP~*^mu1
zDoy$wd8jTcNwrFMLn2RJpwe@JQn-=X`&Wc}{ouviuz?G|xApascskTiDtYSDQi5_1
z%`hZ7ysPzcINKY{PoZQgP9}#9?TB{rx+3?MuOxMW>TA@eL^Mz)ybw8ia%h7kQTyn&
zrv@+x*pv%Z+V^a0i&Uf8qx^DAjzr^7tA}IYr-$F8%ZNGR-glvbjWdo9zqkv5`hkEa
zT(nc>!ssc`#D~l|F^2YT{hmREf#b1EbcjdvNaocW7_lIZanvx}s1TM<cGpIg;w?^S
zr&}n%wnm(`W_%>K2ubtGDK>ce8Z~2ysD2~Eykm0hpngIdZMh_Mwc*0S$+7mDuq}Wt
z@qxhPhZ~(CnoP<x`glE%2mGIL*FHB0$FH);68|&~EAoMwn|FrtN|IvCz0F}uv@iab
z^K+1VMNw{uf)h#qo)QW<%#-!1-OYjU_<rWtdvzgHWaE*!p6^pdv^p=zTkTiqoTCqc
z-K`j3gr|IvO`-?T&(6Z@^+W|6G%R<Zkdg-%->QwA`<^=KfVoHQ;GKF91M74nO5;hk
z2^qvi<HKd6DBU1y!_j&f(C(x~VTSX7zNtU|hK{4_nadtN_<M^93$q<LnvEn1qy0&<
z5OX%uU&&Vw8_?%&08_$T(<+29zKXUnM_J?XI0i?qdlpa{QV?$7kAm<{L~_3~Vq-a#
zO+cHRkzj`?;f$|_mIZoO(HLjdjovQD7YP~MrU`?O%nUOG56L(N&=i_ap7;2Nnk#vV
z@C8oLHUebvTgUi5C@gl0A2vTz$wJ%sqybszer&3>ZwE?P*Vkr}QZe;1WIZ8Au^j8T
zjXXl!T50IR?a0KXmnDv|ddN}`pC?(Wiyza~<5@{ZI=l)|y^tx^m%n^{OCpz@w!ia3
z$<ludt6Eek+(Fg0C)7pfL20gQe%p0t560q))bh-yr<!Ip9twf=R<E3e$racKde!Z%
zUo~k(j!@CQY&=LO&#>R!Gp|rEvWW@+D4~Qw`)Pu7#;6M)d>TT;VXOaAltxa!1JQ03
zkDSqMJ<w^KRS$7<QM|-VIXV$XD<ml-RGq~^4QlmyMmwkON&GGB8T-!b(^Ia{n1W|)
z2It<;lVYgn=k=p$+fRaom^nwy*L5rlgo-Za7i6iQBQ`8Fq`&B*Y6cfTkuWDJW1W&Y
zo)ySs%XvD@elzh~UqOz7uWA{*KWy>^N5*^@sSEd$bJk*PYubh$mb!mihX;gr*SqCl
znT9>Y_K2_5M?(&Ln%mg8-Kqzg<G`{-oU4OUXW-F^SUrZ+;WFfhMB%%0^vM8X99?aN
zO%>%BS|4^j<5#VIEuhTt9s>!KuyZ2vg(|2&hy&b(!eqdS5sqQWmEKRkI}q@F*Dzh)
z-Moz@_j%?xBqg&f?(h+B%nWF$@W+HO(bj)+sC?%N!S>2@*6RZ6xTJi?Vz0K~j1I-1
zPBeI5(?Yn}r~0)v$A1Q2PBl5=M+D7m^Y^o9m?~iKepffz+t1&`2`$hA%5-mGv{})e
zL+;2W)sJ5BXIHYbb5dJ4n~Li_5oPLB#PYBcDJv*K_`RW+%E}$9eYg@Z6E8CKmb-`8
z_<_4W4SfO$kA*5(d&cBs{7DKQberE;Hf<LM8t)9eYF==VA<%pYwviik^MU+VqI4a1
zI3E$B@z@tH_q`dp32!jFxjIGepyyU^9p#7G5-SMDSK=%y|F`R%0_}w7y^<Ndke7b`
zXTLhcA{M;I>YEPcL9S+ZV5ZjeQYe2zZysz@*9k6%9&oVA?MmB%<hR5Mny)QD1FqKA
zMw=Hf2>HWE4q?S1%O>Aq?@6HUu;n3Hc*9c@bXfLfYl85wckb(aYnrXi=GBtD7uO=|
zbzjTxZg>*GyjdN}x6%>2zlgP{B0Lxs%nu2)WG_-Y4pHWF^dc>;!wj~oecgxF9Q0!%
z(4~4YuL0I*Kk-Cjl!`L98G^yi>*_&O6Fqr{P}CHTB35bRX7xOBn_bL*>9LMOY(>X1
z#oe>O0A+G8RlN<b=?8d$?sv*&IF(_<D>bD3=v9F+a-Qt|3hQaK3;ItA1VR20eA%ke
z8pgW*dGXnZ#A&zy1SMHKj%_BgwXC={uxF`iKx^Mvqe+8_>^J<oL>*>*iDl66oWmVI
z%2Fo^i8>~?GJV>FVMrY5^N4gsF2oA$P;9)`BoJd~-pt2b;pbbgB`&HO30&Lc?Q?6v
zZR+G2_QEsSI*n@ECH1+$u;vv<%ZVTIpn08cDl`t}DP#VTdUkU-TT1dIdOVzS2Rg~h
zKSVJ7mGB-?ElDHa+B0GBTsF2J5005WQ9nRqm;tVSNr0W0hSnwJ7BQcr%kw6=aax|-
z)llcznC3i9o7Wb<-V;uzbME9r#5hJE8+AIeXmcVvhGd5PZU<w+pBKd;R%GGy4w|vT
zo0Ee0R<Ixk_PP{Dp$f+D#?PRNOwxV18+=_-F~udvskFO_mL%!Opc}$FMW;~r{Ja*t
zZ;R0>uGsyY%V(7UKHR-eF)a<$)ln~5%`)5r#}CLD{9=iFpcHnC75IT<AW!i^^@?p}
zHuc9W-!P_yN1~T8nR9~F)&+54x({|ltPZr-WtDqe=9S3*br@{z5GkXTg9lXZ7|Ypy
zkRnJdOB5TA(q^(pTwO&LQ+~?+hEf$9C^~_$st!5CBehlEV^=al@a^2JY*1OgM<bQx
z7j#M8q@F9LwrVsQmcfo8@0uL^;#$(BE*^E&WGeW*=9GoC5ER&G+C<LOF0cW6>ZEBG
z(aG0<x0Lij%#-H5FMC{vq>VjCqTzTnpjKpv3`_X^2bCrAW4F;UTaF9#o-(gxSCrH*
zSg~UO_*EaxsVyC-A#aifG&DO3o&+*#_0H$pU)(A$fwNG(b>}<ZMW$Vq^-Iac;gDdN
z3D_zt4rS{h<(5h$i+zd>Shf3$JplJa9ib0azWhA}T{&S$78Ak$hic@oa{?^2Y|1*J
zCU7@1)Xd0s2<u4@CyHbT&c_5lq@FC}vnB1{^~>74FL?IKj=el1_+Iy%))=Qj!9c^I
zQl$L8b$z-6prf<GL~n;)wWOHLemq34t5MTj!^@$uwo;Ws6yWzNR3bXAhQE}t3vXvI
znR+Hx-VEx-blRtJ`YhqPu25pyh=QnjImR0eR8@To0Eo0qOe@<$t}!Uva>}{-Fm>L*
zb6F12`}-Ri0PFZW;i|ZvZ#PNVxU1$L-ulIq<P+dlels$s%Dy{Zh}Smqb_1(BxxV@F
z6F9Lm%NlcgqpR~KNqmE=jXVwsq^!RK8xH#w`Y|UHj<kFd{XWJjDa41~HHh0jEd^B8
zy1O8HGZmautHZFy?gDyQ8N`3(tMwo)?u)SLiSW61L93rQNLawc6Qf~S&fA`X;0;D7
zvtir2le$a@0(LiZaH;*I3>(x@9V$7^Zgr}bvdrZm8ugL6F3w;MH)E2^$amB?gQD5;
zRPFb~K_Tb|PO@HI4!i)*dPGdYh>i0n#da;t=9o33UWm~bK%qJc<8H+7Xj@7fwpbxz
zaz#6Iqrm`eVm|xW+&);Kw40_QHHUzuELJ>U#)^JQEtwbANvZ`+yzNIZ%5rqBV<hW?
zeEeK;*;w~0W?|3L)@f1rQR$@6;czWmJG-Y`9J5z(akU!VEe+w1U@dOdaw4Uquf`}5
zg-@J?`L@x3IFu-4n<*9zGcPb-hZ@K(k?+_)B@(*Qzv8?M_~|R-C&cyuEY=b&^CaFV
zT_q#NAT{IF^UnsMua8Z6G*~7_L#k7TYfOE{T;XuaWjw%R%PMpx&r0lXPJ4RV%2YF}
zWxJ3I!ake5VC`OG!=383e<+;s>KCOd`AQj2m-DC_AYLgwM~D4~zv+pRfOICs2}}t^
zfbVxR-)uea*y>D*%9Q!ExU#T3MzTO{1@EocP+Kp-GmS;}kgm<$Y)y3+h76Ig%-(xx
zTnSZ*!zSx@8*=*}PK%z+@Qa!Zvv&-wiPi55@5v}r1_dUQT}ZLjaP4ry>pOfl9r3vA
zcHKbTmEz=mX&sAMaXZ2L<h1$QZDXuq(HDHzAb^d-eD*;WLkx#u*1S_mu(sQ%JuCiz
zW(>;-G-xB%VZd^AzF9u?Cqi_|`g8p4w)GfYR{W@Fzvay;JTELs`sRErbSdP(uGB+y
z$L?xdTidsFC}tW5(F|gI@qQC8lW3xiVi8f&d(?NZM`+8>V57Hymn;Vr-qbLI(s@)u
z;G%gUamX!!Ojf9M{wyT4#SA>V6Me53(n}WbrRg+k>uVAYNjjE%EpZ_s;-n%Cjyc5L
zT1Neoy#j%9R6ih+4cd9ZXj$f58oy$A3&q2~Wy9O$nP4Hy-nkocCOS1X!mjc%uyB<}
zhj_1cv`hZuzLGqa;Y+M;(hZs(F9FhcGm3~APm?<akcov^dI{d6-Z-;USzaFgM4?`u
z4}ALLB7{scw?5bn<xqx9TR$W)qgdcwgywuVxX>E@A8WzH!6Dg55|*OADum&Ir(Fny
z!|86n5R3+x<y8m80L?wNL|f0cS&xJ>om9#B97qL0s+&En8ru*(u<7Awf#1g-ekCRT
z__|c_c>Ko$4eROD3&}im5((LM1&9WWoTP@%j>F2oxD}}5O=Du6+HUPswlUlxASexf
zV6&Vqr>8Q5Jw*WjJuA5Uc{fH>zB(k4K)<}3>K^czV!5Q-MiV&nWNZGUhi&+wHTu>u
zn=B2feImYM^JqH8&>3-O>FC`Ldw_(-*5858&PfZ>HY`V4_!l3)<28o!E3Rd|RZ0V#
z%<$7yl7_jV*vNdk#!(250SjyYb_77op+*v1-Qfk-l7|kc$mGMEY=Pofug2nS!7#s|
z1wDSRf-T_4Fjc(9dEGDiucZ<^w{;_7rk;iHfQdEJw2vLy8;HcPfZ$tUXI5^qB`xG?
zoB%p?5#u;Cts4UwH%MIfIQWCbljRfIx0V0{B~8Y4+V}UM$$>?AIl1N+INa#%wwTz-
zNEL}{d2A7f!7Z;bidO{++ivU-KE*zxnA{K_*WKg*(l#pEr>lY{2#vo$emMyh;4?8P
zmU}AxY=E(oa|JAEiQw(D&xZaOba1ag&ej}?)b2J-;4JQY)vv%dP+Tsx^~&^LYnjR0
zcNGqZi(Bc;aJYSDEK|3eTmx+1hwLmY7weydCwJ`uKhyCYH0Y`MPu?uBwjt%nh5|QK
z8eK9>^<SL+xbe+dSh!h)o{zpkM_lp~x{H;omtw~AI{COS;`ULRT@!8zz$+dChw4%^
z4cX8?rX_XjM{TSuxz-YF08373K^n8th&Of6*POO+4(Y9lkCqr*FUZ|*T53~o>`%rd
zGL&sKBFen7Dtf+@iZ+i$;Sd#+r5#OI`f#l@V0Hq(_WBFhT+C$l4o?D$<ATrB_>_T7
z6FqfP%@3*gTjXXj#_M|u{cv=lDC4r_3X}zf`U}DAsO!^SO)b$k>$hq{>_4q_$|Y($
z?7s%}+8D|IAWoY26@2)a=|!u}d2`>;Gtr&X8vy4isF|U~O%8fEzz}O|a_Bh6fWFmc
zP4Fg-0ESFv6>L)FW~})l^%9Z@?93Y<Pc;DoQrhB`urlGrQ!#~EtX<N+r%sR=jj$ys
zg?z6mb-jI!eUNvA@HxBH@4$AAP4}sZ?dWE)((|>(N1J1e++kIlsrn>gzvhBiTI(Jr
zbX)<++d|dIz1lf(wwjnwtQ&@v)wo^NA-@Q9#i(|OQ+CyEgtiMO9!W_HiJ=(@Lx;F#
zHtDKy3>f`*n%t}7k0q#)5E}Xc?~swwA8U(0a?Q}|=u{{4EUGrx7+~`#6Gqd|o=@Xa
z3l*`1$&!I9C{pkwG$Za@v27y(vtZ`xdgt2Dykm*f(1U;h)E>xdrO+~`Y8=z-LYmZW
zYG}KsOo2jB)Om!N5KEtFf$jmxl3cj^4!dhGiGG74R~aVb?@N+=D(xJ+NAmZCqfwV%
zAXQ=`Yq9SBrpc}!+peYB0$X=v36jm8mYv!$WH@Z~06Ukoizc)LZlrtc-OwizM`jW8
zeJ;yd{XW(>y}Nsws_cvHAOU;x(t4d_Q8rZm%~`3DzYy&XM#+Q)KZ*ikO!o6Q^LGvv
zIxHNC68_j-F3$5K2{6yuSnO0xr&k_kMcJW?S4A}^Mze$uT?7X&VVSGwY<te4e{xB)
zy-cFCDDA(IjRKwqAu<kwguyqWgOA|tJ2S1M=>bbWr3}~BiH9(DsG9juS+0B?wUCmq
zQ6RT_T@YndgPG6CBPc!2^yguvSP0;dZnI;$pr{1On>Jq6k?@ekw^<wmN6fZ(G9K8a
z(RJ|-<gyvSP#zu95sA58bHh{z<qXYRt>?9|zxk+nP^XRYbAo98Wc;nl7kM3%c#^Ai
zhmSkaaNzkK(0h*q0BvTtU7(if=T>9428|3`AFeaxcBn%IDUhaD)pCGf@I!twfC7cx
z!Teca6%<kPDoE`Fue#Z(l7zl7*~N1OXGaOZEYtosIQ1+viyF2;>c$1Pl9iraM{7jB
zOoS5OFteZ}ul?y!Smym2yu{m*$c%Fwy?04Hori+QUMBM{CsRq!dI*Q}CRJ10u4()<
z`iZf+*_GPE_v)E($r{qbt@JEFmZ5Poy7<~pJ%F{n*hlcq1zo23p!|{8p$7v97BltW
zVx6n8Z-TBxJZJ|MI-#ugL!Si}C9y3gJKT-bmfN<lP%)#QBTjtdoRzF5>-9#zbjh33
zUP?D(-qU64ACyiF>lQ36l!UIzWHHvyGeP7ougA!_l9Whe6L1s5q5UZ(8#&_JtPH_C
zCBX0&Ljy3>2|DZASkp+$XMvm_VJ;>>00#noSNsBg#JC_<$j@3w+ABI=`oqaUVD3*S
z4tNu?bn*m-UZIavC@nfyY-ij0&?ucM0K&*YhUzdzcEfq=%sPGSWE115KQ@|%5f(IS
z<|dYRROj~i%EDL9B1iZ~l;fr~<m{vB!mq&$dIZY#&7z-Ft>0q{F|~Zx!DGpN7TJIQ
zDqYPv4Mocef6$lgR`CKr>t5@LTpn506SE(nrJfSoXcyElguF%x5U;X1i38qCl9PEf
zewlbKNMY+j^=mFxYRCnPfkJw)O6aJBA`$b@?FLuNG!nJ@OcjPoYZGUhBbtN>iV+Ib
z?As_PfoN`%{QQUC;7K&`eS_bq&7|4zaZ@sJ#ijv#HP~^j%9t|S&#ul05k4Tr{n3Xp
zlIy2q5iNtpSc|j{X`1r*wq>l(IYi~oO_HW7?#*z(A@zgjRGHl43lkb^FiXR|1)O1X
zxJd?Qb{Em4Z1K~UyMcm|9DQ@b$t}2stg@AAZ9E7ZEu8F*OI;|NncLX+&2}&3(VFK}
zHRz0bM3zLumo$jrg!(iXqoR6j3o_VQU*o)f?O|2`UColW&VoJNxUbE5BhI8M2~!To
zQO^f1Ot%t^_sQM|_th-jFID|1k^A(tNP21#$14r@^I55;%jk!TfBZ|SkEYxi%Y?((
z`LXAOo2osZS>EZN<b%WISs=WeXIg9bQn-Yay2hkzL%{Y&;Ova>P43c74$?e{VPEt(
z%<N>|4xIx@m58G^#is&Y4n91pm5;(D!^@SSBPI&7Jp5piAojWEnN<f6`N#PMKo0`2
zX+b#?A0zNq)$8;+LW}}YAr;G&x`+hkg-0?%jO4`8P}=*`2!sc6Z)O?!jSLc>Dm8YQ
zzix$P3q8@k@uZCC_0naLzB(mQg~r0!WMTu54Pl*4p_RN+V7_n!b|j{PEIt#!A(mmb
zl^I^Jw}^?^l7#W6X}#KM^-fU~>(O}|?x);#O@)b#k00Iq#P%=~vpYn@unFbY-6TH!
zO`Iu{6PFur9dI;}?36j_i}N6D4}?|Rclg*T@s@INK6y#H6bH0CJRJpDQfOU3;kB6x
zc{;-huV1(rMk{_%0{`}5*XSZtXLap821n@6Qp{jtI!`<Ke~jqUL_Ht-0#0EQh1&sm
zG)d~wXvBoMB@2mr>knqQho{X9+SW)sSIT#C`2kWZt&nW1+ka0ouEwc2t+#@;!`@{p
z!Scy$(oaQ7d(SO56vUa!s-FrVNjhLYSPh(#4$P<(+pHNy!mM8`PfPX6r%xFO95Rtp
zQu2J&DG%U`ij(wVQ_C(P!!{Uv4>(fJ>~ym~?Xgd|{ZS20ISC~{HA7H-paUvdNkt6}
zXsI6od0*3hg9x+h5itA38k5p2fS_W<b4yiLp47~GAki;H_dIGd=isNdJ_S?VO!%@I
z6s1RRHr(&Ds)+g3?$ChLh`+u3bxmfv&U}isV#C$s*m|(ccKSQTM4!X2&^DCN=^iq}
znN5iwOTB*{wf^o$O6Z+4#-5y*_B8(z(Zt!WjORDgiA5Qq4R&LjayOV9>tH2%K8&Lx
zlwfN9mnlfcJ^mbBMdXQ3DCR&kAzzho3D7n2?2vM9SaAxmL;LRmk@0OZw6e3b`~@O3
z>V@v`(JgT98{abeH8zFVp5hglQe~bD%m^&6kae=)?V2S=gGhO%P1(AgA_d}q1_mz?
zt1%gxN@BaF$kpgns3Wt?(|`%H&{PySQ6d^lZ(nJH(QTaacAHZ)-@=nVF~_3RsxRm7
z2*C*xt4T=}<rT#fg`)5qLZiMt1JVHn_}>CA0%i4OsHqKE0S}o~NtE6;EYFH`<)UEr
z1^SOYb66le7LwEkR5V1m$CLBjo(=S-W?W(YJVb5%TKk2C^xaK63=u}6r*sL(072MD
zLhUyYx*G2n%}nL{C#rc<&OqH*DP~&YHCtq55T2e6V`>LZHIa!~-cI3Go%5PD5!8BK
z1J9={tGYZ(pC|Rkp?Sz}PRc1vU?>!G3u2ae>Z<-HG%=h}jEKZMT^}(|uPOGli$wCP
z&`?lkqfE(FILX6q=1t;x=}2<9IM3%K^2M2@cb!O&tk6^YG%c_w=c{Ql;W!|<Ym^>u
zrFFh&N;TIa?&cYoMxLM^Tz`4f{`)oTB)&o<JOL_y4Ko~Rjsf<0^UBqBa_laYmv}KV
zK{(pF6~sRUX7Vw+W=}`zr{tnnoY{kjyBJls!)#edc{ar6`wFNFuEKGco$z+3(_=~A
zz<(6`E@K6}h3@=xrS^2uKH^;yV5T$qM_O2kK*bQYiD<RaB;35&4WQ0*-=ilzB~pST
zY;Owir=6(Ff!~%DY-8YN3)ve{j=1lhWjE3{6c10fet{SUxm!HwWcmV5X-?&(Ol9n%
zFl~#z51naqzs%?i^TR!fI?m^G(;2GgTCPOfKim_IR$$t{hyLr^`_x+20^!ZM&&z>f
zE{U0eE15Z4&v(JR$Px@@pIz^3WM$v8@bBq9vp3_R?jEutnmN@=@hd40a%XNghYoT7
z=Z?D!&_@tOCTRnHBdoN7J_E19wHN55`AJEv*0QkkbI7#p8zH=w+(R+PJO2D2wJGNx
zo^9QONGt~%Ga|Nq1N6&G?|ac%a881W)oDY9oa`P|m#gF7=(;uxUilGV{Ss?<wv~kt
zerZ0^M|A-|*gm=2HoO??-B>L`?>C2x>tk0w`rOVxRVkm}ROxtY*c-PDz~1`5!hO#R
zrfC)EBtxwAPQ&OF{gKi9C!S!|U)vIdwd!j3v$G|-kjsD*1?vwBi1q<%#j^b2J!o2$
zAm<<}FCbXgvK8n}z!~NXqoFLW+>os1Wb26HYpDV^PIuv{!Nk%(Oei*{ODw&Bm`%e%
zykjn5s*k$)JO!iCDY8fr!jXoiAOaYSD8V=p9eCxTkuR!_ELX=DXI?W|lRJ~OMQ8}y
z*-Wd>C${LW@B|4o3ZJv=92Ty1946T(Do%qsIt3!{iS$5cj)Ib%(aXvwurv*QH44eO
zUC-9<-UL++BP?UoHAOCwt44DkP~pA<InouWkja)bR?yNyW1EicSgn?~M@69|!XAiu
z5tSzOT8ah#9Jj(;6Qjj*j-GfPpE+so>>n7Q6xC280~}2Ws1X0kY@d^%7lGifI|vN+
zUP4=1Y(wKiZ&Q}#xsD(6G~J;UKKsYoW7|kL#f5A?vd<AzSaEA+@xS!?GC76GmD86K
zY(zwXFSzl(@vuk9?xjpmZiWP(J5lIb3SohnH`AHPDjOLMgWQ>VLLigM+TYbh$jaQ<
z=$IePRC!_P0&a-;Obk9~NQ6oK_kdLkqF;!<_jNMFs&>@__boOd8OcQeea_|{Er6B?
zZ=KV&vXKnXbkC?X(`&ub5+TN1!dq}lrt#smbPILOxf!bA-9n9q_a?n=^3kOk@AONR
zBtlmuB7a4JxTms>n4FJq;sFt5Z&4tSQr&MO39bLI3s;m4ve})c`YoP2m@f$}E}}>c
z;*U@cmZ#Yrud{kKgW<;U*=#AOcF!w;WonhOrXQ@SX9<C#sVctnuhQ$bMtw|0+N+&6
z$#`3E(<~)B05GCqaV25amuEgZCrh;;FJ1B{(GrnUBt6q~gOH$`wRpRF`UN5$KP^ON
zF=FcF1YE2lBRI2Wynn(rrq*P)t%mb%q6|4dPs$076S^<hJ?#is=YJ${ttx|wJJi@2
zZ9+G>qaOXBqFu?CjAh?DSn6jFBSRYS?rpTUX7J2`4(|uf09@+R2fL10yYj6%MnvhA
zGy+RMF?^b1Jfs~Ph||DcVx|h%$rQK#<-D=D-EB($^U?z+Q_ESq^BYy@brPAK#cfxK
zp2SOgF8x2|HtbDoQG}}%<`C+y<f{~f=WZEV5p{uuG!v~bP`ltLxSn^oKROwWYz<;{
z8<di*2!?nE77wg)q9Vb(Zro2WLd99(o$I~n`>fttzQPJ@8n*|u(<EcqgAD1;f?f}8
zs_eW+gXmgQ?G`5^U*J^cpEPXjuEQfG(|7|G*^_kwoA<GsG4Qgp+E%YW`#7l^*Z;Q_
z`2DF2hlMGDdu{4&TS_~p=oX|%@eQfD;c?J~20Uh{f7!|T*7ZF1ay6wVRCKv+9kQ}%
zn%Vj#*>XIZHXXtZYBI*B=vYJF{{zc4yox_pekl>t+J`7Y#5!lbudw>HLEpqs$jr#&
ziNf+20}x!U(?+q7Q-9KqS2;C(0vN$5H8pVTl$iL!<`HIfXzl0|nIbB@A`(~u$kp#5
zY}Mi1w+)ki9a9i5X*kr!Vn+P-(h!b{XPSY^VgMQckx+iLH^5q8kqeEFD$8Ov2~+_%
z46o#55h7{glPTEe`XVx`0ufB6Nfur%KvuEJMuY0kaNMsKFjE|Nn_s@-L5)gcxFSD`
zBc@?hyWDAghq*EtkI{5Sx1TVr-M8&@!HN4L8p1*b2RI`+JHk=*cOYVz<-GMlvmPcX
zLak}X^rMV-J3@6SfY)$6u$7g&Xoh%|c70Ft^F^Gnx{jH00v4>kg98i@!c4`r!q`cS
zSbuzRz7WSXf@Fs)`3AY)LWiLk*Zpu9iInAEINSFIBifRguCOPh6l=xmg}vM?HsuWq
zvCU=O9S)g(hHfW<saz*2^K(-tv3h&AA=<!rNMONmWN0nyjzyjHEkEk=Mf%q@Z~k?l
zaPWSD<{Mq2Y$e%$W{#937$jSm*;G~0KO1i);Wlp)$QyxONx$9@R9yRqSdzj+^u-=7
z`S#R#KM(>d*?ObkEu4VT%OoyfF^jv&TE!bD{4+t=yB1)hBiD!dD>!NEG94NCHw@wu
z+dKgI`E1J_K14H2-uFaaT12$jFWXQ#ktLo7tET>r3Dx1HfYzi%lI6tZb8<vYSwF<}
z4`P&e4=c0KTAQDtcKlPQ8fB(D|NO2tI}5<k>JUJiFB>w)b7-_Wuf%U$JK<TqI`5?v
zAXQcY)+9!x`|4nDe46J#z@U<QDuSOSJy-zB?@_QWfHz_wuz$V2SEDy5R_})PR-C;B
zMWoi|gLYIX{<S%*p(<QJ{_=&BF#EFjY+>Qq<^UASvP|bYk+4meY>B_QxK=;Zfvr1H
z6I7+T9>MN|Feefx_x1wR{kx!;JQ2X|^C9CzPIP8{x{<Nh)6vKQShaXO+@kV|FMaV%
zFVxhb(-X%mUl#ziB2t0MykZcEz4XTjiApgtz=-7HY>lA+UV)qo2=^nL<D7a>0YL~%
zdbKF!7+RFB?+=QCYuywJ{a1qn%!Z7<*ta;xUlBxrvlleh-HTa@C1rFoi#PSk=fbNl
b7_${PDGoEmBMYO^wxge{R`U)#nYuco(yKEk

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_register_map.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_shared_register_map.v
new file mode 100644
index 0000000000000000000000000000000000000000..cdf1cb21b42b99510727b2e7c149a30d34c916bf
GIT binary patch
literal 76664
zcmV(vK<dAX6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}Szxz<v~fE=&G
zA>;*0ZkjX!7&E1u4m!4ixR>taqv^LBI=eo1(ohG8RR`{-hD4?Rdsz|sPW8g(4ipRd
z9Io;>C#ljsaRi*;%_5K_Cz3pPHB!;bu&=TJytMFN!ZZqw>8_GqKE@p(0xx=khnMtD
zo(N>Lm5GQ_a<iP~fS)>$4%}Hap^wsvIDhb@bKS~Gww*>t$?L)p-`YH6Y;F6>u1#$j
zvHy_x>9uFTi(=FpOoM;t8Nt5blS~}Qk840%pGBpC!|-sq>BFJu$wCqPT<n*T1*=ix
z7J9>O^Wb*`h8IDjt#@L(77j<-y4!iB<>IX_Vc0eNWOTRbK)3;j@)kRKObCEe)Y9TK
z?paNtffywQ|Gskd1ES4-_o7zcPU@~8%7Og@SmD^LmC%7Xg^6n~Tg6fpqQHokqhs>E
z-7#}&`9bhAc^ti!uCpbtHqwD+FQG?YwC?c9fIlNFXzCu9o5S7qD$pIYRqFpMYT7DQ
zn(SaX>E1>_8*#w9{@8ERM+yY(=b|KEE&^b#ao65uO?$Y`i$wk-$$@gr7>i^q$kV32
zvWYRu@@$H>`Ca|#jejO?*Uk~EaVXn^3HSH-Lr+g480toM`;b5p_F^`UwZw~Bv~ihi
zu_cvnybjpErHbP4jew&6oK>Y@MGLQP+&M<dmylA>riCK~*^){-syWFeCXP?}rXftD
zUOrGU@<Dm)-@B?E724uOJoK<vs8UEn&Hl*&SV*A{(LAc$efhs+(-4Pgh|#g9)(zt<
zJNNhMl`{opS?7WsW#lH$g1L^34CB}0WbfC;p4{Kj^Ic{q5dOPX!|MK#ZJF?V9BeU_
z#){%7<?*Y!T}j9=QW^z81qg<xgJzBruREvL?&_>9o9m=hzlnk@9`rv1Z6^ndi^3Zo
zow<XzOz{q^!&&F`k}Fd7MpsL^g$Ozxi_+jar;rKi@m)bp^O5Dmi-{Zymzf#eszsb#
zWvQYd&L$MN9SY0if71J4-&(tk6s0wIKN@8t^tK1IBYls6(`57y5%waZNkNKV9~urw
zTHHTgXLa&_$aiorxyhDlql=_k%G~z?o#)#&t*Dbbs!(k)QoRdV+wlx0H0nx==moi)
zZ~t%Ak!C=(odL_5(JYB8G<gq0%EhYxhebgdk0)({i`*P+jpp)=dG6DJ%6KZemi#ua
zr2v<CZ;|VQin(`%eri7Y()F%ygxVtGN{d(W5ScUGMZ;~ji^RT{z_|OAa@^(<KPIPQ
z8W%L6mDs1S_Gnb^4S>Ws$$@}{6w~ANjd;<bmA0JY8y(p=^+~_c+(AIa;7b!+7RoE0
zmJyPel_7Hpu{v|yj6_3nPU2vl?cLhvs|txl6^E=m4j?$3gA#E2CK-9!s5Jd{ZLxmA
zpn$f}>MtY>1Q2U?FL;g64Rc|7>do+Xw^oS^CWiPWvu+!$HC_7Z4lSK3=Tfe1U6=Dl
zq152Ek+`$#bm;tr2m?<tHeB=&DV0@(@btNJ^4VI1kyUlM7bJ@&>bwRtmMjUrAOL)X
zU2)r&+yB7#zp()(*4Q}9$&HsT-wF^jnSIw3vA#i`VjrFaDsC0Lk_y=tN({--Yy-it
zVcI&Y{L`Ql@$646Q=i1UX&5Uw=*+}!DJ`nduQ|475``B*Z@%9V;tf*anr2nn{d92a
z7(NrAeqg<MC*CkV^naJzsllL~5{)5lrot2c6f&YFs-`4bw+$$1h=^!+6Y=3NCqcNN
zkFErWx_$5k4g2EM_Nd>T^s@!3=ITy?X%{8=udiz?x3L}t2^7>f*yW>i5bI_*D}}Ry
zwWooF9u~hAK+=s*umIp$?@fk?JycSgvQH)*cO0T?I1{f;O@TM_$9wOO)YLpuI&TM!
zT!dHFb=tHAmc(cR3qoBuo>=S}SZRL4h<J=p<ybxPm}QSZ;+t4As|yDMT*k(o1?|P@
zR7(iG%`}`R)nFqg{&>YUvi~rX^>rjE(bgqQDP>($I=w9qfX~+(1i=g@mo7T)Id07N
zbAJP@CB+(gXt+wH!GeIyX_vXCwVd$Mb+Vz-lr~8<a%QP9T)&zC4|7$;QA}<Bq;6sv
zAjjG26ihMff%xT`euF=t#@@R%WJ!c_$gWx>QuK|A!vh9Zy8-FCW~~+g?+%3!a8$^&
zz<`aE17UXTE>8am84x|dUxxb647jMC>bB<vftpp1hLZX2VL4~XNS<{(VycjYQV8NM
zQd@jNzaaJ~AqXeJmk-N?2G&n0D6kq*#L(JhuLGvmlq#KDatIqAS0#*Jf=p829l|6n
zu{-uSjBvLIzf;mPqqYk^ZT(>)(oDi;EG38XZ<2FTlC;>60EX4b#4yGob;ZX)7oeNP
zUd?qea(a6CO%&}nh47ZpqVNXYSzY3iUwzQ2HAzl{yrT{hi?MKAEDlDR*C;X^Bz$e7
zmqj}?VY^68JeMyCu36I)U&<Z$`S*93J}vVD&yN%=@xD3ry{a5d5cF)oB}{5B*T)U7
z81d?#iHE&Y_l*zua=N4d<<fU8bQhR&bG9$Y^9EtPw<SS396q}yjw|n%k5UuS_oqxL
zJW{R9Ypd4JfeIH%+93Io23NnLL@=ORh-HWWbbl$c4KT8Zeq|V?v43RO+QeAOcZ<bC
zna`vVeMO!0?$88i|9FAbW_hD0XpjKsls!$O_+T)ee_O7-^ce=r2nN61ijN9fw?p=V
z1~LXx+WaSmUp?2DDx$7Lm(NWn10t>q`$9|Mz3+H{EawergKZSRg2n&86;jNap1#)%
zabBY-&v1-Yh}$xk-laB}=Jf|L<N3KW@#RX!MyT57SnD{Me!(CPicy2b37QGMr+s$y
zo>1-^$5IF3i*5uAeULI^<+4bmFbpv4!B-~`;mQrGLJ1fHqx-TspOZu8BV3P1(Gul&
zo;%h~nGnpTNF`$NULBuS**LkeGLd{z{F{`G#A=%!{;8xyk$@vmq5|hBYK@L@^eT@c
z5lif^*6fm_B9>GXM*r<u6A@EWjNoWq8($TfJ17vSd|?XjoADABA+QDTgj7c-L>><-
z<+oWuz%SNV-=3%fq~FjJmom%I7Q}uY(+gdCKBoh+WOYHT1(=>ab4Tcj+O_HJ8K^1R
z<D&Dig}-WjHs$Me29OwPLzahdg6sl^dp=O9x8CuykVx_YVS@P6_{I<Y0FfVyPLFd8
zbcnM<v|Wew(cn1h$>c6Zd_K9x?Oz#r1^`PGIo^%Cz__c2{r)F@`}HZvhgxv=dGX^g
zZ2fT$W?4Bct(AR~sF=B4254Fn4iMsbI?3dN0OGrl<R|xi*#p9mU(h_6wc1bioirO-
zF|>Ca+3cg6J$ckEW7t@Mm<aAChu(nJC3InH?sM*di`zl+@HUccCerrK%Jw2bfr$>y
zCFOii(AfxYz)rAx+E<@CYU2bQhAH;pI9WW%_j+Mw`rTo)M6#-}-THu|1>E#QOQBi)
zLCAM(D>Y8+t>0{7|M7!}1-5Fh`FM;hXHC4HMF<?b;*@ACCDZG4VqhVP-3Ga;;I_ax
zJJcofI4hI0xKd;Alf&G~-n#j|kqeuZWrpeXisJ#Jq_v1WeB<{~Jc1LLWr58xgHTzY
zPk*9SNk=x`;j^=}g`ZFGEAeFhgbj12hH%RuMO|>+PhES9h=$6FNv?^;T%saK-fMr8
zm680^Ux&@YX<`0LK(*Sz17>BC5-n?HrlYiwY!W`0@P2vOd=_mXG0(~NYdCS7+E+a#
z$n)Q*D}nbLxBgHhj7WwD_zypAJemXrp^M<v^yQ0qc!s7_3#DAs3FAYk?WzxODn@Lr
zK;ETjIgUQkUhpWEQ4dWB7~kf}zv8*(tdef0xtmi;2#Bga9x=oOi8aL1O^1T(7Q(wG
z3A%QT<Ez_o>n<EtX{7oh`0h5^>|8Om)&Z+n`$=jLgQfb^*1MjgFw?G*moM;!A?{u?
z3DbE0do!TW*m#MQe@OWx5aB;NoaKdKzI5pCY(tcKJ>RNUJ`y2Nc7~`nbNcUHHWEs>
z9d4*dA@OESA&#pVO~uVquNjLiE+PmuVqGxpc&^w``&Qu$o_fxP&KNHjE?Tu$YGl7C
zQR;Re<PEib`cyDn27uU^q3+uBcs5T=O;6|mL^2evA&RS1jp4M8o8pUDco6Wjp_Czs
z8Bsw+xY|^Hr0uL~=CL;SDx`w^K9zSQndlJQwcr<NF2@9W{9#VchbBk&qtJ%Z>sY~z
z64w7#R5}P9@8Lf-(}aZ3z)bz(!0x*wUTs6_13d3%4Wh6^e>3u;@tIRw`Vlpf_Hqok
z%*1Bs58k()#%bGjU_Yq_+N@{unD^iTiFlIA)|aEz<zBZ_T?0jvWjXCdDGAE>1}M|_
zsg*yt*ESu^&*1{lN;<sAhEF(NR9=s3siG<~s9ZG^ZPLTPPSjDJEXW1QHaz%33mH8%
zZ0MGig;nEurX<)^S-nj!a^Q6JIU2TM!y9{w+`3sMhGXP65A5?%Brknqt}w6N2LNgg
zDthq_;#Fg}6(`qZlgbeMd=z&(DfrbH9|>p0WdBD@Cb>wa+!Qy2aDc9R&;ECTQBaC{
zqKDy=p3X*m-6uD~0Duc^tIuaYbiW6!<$XS0h{*riN>Y@;*P)F2>P8erGY|Ylj)O=<
z+><#zI#a3+m)w8wfEg*TrueKfh#&+zZl|NL-XjW5-0!m8IbPE$CZjS5FMq2?PS5gu
zj%mfAA+{KK2dfY}Jo8oXAz{9{%p@p->zXoPzNJByF*Z%IQ|#DDk{&L%$Q^t?tVG3^
z1yL6+pGS2E!A^1R4@1ab&{+>ek7O00lizqrAzbQUE&z7d5zGq1_DP_wK0~V1rV1Qu
z7*{(ExD?SU4J2On*AMZsq};^$4iKyAP@|Wt3k(K*yZ-ZdivZI2|Azb<_x~NLD-J#q
zSHPZ_kBBi5xpL+E0+_F$f<_SImlf`=bF^+3;}&+)CorP%Hf){>5XNnqL^6qmY;_`8
z0PR&QO$2AP+PYBN;J)6iLZ1|E0^jN`@Kr71Z>ndBvOOLK$l{9lG{Qg~KXxygm<DhQ
zlI4-UG>pPC3%(+!Kns6$x0X-ON;ft_lB_ZFb!M}NX2seZdKea+TIsmE%(UKUKltq2
zsAw^tw3)T#f8E5T{#U5&>E(lPzBgiQ%WK?_<81{-61r)GRJTL1P4Z95Hix3gaBpgD
z;P0yKSZI#n<^9V~C0>Wq`aVs>5fi*HQL7RL^-y%XLEe_r_6P;W0Rtq0WeLAf?zvX>
z)MvHe+YZ!314o)Yh6>BWD{-10N2urds%w3x0X^DMZ5Q*27rK>R<zYtI7U0sGc&%P)
zFDsTbl^PkgjxxG=0X0Twvn*A$8h$Ex%c!q-m<ZjlFsT4cmyYQ69a73%yV{$#TCR^5
z?^Nu)Nh`nWx#<oEGiM;S_9-?`@QXe5>Z{(bF@cWWf1=ftkdN`W-zm`PAz<6t@vJu_
zc_}*|n}a{KFHqurr$HcOQXMExiTgy&d!ZdH))Jl5ccX_eet6DYXm0H55uP#S5Cbtm
zD&e$BrFS+Zr5YR>n_=BtoVUh)8`VNIGhawv>$3W+Q@5Do24H$_1|xDCy1{~O1}-zt
zIZn4SPX7qNSn%RDy?wMvWP4~LxpQ(*5RZ9+ZyR(pyocPr#5IbD0I^{<`!O{LVe^cw
z1{SgNTk_>6JF<Qv5#y&$BtJXI@Vt@6eU5m$ZL+^1B1|lVP6cpHnt2veQ}rfjX0e^3
zV`J@1hC!G{4$<svlyaJ<KC3++5Gzy5F_n|dH9PedWiKPG$iol}R>GT4bC;A~5X+xG
z3j?d~V+$+p&_a?%$)y7RO8);ax+HW<k;TzQs1q~;pm&&4F*Z9htW0y<&a{tQq6*Ib
zAP|&RWB+ap2~BX|NYX)A<~=nWvc!Ru8{IVqJ;Ot9%FLq#?4{uSSj6jdgafXzU4Cyv
z=slTO^I5~{{+m>CvSZGm={AZ{;F!V8U4+dxdPyhi)1i-crVb?_;-RnI84Fr)scngL
zQDgqK?G~Q<fkYl6K2dEKpWxzJs}`L=O+TUq&Vr!Sf6k;g3u4|-V52<`zF!aZ1${u;
z#dQ%=*Hi4!apG6pu91ZusF0kX#Y7$gH0d;wvRsczwbW^A^`~dJo)ABgEqda0Kgl!~
z-~SuR0mTe2HU3=;7K7W@C_+FT3Y24#RnE$MMp!wq+G$gqhc0R)k<yj*q0Cq}@XrL)
zX6s175k69Qin&)RIg0*>eijizV=;B=RpKU|l<OAO=`VXZ^6g(uU!+EGqqADcNyYg=
z$|y(CKTAQ3v2mr#{_419dT}Xy-g=!`PXe5l?g&+66EVpx1|RwXXAp(oz>uu%h`)Hh
zI)+fzqfL=c(R5U~)Fi7ITV{zwY+BNo;K}9Y@ns43@<>sapgL6iOMz=(h>2B~SLK#)
zEJZ%rF8nWRz|mG9lUY_@Np-LT{cVQ(P?nC9)1N!>7)MamGnUc0S)eCbe{l(G5;)jq
z3)(oM8|*?~5nKDF*2EpOZ^^~)#~n%|U4&%j==L~FJ}@=L>)b@Knz+>$0^kvOO|Ei3
zJEmd!&x`JE(2#GtB=dGW4fL7=6SCmUM2XgXKL}!z;1GLg&D^|+azL0FPt0`YXYDM*
zl{N&uv0p~D1J@ZCW3Wl#EG|cu=13f4-EP%*#)v-tGqO_ZN+nw3%^|GYEG{l>+XeU_
zHbr@YPbO6s4qzIIVXbrRzNM94E1M??;vu<xkROVh!mA-#wIWbcKMVON!BzvDD`?$G
z?gKp!;G+Eg7V$N{Zay%}VCoA^;#6~e3ZF4ST0~ITVyQkgxgYTJ@odH6hgbwaoX9Ua
z@;C^?Uwbj<#zd+RuQ)e!Do#2dDU0_9k)1ts47u@Jbja^E>o96a63Di22=KO^mob0o
zDKAV^8_E;FwJDf-98=(?i9BR4*ttkEFVnU7$@g(X@?ZjCwfGZ2m8mNzGE1BD8Mw{>
zYg<M}!JW)!;Jv~8cBnMjbT#zlEDB#C1}eT-<8l-9Q&7pXB+6YT8$!X0mO5bl9}Cjf
ztU@S+(t>rToelvmgs7Y;?vF3*31jt^&9URz-2QB3ihnN5WV9dHD>!qN^@A;q<%e`9
zJAuueS7$<N@}6tXI=xT*dKb6B)GnNf8J1a`=Od`f=jOW}$4aO{F9k7t78{jEiZIl6
z*+*-5Ydbk>vM`1ZF9Q2lV;U{Fjw1>|53oK7Jr<U;y#+BlH5)vwOYx-G8)^9kMJ30{
z4%C|NZtKZRTi_hBG>!$C9FzJ4pdj=xlJuC6X*EG(9n@Npb4f0kCn=2*6x(?Ek}1)9
zmB*nCK5LS)sX1KPkyup$(poKM4qGpu@`|#dH!9+A6m#QJ#1C2=1Q7(iBY||Kq%Q~O
zZO!+wpMmfr`A_XF%=YfBF)^U~bL;66i)8<<4p`7EZjL8l_`JuT4--6~@6g~%pV1YG
zQD%ZdDk_D-Ap!{GhWGOe{Gm^~wZmoG3B5d0bBBTXZq`hmE9p!j(KPud<mgg;OTFlT
zes(lY7$By8?{JT-ca)!_#*I5Z^jQg+YK5+8RQiZp`-u;-uwhw%B68~)H2vWfC`j>4
zU-&d^*Y{y=CqKu4C;1kXcW&pVv5fC3gO^a2j`!i1mgDf|>(Z9(M!`{HRh?N|-Xq19
zS#L-yRHvJir5%TU#@{xE`I#=Q1hod{uF|RQHNS;StY_(sgvvW_0pmO}jSAj<U?fDQ
z@a474B#zJyQ19<xJ$RiPM<%&N=R{r=s_3l%95G{!UaUmC5rL<nifv5P0i@YmrZ16$
zLmKQru`pxrlS;k{^W7f}&>Q?NrEj0hP-t)$%($<HD-xY8K#0KzDm=&UeO44j6gdQ2
zUjK1060vgPBYHrU;2hSch7L6Qw~32DgOL+4+locKG5wh1s1rA#l>xW!;jg40blqMp
zs;i1blTifZVc*Rv>tSq-+*_6pyYw%`JfC2L6c$Cnp4>DMlKKHa1#9&g*;b2Oq8iam
zMfspbls!x_pIGn@*TF}S`h#Vev?T!f!W{SY{eF3F_8brhTCt|blz#wMJfN5XTX(b#
z*IvDhi%4&k@TDrmW!}Fab`T$ZK!;S~m~EqlNccJ@rWO$?BsMqpik##Le?F#{wutbK
zSuK?ii#u@)gRkDbRi{-y(&y~g8M4on{~N>u;!D@!`B$6N=OFB@&R+3UuP9tJw-(IL
z4U&&d?d|j@=#31xittp|piDodW&!wDX?Os=XBYk_zK0}xNd*W#zvcr{v;c_O#sB5R
z5pLzR-a3*7{?y<KXTp%8ub%~%Op({%r0JNiCLq6$tAwgm9E5zxnXrjHU;V(e9yrb_
z0`u#)c_H&mUN<>!Ffd8Ntd*Jd8Y`4MioJPG%Yb3WfK&?UIj3t*^lm5o;#S9Cj+?NS
z5C^^#2Ict9QY$6IG4!I*U=H92mTCKM{~>fTfBO?s&c!GHgEzn!qu|qNtyXiY##9Oe
zmkUuo^uzcTQ$|iEmg$ufV1?sRmSjn5>ziS=@EJm7`pE-(eD`M|l4uZ`m>)p_LQpUj
zwZ#~dY!k&GEX(%rpnL^&)<I&lH+=U}v`>aN0>#S^*+57Hfb@9{EeOib_myMb&1V~d
z!uC*3W;Ga^yi^Y%y_%<S3evxE?Ke4vv=7-ky-h*8rusvd*-iPCSqWFDjTB%;-%f%>
z6afmyj0PSNx5Xw%@z;><ex3p{>NDA8V<P-}r^o?{;!H*`&7CWKn1eoxhJp;6dj>D*
zlN&frptbFavMEDH1RgMKMzPcu#&T}IjeR~V)!4CeDF(=@U?4nvq;gduKTlhmv}n#L
z47@jrF$WlbE>y7B#RwR3(IS&atn>;dP!zaNAE=z46MJCPTSjALPfd?-ZTTN)PI^R?
zk~b)Fe)3ZH9K`US(lJFAi3ZbOflc8K-^hJ*P-s{)Ztm1wf0h>h%24dB9n(jfggf5s
zX{h58Z=jOJUj8_slJt?uZ++NX-qkwaKqV|Ck(aY4%#l}RUEV1-?SzB7p&1mQO*|n~
zUq|DV2u0T;0hl$ryY+&dD=fPhPqSQPv++<J)+wlWAv2(p#$IGx)z7KBC#-Bab`m29
zX~(}%ISpLsN<|5cJQ*VpR<k~@j1*;HI>$N~CS*_cFLm#30Ox~qEYk+$!w5ZI>K%hA
z`=SSt5oNYqo;DyXp>b9%P5vm(Xd5um2|!^|9-sN`@(-ckYWnCkk*vkhVchHfuUb;R
zSCSVS=NP9Fm!I9~y4<sfKBq26`;qlX$(vG)D0+~DXOAv~&}cg0>PQURe=S{amMC^2
z8zix)fpmxqWGG@zw4s)46veuQ6%p}OhgBS6X}!i*tmjpRT5z}_laM5#P%>k&5~v>t
zaYHInQ7H>TrK&g=0jxF^DBe4sUcGOTGWS&TKH<uyNL~7Z2Q6m5d0<gVEm2^}2!|tL
zWBjTKpvFM=ieKBXau}tqeNAAW^YDsSTHb1J`QT}RDND6g0I%`@0$*A4t4|P6v9k(?
z<FtGjL<djAhB%`nAj@IY&+(kuo`u)s>`7XBs~dtq`*SGM1`taw&Z@io|M|YAUp{iz
zB@KnK+9b=`eG)eFtyi3uBbr)yEm$@?f8zR{`|(SWI*DF&1o(axvvPfHKe5C}V_yx@
zX3806FKDg-$Uku>Qo#Jop^l#sTn?9lK@=Hm3y7)Y_#kV@lM1d8o+!(xjTG7|1@1Y%
z!mo>BA`(k~e1mC<+}F!%3+g>XubdyD<1}_Jamyg~bhA7Ht{4|-ZhkR(wkIw@@oot6
zI=g39QYYmzM5ZC2mSxV8Ld}nyE&O>y_B$wss4siJR-Cs1k#G_x?az=g*w;p-xX63l
z(9eIRA7bY;jeq#jk`&`=dSDg2Z>x>U$KIt(WMA-L3Ga(xM;G7lQ|w?pRF$yEfmIkC
z_QQjrD8-r85y2W^KflWsuakH|FXzN{svp<`LEj!v%hL|C7y{eT$my3Zym^n~)S~k9
z1z&kS+UzJSr62t?L%`azo6KM~G(qI197z6E?)vqzn@<asOy;ZH(SD*5cPsMU*Bqm{
zr0Hw}-Rx?!?&6M=L1G{u4+>l;8t{ZpC@w%urs@02a?=%Uqy76bgv-%9fkNRUK|j-5
z`##j?EAecQroiiFxrEDxJejvS|Jmz8f)Uyxh4epkd2mHJ%ceHB@9A%sko)$DY-h%b
zNPt95B9aS-Z}(Z}J^{U?^21snsg6q<`**s1jAZ|-lL74dCiJJcjp`f^J*4<50HVwI
zK^WLzqknMvDzHU}_M8myDR2u`c5<F6tQ@*CO7XNIR}iL9JmTku5_y6tD7(Um4pFgg
zQOoHSjREB06j#_JeJ-Y_F?=JyO^dauhLf(^1g>}98$bFpLc0G5u)eoasgp04hk9*l
zw&BK}N>abpc1GUb^1o5Wt~I(Jkp}J`1+m#Tt=qsgl5Kgo&}(X`c`*u2h3WXnMv6O~
zF#Q(Mc6iaK96)-S{4{ABBRryB6!>ZjY)rcn^8fcRe8cLKgGsx1>l((P2rV}!2-+L*
z05((bGI=f!gD^iBl}G<j1oWb7>C1_1DsMSW6KlA`n0&LiXs40Bci=ZPakIp2v8<*a
zzMU3_ll!QwcXiMU!t;<XRY0>df_ucGpS3G|4a4Y^BUZA78H@4c_8+=-;@5}2WHLkJ
zf;7j4Jf3eB4OYh7e^>VXBcy=sc93}XIu}GI3MHBW1>|gKKJ^g)%x<zXoBu^~fW{8j
zAUIda(W$Uv`X3Xw&P7qU&1On0TCPsTi&Dz*VZXugz;Pp9_n=S!RgAxV4akAJVFD3;
zOEZXl%H>*DRD+IxwxJ@o4<l1}^;@doBX#yC`nz2*6vu`s%L6m7UP|tTmE3SJ=E=`I
zo<|^=mu;xdoMM)R4_io6W;%IIHO|+xaXytP>bZI-3Z!hCTx;XdxVNFJMv3n~i}%4b
zPfy9biL!KCE3fTXJ_CU~+kK_p!yNhJn?H~QCcRhwrNBORZ6D%m`LA^zXj)EOlA-r3
zP`V%oTWUTZ#XUA`s%nBDhbq!&r2yHXiX0WVL=!ci`1T{a_iL=xpq23EnJu7|RL)h1
zj8B?0<Q{>kC5_X9dX4+Vl?Ztt2r*r6pHq{lEYm3E7r+Awjz>Ib?+v@a4bd$d{u_nD
zl~3XX@fx!k82$0uw+CERa@_jKnajChp~zY1=o*lRc}q>NyZ?a?<3wX%6+yZ{QR#m^
zqz)c)90O?;rNjIp$4baNP#!T3p_VRu6V{nf_e2N`L8=Uv^gVvt7#&bd@++9KfNGOk
zh!_oErZ&tK4+77A(k9Dg=-hdT>?2VNN0x=*kGf>4LbcJsl29&SVvO3s)XbvL$kav4
zPO74gzJ4b>cdiEd6IF!Me}F{Xf(%<5wAi(oY33fu5M9CId(?niFncA1-RQ%r)w*eh
z)Uv#9j@B}JqV+SoQxa#t1)sL6(HiVs{b31K7IhL)TAu~RRgTN>R3S|Bh^3#BtFt1G
z;6Ju^k9+#8T5Avkmz&z6&?D0ct%_T1XDTWMF0Dr~5LD9LM|*wP#9+zvEom_tMYG<2
zC}<LYAEl?$G}2~uXFAkqS9doXYWylhzc2j!aqj_PRp@_GQB6|vEQdz`Mv}9e6#P7n
z@}Q!yiD>-=@gg34Kn-JV?^epBNbKQ5AwlE#l+*&^q#DF^r9E(a)KsoB99kI}CR1uN
z&~FMuPEBxmZ!A^gYUP+c;O<_{7VH7|V~Vb?3l<Qg!kH?vIX4hc@~*%mV$EilYBzZD
z_q#(hZc0@R1r0S_oWk2Fe^m$oZ{*bL<T0o?AeB^Qp-cNa?5&o%7lQ(e<{rnMno>p$
z6abPmJOm482&CK64>L@t9yZcShHmj6S1*ptnQEIXh43(y&89y?9<Owc;`d-{qa)?C
zk~l9+kabz->2$kfA}MG@Igr4lF2z&9u^T78D>Q81!qW97v?;HP*dQ0}ZA`Ra%oRnH
z2+ZJiA0yH0*&TWL{d&2VG{~D0Bi@Vy+#ZtEd9tEa1iF3B0F}lOUzcL)<V&I%*b31-
z&j8>3_^4>?I7{y#yp-~GMQ|nV-1boCAv9GqkP|zogidwSKhTb|G5L9`eC%@6wot3q
z=CZ6e9~zAGq5;E<{R7838zGXeH*$}@8U7S^+olKz6J33o(mLu7%@pWE2#XXEf8kle
z^X4-*HY783xC??kSkV0oSI@yidb8d=5@Fy5Zy`F_J{d@zCJC4n(HSp$p{IHHGOVV{
z)nEp+D2~z)wZCFu0rg{h%uvG28Dtu?6V7IV{=a1Wp3BYZWsA-}={2rDxnH%C_2Sra
z?M?9XGPN5hlx11~Haenj;%vb;1N4>wLL#PpRX%+Gd;r}-`&PH=1ltyV8YH*c>Ysr!
zXbU%_u|p62WFMOWcu+!4>HE=jRSh?q7l}@yaxE?EcSpfV1sD$9nACJMhNVs@PnZTI
zSqz03LhTHcGAJ58n~To-N@Y5972G#rQg1J!q9)UYcX?cnXEia(Q}I81f`@e{HG9^5
zX>-c}5)cU#x3HZyPjr&Y0`ZC1Sb@|aT7T<Uv9Ef;D)}V6hKAYIlzEZuCUdCEx@mPq
zEoedV=;1<3Y0JLk<`urld559)Njr6;{FYbBD2`Bb$<473Itu_JSjHi?no|@+4<eox
zkWEZg_1omVQ?~L;{Ak|FUi)1oDnTZOw?H>0ST6DHKIgm`3N-`-kR`npy=10k_Y<*_
zyAAKf9%r|Wpn*NJqzH&VnGzNk>hB6!wO~O|dh8%ew^d>qA&YIvJwYC+LMQkcACazT
zfI)>KUgozp>f85CTtGT1^Ekf7H#$z6s(1JD)cn8$RF2O!%#im>wk~LnI+5A4BB|4?
z<t2L!vsaUxSyP90Eg>c1W(N@@5Hz~_0UIRQrlskUxlD#TeH7TUovs}7&8UR(R34G~
zts^{LT8*3;q+qe=N!nk19<Z-e5}XB+qWaQ>-egkD(-h)mm3|k{H5Sjx>KF1pdX7du
zK}h5S>BkKc5XbXGeC7&AGLa+SM!#tG7^IXWTvok6d0MVri?+!w3UQe%RU9WhyTZn2
z^S!mFCK)?xsh$EhRX}<dx6$uy`~6S@>_?N4Z+e)VG+a>;2QeK8#OaAyXJE+zlwV`K
zET%z4Mqm~h8r`SH9r@j&RE#K$fWa{i#V=`wDI!foQLm~3jpU#q);AG+CQg7{c9;E!
zeLc3*?^AxT;VmKO6X}#)V_+%cIIT$N?9FQl$BuET4oYLMn#b|8WfgY;D%;fQTp7J{
zgYApJ7v8AIr(yUh%dt=v41E&^=v=BbmF;T|n+33KXDrbhK!33`4TaUk1>GN+e@Bup
z)4;DFPK7zre_0ly?w_a;{!AAV)qNdN9J2HVv6*xkTokG`&lW=;`Fx^p0^vENtg5;8
zmPNy<o~qJD<nea=O_zFn);K;hES!EW)?Uu&tU3FOAW4h>L{6?pdO|C-3MKaC0d+LC
zO`ZAGte-pWOlF;D_JMW+!6&WD5*FnS_QAONH>%!k{3|7>-?q-jcGorRo*jkkH$wBm
z3ke(k0M~X3NEsS)4ntptkyip;s2C&*p}3t*S{{a^WO<ZWf9lfmLW3jqA(Ogd@HaGW
z|B%ySmb16&&ejNg4s#a_t`}c=H&|3gMX)_|ISysiif-v`DsYuBArqvtlQaTN0T>L<
z-s=@glA7&yx3H{;$Yr(Yb6Zm)Hx;@0V8WeHOyVF=Kd!$oICRI|msLhSn*+g0uE1Ay
zolacbzi((EN%H!4+k6oNb52*Da|!Cqt*Nv(oaIfIdZ~23ED!T9wcjvle+)T9aA!{h
zJ;}oUG~C9!kNQUqg*0Ae|H-0Z;LfT>{e&<i^)lF-xu)OWx9Rdt{Kj125lM{c)NJs&
zt)k_{Sa?E>7xl_n%Sx6`FsN=RRO+ybn3i3Wu#p(y+Qnme&piK$9+(`B1g)>0Jf=5Z
z#No_6KtW&fXLFZ$VscK9q+k4J_v&$DF}xs&<BAzT9(XjpOp~!=!Wa6x5U~|?T)7PU
znGpT|_i+l5KoO&I%keuiH3EcRXb&W|7N~GyomD4Kv0;Ab7Md?yc{+RO!?UIq3qQWr
z6d%wZq>~zg&ZKgD`!N!x+~p+P@LL{OG!EDA4eZ_of<R-zx&@=^6M(C?MbQ(Mk`^$7
zJ>W>T@wRF1#p|CmD7HL6f(0}UR;G6@JAYDuC8$N$y^}HY1{p|G_5#D|%Ruj!p}W74
zFzC#s8RU;H+*~Q+1##R6h{mA%cNA53K)zK%-XXrAVmBMaZfQa<5^s(#B!-F*YV24x
zMe~q?><F>6Gn@>0Epu>ti6QBy%;tq=y|O}b9w%haX9>ZKMRg^@P~qktwUA+1oBG8J
z(4gY&Z2fsW;%$NzZ+k_tOEa<mO9$S}J)z9D?HNzU7SUeipq!8EWQob27H|Ld(|#iC
ziRkV)n!yIXEiiDGh5zE5>IMtIIkmcXCoa}@u%-fAA08xA*5~7h$3;xH)m($un28OM
zRp!Sx_SsF>M*<uJt{DCQk}d>95G6vcqmp`+wqnyiVwOq{UQSSs9tX4yg*n0|p5h_%
z#pYJjz<yZQWfZRzQ}XlGQZ`vS1q(nD6r<>6=PG~E?9smfs#aLvjIMDu*_P^h{Dxn>
zr}AF7XgKf)XD1Nq#u&;hfjS%{JCa75hXtww+n3=9S{a=mLbf+=t_DG`1}eU7l-_4d
zD0`prl2P#Q^;v5=EfOggexa$M={n5;nJ}W@Sr-A27-fhwL4W9(R`K#|DIAV&nHw}J
zK{SZjk#kB(&fh&p^#SVOTke?k-q=gjPf*%v>|q#)x(=k0ZYR|f;BHRm`E2cX3a6NJ
z&F1^3pIc$0!V?4AC*vHS_;<&8r}RB-&I0wpzF&d0vQok<7lOqn<OQB0j!|Q{0>c%H
zn>TF0SDWZZs?r9D)8a$X5?O?rIoE_8>W;tZ+0$fK%4uWuQhC=VwH2jP0Y|)3`OG$j
z@xNQ$GD7H>(`T~#L-<=$_iT7oE_*xCR*6L627v5o-+w;)n06r_`q8MxZQyi#Tv7Z<
zPwg%(PM;L4I%H3<E{dSIVqPP3LFdJuio`R3I5Lm-E~6OeM#hE;jd1+};|H>9KkmX{
z?KjH+;z8<A6oqZ^6IcZ>98QSX2|=&CV%UyQ)auaE{82q0UL*~`M7koFGt##BOEnBs
ziq>BaP5`5cC=GltjC50g`&?v5HT5sdNzl*&z-p~MF0zennqf#P2V(($V3A-t!Pt2|
z?0nD(zizu^$VR5B!8`&3<yGTCDj}uayv6QZRJ{0U0Tlo;m*j_%TUo%A^L)WAyxLab
zby_g%+OCX8>OQ*s2&ueG!B-!i<kT9c1CSy;Zri7+hqt!MZ{R{zQvIR1I%E8+4=2`i
z<EY((I&z-t6Ig-3Nsj4QoBHmQOIUP5Jc(f211~p+#s41e6-ejq*Sgreh{mWejo$9<
zX!$tJ;+pu4o{E&R!(OX=^N9yFHTP^Mh-o=IIlvs+Hyc^biOK?4HurG#*-%`VjELCW
z3{3j-HQ8An0dR%L0Bgq%wHd_kUT1mD=8&Sdi&@$t<F7+JjGC~K=~rC+r1YFic410r
zhUn*Rgu*)=msMsI1u@vxa;lU)Z+9!%GH{$VgGOfWFl$5jW~(_LdkkhpAgIk;dbq*_
zG)F`2ixQSD#}UNv6Ea}oG`?@ON()CIp#6}rX-H~UIb=?@m5HCUJpR{W?l27Xym=z0
z06G&hd6+hzK^wh{=3tb|V`0&}?l8_$yQV5;+IJI<)g;?V<;q+!w5>8gj$0n`Jv7_0
zs`b=KIw_)t_dWPJx_^avCe<4ecg-oE1QvPZn*_UnEX;wf7>2&{QL5R|;oruQ_E=7W
zzZqI$7<}5`mizXT$@1pcW){rQDI=K$N6~L@#?y=TX<-!d+iBI!6R81MM11RC4qM(P
z=sG-v*Huc&5g}yJseIv%hc9PvSLxDOZt~J2f-d)b=kHm9Yp)bTCM3MExaqA25k*_@
z(hX~prRFe1FYL7kK!aGwW{F~ZTa-L;1k<*vP48e`j<mu+5HWG!L`LSP1Y3}@_1blf
zi+WJqMf_{wQCSBo{vdj+rp#;<F+6ruLC|YoB-@_3G|4x**o6aWohHbFACUGW6l8j_
ziYx0tZWt4`=VB3;e>oGG6#eW=<6k;Ux`kl-Hu({Q3V}R|`7nj}Yl_|vFV>_=3zMX@
zYpvEw7&YOlheoL>zumOE4~<CHeoUCT>Xscc=_+NkeXR!OFBkCW6G)lTPiv!`4B<(+
z+U<Gk&Y>08do{A-a!20!+P?+X_4UWouU*UMFs;8MY!=XkCn}wq5l5M}>=kfYB^OdC
zC5ZAc(5y*$WqBVMH;f}b%9L|Jnnho47+ZUf3qbSG8jd%5OvNY&j1FVW+UtbI?j=4G
z!$%fWMPQRJrV)>#Wb38MNkq{83jVpMFbBT_Man4t1QmsC9s7?Yzz$+zfhyQ9I3Irb
zx&$L}!Q|-Yi+`t^SJ7y_X^nuchpzgwI<(qOl^WYCwjDoRvt1$pQ>`R-7Sbl%(3;Am
zBSTr>Z5~~15s`*e)`4$+QH&1^eB&;5%k~3PPGGH1Ia6iU&&K72QU=DF^_9@ah@+e-
zft@<awRwn52gIepEV4d<YS$=Drnh&QdLQq#L<6DFu2GpgT%>^)lbt{NU()Qwv*OMl
zPZqLsYAZgtr`70k#MxRHU&-Qjkq9#7`Y6)*;}?x{7oK8gcm6^IkJH5NF`d%gknF78
zOYw)9R}W^PqR1<;aM#y1sGDCBxfFSnEB}_{GVp0x@YwiQzskW$j;8Hby>nE0Tf$KN
z?w8h(=7WO-HM50_V|yTdg}Qi#a57V7e0Ry(?uVQK>%TOMIg_@iAsvTx*cp5C@y87)
zDtJD%(}_|9aWD#xc@aYE#AJ*BW*Lo!iwaR~Yi*A4!-@LR!FQVX7Dkp<WexoxgpyQd
zC>1ZKn$?5#wVK+4d3DuI<inLoaR<pXrTkkQK$*+I6{yxnUsTDEVF?@N*90Lox9Vo6
zy#V!c38K`V28UVwA!MH~e)^;mFeWCSnj~lVFCBtW_*L$pr^q{cQw%i}fgRMo(UiEA
z-e5QoCdmTHF#f=#L^{H@5^mb)5gO=8zPr{n?fBN5vO3{HZMRJM79&o39nl)ZPbsEG
zLDR6X`12=Lu@3#MD(yrqar!*MUDZ=Z55;rIR)BW9pLDQ*ysv2m>TBe(a=f7o6^J&Y
zUYO6raNO19v#mL5is&$k84bxMrOtY$jOhri*H=DaQ6(m-3Hvq0?Bz1rRW`iHJZgeI
z&q$tiJ*ox=;~XO-Cq4V0el}F#B}1fB;N}DNR$u7L#IC8#(Qo+SBFW!y*~ILyj{P5*
zPkP~Ra4Y}h5pp7<Iz7~Qi;_6c`Azn~p@^#Xd>)xd(LmUoL=aR+fHNZd#M|t7Ncmp{
z%1i2;hauoN@2?8@+Q0@B?l^X8rlp@V0acFlZR5x3x8!O%uWl_y``e5}a}lTg-NZ#?
z7tV3dFv4?({l@DYC<ak&6e=(ZpBMls{f0INx(KGqLKPNr3rwn1!7L{d*YpW`=$>#^
zv+Vh6YtUYcV7n84;P*9J2t#btBDj4VTP~)e22mBDklyf3XO{!Aqi`iLSCVlh8oI4`
zw-w8NYg*hsYx=sTmRLE@6i3@A29B3WGlD#i*~K$gu%gCOBbu?+Y1DczIL+4s8VoA-
z!Oj){R84oDejR0XtW`Ss&;o>*WdSUs#B`1Q`#>MO@m&Y1_M0wlhz)`O8ON&#S}6pO
zb^r3?nwBjlV-sElgN54J!2O1j$K<ba`WoEkqtFm{TKvAsf;!Oi`TH<O70lI{J>vdU
z?u!hg?klR_B!BZhm(r}pMAeGpkzH;B>gT(BwAdko-%z{DFipN}$Qh}$pp@{7CPgT5
zIeX)MHg88Vk7_L?T7G*>#Q%+yp`H9g=s;%BeB+*U1PUNn?4zn8ZGanfd17;?+L{f%
zKRf3wwn%-+dQ|p31ZUd$Rn!Kv36HM5o)%0U!MZr&ZMKolk9`VCee{*%&_Vf8Mf#st
zGRv{s(g?0ZbDLA|=+jY-26D%JuyQo<4?OL$ERs<r@vrX1xi1lw%yhz_+)(JJ#XpBJ
z{Y9}hop-qlILU{%0&FysymBWrWjt%My-0)f|Mq`kg2`P4FUY-p00;6TQD24b+u=w*
z=O7B=k3<eUU-Rv^;0TG1)lI{J=}<YjpmCy0wM`YMP1Y}oDh-W7k2YgF`&d4Y96=pp
zA#}JA@d0D00Qwt{HTd6Lsh*JHBnLkI?nR-p<*7xvL3L|1IcBo!8TcVSifwr?e~*}3
zg(IoPZ@h{y=SvE)Ud(_8XI0N#R=1-f1!TMae^jZ)16q!z%M(nNOsvdVCl-TL|0C=T
zXS!>~kx9`!9Nn@m*HuMy{5!4j66S)78WCA0f`ZRB_YIn!5NoHOCBH?zzK#|8Jnq=<
zN5o(dj{^$TvXeB;BQPT^yL>k-bL0%pC5L1>4eUWCxhLf$>PRNdAmf#X@H|&qb%#{H
zH|Q4h<V;uY1KsL}UW6{&kvhYPFAYc2syeBcSn`P^TwwstEC2w>_1$z)6J<y71&5oF
zvrcZC8Dz&~q9Fg#M`qj!C02ItaMuz^U8uo1lwpy?ZK|px5>1Nsr5+~|<ym=okb})F
z<VTf$u5FCEOn3Cker~80m1y{cf$48fLGyczZ4Q{$yr|kA1~l$2DcfzxEMy5TDC4M$
zcQl5{iz%Upl2eJ(UEtwGF!BR78GSh=gC>WMq+I<@GQI%v(+AmY{9Md(O|5bM79+zs
zx3m}K$Gw-)TRDD+xss2Fm<A?8K@$c+<XJ}Di}g;WZ60;$C|dNF7r`Df@qb#AR@?)1
z>l+R#AMxTDSj2QKJxj;|5ZuGk<E18y6+=Q-Sz|%4a)NYdm_zF;cP2m09e!tGen*&a
ze)>BygTX%YTUM<Qe5&(uofa9DOA4a?A#d5Njold?`-mV)j%P#J(I*rSM#gK+nLjpQ
z8@DzaT*h-KP1bKDgDVtCSV_L^S7Ml9-KMOFjqkwo{_;EKAH$FfxfQCv`thHEm`O!4
zS`8>7+g~Ti%5MLba5M*3s(oH%pdyOkXKxy^iApB}MAP{Q#=_JaqeC#5B!<2UuTLzf
zOB$=FS7e;ZS*Ua6Qi)}x^oA28^614IP|UCzl9RNF?^&G%39XcC_#-v@J#$L1v{Dw$
zUA7%hUeSuP%^3}phdX(kViMqAKVYn&$r7FOdrk;8*@5^2M|*PQ#X<^ZXh4aIXS_ny
z?)9wUXS&X1eiF{SAh%Nb0V{=fe>&i<8oI50ftS(cKHCNV$2=CCtZ1(adn6T0OJ%v=
zDhAYgT2%oTFV%Zv-RCv|JitnJg?PKkIDZ^*j&b$8ek@|TC@Iea5Ai2_JP!D<j7f3E
zh#5YYjwnXN`wC;1EMj)v)k5`ZFBR)3>4M#R(=?j}8{1<)gZkKh3Hr#Y8i04x>mZ=l
zsF#ZIKF!F36jrg$sWg=``{KwKa^b6+yY!8h-!hK32@>$SfWyFCk8oLGU-MRgB#WoA
z?`vGn{dl`{B8(K%3piwBKdMls|3erDv+7$3;?(#~Vpl!_xjtl*02v*7N8#xYmWkGE
z*O0_aO8YvbY3}VB!JH=4+2)$JRm@`i!DwQ#_i<{q<Wvd%(2Li}6)<Ni+?!Ss9H1QG
zY+Oq)D&-QhIm#qgYb-}>3^LC8wX;?DnD67>Tj2C)Jalgb53;3&rs+FLs!*#;d3!g^
zyT)E|zTB~s;ejJweIng=QC#;uAhnd;QLD0x#85GoaO5cD)2mmD7~9_9R9Lr?%+X5D
zBx`IxGSgapO#qD^w@gSOUoPk1p0SKNm2mVTW?y*NLkBi&2p=J|On`NJS$@`1{bCOp
z8Tr#};M}E8o*I?AmyEjQKRcM?W&_f38?&3n*bgP4pXj`576v%FCal0f$ziSc3_g=h
zf{{D%T)-i*PyTu=$sYIMZ+=W!Q@Gj`F?NgJTHTsv$vwwgx9)Vb$80s3KM{mLQb%dp
z#gq_u95RCI6juu5Z={x$pjl*(Jx)Y5(r_o$j<~J2^{B)<qu-_m+4n4K37wLi;L26-
zJB3gFjq+pa9<6+G#Lz;~OAd^pl$%c4E02;jHH@GOK%)lan@*sR@TU!2s?9FV5Ny+g
z6T}?5KRrjPxs_*mdQ-AiC^)H8;LUQWI67P7ZM^u(zD)JoZIBDGal&RwYC0w%CEzXX
z$uNF|q%Im$Zi1+~mrI?P^X{j;-~8b&wY2sD$Tc52TRB;?Jqp9+t<dnt2PL;H<Bqw}
zgF_b#z#JCy8fvOav6=GOPO}?EEHOt${6U**s%oJ!4+Wgn4F+|;cuc@g0YhoBXgacd
zCYj(ys0e(=)a)(&TEiX#$fk+T<D+~PZBu@Srokn=s+S78wI2v}Z`!G&9QD;(T6U`?
zr6Lk=DjeMb=ESu6`TO$#<sM9O>Rv;Z$vtp`bH$1lD^${O7$wn&%nd?8oL(2?y-m1Z
zQOi|fd6U{{?bg?cM9j2_ZiN997w<8rMRXvj;L0MJ2ZWKHeY^PU=L!l3_#1t8gEHXf
zZ_Sm@XWVD!r}BI9<Cc9L&sI;FOy<))$al^XI+MgEVKe!qlYPur9Id#cK$UbAQIT%7
znZ|=fWI0ZG2ogP~5Lk8p_8d)p6sk7_gQ3s+IUC5SBwr7l*E_jG>t1Za*TQUf30uyN
z$?QcxGjGN_TqPL^96oxf55n9nke!v%^|lLuqnn`igG?Y?>WQjIZnTk1ZPxkOEWyrI
z`!!YIcIi1@#vp9n$9!uq|Lv|h{lW=c4Y9*z!Dn=-Blh?&#^ehK+-p$zwws=t!C~e%
zCre@AROMkw^_ZmiQIQ4#uS3;5Db&X!>@^<dW;Ru_j6A}5TUsN!g|aS4@%H0$eZ`W2
zSL=JkJxhZC;52;{7j#&^o{-b&=~^<Qnjk6n;*~figxs$B`Qcqc!Sy}2;HuE@W9LkN
zR1!nCJK^<z%COxdueqPwA%91;vBQ}R_7DS9MbEQ3(%(yBI{<4OKKyS21ls3ccqJxP
zz|k?1UGbGLZ;SyOvkU>n(Ea`C!*0BI%|!zpK(U?T04qS$zYA`pmWGw=QS<k6`X4(r
zE4}CR&zdfPJZ1l$UQ}BBCO~XJcCf;!m$W`qVS<;AGlq?C$RSg4T!K$Y-M~fz5;CbA
z+|dS#m6M@nN>Pe6kAb%$!+iG7R(l~BA7vHx0WHNveL9_D{fx%?^}p}Iv7CaA`-0)7
zXcP1adOdq|i=AR<hvidFz>ADvZ6`im`w9DWQj7D#OoYnR8@hyk#_Za-*L>Mk9lFuP
zsQGuNM#D_toHa0W>gMh5_r~$+pC|6A{N(fA9@v0`A5Xk?+Q|!W#Q%9Xy!3G@gLgh8
z(o9Hg@Fo1|J!G&-o5rNQGA!`4sIcr*e^53Zh|EOG<9f%eJNt{bJ0-Pg`aY*?=hGaQ
z&>-j}D~LDWmmsNB9e5SY`#BKkl%Qatzd)2}Is~@)1AkuiH|M2a<bF;%M|o#OSpEsq
z@F6+}zq8l<ZhdReX75fBp?>Q4qD^8W0fynBol!DUF}?xf;f*Tw+ptS$`E{GS28wYW
zV`06ty>S+>AZF835BI*F+w#I-$j=vIzfg2kK}hHB4OiA80l_l8*bJ45kQ|#|$gHBC
zXaT3#Qg64MqUL-xy_F}oP0IzEmOp+N)~0@jF?+F2k#r?9cU8|>o`H<oiQpYTlb8R1
ztbg5`^-P8wYiOJ$AxZq@%fETS%rRryrx4<l;n)DlTz8Roq-bqhwmRJ;y`|{H9|U9v
z5SpCjIDiOApzJY)U8Y?4$`yqd;iFE~wAr89D<xp>B4Z82#(foFskT9<EkT~y_S;7(
z`ajslFG@Fy6M$eHkW}CrA;R*&L$VAf`rx}L;Vs~V$=dZymU4?v<QUM!CX`ZgbA}bR
z?SIxRq!D$s!0)P8CbZ_}2&J&9PNCI=U0~hSd<-ZLpD~}#V=ZTDCTq#H1WsBw6Th77
zeNhG=`UEiMTLbv}somcl*TVmcoXS9e(o53C5t>sk3kZ5^bV5F5C@tYs1!C@cAF(2M
zqb6<8{rS?AS3$smcCn(ia#U>Ah({U0eJ!W(`kpIl`g|w)7ZZZMmZUD_g8%D;!Y2jd
zr0$5RImewaP(;JVZ#XAD6*T0i8U;Eg29B#5{3LztbNoL4%Z56uu%a0A;(wqW?i{kM
zfz0c5X@_vBXCY4*q5e17wis@_S0C7cwxm%u5&abP#8MDgIi1}K=S5XFs{8D*b2v5t
z_S}AC^QbX2lXnVXo~{V;GT9jCG9Kpg%}Le-WW3XaGya|J@*|C&Se+f&MS8|Qw7?IT
zq)pr6JOC#KcrFrZGA&m_$)rsn1Z;_OA&1gqSEpFGg;R&k8i?@AxO8ItQH)btJTZ%q
z-lms(ot%sOx4HqSu@_a+@~foLqmXQP!L9fakhglR^(QsCg^zFKh6av3U`I}G@=zP}
z7oURE${CX#SYq6fs7amA!2k03W4%`|b@{?2RG#>+W$F9n#aJin@mSK)j_ZU^<WCiU
zTT2tFv&&-r7}3>F<`yCqs`Fz%?Vy}3ZW?Zmar@Imd?w(eji+cEU`<WZv{U*<s@?+a
zjRBg7H{W53B$W`TQC&v05;?>67|`|_=3KH{fYPo`3yM;ce;emA2R&&{bc2RzzY$Rl
zFB+zCd^3JVFQt$p^7nmggiqFoXaj$v3krq-?2Uqv(>f(piVKD8$#ZHVv|mm^>`qC;
zw|Lf6@o3bouF_{td=gS_K|x;lp8H`E#C$h|`;hFo@*AHsWRJJlCiGp;$_}m12gtzv
zcz|u|5+B~$J8fXwhg{#v9U8r1bEHzkur8L5QsLhjd^18$V>`P+C@LN907Zv#1Ak0u
zSfi?Iy;L+xNSfp27@2tqDS%Xos-1iX+;fcFA7(KR13^(Bz2!g+fg}0eO~?!^T)3|U
zQB9c6POYj+km{lEe(d2i5uaSXVBA<D@|)j$nQj#3ozp@sXtQDH{^~9TxI@T`l*3d<
zKg`+#q3oUR*qOb%jR-~74-AQ7;pnD0g{3r!5TX@yeJ_X(!%=?tMBQ>SGcltS?e{MJ
z9z8PY5<&0W^@kNS586M#jD+n1>l+TY`6f6R%bFUyC>=r#kiEvv&Tx+xKVR@@aCY?$
z07LF$|1LXm6yir!U^lW|RxVVu36a*b#{KZIW%Hi{;sbFZJrdzCc!}fu2Z_Z9gf3xe
zM7pe?kzd+h(wo>JaUEs-^2IHXZVW!m<k!88Uw69+bLQ!#L{0$!Cj&fUmpWZXnTTH{
zh?==LOZB1)JVAlrW5?<O3}6h^^pQ(Ih)C{W8jr%ONcr4&d~&gXQMv=W2R%Tyhsw9z
z6l)JW5Ld{4@x_&^DkYQ;ReaId1a_D49ZGRwGov4`;hQ4${={Q9kb7VbDrc(O>V-cg
zQjP(anJ*QlzG((2Ss}xQHP;d3cnCl8Y#K`^Wu-b4tH;KOZO@^b&bce>;@k+Osw#QX
zUPGae1cu}3mDR-hJg9Rv@<>QU9Eo&{eFLp0N&jjFG_&+jRfyT8Ybt*>9=mj#G>mp?
zXJhQwnBMq*0N#?zHe;|!%XKX3Jx1c}Ge%NSi^7b^Ln{chs-w_c%Wl848r7kzD|&?>
z^;EtMq+PoN!%Zq>b$dkxel7~|G(vUr8$-W9I?GfjtPs2EU)=2(iY~oLu<>8V-Q%c8
zgJ7)3n=emp7A8+vpl5IB)&2_u#piC#y^mh8#X$TktX%$$-v~?7930Pf$+5o<!n1;6
z+KTQ7Jvl*4FDLJH;P|jQT(TxkIELvj(wS74DsP#|3CXXIHT8f%O%R7>6Tacpl0V3J
zDf*kZ^l@HstEF@S_3_TZXl5^2-eX5HK6)ik<n6+5q#n;S96K_9tS;7+97wKH@oBG^
zdN1Y<Si}D5x;!@Bf^1`_>8yJYRKGVs|1H`33xFhQRakbDnAEWj>>;vw?);E*UIXM7
z0R)r~zqgqF$>j0kT@R11NxDlsvSnd7S2`)i>7Yf{7fUZT$x3Hw5vzITtt2!eL*-{k
zQ-$G|?%n}uU|m`!%SZPt>XHyUbKQNj+fNsy9FJ-+9}Y6eJxRPS)(9Q2c5?c=GKQXp
zC@?O}6>jN1alO_PRgbzRSJ9?tT)F=yJJ%njrsojfb{0|x^2ZM%6fIQ_ZP#EHgB`EW
z2vk7ko$04Yo(Jl%xn2N4PsN3PIj_wrs`dT;E#tepR2Tw@Q*oho!r3xItWO;M@}44Y
zFe(`AHhxmJA6dpavx*m|6{Y@i7>E$|@H8IAjSx^Hf1-KwOf$(Dq}=m2RW62ejbi;;
zhMlm9*8gJ8un*`H2>(~6YiLrCsvb8=z}T$c$6|h{RSf0{T6)->xk+dazGnKhMDlK|
z{JFrKh^+X5MGH(P%!H|=Q+9wI{bl^%T0@$rR)HyOIzI8oWSFAxm{H9MGnqcKpQR<@
z>q|;^p=JJwZIR2i6fCokHQ>?qEi7Lb>*KvMhH9(4UGxBB`jPULm`Y_OGNIm=<2jl%
zoK2ZhtiEG-U{K?*B3?gDf}oz=%q=YRpM&!IfgVna#r{+Yx&(w*O$&FkuC1DOT||1H
zFg!sI;h~lwFDD#GxlHvybAs0EDQBj>+;T}wGg539nNG<MdTI!cPxS9)`zhm~ba<Zx
zMi_AWvcrM%w->1rhmP8g+}rw2@MbN|p>i{|h+mXA_4iXSvkQ}s@=HA~BR2hOvvVE~
z|29X9Gj;bmiaDK>Y9M0zaBN)c;krVYO?NV>idc^LkO^P*);!`V#8|F>a)V^;SJ6Kh
zyuu{zz|lf1-^4CRlTj;O7vN$}NppP#>y}8(-Eq)qSZSJKbrNOR=mXnred|Xr(yS4$
ztX|wDDy{3Yw+eWYNO0d)05%aUp(+2h$5sOwPRB|~p#s<d{Ynlg+B}wV#Xc0_xepbD
z2=@7CcF2Q%9A;St$lQdZre+%z)VT7C2Z|$j79wB(eNN+5xXTcG5MTg!6^Zi;gc(1^
znQ7sXef^1Wb8)=hJ<@4K|2h-pG2L~sxM|F<HMtp%A;Sb9zP>Be$ss}h{i4p?cQ)?e
zPkuLiM{sDc4hbE1pJif{q^HnDKeC}cnjf0J^Z@hhwP){)Ic26_BxFc`e5S=no_&&s
za5IyUFaP)H`yn>=x*E2C0}(}!?5woKcM#QiqosAG7ArH@-1T*QvUMiYhqUN~XM!Z5
zPsO}MM87x-ZXB7O>VNLAh16v6UuZuYebkkLyB#XJlTuFYGIj+hB1YC|sPTmzLiSPB
znBitVR-f8{R-*UACEtvhUIMy+L3+Dz4fjv9PSid+bw~TJi-j%>XL;ESyZ!$oDENF~
z8v)iyz3bu*ezT|$gw8vq!KnYns;=|3#7hvbwsYyd?&U0K77KFXqrrgG_agb`)?WJd
z$GO1K#9OqbzSRVhz?+mjOYY?#6OF2a8Q~CUVpwvQIt=M^<nN?PkB<lpkZp!ul`c`W
zA<|atP$!-b8R!bwK?hLNmzH$Cc9>YLSAY<?hnAX1M0OMS28Ay*;1$o^^f*5*`gC2S
znY*U{@HWXNfz#Z>gV57E%Fw|5pD?#RBQpq?WH5okUS?OTE#VerxcK(3ljxyl6mb2-
z<OmI^gZ!+W$Spxi-v9N>+D{j(jZ59vURzY?LL&<G{AqxI<P(|EtA$?JB9l~-AaMm;
z-=&$G+Mtc1C=vavfw9j25yKizzWcbN-H%8xA$`CotKRlKqszmyMVn(#kVJvfHYcWI
zQ0c*&V)<P_VDH+ybmtf0OxZdJ-o=6`7<(bBfze;KR0q25a2hBfcoRrC{N+F~94{7(
zW*Qy9XrL9$fv9#loC(Q&G^zl0*bdf-b=bt@WUoTFid)>+5a7YX*qUcB-#^+H>mP4q
zdsV6v+~U+g_vCnd|4sW=i#s&qdX!=c)MFF|svzYv-}OQ>OQ(sMRJ_9E?lxvCs@5Ij
zx1wKu5k?zZA;4mxB8<9o<dd95-g`Wrmq7Xe&lMcN@z_I8sF%o5eav>^832)7HC1_y
zwuD2iDp>9l6>0$d(+1$elUfS@N*<XE!!!HYdp`r{pY(t-412@~BKRj&3!WH>Qlf*E
zySvKewf=N3G3q-YBOaTg(3A0h`l_9vh`#%w7r<7#9PlWC)b8zNDIHFi8b2RZFEgI=
zx;`0Rp#`JR4HtNpYyD*l%XdE6Uc&F?Tzr_P{A1U7z58h=22SMY!A6X4jlx)!f@JRr
zo1*VB(;PM@qfA1w1oi{5tl{7pHc@j+j(lLAa8Y0f?6f__R7p}g0IN&y3(+X!$l=mC
z1jT%`^@OdfXzZU<)5W`GGflf<d6$aGO``O+%9Er&x5<7?ne)sfj?)ZF^#0IW6UKq*
zeM39Ih_>zM(>uFQ^{KM;_m=ljB#|1r$;{OJ^-HvyPM^$>AW*BFj`y8m!gzE!|5o_!
zO^2+^1Yn7_poa?Yqt1Bf?`**)$`c_5zKpNgbDe*{u**(y*MI0QJ>l@EH%b#l9T16Q
zH(8AGn#`75l`#5~>}tv*<B=LGo5@avUv?nO)Ozcm0KHN1DO(WPvOi0cR51ikb)js{
z)ck8PH*m8(WY^Q61%(Tvaj<H7f6#j`=S;}<v^yhlu$AAAT81XcVmga5l6~fMX}(n4
zAJQOAU40E=<7W|_p=SvPLbm_4&$hz^9c#xWWO-s_54~vw%xYo9z0wDes{=ud+Ry^H
z!=8GFneo)+6~RJq4%h=61>DDZIRqs<Xr;$7i0xZYm=+p;SpRGh<I@aMq&6-x4DPA=
z-JpDVQ%^M$u9zE!ob9+BcVSbY{%U6ymFP}*%O>;Hz`B>6Z3SB3EFdQ#r@I=u+->qp
zL5WASA-Ww<?B##IOp-%$3wB*4OcBvc(T>N~jwsZfQxrZEU)~Oa13c*0>UMgx4oaZQ
zb>ckqbS$Y-r>*i@WQB?VGgcLHwJw-fzw)4h!*4Z_Xuvv~IwfRx3qcNEK>j|(T)LB)
z=Lljsa>eWgjrdDe0g{X<2js_Teo7M`h@8nzd`N4y1NJI4aoPQXyH#VK6O{1q<cV=Z
zx5yCukT}y26-M$sw8)rR0KhonM*&j_7v~(TfsQ&R8fvZN+=wq-qWbM2gG~e}{uS12
zv=@MMo*`yqmr2$@Sip{un{~)Dn;UX+xA!En$~a47y^q`#ZY`!Tz!9r;(Iq&iaPmw6
zZ_hWV06pY>79xHbg%flwWx7iTR767%DxxA4?b{Dk|3TP-fy0iZOzl)8(8n2$j&Jha
zK4q50Bh`hq)3g!J6Ke%ZWNmpGsLu0mOn$I?wl`nNy>rBQV<e^#-#fWqgX4tL9$1{8
z$CM|cW=!ltbmwcSg`c4BBI0Y+-mR!;^({$Fn=mE+dHwU#QcMHKiF~ZCV@dV7#lQ_!
zLpEyOs(r;t6l?j{n}BWUGY&bJOjEjZDbJzB@7pO$P;yaRC8}&7Qe*xckcnQ#(Jh(L
zWS0%;KJQSc$ejzF3IiT-@+*bkcdMLodqUImzQrQYZLncmyxbB{d-GLOW4`zEP(~Ue
zT+9s+6ReCYDuiC(vapV%^yBoEc~slpN5Ku{)$3r_?1{U%FXLwi-#V$kI#sM1y*u!&
z=LU_^*$l7hx9V^mXnt+s0Q|>zym(jhe{aT<Cey;3FzJCCTE!fK9*<x*UWn7E4Qe0x
z(otx5$ZN35&3;BV^}01OMztm~zn?1~4pUgH@9r*@+ASJ*9TaxT$dY(BD*O>dA<bSd
z5=)WvZ*2WlhIv@ZLeRDT9f}~-^?cMXa=U;YTt^hd@i=&PwuJ{l`?J2p;gfaq{YbFt
zSsAeH&8PCv+7|N20binwC==IfKY5YHwb7Fta0hLEhEk&5<$#c!;BF=WbMhUD7;-7N
zME8s~b_H_N|A2#oIn+SgV-tqJEcCwgA->lmOXM2;K>1uN#grJpFQ|XxLFDE3c*STH
z;Uo~7v93S7-2vmVJgu<j!Mv3n`3fEa1yv!L_|{`+E!?1P%#uXA^Axi83O|RoB-}56
zhnB?Vx4}3Oz6=9Fy<Uv<2k<H<rd9NXxr)_E<wUDZP+ED@dn7iw!P+U^<9ZwcTMZ;K
zL)JXr9<I&Gm^MBd)n&k`-~lN1zk4ulGFKoapt=(muwSnsfXsLoO=UAk*jAuuKydm~
zrJ20*@~L)ChuZ>>S#caSbQe2Kzk$_X9eB;}c$~u%QBM+pzY@P!4Q$~BSt2(#luL7#
zmFb%a`RuQyWu!W>IqcVC$V|duFHt9*&5qW({XwS{VR-&E{t2Oa9{p(ak_pU(&&q)W
z^h@v>Kj|Y4D;lP18_PR_9hPat_=S4)CGbf{L4=I_Ff@u8)V+lNjyWPlERWrg?E?tP
zfW?4waqMcaG2@4VPWJs1l{@f}@}!6;%J0>19hZESMZMPIht>{J%^c##E`vC2j9sK1
z2Mx&Jv=`JQ;zz3d!z<)r`Uco7OxHBOCf1m1f0yvUjkLJ*^)i&;U~joPR?SdbK-#4M
zFVI-azU))bP(-2Nd)Kx*@t)%<r#CAe*LOs)O<BsD`yZ8uX^XaLv#<Rgr(~6!Z!3h>
z$|3;?nD%y^&95$FA93wz0C|nX)+c(z8Ni1rc!l+#VYR&B_Ms>4lUK9-ABRAq9TKTu
zsLD}yW=gY69V@d3a(^*dy<mCua+i&FbL#_SWdK~-0Ni)xcBFb@_WH9n4jguF5NV~z
zSTbrc3(*Q&!47PHgsBn!731p8W(Em_-Wvur!&s`u&R!^h?E`pDL3oP1-Z7>-fa`d(
zZmTu~7YE8S`(3-+HSF~H1M%e~X>X5w=->-agF1L5Bn8&rjU-~15?YDRq3l9$mw9e>
zWP2GxXA>U9CutAuu*%Tr0;TWoP6Zk|ge=SXu#`@XNhfnWD~!WSgOn=_qCNfmw5ZHs
z1$bz*NhEQk#Y1NI<xeeiG})!?4ZIECzzA9}VoMl6O2)Q5)O#=(1E-iyWZWXIJs@-W
z7w3KHoC2z~EO&g%a}g4U&ZSowgAl+dqH%Ly=1S9`iqYx8>3}A+(tS>;h2RYb1FW9S
zG{en8n`|?3{8{g95s>#=%xm0~86Q=nGHbsI949Re$#`H|;mt};(}(g(uw+2t=e;N~
z<l#nusytgkwu`G5PjM$36+`bIIqMyL$BiQ<4Sir>sf%3U)Yp&7WL@V`5(-3njYyE(
zdLaCX0x7>IHO&?leQXmOu&9S5I;aQJ%F$GN4FwFdGE2M;mmEF*EQcB|IV>LJm=M=o
zncj$0wSWa93-0v#ep_LnO^dy0o#=3*;I|2Xm@1nN{>%bO!*oeU0-Y2PN!sGA+2_Vn
zTzhd|hUhS7o;3_hWK4E)<eD*j5DK_A4Zn?1Auq+gF_~Q<_{+V4NZ_XKo`yn3@lG#6
z-`{W%veW~+@9@?HgIP^K_`?pG>c<^m7C|4Wz_4T^=ni<&1RAjE#<bHk%HIr21DN8R
zlU!;X3%H<Z`FbWQL-->9#c02PdS^F&rPnm7^&mSVJXEpRHk=V!wu5*tOt~#e%o!H3
zorE9Ea&gVr^#od*Rt3dAxs;}+X$?%v3sRU+fw=#Xq?&2mh?%-{q#6rEi<3^m5$QVI
zLi1wCn^GumoxvXN8;PZVQr*py5BU@_(lF22=6&UveSZ18t%dc1q(f1^xV+~c?1hUp
zE3zM|?VeYzD0I01?Biiiajy-#?t}Ar;zSD!?{is^onG}IN{FvM6m7nr<0L<^E~J0J
zo-rkrP_v0xo%}VX{1bNWN-59h5Xo?lA0}BWB6k1Zz`Vsh1l^xRweIgjsvpX}9^+u-
zhMsp9%&@0lbY@T!%04kYCsmDB2!X|99p&Q9+QcpD&{Ht1@t4$Kzrc-mn;naIwas9#
zPZ6|d5HWasRr)zBx3t3Tg|SQ{q**(}V(S`w81W<PlY!TuV}MPY(}^3#y%*Z*SkEo`
z>uWjp#?<q~a*J<is33-I@xk3BA%{3PGXT!HNDi##STVD#T+{B$2>(Slr-YmhxGCnQ
zBL+{Pddnbyd@%CtT!#+Lqw=~U`bX_jkk1K=M;%?bmQ>%xBP^7>a|zzM7%{O96thz{
za=;PWhxr~1Z?s}R3^Hx_^`e_G9JP0LI|z=rRk&-6S8L>7zcubyO%AifZ3pN1HXC0+
ziuIYeR^GB^dM@Bl>LtW+(`NfiH%Q#CuX|k$LxLS=__4)8E|BcITf(2Wv78h+dVr8|
zVT=d=yLIWDnZR$_Dx;)_6s=R8-DH2#8GvW&GBTv^3oG7S#vgc$p~RYZ4#K_*VR2p|
zoz7%;Ekumk{Mft^E*5!6B44!#j@{DdWw$fPa;_VNFc6mftZzlM=p^nJK#}yZEJL{D
zl1hovV!L+h%OS>Wv}4Ubs{qK60q6+rUbJr@i1OCE$T`R&st^VmxcyXdQ5u<d`Pu`P
zJaLJ4h7qQbf%8EW<PTv1HsJgX_-jwkRXmvVpY@w8cy!?~^Mj&c&SOQ^v~@IXu9|Z%
z-3O=$5;E1kevrraTMq2diJayf44sp$BBGd*F|9*ABW@p<dPkrfI2W8g?61xQfQLNZ
zQ{rfVgAl)D)hYXTk>y`v&8IS3UO?7R=&Hp+Bn|HBZG22@rb1^|O{e1m7ELp2U&$C0
zw?GV}#aXx|tjr#6UEh4fXv@c6bbJ-Qq6iDS8L-@I*D3|yQS%ISAde=_Q9GhF6FF_$
z($*RJ>ouq*;G$#Jj5`azB6D%ycH^IRj7SByt0JKCF9>G_O{)s?BM3>s^sEd`@NFfX
ziXNUr&~%K~FI;aGO9z+;tG>9V|MqFdBnYKU;ezijKqOdAXaF|R-4*Vcf0yv(Cr5;1
zy0JV>+rY~=xH${<W%<w&nLRd(R$4nr%KH7ACCeqICzQcr`|j<hvRlB6-cFyDl-xz~
z(OG_aANFmU0~B9d8D2cNYJS|O#lZie042$9=#aB<8oX2Zd(MEi+Ku9Dec0X;8Ulyy
z+pQ09&{!f*6wmU=Fjf6-4MqyDUuLoq#`Rd~vFfhkzt}8A9SuSC_JrDGZsA2_d2_E!
zo34-Z$Wp}#tsi;$NKds7S%NEk92|O4;M9WGe;N5qh+=&wtthue)}lb?6XtM`Zq2r|
zoH^>BJ*Wwt35mr+2}UPh`(y&V#fO2G$}`!=4`wdv+HS-c3}*G~d5bE9h&Kw@Z=Jd_
z4*GghE}u@};Q*4aEd1&H68k0B^KS0_3^#1b0Icva=d1!JRQ>N&<0VGKchqf$;~3l|
z?&Xu9fC2P96-XHnoI%*Q#&#`+@5E}L;+>MD1;_fU&6%w{e*X^L=_f?0B*{cYjy;K-
z+&+V0l4;*}sx7M9lYZD$Ts(%yJKfPDM$Ez`V^`g}|3qu=h-88nzaQ8$@q$p3u_`jX
z8*;g6w#9n3c*Gg8K*0$(&xu5CEbnhz{ZB2j)07!*!SXxyPc&4(F<!HdE;+LctLZEM
z?Thaq!!5Y}0ssoQ!2tu=`qw4Q1^JxPOF=zt4~tqQbi@v20^C>GaF~@0NHs;a5l9|9
zq{jCbUE_v7^d~1S@|Y;J{=w}vC+|Xid(-y(aUdnfL{SF)5P4swne$jl>0pVt)c9Bu
zg_G9kItSWEVL&%A8V7QxcCbwR_<^pwH&i}a=LK{lZvRP8pQ)9vuyrhwU$2Z>x?i2p
zT*YBkdpnyHskp&fX2YZL2f)y@rmH1~p*suTClxwzQ``5kOjo<iGb1e%`A}F&q_-~C
zhL=7MQI?-#G<1<1CWSaIt_iK$2IonaI20+GZd|MLtrUqmCI>?L;R+cn>LukQTTt<0
zeE<k9I<u%t60O=cqKfX337>bZASugQB#1bI3g$gB{|xHx**-i6TLTyr{{fEnOM!sM
z{-2lm5o4-}g3Wcb2+&1Zuh#5&QA~W?<4^vzEk@l5r)easz`|f@^x7ZEVTk1lK1GQ4
zV?VecQBIsz2c0Jn%o-BnDdt*9z(I!?XCIW5Dc^Ce>EZS+jl5-4v;lCMm8Hj;&%ycA
z4lzfPU`jlV`L#|By^M;pOm|b;#Pf<f$&ANZ>b?!i5Hq+4mov8Eo;y1S;QUVURXf_%
zIQ|}SX@PBCb`2K|Xp_V^1bkE>i_`4u0G0*s<BsFIEscWIpXk>aGdy609?T{zx%`FD
zbaNMZA@mc_{ogz4{4tF+c9VPMTX<_)rl$xGWB!yjzfLN~h|y=~8V>uJ`1034^}UpZ
z;NttoDVRpEaAEbq+OZAvf<z3ST`WQl4J#$p2h%cssrGw1Cd$^<nqwo>h$(UI;skzg
zIF@P+uv|?`MWMi?Q@GvqBK4KmG~RSFtI^y#G?3*Re5gK$b4-r|`o=bAAg+lx3XHmX
zoULY<!_Aa=xBDJ4;%dfcgaM8n*L!(H5qtH3ni;1xLZ<yi+DEk2U14M9C>}LC4v3z)
z+T)HfSZV&+BZE{p<5@|@(|m--L0$BKcf&)Xm*A4uI24SBwv3J2()jrDR~4?N=vsiH
z*I-*3D{1A($DpdT;Phl76H&fj76qJny$poSq=Y@YusaVqL-%jmGL|)?tS|}S@MvE1
zcKbFb8GWL=MC}NVf#|X$?~cy-Nv@YXDnVOnYDh{8gt(~SbbKT-Y<Dr4ys%{Ht+3om
z2wj2LP%3PK+b^sV?xlP&{6g$4&@nB6KJ04_@)7>O4;+XTs=%Y=UCUGMi*!ahur;Gj
zFYPzQWytB}E%r1I_&um8s}Z3)0s?qmeYnM!3NUv0?D@z!18g|S6}Axf39aiE809(J
zWBf0s{EM){0svHx&3?XJ<8m;Z(^(fzQkBYoHg-2g#%;)qJ0>v!p^=!s_-$*J&!96E
zzn>w=ssNbxAgObg>*af_?bVRaXvbpxpBW%5S-EKy`$nJ+?cTj+@poPFKz)mY8idu+
zW>-aN4t&$i%l<ZNCV)}goq^x1q;Yr?m5W__^XpM3rCdtW0V*1t_a@HyHPMa>saNz%
zdg;~Br9MD9dM*?)-58CUfJLII=Y@<+M&%xa$YF35fyT>Um+Aa>Qn50wcqQy}(0{KR
zttUh8ab095tojbfD<oOv7}1(c(N=0FLf5AwKC}K=Xoq?h{nLfMOl2X;+pW#^HQEM*
z>EN<U8gm9X;drrL#^{=Iw?+7+hsXNyKB_8H1ukuj>LK+18|IZ&60wOvBqi91_kdrX
z#^|p08AS{@JkphIhLP($u}Fj&4`b+8dO6zI!uGJBanP~~{g=9OX+#S5Xj^@<a9>-s
zxI$Ziz)ygOO>s=s<igC8&vW5f_GGxiz+3$phl0c0a^11!y0BB7g-}V)*>~`x1?gnw
z!s&sE8!Ss>PF3W~`g?&!{iw;1pJDN;qSIAOR$hRK{dBI5I=Phzo)_hb6VwG`c)SCy
z0#tZv3Yw<RqABkxh_mf(E3(1{89)3Z8ne}~Pz-kt!KePHtK&hoh?tG9qckbHBx-?8
zWMN`9NtiDaCX<$V+X#x+pR%#Zy-;jsQV~E${R|yLwmD$Pj+q-}G@${xx7j!8`bXy-
z6>Sg=d$w~+_==-UM}+b)a&*bpS~7Nk=hR#zfTn30)bdp7T;=E339EqZDLNre+|m`p
z)=9*`(4o_c!WB^OUaJAB$NzSpGs9iRz+Av5@jxzv%M=oXL2!mx8#jtjJB+m|W6@Cq
zz^WmPc^EPTj(iWu<h$Rx563hoO^Nzd?3UlUYS`%+;*ohS?MmRZvj5FYvhH9l!7}Bt
zt(VCPrGy`HQ;v7$0MDk_DSZjX<KG*CAXZP9Jn)c2>ap{)y$CCQHt@SOe?%W!-Naj=
zOP6hKDt<4*>6d5Izu~DUfrbQ$5CRR5=CBSee0Cmvvuz-7fNZdX%!fCp6%{QSUOkY8
z<@2tSPvN(!aOfRGoz22NqN1U7d~|w0ZKq6734O$rLHr*$F*g1ug%q0v4a+gf^L$GF
z-9@QKQG!9FdMiH`PCr<ER>H1%5va#X>3i7$S;BPCsdv0H<#@cF0{A@Gk>IE{)T(>V
zb?s^!y5ki-we<y?IYG+WSQkYRz8G9M5%f0U%=Y>hjQGU*gf%TBL(<DLEr{0g#NwEY
zm>-Vg5Ge05F4QKwRjJ+~{^F&&CiJc%^Io%$vrI)nO{K;yMEq;XIt0?bZkib0nAGK7
zv35pquM*JC)|P)8UpXAroXQLC$ja!@vy+bq&ajnQ1gp-}Yxv=(4)Eq7M}zb_biz62
zP@*2)2pOgR_hSa*bU0S#UQRHg0p~ccX<R+`nyN19)7U2!mbpM%f*}fu9MhN+hew3^
z1mRpoP-C<`V>JR&6b2P;!T@@ds~U`}`&E0v9B#8@b58b?M#lFjur=y5z#YrW+h7b3
z<b`6=$<TM2>@(uDa{jCK9T*-Nl;ZX&*~s9nBO1Ik3|ac}z;gic#x~YuHehbRtqw-L
z-j_G0xBCTPf!+siz2ku4(T-}n4e!9=ICoYv<3S5OCs3AMEm}`<A8;+uu4aM9ViJxC
z;ddgllMANcU~GF3;JBJ}gs7YG&Ge@PIae~HjhG*V0iR>kl(@CrhZPs;7$?>p%d@uk
zQqvE7Z1;w?^yCR5Pq(q?J9G$xfls=-r7W$D(?~tIX-BJc9voC1MYEWS{B4$9!BCnk
zEtz9<^Y{1`=xocthP>Ki-H{C=z7x8t$WNxL?=;^i-0s$15JW7cji=~K3cHKpwaq1j
zIw{c;sr-ANH>|f?oH18ihbeM0DiXI6kUP_FpGF7WkZYE_KCz=T@jFGz3IY7qBxY1A
zRg3KW`6f-H1JpX2QaC|@v?a}5o;i~>taBv9y@Z_T#rY&wpMJ{CK`tB7A6c18Pjb@~
zrmYd4={8~ZTNuFjFCZszj_cZ%5Y&WXIl<Y&f$hRC)1-Lyrw@WB<TV=D5blZ67xd{R
z9I$F^LyNS&yN$WFV%yStbd>knmn?hMEV#vt_ZCT{St!GK`cx5TunC}KN;DC=H!VQX
zQY%VUtQE&kY5Te4+vIYt-=T`SJ=aU^)<r&ZS<meG<kcXAY&vwI0`68Ijd*n|N^!}|
z<>dc=YWmIA#(~36jlU*8Q>@CcH!kDS<@5Z{b4Rl*BM%&DD2W2RjpJ~k;L*#SXSW>J
zGJXk~#z(I&7XR-7LoQ2{GwAJ4qj|xXh){K-B*^75X2XSgAhn4&%mVPM(gL;T*`qT3
zd8_XEHxGP{%T}Z@uZV5b^&@Z~(`d6LMDrpc>6-f3)IXrPF`)q9rp&6!mR0zyqR$kP
zSv|IR!H)9d^EevFAd|})`)uwAjBW_#3Y=&AE$se0ui)hzoJHe;9+UsCYquzAQ*+1}
zY#b*&%tt&2HWHsB=d_~CkKL51i*Ok_<@oRhS+g~U<-bwG%snlhMbbYu^l2W68}56m
zUt2al^KmcDK-O=$B>3yF`3~`Yh#WSso4;95|Av?G0PDCffwF2f<JW$rQ4}aj#3iA*
zS(dU8zZ~Est*s>X;?$tozIMH4|7IiWxg4%~nxyB7NUb{Qa7lT*#hA8C`|Hu>_VEYM
zxJqQoD_1#zh}D`HuJ-0~A+x?TCG1zBZWYO$Yeh=nRC?C|uji(Iu)hTH0fOB!SXAZy
z-%G@3w+4+V=~Y7hAhD$=MFMzI(SFa8H^E!mk^`x=FBE3zRdV_VVk4Ei$v167lW3p>
zy98`zvr;6xXLeg~W^Kzlpw2tQ6<uA@6h^dtaNH1SBX{YNOPq8cp0i9BcFAMAF;WHK
z0}0s6Q)Z^Owz{SkT{Phin9ik7Q*J5*M?YPRpm~9Csx^N>SS{EP*A~G^^XZi+(0L8K
z=S*Bw^3x4l601kP^8Wlg#xR!Pxs_?%M!X03N{!&2(aL^F-XQH!x}VUqES%;@yNku+
zc{jK+J?;ICCFK|*sMRH9`iilL>37oU7_G<c?r?8VhR;;D^GyV@Bqj{{OUd3A^V;ij
z(i6%^n8Iwgd!;hB`ALh$8P=n6g=p!w5w;R@$P{Nj$qB~6CE1pqu7gCbT0lROI=xC^
zv*nR!xSTOA#O4bEMt$^;1nUGI>k`aQ)xSK&XXhfwxA&!Fd@1H~G`CcPT7<x^472kr
z?6iu=(#^NUJVrR?OTFAy^4D3(jzmu%+4d@X2N@!6BLX`-c~7u;p$26)@@l9RCTKXD
z!tSiCd(~jx7Mm_eWZ*Hd6ZaVa9J3Na%c3m^Pspdsu2JqwLtx9Q=w=U&g$NvC9zRQw
z_q3}3*P&GrB^9L`x8_PiY(YN_NKPlq-Xi_}L56uU%HzMdSUzD=@Fx*CHof}Ov)pnv
z&$<$ECec&`PpCjJoS7C2CuR`$F9k#&J=dX%(BEeOe5mTS@ckR2C;2%sd4aP<Dy=Md
zRBh@Z&JrrEa7{Maq0G+nbv!F5DplzyW3;N0Cp=aQ{1g?g45c84R_V8oiI}~6>6sx4
z{#|)i$#FtRS=H<(;=#k52}QvPo-+EZ?mHq-&sFHBBtS{1?Z~@(cp}4mL7RL^2M!bH
zna)Dh+4*`wmfsgg8~f=EnM!9|%!mKm@wPaHj^>Z`CQQlM1lo|@awh<!kOE*ZVDfnQ
z+sQy86?MFaFz)!3oL2z(#=g6mk;CDa>BPnL;7V5B&=6n{In~Y~ghs<z&v6V1YKtmt
z^hcGB++?O$r<8N1L2~L>We45>aZYX?eFtTVnB=wPR3S=)Gjp?XJ?$wErOlECs(3dT
zG_}-^oJ*OMDpb%9fiG`Q)t>Z=k|b5mOyB<by+#HG4Er7u`YV~~42NZ?)z3-(#ALiC
zH3pW;zZ3*~F}tLLY{9D<wD!fr$GH#UpI9%%hh>-Hc9+#-OHg{4@^1&!H#~-g02x8I
z6)YS1mEybkUvjBgnrU*Chy_U*6dh$ICASGp5=OeMb!JQ7<q`ee#XuvAiNC5ohIV@O
z;X0fd-KXUUAAgLOsEc{}3#bxEulK+c@o99!MtIwe6cgP9L1smqDy4B@J#e_%T3lws
z*+$KSZDtP2fa8*E{$3|<n%K`uOc|Cb9l5|Ri6Fue_-}-@7FqoB_fdnyBja`@3A=K(
z-~!nehLEl~|3O1+1Huo+$a&XNN`QrRnswcTFW%URm+PI=;0%nCtv2Ncv~eRSv+oe7
z61KqaugR0W4XP03lFOYayml#_uvyxlNYI6N2hUWfpOnkPYA=EQM6z1>!Y-OzhZWj+
z6bEAC9Jko^<(>&|&DGn;@AM&54N)7|s&^CbJcbPju_vu>UZu=)+^+!}*d{flyCwV&
z7&88OdsS`dwn8!L6RC$t%ILKv<Xbg4+ba^Cc{v?5?#!7w%3`@Z$k*arq6fZWpi;x~
z>f02^EemekZY}hTAD!nh&m@VE<7?D2H1iUs+!_Z}j}etm{HDv9F{R?Pqs+qT!{+v#
z*4k4`AehDD4`s91o}pA3B*O6KBDGwYU6GAw>}slV!tJK1+kQ<c%q#Pw@G~xI1$6ZL
zw?b9_#26RYwzhS8khOQ-P&Bv@?D;DAFK_=gI#&degIc@5;@&VNL?HHqC=8j%<eRs{
zqA2IZ)bkz`7)*g#%{fcbJ?No1FHZ!xsiH4|t7G;Ul<~8X0+3F6y)Vjqx<P=<UltAP
zw<O>|sQC8;ttk+SqH6?*oHiXY?O^$1B(tGi(}(qg$4797N0Pw5BBJy#gtUOWzvj*k
za(}^M4jmQ{_O$V@@sk$2&UZaOm7fy*<w#UNeB@BFosMDonhEBA9<kqZ)rS4jT92+#
z9D%xq_z=6cmU0Gfqb@&SPV)|Je?NCT4h;<;ixUeJdCY{dsZ#X33!DGR>?vy=<n^D~
z$VyxQjIbWp=~_3sRNaYi-mGy|ZXKD{H~!+5d~c5h=yIeFvE1&I9Ub9UfHAReI+M?5
zT;IcMbZ1JQ-&aPfTap&qDi9x1XKF|qm)Z(Wx{#rOGrO=A<TEM7zEqJ}G7oAp*_NUz
zo|Y}~O4v5}TjPI%aZnN*zblcNoS#K(!M`a?XV+Dt$%PuKm;mma-e{a5_KbMg_lEoZ
zkSG7~VlOc{B1*<In+@J<CrecC%ltB~zsV)LD8Jh&&3i?@+f_%-GjYva5bah#A4;|R
zwOtq0^Yd%)vj%>$8RR>Iq}m1Dj#=?iH%3>zJ32~O{IRml%uJ{%oNb0ULg9D=$z4}X
zfupgwulhfNC7rc35KrgGSeeY;k?Mc0hp_#44rrH2&+C(S;*tL_6P#GD90qoZ`fW+q
zy#*8lr;-txf1?M8(%EnA=&is#NOO?aYN^kx?9CiZ^P4efe+H1E__ZpekW>;()uAlU
z4|%-}R3Zo+oe@cW;obreHg3?VH!l6?t1tqnr7=jUb47vPZ@$Z2#m)77&-{$wr_ry7
zu)|p7VP1evkh2;sK`{jq4+mV~TquxU-DDh56ut-ot|(m&ucIRarKy0G=1hyt<Wd<6
zhz{4`pOPEg1&0w;SqFVi&P;w$i=L8>KZ-i7wO3du%-Ae8ZfNto$8F7kGOt&`hF3b)
z2MgI%U%R7``j$6?i^nG?-mTh(!u<qUv`5Gt!q@t{^1q&Es9_PJ{hXnZ5$`6$+1lDH
z*uHYNA!NXaLPG!0>XDp)BU6vA1{lL0Ze}wHAj=B~5@^uRwG8|Fj807<nla-r-OpmA
zXa|EPE%lj(f_3NkK@20;d!&d4Yd|G;Zb~$~!FIVYOo(^1MUo{F4M@||iN@~aOXr&D
zVWE|aJnR6~S6o-8dZ!Mbs`C4m!tv{p{+FXb28vWfRWsCV@!MF~f|l&}9l80Mo>AVL
z9TwHlnW>rROXhy*IKp!d1klI>UNrBGYk>lnW>zg#_!+7&#7@%MeS1R8({0I(RD6Cl
z=eRyN=P}rgtn=}?^eI5<i;q0tx)OliR@ZIs!->1iln_lF&%Q9}5LbnHciiP7ojPO@
zs}1Kukivwq@fHq*8j3}b8#KYBIZ%4_1DqEBCA*nSL3bSE_X@3e_uJGa5_OQ66De7N
znBvZxU=z%UWV8b+;C``Li#91Zi=Lj9*F_R%rBcMTt5pdx5Ke$8EYhd2_b^WzJOqLz
z`K1wY=&=%*E|i|u=Hcazifr8Z{O=8xvU5rn;vR%#NcQO7@*F{k&)0avi=r;XSKWj4
z_1wGEUc3C1hQIFP7)x7e@bY3+9W3bk4j3&0P3E-P!>K#NvVT?#-B+Vll7@TqGdl2%
z#<7H$Ln4KnCiDvsk>;LA$zQsuVn34R(q{gRJ?_;IkA~kaRX$h4pZgdZ3|!bqaW`y9
zH0s{=BD|nFty#=_Z`v%qOjHW}Y}57Et>|@KsJ_|@AFvuA8!K<^q5)UqxaVx^=F(O{
zw5RvkE|=_gexHCL>tso4Dsw1Y?p;M=+s&-GJ($lxIz4k9L{=_^Nh{w-q2dFt$f|z3
z4Tz}S3Y$~YiL;?8;@H98F7V_o7@Mv24Mk<W5dnQ|9XfGo&zf@3KViIF8<VjzL;M33
zMcX2hEZxAAxr{?SdmOV7NcQ%>T%{%lbuMJyQ=Rj2N>vvBbNnD2nMd%I_qaEFXL|1^
z{v1y(-|O_;Gwi25PJbS?Kb`|?CyJwL;vK0TO(`T+S|6`-|0wf_<OYtzMf<yspu}nF
z!vy1q`Zb9cG?2b{{oN$mP$hx;n<O+gkMmh^4(PVL<9NlNZ)$g|>F?Xj<5!;uJP#3j
zE~?<JfE3K;2<S;%)?^;{-2pK%m-w(JL%8d6KOTT701ggbO1udPN%EdkUk0$r#VSn}
zJ%@fn+ZZ3AkS{Aa-_+m58>;<2$hHP0r^j<M1SmpEIM^?BQf137Rt?7XO@(M}njk6J
zseTKUQDa3VJNoN?n4PYHW0+(%i5qM+T9_30(a{Sx<P+wXXTpY7?D<CWI;ORY1t77n
zqG2teIYOaareBF?VU<M9Nj*&mZ(X8$aBb&Szy(_$0lhkJNC}Ql*)Wf2M-=oNK7jl>
z`_=?1gVm7!TB?~N9eiZErVK6Upd@42eLZ;J8|nJC{~_5t$`PVUxVtb&B<;cX8+up5
z>(X+n-=i@GP<>RmE?fmdU-4Q^aE9$JdsDIWmY790{esZq)*$;1eZRlewGsp~KI85V
zVhbK%w7>?)w-J@ZW;VrtJD6K-87f%r%|_mT&C`xz{5YRpf$b0e&YWTR=*Oh0dX{aA
zdPxjpo*l94;?BdkcT!ThVcsSdYb-SOtiB0R20Pq2V*{P23#V;cbI<;vCt=VTGO)04
z0ch&ss=UOU$!M1)9*b_;qOtBINI;PdQmNP5R0iwTiTIvCwrygg1Z)Tafqa4Kam&_r
zPR1xo0%omen<!L?z%>Bg2x-v?O~Ut#N&HyID?4O`t{HpEvIO`J1hC_^aUJk6ISSyi
zv&)36B6+$+1>y=@LW>BHR&DseQ~4l%h>~lNP|BLX)uRHh7#lWO0v;=8e7B%UD2OG%
zzWF4Q9iTBI#y?6cQ2M?rS6j1=(}FYxC|l{A_t<TN60+D2e8t_3rHsdLyr<z~Aqm-s
zE`4Pv@HbK7kjHde+a+#2uxhqm5>Xt)?L^)!;n@;4GJ)xndh?wj^Zn21WrclH=iN!{
z{~+=6Di)s$r3ggdOBXIz!nqo;gzBA4Qnb!m3D&g^`oHZ5@g=oc6zeSSAB)ZkVsjof
z+hqYW6d~Oa2^0{7#rqnHy8|KK%o#>bi6VZyp}}k2E8?8zy(<ZG;+RBbo+!`%Wt>AR
zGsaVrN)z7a+bDbGQALoSnntBVW?Si));=b(w?-=f<er_6LFDH8u;51zFAT`sPLV9|
z!vb(*I#5BV)XV0WdMWU0xLThcAtIi)VmvzVccZ&1!cX<DaKq8;E#{t5Pz^EDOwAI8
z5F``H$iSbEI*P_{)pY4-(Hrc~uyRAn89iu6b9jv^h*IyNgb8wQ`6}(2k0SyYJbjj(
z`rJ(5Tf_4&4jJigP|K<x9VJJA1tL%WJTG_%>f6j)ZFyGi&VjZ(IpNM?5gHG4veP<A
zMnHrUv_-lR$3YM3%W5XD1?xUIcvvopbq{k`%rB%+g56^3(cxm@-e=ky?8|$0RFJaa
zTC}pQ?&?qvOQpxoeR?W|-0viS3dCzwNiU5>xB=$N5es%}g^ye1!pQ*{(kKp*0{enO
z{ScR8Cg@A>-L9zbaj`*)C8ai6f4jPFatDURBvq~4Jpnb=cPeQ=L1$}yT+)1z$g3;X
zbU|R0cj-Msc=hu$?_WAUC9pJ^O+x`L>am?XQrrJz`cA}hIg3K&hv671GbK3!&~1nK
zW16GK*@bzl@c*E-j-vJOx>#9It5N0qlSt?!O+^&$s!*05=0Z-&AW`aHr~NN**+VOR
zMmZtRmu)Cwqs)j;QYP+qo{WKHexol@HNFE2-9(Z&(KQJhp`N<gcUI_JuI^00Eo2|G
z@p`@7T)>846?=0>Xh7_ZRd2*#@Gidob<|ZRip$wOWn*SOj6dxzU}0c10DgSHgV=75
zuqE|-IVnM@csUao*6ftwRA{v5t*41a923`zDQWCv>KnxzlM74!tdF$2Nz1)_K<xRH
zkC{xWH64fz{PHWv2^ZPUd&1jGC<Eh_BOY>sij(ua^-jdZ?fUXcc@1FuyXn9P7|^1h
zCiE-8WCRrjd4DpUmEetzWq|mZYL`AUYqLdd6=c39l|*MqBaHkl>5-Eu7+6}*w=?dL
z3TAN9X<ACHxJQ*BL7BPYhxcc-XBZ*NxiV!l6Z=Xh<_cKkDT<9v=MLhdcx-e*tqb`v
zf+YTrdb{l-H|UhNhuoTP`j)4(%!*eGNfEv2=6_@QF+5=ecqGp%VO|mn?)u!*@IO2q
zRZoV02`1H1A_asTa?Guz4AKWZ_YgSvx_#1^$o}qz`tnyuDGwLjFkQrGXnrONLBJ~+
zV(<h^^tj#mt@M_+%;31s<P4NFK!)38^8aQ{nU%zL9szob;vA@!$q6)z!scHQ2zRD!
ziyke4#V#Iqc?IZ>CJHfz5%m$8rI3QM(d#hQT}Y0O=K2%hV}C0$*MDd>EmRjp4AQ&J
zbCNE@#Z_|IzE{5x;fV7w@PBt60W(eFBUwcGNP!ktz|(D$HWIU&Zwdrd-I$8=v7Xn3
zl<<G~!`EpD^&p2J?%h=2(EmzC8_J6{RLiw<8+LLh%m)|^+H*)LvL+$YI%Fj69MSC-
zwMaJq)ia#hvfj??!~`)FOB2}n@0n=!P(ZQFxbGdcjrJ|;olGxTjH56cb8kh(A*Y5b
zxxjokqz=0$=7HTT+JZ*mqIrkVlWyzE7~^z$jztN{3tmW!2u7b!q8mPS*qZiXeGoke
zXEYLhRTXN;#*}~c+>~RJL8_R~sfQT6g~_Ns;wdCIxb`+P<#cd*AEjeR$Onqb2W3Vq
zKO6vXt?b4oTuyyOU@_yFSH;U{bL04#j8O<XBIPAaUo<~;RsP&h8oYy@7J5fn_i11n
zVPRhVRycQ<T2x3r_FlxvNVyH^MIcs4p%P-z{CXKkaN|P0UFhu|_51FS<_)A5$u@x{
zMScEQl0QD=aw|=GB+1c_0V0$~8<bN%P!44XXL>!-ybET)<K!vpOVzaA1-XjwtH{TA
z12BjzA4vdfp(<CGlBBV2c^<S44#85mnZ7VKOPLPxg_L17Hb@f>{N0w^Nak+CpPvB9
zQ8dnZpV13WiLWh#6AqoQjW!H6Id}ft$4oUFAsptv288Xp+G*x!bV_;>7WpdD72b)n
z$upO#ZLF|A$$4=GmDdkJ+wUx$RYKh04X|zYXq93r=pgk8jW@y6hnTKKJkLl$ZtT=^
zO6pJFC<OVwx7((IQNEWmHEkHx>^D?L3N*#INm8#k06##$zg?7N_^}uL9M=>nj#aMi
z!qKj$dp`ypZk&g^EdkwatiXSI=)<=Lsrog*S<Tm=Z}uv3<xSbZ;BvkHn>y-rot&!s
zW<+yE(jRKeo;%}?$6Ykg(FTp(6~O<$v^MQSV3oxve~PY6dVu;v$;ZaN4}1Kw1VslD
zZxblC4)c4&Rkr|ZPnsSRUMGMmtzW-NGxMs0*9fu4^2wUnR4cpm<{GQVw|WD5gf(<4
zx>j6Ogbp=)>7s|ZSb%gFPI5#?f!fF1NR1JDURt6(9~n<`*@Euku!hOMeh~Wq&m=kO
zW6W0gM4ttXm+#HM+IPw|1}a0(*TH;+rEg^Hq0}M6L6F*@9`;VCS!O@v2qTnzy~+vt
z?_8z5qIqL4-}h|6m4Py*8PhyUru62%fq@lk`?F>`<Ydb+L=35|$f>4B-eE7ZbT(+q
z$;&Z1iAT4qjdVRy{m9-Yl|l~<?CH${^FA}W>6MWt-`KJYmx1z;=Hr%MuHDKjnmB)F
zwxNqjz&$r|qB2)#**$SsoVmeqQPNojeeI$BPi|^9U|`yCFacj**FNn|pUkO7KP*Mg
zT59UE?erfTkYu%Z6<OZ3SFhnOJg!u=c*60oz@|;Q1g)}&)b2qBEy2HQvQ1_P>tJLe
zs#R5?c&S%O8_X+qJ#CNOJ7+sES!Yh#CSS85V`;p$4{}CDw3?lB$j^O<4u9iqG#v{P
zVqeGhAjI`{S}cZc5*j9IQ<VPaL_v)RO^Lrc8l%p|ft_0PK)~ZSW|95OC}sYe<~JaK
z?chMA&GAeu{6ot{xp8LYX$6!H&!`!PH1NvN|IYT7!e$n&4UNyz;%;IK$4gXBKyctG
zB04KgLgt(-E2oI%^AX`uI4mt+1$*K<@g+hmNO;NPQK<cTpv>3*Co_xC8h`!A<f21U
zdk*BewPnm#eyIAiDDh#m?>U&pXVwnA+Vl7n+G^cpf#vFlTmGrKj<XWKK=mhFz%AVW
zOLQAKL}BDX`N5WECt$!a#;FMoj$#U}QxTt+dOQ1;R?*&BL(hH72~=WX9L3V83&q+v
z@}r-Z;uU=aB_pN~bH)+@9GxvWUcN*50SX=Ng{RW@6T?U^@{$&p%FxT;&A{LtdUWw>
zBqx8S=zFJF$B>#7vXLwt8&#vYmmh>$UG4mA)@*S=-6o316<&BT(DJfWsTaTR@}hL=
z<*%#M^29HkX35N-RtYhT6y3#bac~xac7r3yb)=euON2AFlf$q{u5SRf>zE=0k8@?O
z)+NqGf$$|@%5Kpe_QhdhoS9kbDlOchM<Ls%U6e#&q^fBwDl0-q^n-n|N)<WU&zMtO
zfduU!cIsYd-IXJvFN-HX2j23Ooo!c_N30Y@6IM$j8G9530%CG;yq#TzzVZVgv)`r>
z;A7Q*k?5)R=-sveUOass8WYJmeH57TxQjdL&R#?ysUdU3)wBv=zpYlI-_QoB-Z=g1
z75;bFOAX@l=FqY^5B+U;XTklbxUdS%O*QANMeV1Pm(RU?MLOEr<*NeE6~Hulf5j$4
zGQn2)pCfcNmqrfS`R8V%O4t%FpLI%b`D*;HqO>8{;iR8}oB|#vjV(i5U0q0U++}zx
z;P35|=DDx(>~1_EZBqi4_Wxnd895}Ly240hPFuzwIM1*Hfi)=I5vtq8r_#t(Ny$-1
z)gQgxl&T2FXcP2Dhu79QpCb>7z8CG-MVlPZ^+`NW2Xb@V*T_c(gb3odM|qJ*H#Uy#
z&82WXy%@r9e^M0~)nb+1u9tlc?m+*B&-f!3GE<4*8k~heudc=`Xi{aByO^DKv!MnA
zk8MMsy!$N<Mj%$qy%>qsX%S{Mmo+R66X1aJU^c~m>E#&Xte&6f!1uW{qP%PyJS9cO
zzoWO!h~GQ2*~&Cvy!U~}Ld?+WL*}MGKvf7m2&R4N`SvZcvCXlPyw)9$@hX*RWYyqO
z=c{W{5U{m8Tj1h8hp$Kq99Y|JJq5>8k7u=!kxsgCK@o$|N*xOiw!yT<K~r$PIexz;
zh{Z<nE<)de<vG-f&-1JHjIBIZs`!N8S#)mIJI`Q2tZfgIR8^yNV>ADZH|pM`p$IN)
z>O>XkLk^~z>FS*}@H|j4bzMKuJ+EWyd?@=*n86*ThwFNKZ5z6Qn{8amb#M1eL#17O
zLmRwt3i{vFefHka`_~$&>_s@FdQKMUuAwYT8QUN;Q+jQ1HSV)3dOx+MbQZV}Ll!@l
z3GMIhI7>;e2PeKCySaYvuaV!D^Jh#&?k<II)J-X#WR>;KsICdf-&Nw9{W+dZ%vV4P
zmsBQrw(e@Z4u*oXiL<+;j{&F%{7Bju5~9x+(_S1g<@Ir)s?7>=v2jQpWP09O_ELhr
z^(jIAOtr0v82s5rzZ6s~Ce*9LX+RGtb);d0Fvm}vU`0e4@*rj}g`c*YJKYkE9#3+(
zd4qf|i);KoG8N5nlA@@<PG?M19I{d|JG(yV4#7_<jaaY-OO%SVCM@_!F~|jW&rj_~
zLIncm4+MYOa#R7tP7|NZ8V+<z3rMYHrq@WDIl2O-tW3~kh9fA`5@Kx7EWTZxGyW@l
z*DQAJ1WehMO)XFKdCzWU?f^>Y-%QXa=xlpa*atn`R{)bRn0J8iBcHjT)F{Dea|up3
zXLj9jHRz{~xVQe-aXP-d#<R9}sHF+<MD6j;%afp}W8X@zVX2}f1%S7{&+~Bjtr1hD
zegDE02`|_te0=6>XT|r`(|@_GF&}Q~WWUBzZddVx$&hNbvfJpo&lW@6De-6XaspK?
zoE=0X+Wl8Bqff2LvQCygsSw#IJ9W)mW^A&F^jd`(u#PS9a#9&W4~I*edsx6O%k=M6
ze8sBRJh`{&4arj38--NX;kC^GIb`L(zs@4q@Dl<;dxCB{Ay|OlnmTX|G?(rvKy01y
zitOHFg2gb46>>{}WTFmoWZPpwC~0{lokWv%1cr~?hgYH5S_J)VTPY|%SN&{GR}FUy
z{}G?<$P9W^lOl9}pmF47=s8Lg5qS_N3g0ETKUGM9mNr`_GSS!I(q>v+HiRzSE?^ns
z?7B-eSV{;LA|lEL%?d2MZC}E4Gv<rwCjdKsSI-5I)`w6BL#{LxcSUSGlr$rib=d2>
zw%u$%iiaM>i0~O2UjsvsNcUwvxgjn-Y;N<8WQb7LOA-gxBARP}JCgdwfMVlMtJ&hS
zN+qxzVg}bsa@V_c1*lKc2DQeN?dXGD8jx!{0Evr2XcDgm$pzFCX5J@Maw$a4?aM^Z
z53olmOv8Gv>XQ`1_Wv>=!!VhW3&g;6CaIFj$?UV-<%zeHL=N-bNDss{#&micZeC&t
z`Nz@Q7>0B#6lS2d8{N0C#nN7uY{IX%pWZ(}XO<}QnH#Y;cnRP}G}H9`gkM|o>hV*e
znz0(C=%@B+qc&<6$6({NTLv-k4g{YGAQ90?QahCyjjt!S^(0m;bv5EBod}uiNR~YC
zpid$7J@^c@N(0cw04a(sX+W<s8~Po8gzD6S<r;fzfNx1`aXm;4y*f=?Ly}baVam;X
zJoF5UP|&|BXO1z_BwVaEwCKu4<7~Qa)H)i%xzT*cmk$jzb{EV$Q;}E!wY9EEVwXOt
z#fl0IA8q%@q~xQW?WUa4`sUmS@l1>T;lI7Q{Z!OMCwa09F;OEsF*feY=cj+5AQD8R
zQs51syTu^<9C*vf&z-){9B-c=s4O?3I(zB^)bSBLh$!4jv6AzoaEjKdcZcOU-Mcj?
zYa-V?F_O|T2?oIV+5k9dP;lR2AQAO0W*$d(!_-*}F|+^D0+l8(j|2a;aKZcPYn}5J
zOf?J5xI}Z^Ce_gkGYh1ve~6(=A@lnn-J7wEFfN8VXiNFhdR<LLHGwo~4j6Ri*!I%(
z!XzKSpj%@5D~%L^QuFJ(MP>s9=jXu}@k)5uW5#JZ2VJZ?$dZ1LebEqV;R!cJ&ow9a
zpt`ck*};Mn58H@q6lO!|d?h)zRV!I&MkP!6PgUr3;c<p#e+q>2*{FOT4IeB5k(##J
zg?sQR(kjU`AI07Cw2XdBcGyB~fJ2!r=0Y?2uwhKxP4n4DbPrIWCw;@MP(R5gFH(1C
z&4AuxWjh)+(42cmNb7!~K7S(_{lO3{n{8*C(SPHNH2PaAFg2QNN)O+p1oQHOF7{ay
zGJQ(i`}!l$K+XTAvO*my3&dYk)m!=!6*C<wV4*=MPTyQ|Q0`o&&x5D$2pHBUt8!ye
zXCK6s*FvE{Xd*Y$u~VjrZcS#{!Jl2zZCYC=PR0a5s2ILk-xwIKXsJWiJhn1BDtWKB
za+dF4_|kF|SQ$W@zIh1bcR4L~N7n`kk44`hm_B>U{Cbj~@<ZuPeU{OWKu>$ZM3}bC
zc>(B0Ea+V98bi7e>mXb>apgOPJICVXb-gjL({H^iSE@dr$jrR!8zj-S8h<6s`RAbb
zHHpUXDb+`8)iSRlRNdEDM5-RpUt0<k(AST{NaJUl;(B}`M(pf`YPn&+@lnCpNK1V?
z1fvsBtx5~9Q9xJJ(CDbx^x>`k(#Fcu8#Tq*N5sloU<qnOX5(k!c5s&x+1W3KiF}}m
zQZzcHi6Z|*wEndeaiUv~wiM81vkR?OnrNxkXu%N2s=S!X7UTpZ84$aAhP|11dVz)_
zGkqfA3t=NqIos@^67q*0<#k43(EM2M$+XHyEozKG4g6g^LXkq7uB)j}vd3xq)s)Q6
zCJWjtF#FDa8sqj2Vv5I7{=ENU?{#$DYBqt>s+W3j)$`I*BXwYsdU;sO4+GerELavf
z(NvmAaH>+(74X&uigV(mqx0xsLJg73F~ZP%#X|O2NNw=nVFIhKd(}H?E2w;?q=*RH
z@8wlBh}`4Pce{zfzNmLt*FTc<Kka|s%TtfmA{z##3u6^r-GO=&rby%;K1F(C&^E`a
zCV2UqtSRLiqmm55kCLb0^<qK2m<cHNdV_|_|C)gOGq8<}_>ak)jX|y!UT6~X#{oEZ
z<<V+2HNq9~smlPsWe-G{kR@<w2JJv1A;gXs9>MQ-<37$Ui}_NqbhrPQr0qpYMZuHt
z_(!Vr$pdMQ;kqBHFiXy`&w*}h<VK<xbxVl?Iow~_q{y46c&5j+kMLCLYB_id=Fu>g
zx#hvCd<c<Gbb+#|SgrA52}S>&*0xX5WNMKPOGX;?O00PmuN<pLnPs`h=@<K*c*9Dq
zl<8<337FfK(BrDmy=^sbV<y${tE**Oxr-@Cfh-E<-qD5c<qrp%Mx?=&XtRzXipg(!
zIbtL0utS}A<~mz{TVM&F6|d>^C>d<$^dIk<?>6@Lh<+XC1rV;>ufucgO-?QygujDz
z)ZGG|kSGOwjD0Jf4)a%s^`ji14&NjGu1)nK&{Y#k(Sc*1W6$uowqcfD@WcNyVO37b
zT6I0YO6_54@G7K?;6n@<w&hcEEZYx0Sn*bV-d8fP?yQfs1$uc%AKz7SPS}VDxWDYC
z@40#>MrTXla|Ac~_d*+9{8pOLv?g=yM`Y!rIAmub-cYy5<3+~_rZ+{-Z=os20ln7&
z2Nr45WR%w@i~*yU;)JTpZIy{HG*x&m_!iEhdF9c#dYp=|SNXh%Ia*$R3=CV80DjS`
zOGx52c1)tgzJG!1Zq|JQ7@2)wzXTnTZ}k|XNCGv%1SQ!8<Cr^egUNNUD?-}|WcK`W
zyq*Ucxj%*eHyy@iTPnsaCZt{=dT%qbYEs4f#5f%Z;EKhH;}{7kua8+KQj|r07_+zp
zvC|M8zc-z)u+3YovRiPr$vFwWYl~cyD$8{qQoKh5bwOwHo+p%eOqNx*Vxez^b1{hr
zART;34gW<~3JSFhi_5{eEo(yW54JJfXi<I$rMrC#uCt{ugInX0b<BehoFua+q^S=b
zK{DMBWKWkoI1N{!?Fc$akO1x>C}V?G2_H0vr+^)*rmwK{#=-k&SFv;BUW<chQ>Uzf
z$FFyelpLU$aHgCYdC|mniT`TjvIRrTCp^8W8aSwjj;&2P54DP=<eY!h8I{$s)cN^F
z3DBTU0Y=7Oz0z#Rznp^_W+f*^>bY~SF0M%BTDiG<VVD@suqeL1S8KS8Bs#;xAFrwO
za{1te>APr6z;-%ZfmN?(SnfdncPp7;RN&4a&RDGYvBNVY<qtZ>o+~=S$7QCh{^TCv
ztN>)?Mm_=E#VR_7McN?>Sa&s9Qe-DxJ&(VNUM2YV4pY^;--09u#%!IuAauf!biWno
zX5qWN;aS-7wT&cOvX-J=w#MP*v!#snf(6%ySJOdQ_uIrh_b@qc$nsiq)~lY04jiu5
z7CLDZZZBcaXQF?E9WoH9lAt`cQMpT`S9C2|^#b^^e>J=m?u-0~y6-G+-A6|ydO_b~
zcXpSls*}4}tPL98%k$9k+*bs-vFapOZ<LwlzAe5#0Vi1+rF^yIHn<}ReE#DUw+#Sp
zAY)0lqkCuPE8eri9`tP$%z%bzlhToWoAkIq=@7f1p+RnzqpRMt0CtSu+d8rzzX@B|
z2#_puRY~g;I>y$I1bab*<ClB)(M;LpeF10tx1xaSGY>H>5P)tLcp*MidFm=`wsg?b
zqamzXA|(wtIRi&~k>WO7b;QDl;ffkEGCSOh9AutM>K2K8*$JJA8QzFD)F+@9iAE!z
zBxdVIKC+W`7NT`SyQ^4mX_e+n3s(2546kt2%omd@$ZnfTBSJX&|MmDe<)C+35P?W1
zb1_*D(6LvVf^nj^`LCt$x+CQRsO?#Ne9zx34oDVX(tF|__snewe&n`Lv=IWl{pqsi
z8VaP=y+5zpt|*6^+d2dA<3fYw*N)qvz3a5I@l~T?gsXQ=K)8z;KjMwg6kq|l7R;4u
zGF>AVbBZCzq)GpBt#D>t<ylL85xTAy8*{>{dSoAAGYBC)DpqmkT(M-1Nem{SZ9l6Y
zsawRK85oGl|ARL}y~M4OKU!ScN#!3Ii+JJKq!8ujwgGYBeYv&BrI#<cCa1*lHxgiY
zEyI8Vt>)+Dt?9|Nwc-rL=zI)QWLzf7oI05wtvz-Awaz(P#b+vddVAy$+DW`awrW<I
zoqny}WRWW(fE;E{^JAdxQz9P<Iv*Y((aGJ1wEJc|L&u2d`rwF`Yx)fCct+_Hy-f7Q
z7ZnZJD^3K(?ALt6=h7Yl?Pkmm*x{_4^0OU2Z1~h7rAI`+=x5C%BlOx*S#nevf(aWG
z1D-0sbWLJo@u&hjVI$M@bj&9HdMCe~UpGMusp*aV6wQa;y9u9<6Bae2viv|t=%K{u
zT+!=$*>|OJnT0DYtP^og2Meei=mw1RUN;k+Z*wcxi5VVs5Hqb_UuN<lm4hE0#a~FR
z*|Mg)GNqE{OO3rY`_J~dNjq-yz~d~rksO2uKbg1t8akPt19$X}mN=?1!m1!-bf4fv
z<90OXjcM9n_UrQLTaf||s0^Ib;Ox;CD}JJf$K;~gJm-~^fd$b>+)Bo2EKLf>22P<$
zBBVbp*s|w6$C0^~MATK356uk&N4o-Rh~3_^4nmwy``u=~t6s#$J4}uF#TzXtT~sF&
zH2@#R>!NcwpGWdLGWFE3?@<FvIJEi+bo$Y(3@{n^hKI7=BRj8c?}5+H;G)lQ4%D8x
zmjljB!IFhtQrC_ZAz=Ba#umJqA>^g3-Rjbczcr(ax^@5iT36?Z*zQ;H&Fj8c&m#Xy
zL`0orn+q`2Y0eGxf%Q2Fc<y!t>Ah5Q;aai@<-$Y@i7<^Xr9r7fv`#eyy;4=I{A1&y
zFUw-i3SneJhRFt)!kij=8z)K{$JO<MH;*{zxLMe#5aI#)^DR2kjaI5)aVqf?ev{AS
z^}TY=9&NCraXlV}<=rn>E2LBxb7sIcL)jqk4Fs;dQOG<0d)b0kpv>@~&u6G4pg@$s
zzL$kbvS5eHfygRGIAV7%u+DwR&H)51C$%x?(IC`jk4cDXb|%R8=xw)Qxv3~(cSob*
z75zK#b|!UYG3ok(#5TXn*?o@B?aIUcX-N|s0>qDNr?-}<zbHW0zohK{z3-=|O3ySR
zG2xtQT4I|{>V#a1=$##qu&8iH{C2w)%?O%oF$^mwH-U$W2hT$%TdSN`W^wcGqG5Q!
zg)>S3ovpnX_56VvMSMlSl3uR8)yKyIeakFWW(>ydWZxtj9-Kmh64V+<(ryxVyhlq+
z3(bj|x8o!T6aazUOaNHf{@|X4)dl^T%~u^EnYuJ3XyQQB#g|m2b<sGIL0(#1ZuTxK
zZw(afi<27L$$X%Tq(FvF03Y|{2v^$&J)C#qCw3I^AwXl5mtw#v3N1tad`z1oC76E8
zRd3>1Ila3l<>zek4~r`wTl319`^*;t0Yn>MDbJ-7K;|wcWcy;0;kRR!o{PV*b1Q=t
z3FU+|=z%zY0H>u22~EC!{=Zv$gVObGD)xPGm4bU7k$_;^g9gi%lqfa!kO(LMjDl|f
zG3l1|mVpP;lTey`vPAi%J_W&-h>F=>0OdqJG4ng5y#FIEY0r47E=g(nc=wW#l1Z-v
zg{r@;(gA*0Kc%#*Pl?UcQ>Jm^IR}>GH!L|9Q$~?R_uS*+pB@YOonmJOl-JV1cErIE
zC&?7ONtWS`FCNh#i7jR3N|>=czdB6C<}ZM*XQ|1ut}7UWOdN@#7#u8W18PbRyDkdA
zQ9gkG`E%@`)4cCj7i4k7!>S%8krp`>#ThsKrrhpAYKGuGB~?XjkA65E>}14~yaML3
z##E_8AV6%8<v#f=Osuf6F|LCl6Gl+*j(qu*^>OG1tpqPG<HC8uF9!C)w{6aJwh!TR
zuY<OKIEX}xv=S8AOGEaPJws|L3KOqe5DPFD<{WIqJ9(3BIrj}K#wqK=C)sjVTvZZb
zL)!cKNMq%4%Yw^|@(-+sEpXWWqt5I5x}YSahIg-x<25*AoImD%HGkdQxXs3EF~~X2
zH*)%puWQ_o>o=n%H+<UOh|-Z~`3~Q|!*B8?GnY#`as?Wq=m4k$esWd~J?k8Jhm8AT
z-W?>qxPi5*r>R`%X46spHUhe@T%9^w>h0f)Q^Z^`lpGhile4JR5EJ3WkRHL*#|UIz
z7=h|CtIIb@bdpmc4-m1Z;r>F<>T!M+sSpqQD`cv`m4g4$@o^PW%|9)z{=rzZ7t*mE
z(H`|2VFow-Aa5>|C<*se8=eZqEvbwLerQ(Q>eHmsqL9+tbKlNi@BCfxCPOz=1s~6w
z_+$BoeQ=kkxtV*wtBuL8d@((e%hvWaPdxEA&=G&U;-+>|EwCWs+Xe!1!Sc3hNqpo;
zA1g=Y+vvoYuMo4OD6cM=$@?LxM=d3G7cUl>5Aud}%9K=N6-sk>;t|&Os+@njmmcq5
zuF3pVyhvsifN8rJ*kthrYenkYn=pbdwtZ=THM$eO8iMM~>2Bvv>l#{7e2}f!qB41Y
zO$C~-;WB|SpqDIqVa&=CjrXFmnqZ|ZSMsDkPMLUzmXZAXe}Ub0e?#7rxPG$gF5_Uz
zpUKi6j;l0MWj=+WAAZt?fHF+!;aKhykILINy?Jq@(<`;7l1epFL-jp0J*FB3R0;m^
zYvF(hzb^_*^DD4C;GEwR*WWgimEiT%dJ-?3X9QAPDHd6B==Zk>r#cB`*S|}N?xyR^
zyp1PE>EYLC;}YfHaLD;rvh+PahK4`vejW09SDja7-$`sYw-L^4=}gl%qtYoM+(stZ
zPy0?-E?JFZYioE_unhk(uwO&3oQ`{lfIMIRp~aeJg)y*)qa~KT*BAHHPSRKoBq|56
zDF!u?*a@q{L1qO-{-`mhU#}33u>Fu4x^5fiP)G1(O;&^S6r_>FO(kp9s6?K4Qe1@t
z<vzu?lq{k07MNmct9hrBb$I+6yOEWMwK<S6nAm~Hj0ePDWa}H9A|ORi$^CtK=^l&g
zSuLz5RxgI2{9c?l&H4tRCO+cZ9nrkVLt3I}AEdFRcwVZ9&fU5s0QWbeB*b8{adO%t
zozFP+ynotLu90H0I67^741X_xfyqw|WQhAK=Lyl?DQ0s-SaF`%Nd)rHUt%*Sjedig
z<y{ADoWvJ*3bWUFx;NCF8(|Jl1n+%>#6|_2mF6}UW}TDI(Jj=+%`tHs8JMHIA>l2e
zhCN_5qr>Q~iy{7fJ)yvdI2IPmqIWaY<RI~}xcF#JsVn+0OAEX&AzOX3RRj<lk+Sk7
zJD@p`YxXS)cQyzPAezf&Wawb~pzGK&#|RcUS-|u@NT$@+DB--bqp^~iuZ>&{TI{kM
zZPj*%&_CXGwkW4&QZMAzS|4#04KaIGgh2XX2g&i_-)EAveVpp1MzMMkQkD!@Ku&~W
zn~0@coG}q}artBw3J|iacxO>;yANo%h#mNhaRxlnH$(#4ofc1+$A~~Y2EAF;!;Sc)
zT*5S>Lfk8Y!w&2ulS!iqDll0tv!HRK+Dig@)(mk>B)+*+^`?xk5c`ngHNpHYeK02T
zP|RtUstwIM4gym6<4fKnpduiHe93fXot>k2`UtjBzD!51aC>2P-9ZXakt!Cxb^VaQ
zewE;Z-dVV%epafmqOlGhm}w-CckUU~v4MQ`mgP(n_E55khKpNgHOY?4rQXJvBo+57
z8Ie9Ctmn>LU`UKy_xapg_WK(^1@{TAI)q%7?^twZ?<F4u;A6-nXMI!5f)q~kdY?L6
zriJO1wRyE0^IR4#Z6g@zU)%RyFt>JQ5&gFKvGfy6{Ka0c_1ZVmmC$FT`g4)W^6$p4
zAI65i&1xD7f8!9~F+hg1<DBGx&Wg*g8eqv);TO+GJA#ha@DQIj_gjlMjjw<X3~0!F
zk~R>UHcobS<C@t#<KVe3_(fLR)nUaTJ@#O6Da^)1lisMTvO<K*on)LSH5}|RoA@Oj
z^&*JM<bVupXln_l8pxx?J4L7m-6*w#SYfva+DbUT>>GFx8d?oCu7SkT65^O~BIW`Q
zGJN=ldU5-|d^kiHksl#RN2Ee_odGku215W3_%cU9|8d(PaN@ZWyPOZT6++7?z)XEm
zCQRo|H-MHevWYi9IBDV5;=`EV!)zp(B@(9fh7D0vRjg*;+@pZaV~h*16fI_YV^QMw
zf)>GXonLIoY2pj}5m9ZwKvHXM#7anle%(#PS&fhxF=}FWjW}#WbyZ>9dljoVZJ_G!
z+FNtRu{}&eLCLGI?7i^TJIhjytMFi8TrI@>cG!M@Ac`W7x>Y?bKm(JOC>oY$oAGv>
z;1QJnX-~t45?st#dk6-TJ5W99CwWag?dg74)+roCH0l*+RcGHeGego=6-aNFM_a%c
zz=96V{^aspWR9e!RgrfpuOiwO>}|AdhyqBP?!RlU6b#Qsh)INb{{~16L~nTfQarv`
z*!T!hP9+vHwoIV78Nc2Cih_Daf<Kr|%v$TEjb4VLFvC9TN>lN8sZShVVa*NqvXcvT
z)XgG@NkFm2=aO`+jZim<#*y{jGNnY@I2$P!0bek}%IB<Cxq;l+Z`#+p8?9=fHjjEL
z5^L~?nJzg$Bk7vraY{_A>zG#fZaWf8f^Q6Ka}|Ks&tQC-a|3vu7o~PCe;*OP2D~j>
zY%?AT1f>uotuiZ~pLlxe%-KmYOsitw=B{6H8*r8TjzusmG;8-xE<3bOmwHH>Q#&Ha
zBC|CHhhb~=i`!ca7m&Gxr}2eh@HibbrT~|r)l}BeL6WvjF*LyN9@%D2i2MM;LBaoq
z*wI|!b^H>)2~h{f1Ua7bdp!13XCLP!uUY-aU^I%)965s#BaKY1ESBpABkMonWRhZj
zIWq*mh*sT8Zwr;IShO}EYTlec1ZcX`FYP=D&7I(9jv0*sSt@%@?S1Pg0fHM#!(IHG
zqF=5~SV&Vyig9B`uChtSp}*rMB1&tF^UX#OU6WLwpa$sW8wMasA;*z&ytluE7#K65
zy|`$G5DFBW);%~X=BsI!T8Geda@6ebZQ9~o!0Rgko+Ait?c)E@Wk>ecRu{Z|qC47!
zO{Y%MTyo9s*<&x2pOyK<=`tx+tCk)XDAHk2&*>wZ&yz^v*wk}UJ%-G@K!kg|;6S<4
zO4I7}j!o2Gb5#VJ>e}*+tN}tzs`&8wfoSs7SY0I^fr)D{mbv0v&%hy7^=3a717`iR
zxXfEwW5y0F_&&I-Tj*4|!E{FU?!u2;FdSDB>hg@_p1Z?_SKsmG_VG0F<k5>KI*h_e
zooP8``8%YgWPZLM8k^KIQW?--@p(VK^Lf;7Kp!Il`23aENa!Ru0sV({?yZ87;3)mG
z8VMT0$418=XOdkz(fT|iwZ2`tN{rJubtgOuNjH^I8e%@#M+)Tx-}kBR%ig0e8<->z
zQbIt6EVrG-l`fi{BqJg%rSQ0vN?)9oouZ|yKe;=J6F~ZgqRJ{5RE&+gw%JB;I5WuZ
zP^fODS~pyfQ#7V~lSU0D7jn}(Cd2MRmv&bNdS=wJ)^wZ(ZW9}wpV&w(YWHSJRQ62K
zwoSgY+0DN_z@BB8Fqap-^vg#`o>LjLbLod?@hS^9$7ws{UW`iWXesE`*(TxArb$qM
z(Jm>GmkrF>Hrnek7UL<0Hs}+@184x)LXKYJd&K^c{c#jm{>Ef+f4j804&3s(+VgJY
zjvLyYK(FPT+uYQG7r4MF9ziNm2HYC)wnot4+!X0?_^tQl*20|sJ~002{sYaQc`}Ep
z+g_@@bEY!MNwq9@6j>L|v0<y4q~Z7uP?KBzLpzN8LN?3Awoer<!S0kN9IsssA~tx5
z<Ge)e5@WgaXHE85b=B0YeRsg%6AjPj@0P(?hV2t~oQSb242r9DL})w*{p)~b1C0sm
zR+C=q!4?$Z=#W};O*&DVmgg?`Gu^r((<V|O*#fvRO4{4`E;)##gqG74F#H}e)9sh)
z-%8t3%Q;XRzk_b3nH<9L4ZIUNbq9*IaoiC%-#M}o_@7!1GRvy`>_rL9j<>{fqM)yf
z_(GHhj-+lV(}hv;0VPvEC>{IoIo3lzRyjfZ?k3`k_T1m(7V3)`e#rZsb9{g$gEyuf
zWb6dez`xR*@m)gu_|NgRurII`;#7p~R|(k6@jj@YI&&+?E@`jrK)f|D>Y%V~oDM@e
z!46!Paqp*;)2(S&6d5KN2C(Ic75i-k80nxsu@C%R#lGz>1R6F<Az21BxwfTQ05}wZ
z36U9U#vqT_BHsTJ0vp?T4YSv@9w}ODMdAA9m>m1#!$SR2Ru5XlmCucZs`lN*<~uck
z;2d`9qB=%F`$6J|p0k}X1_FN7I{+q=m$&m!---r?tOv-DW>PJ0^w`Ftf*0wsv$(N^
zUcn@AWu}Cx2ySzFmT&cOO4n)VYjcohR)FN3@9|Ip^4zScenOsCKd#Z_S%wNlo8>6D
zh7IezUdQVB8D6=)u642DbPc0|ibKn0Y|=KNhd#TS&W@vJpGn09HPLy;R;67^Du7s#
z&CT6x!zfo759T$u_GpMwHv^uE<ltJnil-aL<jvlKY3*n!$R$j(x%znwvDR`#kB60`
zB14ck=N`7&$!s7bjQzo?Sf<VbqC~cKi!ju7z(%_B&ow*1Bj?viFyV%)s94W*AhJaa
z4{UMT2g0++97O>Uqlq`V(m9fIihGGtze_B7#S?Ho74;kKTZ^{R;5$6ZvQ4bFoAtX@
z^2b~xwr@%^c|{EnDjQ-UF%4FdH779<my0~DsarC{lxu7~yTb;m+8ceUJ^OXS#^=Yz
zaQ^-C7j2@DUYP}iLKf_nAT^IKlP<E3Zm7O|@su-A#Ax6Y!!%Edl;@1JjnK&SoaH#t
z0+Q13#1#%+ZQ6h7b*&AvTuKRou!Ro`X;XZ*a|`{1OmZ8?z2MewRpigJVnz{f)=6tN
zO|So(B()<*QUiCTzVPw%5Bm8i8Q}AOs25T5L(exegDH@?oeof%NBA7n57uUt8lV1x
z6nKfcz+9Z2h0z=$I|XAfvW2-9^h)AGl|PeX(C_Fkk)~+wJNLMPMLUDI&H|;vZn@4(
zwWl372Jc?6@{I7B)}%&1m9Z?$hiP}j7!`WTw29^}4cf-aP(Dd`0BJ3|EmkV6*e7Ks
zM9oLc*+;iSB@|p`UkedBPlg<=!3@L7J)vpqekh$CC@=E6^PU%2oF*E{I+)UNF}-fi
zzBbmz!pimV)fl9wZ^ou$L4K(@P2d8_mH-7iF$%olZ(x+y-&x?Hc=Jl`e%4U`_}4E^
z_LuSCeOa3<Zm$#=MmaFg(*wSjDZ~mbt#7f2jK1Bdx<d;)eNN(Dgh}{M60z~f9?D&M
z9EUI{oCDM!56-ZPSiJ|Bfb<6nz~HDWd?z&4R>&t?kWz(h7%PpjD&zn8i;wZT=Bw|L
z^ZWn{M!cqnD+e1_XaUfL9|~Yw`B~)v=eHxE%rGMu^bgg*y+#QKyuNegpZ2fL_6AZ*
zrxTb=a4g|{5jiYQ5tyBTbuDdZk<oEDkKf334`y)Nb=rU;K4ZDWqdiY&r+N1AQMe71
zm50+MYhf44#;TLs51cCf*g(&0?|J^!xHP;pMF(c~+SIX5gPjSpy$1s>zVOK&K11On
z{Ge!$;{YdfLetEQ==FQ9fqn@s&;ppBS}U;abzCETH=wEHqlIfUZ=%V+?0Ujj0X)-N
z6S{H);R@RHl*HS1QP(W}plP>#O6G`4C?$!QynoN*6U#_Xj~a1o)6*MIa^r14$0ic<
z8!I{Y8oa4kl4D)<k$p|d?cLq4v0iM|dNlFnalEnt3D?VKxgc2BR)dXTqIKSJ33kYB
zJRnP6Df^6HIq0T43;?n|j+yM6pJTbxadH$$#MX%WCbRV(SRNLp7(=t#-z9wBa?vN0
zgyY#8I@sL=2eN!mSPR8A;ZfTE2B7<w)&$OWI*b-$f}+6hqnuf9>h(xFV*U&@6D5QJ
zJcZe>B&3f@w6Xw4wy2WB*dlubqE^_>YZw<KFz`=3vWz_oI6ibObqtPi?~*;Ls`>MV
z$UzxqCq%Q;kH~ip0>a4nFioao-jjDW{gu!z$VO9`$?9v}njY8eTyM)7hlH_CHv_=&
zsYi27^e`p}4BewM>T9A8+Op`gMIBtIRxHMXF)Go3^BhTK<Tp?uMu%LSE~)Dr!eHY#
zH@kYd=kzO*;2`NwBquBa`t-eOJfaocGK=>e07~60GTkZBQg|Kpm|(T4FbVA49Ui(K
zC!v2~Rd5!uH%_>Xg2L2Yi$-dUK<M@4x7;?Bq`ZmSexBh35HhHzGBee)ElTr2NecUN
zUEu`VVUTi~lF~~ctb~|;?)?K!6*Oe!xi?&{(F!t^8FuCy>t&Yd)AaH3_sR6ew)@EN
zJ1rqAd2Q(-vN#LtB~%ky#93WMx>8*_82I<9Wj41hHgyU#J&rshbu<X>Qr?daKE14q
zwknhefT~SY93noulayjhh5%KUJa{PY$Kj!DEAG3pE(;@XJFWpj8K=hE;EICMIBM*<
z<I(dHam?HSuL(;!U;BkqsPz8++7MFvr47X<B-WJu;)Q`pAj~!tUDTQ<ExPW<IZ2C&
zj@Xwy%udNps&%`m-5vW`h9${t8a3gsT{Dlz2&3rr+#%r`X2g8DDTjYNAk7hKio4mk
zl2?j7!KE34*$x8FsNB8fNM{rU51Pl2p7H2i7YcO+gHaSHFw^&%%n9f6FVcQZLPa0H
zj0?KK1IOx>F@XaQPf-XFM~KA1_Ju(~4RRW?-bU>cdWfX#*iw5*(G-S<GkMcj%HZKi
zkLh(XlgtgSZBlMqIC8@!v;`Zs6rUk9YFj%9Y$bmYnpxQmz_ZzshRjq<ijf>!N2qB6
zPAYZqWn=HHmYvI31m@70J2r5~WZ7;X+fjdyAf)>!9c#8){0*EkkOToBqpDOIaxRuQ
zBE^<5tw~8I{jBRpHm|wDppr{xn$00>rW;YfLjLruF$s#$XaR05BqS@`+#21f$NR=J
zj}&_uE7uOdo27Wlttb2L$O(NhnVP2zOfz}uWGCy7X}jk}3-Hjg$x!ny@s%Ra=eSD8
z?R<vS^%9L7#1`zC?XDQqY<5;>`3Ck@YFE10>C0jMgmHj!*A~!5_C)=BFO_^EP7Cq|
zt3*5w-U%+~p3&sDaQKdk&y{OBa0OHq{-Ys-J7=}H<dvaX|F-e^b#1f!Q?tXMj2c*}
z<Z1)PWfxY2dHVtbP2z&fnD&wSi8tZd8)EaywILp3{0t?v5o7OHFGF%LrmkiuUafHq
z{`+$lycDt3iVHl6S42+?26sDgnRVHc*8DMR>xB859RGSq<T3`=R0TdoCU<i%(D)?d
zNO<fVTOrpx=)t*Nt$5!fr`Mjwkl&=y!@P=G5BL=%oNAi-!ZF19wf-TlanI+if3s3M
z;Eem?082zysxU9s-kYjiY1CeSpYstMEL-U>21yT^?~r|88NHQ6GNI&i^B=jgRL;dm
zjK4Xk4E!e5z__UnlnB1{)%vo;vQ#C&Q+Dp%wY(NnHXVlmN&y3lki@~mK~|UCzwb4-
zP+d|rP?=9^w|BLaMub^rF#)aC0dPa&7D}|EE@I%QaM4HhY6RH^;=@;ca4J2hii)yH
zu1fC*Q%o6%+C4~b;gxW1Fjr8oit&av|J7o1b7R8I@lEw7p+r-maI%|-T6v?HQ1M3L
zAv2iwTTg}RgtC@uY@xl^xp^L8+&%%SnX9`J+S0};66aNHdA(~JBgIN9sZ+tU8wJT3
zOV7kNXtH$Q==-6qvZ0wNVPG7CkstnB9`0Xn6vq`U=m$6IC7W3Sb+8;dZW4&<wiM_c
zf5mqFtUtU#{kWWu>P^h?IjW3-;Ddul!oCYO0qfp(<vZ(0!bKD=)Ad)ABif9S=S<(B
z-x6TRJ<Q@p$@3%bYII4+i)iJAcmR9S;<xA8jaRL1Mw2cZL3)b=*?1eTh0gVqTB3XN
zbUTnt+>g}wtOkpZN|O<kJtc0<0LUJ7l_ivM$|p$ms*B#_G=2C02E8A4xL@X-Xg^yo
zYumxGna<XayK?jL*u)=f9N4;q+UEp3OX2MZ`S~psl<ML>=4q6ee6l^<h|7a&Uj4%#
zk;~=|prNo^Ub)59tzv`v-u}Dvcpy#=gyA1SX#$TF)9g4)4M7aX?u_C=NAE8I_pXC~
zk*>%dTKECe-^<@CKtDXQw<(-@M9wjh>7S<I9f7*#3V{7uKuhTre-#xgU66^#mgf9t
z{eagl-6b=LZ}bFMTJ$P5{nca)uk2E{YjCPk*c+KJw;@M>bRV{2+l1-*50eT@@c*Xl
zX5U-f23~qei6UU_BYII`-eNHU2g`N@raydPy0S*Rk`o^DPrEREl5rA1EW*FzHll!u
z4jkXgg%L`F?C}4m73bjoL`0FRe3c@2eOnDM2qI2DhC8P%ZmY2l{?qtF>kG9(uISi@
zbYA$%Gsl)ML&S*3E?=@T-YOjbCW)QQ=8t%kxjD8y1*V<3WvGHM9T2}N94*|`$&=P_
zev@a^{Xb~>Wj-P&$Y2?4?gPUb;en4$Y2sg<Oxlt7ZK5lOB}%FI$RnimjpjUn_He-W
z0G4+B^9?mIMCXat|9McKTIG3QnZa}*=$bE~Juj;{4SDNTYlt`CBTJMoC?CCK1|NO^
zW49`R6am=z!4%U7wB(K1)wdRT_B*I8e+})M%{33^HX{VtqL$(0@ZAp1pbM-8?or1^
zl^kS>5=60pW>LA6b2qif{muQNmY6MAEf|rHVVIDWQl(kPBWKaEt4&-0(VnQ~bHad)
z&^p$sCVqUhlfiJX8_UIqhn@cn=>~4DVR&BD!bzMQ9L&-4#&H=(yW~^)hi<)D>H6ss
zrl@Vr8lMurXR4%r&7CXG=d#u<o5g&+?pJndwD5=%ol~bE$0sqNL1SQkXD@p+l;-%E
z9oy-bMp|7yUq8nw#h~-9_v8<`a|*Z7YE9AU{j33Y#L1!R>L*dU!}xc+mgUv%%CgHy
z)NSf*yF*zX*q4cOr5;bGU2NCP(YufPU_Dn_to59k+245xe?vOE%)A3;1%jA*+sgwv
zXZ!BZyzzGx+eaXVsk6LxSsFTnb@o&(RnM^keir60DU3CN>|d-Ux{2|aIY3Jm)k9VY
zK@m4yCN%bo3twX-QxK27-NWCjf0k`civ4BUXBIoX0-vfqX+xQ`2j`!X^u)av#>d^C
z(qxb~aoKs_LI<71isgH4nn(Sf@=Y@R<!#9m&OFK0Xo@>-2(YF<_BzXnxW<R?+#uzG
z2Sxw?646nQjF*NhT>cxg1=Lwka4tnU$znh_B(dBIi~Pzkc-Je)bPxs;#t3)K6jZon
zyU(i3Dp1HRFwJUciB;h0Z94X=YHW|b4zULa6!DXG&U%e-pv^1rtM*GUjSnoDaWi<<
z36hST#b^nr=v$!=QKH1&OH>3JP`AnHnC9WLsXycn3MR{k{)JQ{Q(SVJfd7eLAu8>5
zJ*)py?qPQHt(GE>#lPylFPM*~fNb|3Q0quli{Hffr@NAYqBPPb<uiICWhrXm$dJO6
zIB>FjykOX6nF|Qs`jjl_h=8)#X3siBQTsF14JlbZ4_nJO+BG_Es5}_2&1*Nl$$K2$
z#-cg3tfL&uV0MpBi|l`%-o)FhYqIsES&Y7u2H*{VuT$7w5ll~>HE!8eXs)LYsdaoo
zS=2C}5yej~vLuQvxqf`;Ks9BMO(jsaGNF%DKxK8C8jp}Tu`(YZ3>p$$@n&|t?S1q|
zU8VPjBLu)JQEItBJHPWAAr)UWugCjNZRaYQ9_niIO0%hp9Zz5C@84&2{-iNA&Ug_c
z3`9-Nt4Z3lmZ@HS{WzCqygAxZ{MYm{)di1)q-&1pz5yQAse(<vh=aS-NbGW;rzA96
z2rG#G$8-Lid;0j66XnG{^^@8_{sEVTLdvWrr^UmC4v6yvbA51yJjU*>XvB9Af``*n
zoLtVdfRRN8V#vC(1;-(PmRjnuULN6r44N)+O5FwpM;iO&#Lz+*qvDf%?1%17BB`q?
zvYG8BSQ~PJpZ}BlBx^gP%&*j&ubmt8)zUo5r7WXRm9P>*_wnNKiRD0ReXv{$%gh2o
ziEqdU&TEX|72Lme=&Gs)9chenzy+Q>ggp6hAjR@wDLvDU;JYoRJ0VOrwHmoK`^GBL
z7#YlU#iDCiK7PmlDJq2RN3Fr*z<RAD>>F-yq}WYxm;4IXr-Jz6{%I64S7%g7e%&mD
zRmOsDf3~!c(8u&rSZnbcOBR$|6iamnH-|eVrw=K3dV6Hpq=el8(Oi3#9pNQH(a$o>
zRRP3F%jMh3n**N`aciM;dPe5?Y~b4j>8HXn<7Lap)skY`c!6Fu2AFRB>Q8V8YkWlv
zvKP<mB9TKqI8G(r?-w(Wiqu^CVyfypu6U;QgYrU(yx0@JAF2*$;Ing!-vuP;V$u)c
zYRZdnj+M<wLl?`S>J31pviJL5CU8CDyvuFr427XE=#3o~;g1mKiX9Gg+(I>I>F!UC
z+PXBC|2OGxQp<yXK}|S9n4TxH<bEB@T_y*_xnvVz*V;Q0j6livT{f*+a|CnJ2W!>T
zRjq6vdzxn6*yi=!KZwJ3t|-e>t`8a`=*-{F)D!$C8W6a#tF&a0cV24l&{fFCLy-71
zAaSsxn}R{<*<t9#D%}Pi*HxL^(ppmZLKdZi#Zi@F7Ae3MXDF0v4*0K14e}&FYpg5o
zlW#KG2o6CL2<i)p%Zx~o{dNjr<8DV^6BsUyj1(}&A)a=CWCY1izStJJ6G90GfewrL
zTmQ)jW5K)oE2~Vok#gHeJVv^qCA5uSa-d~dHOo<LjaXhpI*w^c))2?julh&TQ)z{t
zk0}j<mbui;`E%qcY*u7(w#RDr2|wnMb~~F`*LzJ)VP_tcBv5_G<o<GQFty~1Evaag
zz`H4+?a_Xv708I9!mVEe_*-8Z;MtEYem5st;k&#AV|98qE6XwyVV9t$9V_=61wZ~2
zKlR3_H*vapwN#UGh%L3PO%9S=$D#j#aV6*`cG}Y1Iu2ZzDEN1?!`ax{H@UCIN7yHt
z(%NN$M#fJuCo*j@XOY@P<d}vsBlaYe`PyXq3~xTw>(-un^0WpBEYyfG%Vf?hw;U-j
z*2g2P0Fe}}@JGqb1{zP8^J8Xqo3nwIscMiTey&>tMc>b0gNRq>;+`kW4P)~gMqDlv
zq(`?DrX70Hqne}+&IVqJox5ZNF8+FOHT*2etC<Ork?+jT4T-7GKd2Tr%5xR5H6=;z
zz}(qYyiR2HXVJ|+A@b-i_m^DT1Z@+1!Q|FZl9t}ZY$WzPr(XFj`flnFVv4mXYdR#d
zTXLQ$821mTTSoZgxl%m!q<laj-n0k7@|NdLwLd8Rdf#mgzz0p)W3x!l6q_U?{wRZ{
zlxtC*StIHd0~2G{9e8@vq1g$it=f~*CZBFdWMrTs5I1><31R!7CTDWvJnbmIPqi51
z+pHnDSpr(BVl)EMsXlgj<r?HR?=!RdHlbd*MWZ74H|jd2t8L(PH-r~A{Rn86!r->7
zIR_B9A)YV{%=#KTZ2W@paYEmK&jmoE#*>|F;{b5-bW%G!5>#aY!_n;EDV<`CHS3UV
zzo%XH;g-UxjQI1*(oEEv?0RgjM=~sxXjocE`hvMc-m}XB+!hNRD$<ber35hS1m4cz
zdkEW<)xit4#+cCmg)93^jDc7Y1S~ilf@Pva%n;e%(3tDSTgrU}2jJIg9<k>g5@rQn
zoPLE)?c{OqJ)qx`*m|>a-GqPXn<9DA7mq}Vce}^GaeGNhr)!flQOrRzz1u9{zxZSZ
zPSEw~D|Wh2xtPVz=Rb0rdKFFh<~gvgD3sbfv5~l30=U8mIY;7EIy61VD#o?|F>Z$~
z<_r^2a~XX#vrS2CqJjEPhXhJkP+ykc$%an4=E)kfto}=ehx{6>HUn^4UvoGD2{GBU
z%;#FBoM3~WzGr?F4aQ&0$aC@@R`%FPXuu&fFfF3wTnF&?Av^0z8o6v#k8PeqULJ)A
zx`?}N$jC9lKt;j|tdC=K#``kTKPihoLABLQs7BbR1m>`$4lbDDX`PuNhRmza4`uUl
zcpz^z=$4jf^(?<C8&NOitiSX`(Y_ZuKz)(1*?-dP9r{F^?0J6mhK{N-z9k7TJFaEZ
zW|ZLLKcdTt@GHYm8WGU$2@r$FSs%+(zrwIz(n;5PMHL04NvfrzG1$!CtmX&EbU8YZ
zgZ~hq8ru|cOcY=F!AWs@@tjW<KV!lC$l;#@AbYaNYS{YSS{xs1K}4IF&SE0-$P8Wx
zPWzn{vp;|Gd64xDrTN1fXx$1vfh>!2_Q{rJg}(}%38`z3RqnkR!`#zZ?pBapJI5!f
zwHslPMKppDQ_6<(2F^CtfWzT-_j|9Y4CnzN=a*h-m6@eONB}pfNX~?yYvGm3@kl#h
zUB4R<u0d}mP&(g<q3l1YPVv1ei_!MWg@J<WX0zg&0iV9fO48-fqgb!pdv~?O+k+L}
zo_rYMmo#jK$|$`=0fUd}5m;3PF)ih9@i{D@xogSj0lniW(-ct^ui1#SH7>jk=67h|
zHM;@BYAE#&e||9`GsG-mBN5~A2=&bqT20tF*qgIrtJP>diFFYF*OnDw$O(vM`p<kV
zH9%C+wC&dWF*^+AolIh#;VIm8(teel<3~HRyr^RPP{B;vg^V+H9}@+GSHh~@cV3XF
zERfFf0qApyt9kK&tK9KYTfN}|$J@)u*Vk?K2KviI`cj*+I$LF8E;jc9ja%9c8mn2p
z4#y{Kg{XP}?u=XjxlA*UB^JOBKL6Z%`AWA+@doQA0LaYNuBG)VVB4+nhF%;|6*s6)
z`e?yfcT?c~oNqaNGnElnn1GtPwL!n!ry`ioT&dPxNV9&gzJUT!9w@1uxBYVu3H#Ag
z08c=$zg7uN6EvKFiViR!VlTH9Ii|bxX7a0L(ES+E=g{D5yN7y-@tsm-?Z~@J(T=Tf
z7T4^qyz30a9q%B+pOKPwZ;N>^QvW`M_{i5_)4uCN`DJ*?;f@&>5OK<!Jl4-)!kq3B
zo6SOAy8T=wBN;<zSpmWha_Ws&9j(r%DH?_!paay;(m;SPhP|&Uwf<<y-M_^A7=t&!
zVgY8@>Tacd(&k&~+k@DGEW2U{h+IuZ%u*|OzGtBbwDwz9$s+uJbDQ%2WLDp>hBQf>
z)0}$wr;^+Y9A{@?`Q5XyFDgqD`+y95iqvC)`mz~8s3bDhxDrCPV)P1<=HTf^D(w1&
zLIp5i6!kuw=_({n|KT116f^s%Rs@V*P3oc`%OGBCx7<G<_yU!qxO5UYq1q?7OByGu
zVpUht*uvhwYXnJi8OQ!hyZO-A>WZl7-nlJEbUOR5=0mGEg^qc_cOZ=MmTI0kdYx-z
zK#XUFozh$}2(HofYt;>t^|Q6o^)cD)-r;EUNNTz-xarBboL}#x1n2hZbaw$g)42~}
z<6Plq&QWznFa3$T!tv%aBdhW035eOJam94^kr^Md`)@Wq7eW*Zr#eMhTu&m<Gz*Sk
z7*Q_XyaI-}9eF1Iq|Ft9fIz9%!^(4Ihzey5VaM`>u&w|qGG<4pwq?MoF(I+qsRsd|
zchYA(n?5GyL(D_n5<?om;@T(Nqo_sP{AoS;MpI%RiiQt`k0!5?kp6a~T2<^T0|$1&
z)(fozPSBVr{W8@+N{s&b7rJg@w3Wvuql1T@p^F3TCW^H}EtJ6nAgr519mRN(3xHp{
zb_>FtS?UpI%yYQR44oj_50bGW?~M6Lw~Z=G<6R;88{!amZqkLBx@(79o6c>0Kng3g
zho!@*3&-!++JWPxjOw!YP4)%+PRngJclVo7QyNl>-EoZlGgan+KIPz|WObB_vzBfj
z6)60sPsqF78@CVfLW`3w20_JlXr(6G5e^z}WX!UXb$6-a7zU)k1F%Go`+!tBvPRip
zgp`S2&Y<}G$emHLK^~JU_LD3t-P*{xvosV)Z{z{d7l)R1hHzp2LHKvO9-#z9!j>`a
zM3$0{iZ#^R-?+&}GF#cku)mY*m~M|wx<F{V?Q5srFzK_{P+;~*Tft!6b2qxqwT0;o
zlFG=OgV6q_^xm$9zXIH2HoLm=UtGx&lW7ewN^FdEMoN=y$|&#6->KuiWEU3mzVCy|
zh*fv>wtsaj(7;@$5X0RGtO|}Z%ZWasgjMv-_m(A}>DWQEC#gJf{Tf=^m=(N7LJxR;
z)=~4q`b1oTd_urm*+RMKo0yt3vdhJ@NnMhz5Gtk?$J?FP5Huphd#?&POo4%L`oZ~D
zkc|?M!uqu$cgi$IL>wYQ8A&bF(f_-5x4IGg?l6)~y9J}66$mKYuJ(C#8g`l+)!K3J
zil06~p4*&heV~KZjtO3Sela9aq#2He!<)obYu=Rw%VTYHPBm-<Huy^72T-wyUS^AB
zs6K(LQ*F=oY-^Ixk+b!5-Q2E`!61Bs0?!h_jHV88z%c}glFGT7ib~C_{&Yv4$}(Z-
zbl0R<n}J3}LtvyzLxp=XOpCt5F21fvt^mV!Ke6F|2<VsNU~ikYZ{6UZ84_-3R2#S&
z0mo=~@g@$)I!Fn*QLR0wBRG3SJAkxypUgCL^=<^67qgmlS6`yFD}ovx&s}hW$cV{K
zwh4-yq-wV$e#GdNW9ZYA!M8=iX<P}!3(J{;Pz+lHn;TJ|K_d-`KHx7h)^{gmk}A>p
z^?9^gC}{{g;8#M*s#p_q2Vuw=c^z8Lwy$u%b(bf*=zG2<8z^9Ti==ss&GMBar@FsJ
zLvc(zge8-}^?W|q8oE=^POaow!?&-x?y|-_IF>M*_QJg4d3)&n(6n5tc$qi-+M1*N
zm!I;aUmrSkqc>Iaf*-qWxis~^_P^UyFJMT{#*yJBGuC6!2NV?|?*%i8ea)aKg=&}9
z0x#!bhY8m6&Fkh(p#+a~LwX<q>}AM}8i!Ssw4#=RvCk3MlQ!po(YrqK@O4{v<u+9_
zZ@(ia)Ej%YgTxti7fhQT>b_zyPaHMYJu6xev5|z7Y9f!0<IY*CB;efahI=%ffeQuH
z0Fe{5VPY2gd)8z}*QviRJW1xan6#k%g${;bP?*3R<IJ1ze=`R(N>;t__&M@(n~?U_
z*+D?>F()h@6GiYI62@5P`9_&4$krSLU8}L{ExbuhvA-&SrfzmRArCgYb@Naa5^=`r
z*!Y-6wU2-y*)_iOQsqN$5(sU=c<)oB-mq<rQO)o|MC2)7o<;#66u-wmES9`y-mi?j
z(zAkHB9i0#ByD?03wyd3;Mg)Qq$yTv$vF7YKZ{?%vQT20^<&9LJce0f_lwnC34{)i
zJB|B!!LnPVM%REtxj?b`tV3+?V0MUSd@YPmGbqB1KTtuO3phE>Mf$$~lM0e1%I<in
z)>8I2N0d-q9GH5sc-K=#VVZFhD$=F3b05M5lnLNDH+bIF>u}3*a82w9UC$NQoS8Bn
z?lsWeQW<Lu4Elt87_(G3Hm0yPS3#Nwe>7_7t>4Ac0^B;jVI5Uq8kNyd?!yNe&TwXB
z#fKm?qYTqPg505F<3K_cIP?2ovS^7+;+52X4D$u)9wF&{RXUD``yi`j3J%G#HJOJ^
zEwTN<kX!GvsSWNI70RXc-vS-b!J0sgQ7%N|Ya_z>#UIhT=z8AfaL1QFrM?<43Z48p
z#mlq9rYZos0hBY-9YlS|bQlrZcBDUz=kl=1Xd1P>jJQf&y?S{?DEUo2r8Z{+{b;cC
zz;6CpYe7^8EMvE<k6w~H0rXGIY<>2$>wBUDyzwQtOe6No3ZgVgjN$3h12Rh+B)~t(
zK1nDz=#dzgtrtG|ZqZc?c<ocbj?Ngfz<-T&%&qkFo_~A)=6k=v!i{z%3~##7?)fOl
z@rNIeU8*9%{Zyc+Z^McED;D6-%r&+)3seP3Q5a<zw_UllzpZ9-Lv78@3>c(XMwv7-
z(9!wzFD%pDhY$-44ZxRTA1@U-Z&A44W?w`&F!2~nTp;<OrPBldt~#qb@5lo{H^P_g
zU*b}>5^(=73<4cwbpz{G!Ob(9|AyxFVH?L?ZOo6(BR#55&p!#<bEoK@cwp}DzGc2E
znIl1^sQZ%Y)zh?Xs&40N#U>*Lr2_9qTc*iK02%~Y5g{ybaI4jp;fZ2K|MOO&V1U33
zWm`Y@#4D2lylq75jKu1o422t8jMBN^?@`Ajf>bJHe;?Ye?gy>#C2e@M!}k}!UUH&n
zlVSX3%Vh8m-oJ`o<pM%@!_!c;bx%o_svapNa+>ymy1`7904or(RhuaVvuC@!F5{-$
zptam1Fdtq?s%(vp#auF2JH<-T$g;v!C6LUxRlpOeNK@2peyAGQnWml%Cmi%KW|>Q!
zUCZ1*LI~LUsl9G{)#V=|A{>qJ1VU@gWHT28=rQ~yA8zJIBY*{m4fMeVYG)TOLoN_v
z;CY}d#;u`Nub)TOLRWdLe;fPocKad?uep}IB&)1D*Qm1=`qHuRd`Rf${N_;e=!bLu
zp!$wNHhnMSe9VK~59b14*_xYt4&pbI7I;$+2nPv}6_r*BWU5$EM5-znI^Ru^DUBXR
z;U&E}%2LPU>LP<g3|}`A+jng<L}zM-_ja=VQ<TAHH1G&s)!x5^ZbawNRYNbClq{Pi
z%aD}|9Bp$h??f;o0D{+GL4<dRj)@=Ja1q3>dm!kReCp-W40rq0%j?a=OQh!KK#ArH
zlP?v7Dc5$`?PP>kM>y!YN?T?a0J;ync@wN#En61NczTdQch~8%mezbaX+|y~kF#xD
zDsztv*w9+~N%+8lL+A3uuAVB2h0ct<6PS@@WfM7&`e4<|r=DU05*Zh^`+y80Rrp)N
zwzTkFDRuJ0@eG<b+v-q4w9x(J0crBDs9PMZ_oYYh5>qnBjB+P!FR=x8Cy1Ml0i3@|
zYyDHFTRfK==}oMb9umt3*ra9{IVFk#1lHQ`_AC{s7!kbxwI*0y(4Yos+hS8N`N%3Q
z(^(=39Ws1|)HOM^iLBIym@XL6$j-pVRs7V$w-p$<0Fr@FbKDh<{nBfrM?F8>7*4#u
zO0Qvk_%&^k%q_p?zSK2x`MP|&E@YY>H4=;{)+Z)wn;V>Y=8_9N4a?tI*y%LXAKd&}
z-==8Ixp~b;iE23gcB#x)>6!F4x0*!Xwb1sn9GaPfyHuDW1|X`9qwQHTwrZUVrc_NL
zf@)B*7SlU0i;|N`lB)Ow!cWe*A9pCV0U!!#*OuvaURD<^R(c&q8-!!**5>9u%=O-f
zBvs4EOBfXO?4oN3`C%npoD?u9jnqY+jazc#Je)v@3gQYp%p_ETP>M+}sGMo#{47rX
zk(Ia!aG&H=b($)odqH=~7cqe`36t*hYC^6`?@$^=bFmkX?GiD-mIfSnAYj%qxj?-M
z8xrO0>)7=!_nd51tu^NlGKLwEwS@686}i5%JexyEXkI2^Bnq|2vA3{zS``!8tk3zv
zdK<Zgax=^GQJ}q`piIHB)&|TraRi}$WET>zu*5g6f1ck2?^GlOa_&r4RgSjSJfvl8
z7?O!!*PU0_sFq43G>=<yYl3;~N$(r?-Q3D+9b8ADoR@n@V9|)x#nCJyM7kx*UxE}8
zGD9^F>ZeEfN%>)Rhy@1r`E*wI10?o3O}t0GsT8Ve_~GX7cgMT#eJLA3tJFd5CV;cV
z029F;?WR4*<~rRF^Rout$W2ZBux6&jvBI_%%`xM~Y2n0NthHPCGJ?aNi0|&+T*%9L
z8iBbS`uOi4i?b&j%7_X2Kv^Z0B<gm$qRKs_R!j_;KZ&UOa~%OARob9$Ll6j+WJT~5
z9loQ689l0$_!B-2rx2o1`GxUpH5E}j(EKDshxppROy><{Gt%A<!+{dN)MQ-Hy`McE
z1m|1Y`Exp-IOeKHaKp#3ovJ<1-MRCMRp`fX+HNwiBh`5sdT{RMVus8*Pmx&Eu+U0^
zIA<N5#!XZ=)0VPuqf#i^YO+F{d!{(X6(R{3A!5C-rnFha2FCLaSG7D!HonkfRzlTq
z=1f*Q>Ih6YpPXH!=}8uDT+Xq&<hw-gh|yajWa10#e5q1`F##>ID~^baEUyh$Cgp;T
zx>+lT@QRv{;uGcMW~?vS(li~|ZMZzi(B8`6>D+JZlTP(Anntb*b?|K?i2uW%W{*%3
z`@Db1!)arY8P^o18k^R>^dMYFJ6#b@^8Ij$AOXl+irf*?+9Ck^mAC10$yCIboHT31
zb=byWV7a@q#+dhv?~?y4jOb{o4j~n?DU;v3SNCiE3!BCBlsGXvo#-U_v+5WwR+L6;
z^aNzG@W+`h6N%mdDC6v$rsa{mkL(;prMBAdV8+RSLJFCwmg3@`G0~OJ3Qjq~jT%A;
z(_s0-VHIQu(a8D1jAWvGL{EvwFNp~D-7)c51orf5)+HB=+2ES&;l6#Zb-5w_!+=;T
z$ERX?w6#tfY=)${8O;F>fr0})f5@4H&*=Pvg2I<1(HIlR*$NRB_@9w_KtmrFeJ!ed
zjl~kyszj1T4P1VkRYQxe)lqAgc7FpqV%4eR;>gn#FLp(O+swH(u1Ps_%F5e#jvQhT
z4L@!Ea}Qs_Sidqga(q9*od*N@)5E)Dw=ekQ(63E@<)=NxNjXw)KF6KKA#;g;BN2g*
zt+45BUTB*quaH?`PJSKA4P|_Qd|en9A%;wIfZK=x5hSg#;Hnd=(--z>yImjB_o`P%
zU*T*dF+0B6*`DVXHIV1h5N*0vk@Z(Zubi68b@E{hRQ73<6(vz|&RYUBe`D{;GM4lc
z7s+JesVs-<!zYh;YwPA*WroL<Nm=6ct+d*iz*+ATPEIhI_LG$uFCSiHWc#u2CBv(f
z80QNa=!ghlC^1(x?w?Wd#nL2=rW&wr(mv)e%AE|+XFAY=pjjwCIxz0KOkrD~y=jVY
z)$cp}xdF0Vz2!D|Afx8Ou+zj<v&r<6qExBD+03{$NmFSHMJAC5cCA6_;%Zwlh)cv_
zDd%r>DX}N)off$*SEXsGH}k`O@6_G$i6Lr|E$6P2W0Njllm7wZQWP`j&akthfPmJs
zsM5ERS_~**Q}1W8Fw#3)AaNPMIVt_lZ*Ak;BgzbbADv#ETVQ5sO2BKS?_Dncv~?i+
zAk6F$`x+4Mq&VXUDgyiuRfO5fdIdI<|5xP9z~f*cbGU0;0F8`|#H8SsWw!w<q<TdT
z<)z(E6`4mU#?9=!NzKQEG${_ug#vIEW9$&{Me7R*nxoHxL^}qUn=`z#?o5-4#vKrG
zffNX4;kJakZ-grvH);~0)tKEZHWi_j;QX|?Th@Q0qAVSP4XOExzm3=hJndAHM8x)^
zqu#lZ9Uc8@88FaO8JOVc{j0QIwSIarZv~|)F?+1DTV0;GK@9v^Rvu3tJcR8ugWRN;
z)gBA$SAOm`<4m*;^(V}&>XP6a;RbGcmT}+f<noC8kRA}o$rPp2iALX?ngLrlCcO;l
zJjNlqNG*K~E}^r1m|p}_GCi8nGqJtVl0jJc`Q0)5el!3n?L(oT=>kPEW=GCHAVB4N
zyfr3BJnpFMYS?pk2}ygE#gBE63Q4@Yvqus{Y*l#eSlFNfBv&WT9a{3i2Zm*_hP?K~
zn}yg-S^}z|99w*nxCwt<?WQP|RusxdE7>DZWA<YQ&|jifc?r`L(-1ZsAfEN3^z_ib
zm|pdp`5gn|yAN#1vUXFMmzbYkNfAriB)Q|-Zzj<E(Plsis=Ticln?1We52N{TV3(O
zqi^!M;=s&bSySr$aZWdmk>ZYVaa)5NXRv|<%Z?A-Xa!|He(TAX^kQlT1Nt0MUTJU+
za;(HjyQW`t`n8omq+qS6JRd4cpG|+Z)^9I_i9)B+)Z5855Be?A@WLUpzXj{LcZXwy
z9N`8ozVViF6vNtlEQY!uY1p`LmkO+Bg_65p5fQA@unN+PW17lN@g%)?x|7_<T^TXY
zDs=xeMNooF-OqUR*mLJ63A&3S3v~uIFMIsx>a_r-yL__tyrAdpu79gaXxVeH9Z@rc
zH!7DurIidNGfW`~O8V2Y>Wg36TO8n>8PjdOg#{fuvWD(oG+Upj6piI1=qEtEZT7f<
zx-e0lne;(JUq-!@K7`)22UR~El*+*h9!WuKpm;=Ngb+gdGt_+R9%Xf?%!vIH?r0sP
z^lEhC>DXq3l=DywgMtf^fjm%7({V({#lr<SdA$B$iHh61Z{gZ?lmhUtyE#eFwiMjq
z=>q^05Cu2~#46g|X7G<7<c#$4ZFN@AYw9HvRzliKg<V^ityM9ECd(7&_ZD*2sgO^H
zsiF*YDK(0wfxQjB?|!stA-n+K0rW3}XW>Y0Q>;Fhs;lfZiNl7zS)f%G@wph>{91-T
zt^2iT*sB{LrJ8#VvO(9%l~kZTUGB^?erPL}dSg{3m{QpK<Fji(VSj@gT9ZcqaznAM
z0<W)le?Nz>0%MTX57You<N?aw$2r**0wOzeRR5;(o{sXse}xC`;Mk=m(@?98ZsI~{
z8eGvd*!@fhZ#>?8&^i78cWQ7CSz;#?6tj<ScaS%&D*1X<1Yf--TL9id>EYwgD=@LY
zHSDIn(a|Z&%=cIV%e_#?TO=f6r?;Xk+`J22+m2JHb?@uA!Y2-{E*!GNtG4pB3VcjS
zrEZqxf`CPD5jp~<!;Eju#m~M=g1=!^%CF}V)2qL{s0MwieFfcIW^S>k^Ewxq0oj=T
zNBn^$`+<<=-T%lP3cjLA6K2uhz((Ymn&tK-mazBFRbOp}!VFJ}$KftVxEf2^s@bYZ
zi)T+f-s1sOyDg!+kJ3=NF<oyy0<jZVD2B`Y1!+K=&r4X#c}C_`LsRA7E^>tW(Ty_3
zJ}Ce&2V24la(F1i#ek~;;ax*j7Y&IVl3bcKgP+flxd~;s_4dnf)ldpRCdUpE=be<7
zF{`0DoH_)&aA$Z&fBGKmo`CdgMrxLwm&do6$xr<_WPi4|(Pi@ipsb}Q0~THnTsR-d
z?i8~6fKyRo&{}}ZaKW9Q`v+~8?kHY9!Efsvgs(_u#QdD+<j6`NP)4_t2Qz>US;OO;
zYVFLKo1znI(+Rc9kRNAVYm?|*8WGdh6O|ds%s)ZhFEFiv#ykAzLRYT-we6TXyG*-T
zM3B&>2l$I@00_WAzCi{v3<NaT=|=$)**@?Uq}FnQE_EphLoN$70ffyf_3G3q;XQkc
z(C(#-PR0)8Akl<-VZUsuKZbfxIL=><vQjUqp{d!UzfAiKg8HxzST7{-<TRRoG{wtS
zK6aXiu)8C3EEP+cucdWFg)PR{J33g@*Nex)^dO4@@6=CbZ<u#$x`7~DM9HQ|CxI%Q
zxq<3+NP{&lfcqoPO*o0Zn#jjB_4O58iT9mxjw_^aUeNqRIM4W3xj}E%U-XfUj|No`
zMtu-5N)3hPiQ=se0Ie7hJV##Zm;fj}MZ8LqI(S?Y-nU+#`!;|%Q+#|}%b059hY3&A
z75?!U()wC>dUOy06SxCdm<#C9^-H(}-O}`3O$4>MP|K~jh-{Rr`3#YSTIt}9G_L!9
zTZbfGfK7HyFGyt`qDE_=uGIawEKy4>7IR_{>%}qjd<e;NVUruwWsGB}qdz=k)APM~
zefc6ugjry7PPfm-<Ug^h4<lX)l*%r5xe5mSt?23)alyuH*C0MToLf@B+CyPLYbI=E
z0tsM~cCBndAE-B}O_JV>0JGiI1HrPXV?Q{qQke6`-{Dyd01?mN#A!i()1jp{r#NUn
z?9=L1Qv`ZEw7;=Y(|JV(8qYawE%7csC$~W3Bz-tYzu3Z}Z2M(-)qE|4`}<Y?)ke&j
zh6Iax#~%mbrBfq&&K+!w9TR%k<vroCiCZn%r7#8x)GkFbj8q@Yw+A4CNHrzk<AX=V
z@8KY>A{WIBdLc?HyeV5pLGDBoIMw*TnN}{v>0KF5TAq_O6miGD?(*EM6-Butv4K2Y
z1dUiyr|J_*bk(Z2roU`XcKX~@`CgUNHm|%B9jqNZOt8{PtVTRP`i%9&;#2(+g~&+;
zCtEe%)-e&(L%R|j9zEu{BcCD*RNb;jpeUom0Rxsj7r5DdS~QH6L;{>UpZ^yoO^WM&
z+Yq|*!6z??lxmMoWTuuykK}MqZV>FTRU&+_EFwGtTMAMzroeQllp>NDjjOWKCLy1B
zoVMx=TSPgROGqx;3SsQoyBn%S2fHGJ;5!8t_qrwq_{03@#b3BX1a1(p&yUksImPy1
zlsFbFJOxsfqE^)co^$PFk&`oRT&De`O1U=n%n>~F<Uf0>fc<S`t(lQ3ynxn)Eh)Aq
zK*Q3LKvPrVK$;UD0w{0<KgTc}OEY44HDGDAR_p^kP7RLt^-3ilfwoq~>}MuWh>Jxk
zu`mgA)B=U*CPUtq^gA-ZcWcF+^fvODHP;932xAMAs;9IcCGSN~ek+m`9b=my>7edG
zh-WE=|8WK>4pwyKc&r3`2RfRe`bq*W<MqAKWE|Yb1f$zM5inUdi%$x|6cn&ELz)^`
zVeI!b<2U|0-vW(UkDVBp62BJ9cIO2oX~Y43ri%z&q{2Jnhv#<zo@mKJZ!$vfR(G=j
zv>dK1GfBw!)i9gGzcXIsg!&+JHR@dm;s@mfe;2I3f8-;e@W|Pv4!T8!Nf(pItUIY6
zn4A|yUw-{4lY8;E^>_0cb0%sVo4^U>yGoiwqK25$ozI^`FethbY1U8Ak8x8~nyijH
zopWIl974mqiq!kb4XmpxxqaCf8I}xUP=Af6BD!Q%+$rrv5f>v&N96ls<eTHp*%g?e
zm?_Y8SDnLQ3SVj%kHV@ZdaVi|gMwO`L2W|&8(8$$G!J^2#Oa)84SSShB7~OF7O-3@
zoA6Mi;KdvTe4{XD_v~}8KLU6;A;Y5HPYcXMHbVcQoi%--n`=zLY-6##?;wD~@BXAr
zF8}br;&UMWVWp!Hk>S<CY-1ADs*msP1&9Id`F5La{)p(tfr5InpUL>2$TR=ceKsFO
zYQJ7&DpE*IR7{cnN1uh4PIjqEw@pP$%~q$}63^7c^5z8V^{camNjyH`H1p03@8r6X
z6Atx<_;G<?^qZ(>PSG^@?yv~l-Q5y9BEn|$76l|I_qz{RG%WTkAtRu9$s3YTZ=*pv
z(?JneqOuuNY@rdli!hO$B$RW{igJnx*yMgISyHp28p95}GS-T*6$VVCSoIq+Ij6Pt
zzIG;z0~ecYf>I&BiV%35o8xg)n7gbg9XOp%Q)ry@M0@8wkUFSfkKzjp_`4pgOOxZR
z;K=I)F?0YB$SMeUrYnC-Z9C(QYzd-I?Kp><xLrbTETNy^n5aDKdAyQFkcplZ=Y1Ue
z-aI~*d~ItF9f<v%N29JRMlWzndhB|BPQyJjCr%DfJZoqUAMX^QekBAvom0Hs3gyzB
z=eFnafmVvmc~jpS9(qw$bx=*M@71M?V9j*pS9!;#fh_$xk7k&qf|ubr+JjR7DRN72
zYntk*{f|Ut%unD>qhHk%&Y2bRRA|K+wmg`J`zdBL)O7PWr7%zSbWw`jS@ywmQ=NO1
zDi~LTIa>Lq4C<Z-#*9j|WU>9G;5o`pkHJwX_N6Qmgh4o{ZzmsxsIi?}7AJ8m@>YO2
zfO;z4t=fCnarZmn&{n(E93pHw(O5BI;8ipDM8LW||5&{b2A4Wj3&pyytWO(p!~8h}
z-6yy+z%&E6an|!IRT+b~`vUTMxgl&D{R$Ah(~Q5pEEC|8AIL$`Etl}L50|XQ64}&@
zmiAlLaXsUEX9ohJ7?MrVYD>8G&K){i7CtL_=AQK9xtXfjy)d7fowy8*Ecm~iylp^%
z-<Rp&tm(*B(FwViNaLff!-qjUqH-w&DV^-3S2r+?TETWO`9sdoD*}|;xD=%-gu{)~
zId(c4kh|Y2-W9zpx&E`8n5Jq=#nNIqc!LkNGtU0vTTmS&_gv|~bsYBQp~cusytnIA
zYBvri#Z`hwMQsWadl_)2)pVy$#~Lc{OP^H9h17aMrxSRYi*9|bc>eaQQ%bD!9SGQz
zT7&$U#UxM39Pt9yKlpsn<fShdoKu)}MvlzEPN(#2NNBj5?Bc#-7Id&ND;^vei#}7<
zwR?D9So>?{y+@9%sAV|)Xu!NC)xOj(w&-OHt8ynko+-h@(?)qWEx;29S8C`jyX54P
z0?cMallufL)Bz3_d;OtJA7Ix!ei3HKpWOsDF4`sub9^3J95vPpEz$Y&%a^Z<%@eE?
z#Nv*uAFJMSP{C8Bz*X62G=gBq=M2b(xu&(+<CdVDWGl+Y>7Q_@bzM0_XDZAlDH<}r
zC*Zs-yAD##;=igsF6&cTq(G|6li_DttBH?yeqPbUwQPQ~r!$febbOBm3Hj_8|E&X;
zHfS~%0$?ZQP^s|bA{Hm~Hivry&3VW^wm=02@Jdh(yi=Z7OMdh}Xn_ovG>GnrY9eqU
z0PhJrIocZap^BKRWt}IR=i?kY-ob0fc#Dq&<xO%yw+#9|8>sa3JK|}0;O1q~tN54j
zd`e*7qX=IiH*{bn=oM$xAVQJ^<AWI1<RB3*IEc$%b;FRaST}k!4(3i=42*xOL|7_R
zsS@lvMNpXst|r|RJ#FJJW^<1t|Cu9BS~RdxrKO?o;742~cnmv#x=a0G__F;rvsRos
zQ*~a-dpP2RRn5iWYW&?zIw0q1cWX_#rl|XwTL&vJXxX+QuUE8Q*i)m+pi($Ygp}Db
z;UL+MzBjrPlkzd8G0LQ7=;@1uj!Cy8wD}_S@QhAzd@<ja3JpKfXGJ{L2|xkQhib%<
zN4%eJ$Ra`MPwsVsbx5*NOl>-IW4~eqhGoYmobWMQ{SjL3@Oa*Lyfol(A-KD-01h$p
zS*tOnO4?bbxp+%~WW?+ng2!;aF>izD>F}eLI=dBA$tUU0ErO}&*rEV-Ip~5LDo8)Y
zFl8S{xcA&5W;W$DBWC~}be!TM*~)rizXL}!+@SdhhCepl+h{9*FP;8618)LUpYnTC
zbxcQH1SrsFw3_pJ+w4d9s+j5T#>04TiEk9u6OnB>$!l{bNtyFB)F|b3C29yTQOtOg
z+T%Qg3&4YDZ`B%!2v)^9!?n$cEGb_F^I!!xSq8Ox!Y9{dyC9MvAVbSDYwAfYZWW4c
z^9eM2(52wDC)gZTYIo-41aY@VMG@(KPiHuolgJA?&Lj8isC{QbQ(QA99Gb*?gM|+|
zg@Wv;K=u|pOvC_LpR68CBLTVzFRD*`7#W25VY?cE{Zn4{CCN|m7Zkd*qB8>Q(k*qF
zs<n+qD#i=EugEFf<5(l#O!^{k-_Nl(|66~2!Jy>N{-?V(-|6~azhU?d9Pz^qOu^L(
z;pvTfY<PF{cet(UK#To<B>vWB*n^P&B;&Jg)uCK@_uj%ggO*y@x9{7f)OdO*6sLC2
z#-xAbt<ttGq#mKT?H~a?;4UiKbHDxZZaYEJHI8G@oXim8T#I?v0ZS>dLib;d$iR(0
zYAfv7inkewiDX|agz==iLX-v^<rvv}ux?Y-S{?EZV?Nq|oRTcCvyUb`&v;Q76i8#)
zXT3!2p6xkMktVfZCj4$<%x8>rz@A^~uS?17r;)*QaEjg*-3Pu^;l$3exw>OlDY$P@
zPkUm~iK7=P!_Nxr?wF$dfY15x=2uO+VnvLk#qN<@J@ZzESBCxl!yb<TC|PI=#VHm;
zLv${+m(Yn<-uwM&*%upDA{~l*$emYMc2rsQ=n1*LdO7|2H7eUSc0n|Fqqqaq!-lEI
z)L-=JYE<<AsTT5|nEAaC1y^)6i*gSQEk$)D<=Qm&*szObM#666OqFI;Ma3PGtO&?i
z)!K_1BnkZf9uETRY^nM_p`5WB*FPLrvs$cAw{u`+E!}xd6#14+TjM#VS%$94Hr%0l
zM(=O8INDIeyCZ+~{rAw2=1ZsWNK_@pm3}PG%yfjsXza!V{w>j-aNryg<Zg#=Pt51?
z^F&_bk7BR^lH&^kbIQgobKEX6r!s|vbGF{;o&x8LaG*TL_?a$E|8J!n`P0ao4dSKh
zP<Ukm<GdEYW0guKj6!Q<p%OY)YMks)C3SJC*0TD<bQ&(1D+9Z9xED#m9mo?YDNxn<
zM7`f2uuP9Z7VS)^J=Y9UMr$hm0barDdN9>n+_HPJL$=V10Q#)mL%6)gw_upWvAXg&
zQOx&GvFtluW!y65g8Y(1+8!|c$2+4(o+o>w8qh=T+t$q0h;ZNukP?9UqY!&JK!G%A
z9zCcj&Do);0Xt`4ytl#jt}R%RB32a1Isx`YJ6v`&$!!c~)+=tk<z(IvNxw|VKFYc=
ztkoHNtRUlGggP9AiLZku>4=Qr*|hc7W>I>D6y>#_4}2l$7f!sYDgk-AnN+aWbohss
z9k~0;Hju-oU0mh6>$G!shz@et2ObhuukM94l>eQ_s!0NzA6)h$NL$@p(3kW6&st-9
z6gxo6G(GRH$u>Z48N4CLo}wGSf}eP@3mrtG*JVW`L{p9`Vu~`87zRJe8@~3%U?+Bi
zjvB{x|0;F82S!fLm`2?<nm)T^yFcVes2l@tl~(+k@Yi>EXqq+XcrSusy}7uT^3F2f
zk!Rp|9yA>=h=mu0Q~OflATTJoih1m%g(JbE0Oc8wB`HZe73;R4_1tcqKrC%2#IWaO
zVIF)GkA`axwi54BAQby5GH<>dXSpn3Os@?y$RhH|kqDh=Z~{kKH1uLaJT~M$VQ|ZT
z4RK9@9DsnVgpMPV8V-Wse7`K}KbS2(Eq&EQFKU;{?8PF#R~*bAiuT~GnJJ&D;OZ-*
z65KfFa?!|E61w!&X&+EhdXYJzwkykZ?PJ~z@i`CFb)n#29rzUY-#@JoO9^4>_@!|S
zYF$@I6jctTC36Va`(UcZr}WDmpOBk)d&uZ{f-f)RHP;rX?BGLM-Iqo)s!)bm)bezs
z&5Y?Er#ADFKQ4<o8yMN|VifZVAuqS?DQk@BYdy2r4&Ul{GcTY8EyL*6uc@L*Ln<-w
zXNSU51n#%Y=A+|_nYzVZ<*9sdlHzM*OIs%=BfCEemOubUPdj)AAwMGm_h>Nbe&{W|
z)AaPqq_yOTI%?5pr<(?j3xNgMbpQ|~a$O4|s*R1f2tylU9zJxwvpJIsppxn~Y;yL?
z3tJqFhK`N--~}?_sOk{=PxebzLG`gL84akMd8l$#?c?=`(`9HJPrUGyS?WkuHt+#C
z59CjcdBb%bygp#7oZ2z*5-$ruT}p8IkR)wgsfUj@?70a%`<8cKHlJ<~@$u}ZlwE6L
z1!_goMHm4*+M{eahUeYez+diO6|R=(cf%W(g*Tia1W2*J6vk`8-AVc~6tCC?Q4a)S
zSP|eAsgC{&HrS#w9my7<JQ8J(-NoUluHp3*i%SQJ`=??AQ4yL0^!o#4WLXi|W%sQ+
z?rLs`vZ0+RQ@NsVMXfIHlBu5tSKqWF6bN|6`|4uiEGJ*U(#TgeFlQW)Dg7j#wGdJg
z$#jRnRIlNF*A-kmI=&yf#371ILA?14tsq^I-dPz0!^}d6zDi*T7;wU^{%<BpQokN1
zsgod^OG)3MKz~*ogg?FIwi_~h6YgIY{!fr<`63Ck+7p18PxP+p-x0F}G#0>d>mGx@
zFeL+$2J4Q&Md9mC6_Lutt%>CiFB)b3!rcemRHBJFwoT*&7|lDjh};5y@1nt!!Ddv5
z5VwUZrxsYA6agU(q>|H?Aecx#k0}yO@Nj7YRG{KhwAG4#fcPf&0IjaSWsq4#v---C
zrN}C>*3ZUaQNAiMki=1?J8*72b3FZGC{^Y3$+~j8kTGg=_<p71RN7Xu)@o{VW^URB
z(iUb{YZiR4-6REp3cXIw2nXYYMPzvqmv<BGIGYRI<r<@IKmz_Bi|MwOOnvf%VQW!4
z$|u^4PGIC!o<S?TIpDQ<aHFf`Un81$QP}Q~@>N)SYHfO~dB}m(0_KP$smpa<C9J?W
z?x}u$Nt?zR;O-?T%5<bwXeKnom-b0-TsUi3$nMf=(VJA)nQ9XlOZ@5=%d`r2VYe4z
zf&G%Oy39RB5tO+>Ap<%xunW5uyrviV4kN4c?3X+exnvN9YP4|^(wZ7BDvP9Ptm10~
z(%3Y10LH83og=$#X<!ep*o3?it8uTr^l72WH=gi=k`rL?yO|Y|And&j17cc|?K!O1
z6z(~22S}<~gqsmL2x1^rBtPzn7!O?`(V~A{*~IO?seD`Lpgix;eLy5$LLXXtEJKBa
z+}e+QvfKTJI0dYbF?v3Xu4NHAS_~y@UQKR%*!qC38d-+ImYFe-Hsbob?fFTRh{WOl
zM8%2|1rND3!GiDNg9sCB!yzOh%#pkOKFG21Qs4&hduSYLDq)1U=w0-Do{w7sO1?dZ
z2xWn8KT+!<JZ3UaW(E7=NnY&M6o`vOl1Xcqy;Jkqf#G$^YdE;sXVA@@ztWrorm(1&
zOAE|CvhTAR5S7U{DEuTDJI*uJram&n(vYRM6c8o?-#AO@)A6ybW9uSe8PJcnv6eK+
zVdN%A)BUHIdai4)GEd=#IzZ8c`dgPLnsHn>mjw3$NYPu#Y{y{&08G8C*7)k0yo1Rl
zk)W;PW&}B{Js}2c=i*~?CTxU`mtMyALJ&Ry53a>j2f+0_*r}g}M!s&G{6ONK$Zyn(
z;QMKhi9EsUjRtoQEIgZ(qV|av!^tF}(6w}^M@CSbk7A!4dD^4}3GCYD&w!qdh6I^O
zP@QXmTGcQuq*lOlASa#h8XK5BaHi?yyZ%ufovpUf3Bw%pGwOO4*!IIDA2E?}kC$!L
z%qTu4W_r6>VIq7`h+r=xRee&r&rz=jRU|(a8_$A#m|kkQj$hUo-kY-BFW_}>w=`%e
zt+s%6@dfU>nDxKU97sY=^CY=ARIu33Izc3=CA6`gbdd_Z(U@00-)Sk0q{CC|J9<R~
z;nPm=)2-{?xeJ+A-)jo5XX8A^*+3zTE`i!@ocuv^o0#@8gXGg0LKfmu!TxZ{SxzUW
zd`!2Kd8RAD^38d+pXcQcEN1X6nvcq`2XYZ^0*8%mNKrGV<Aa2S>P)LVvMJmd(fl=v
zaH`2lGjF^pDpwvW#FWODPfGzBk)cWHKQzMKAJ*i&-`|y!L4si~W#6<7Y$=1e=a!{z
zfq1+{B;0eav*zjK3e-Ijew(F_%kNAh%_(3<1W`?r#j(O0A4*1;akiFq9SD!5D*L-D
ze~X&VbLMAOzt4p)ZZ)!xF5v1at1mut2eg{WfZT)Jf)GyZ$M)R>x#SBH0}kcEwdB*d
z`j^?2nDN7)Bud6mxaRsL-kX;3L~0_8He_iC0nPbI-Y^%C?DRbQYx8Gj&eiD(wGen8
zP3E=wZM*7|$TBm2p}(}=JW5|O!>&0%E~`0!-<I357&_3`pkhnHqCzZ9fIjsyk1y@y
zy7_tMO1V~HWkV~l_~@Sb`wmwr6V@Y+n#1|}534V=GHv0@`0*F7tPci4Dv?ZxN*J#o
z!@@UOP2v1htXB5vpZFKJt)SP@>|&W`x_d;%J59Z}_PFZU1OF#FWOHxu9?7`gPUd-q
zi&OZl6!ozz#ynqt%3H#jkNe4?8B0}OTl5ntW3t!AB0fKTe$OTno6-&~2gnRde$q&+
zp2gsH9fiB@YPM>l0roW!7X|`TZm(ZI7BIjSwy4n$%h=!ApO06i3m}D1@jkpdGlfnM
z$x;srNs%<^*Gu0wsume3U7T~;OiFUnRwUCn(mCp!FvY&kDTPErxv${pa!6#G1qk>p
zx0_ythy@MOH(x}Z8Wydk8tJzN@iv)$hKMVG?ZJra-b|_96__SgRNwO~dRB|nK}$rw
zV>82vViw*#q~$&FmB8=yhrBgXRGSdkL0^WCvgd4vNon+EXr2%>fuZdWiN`@B_!Uh1
zTYy>Y_R#Db%h)a};k7Z(7b<<dr%$!|t8`se0UQo)@1edgu~ML?q+j2;_m${=mclYj
zU4*uAr*=MVqmea&+}bo%y6Dm|4H$_vASd9(7{5~B2(kh6cUv3}_zpsFm8v8x54kdD
za}vhl{o28MA<C5p^h)-!d#EczQr;bPxc=y_DK-5XkEz!XAi-8)Sa>WK<J5p#cFu?b
zw9Ui`DY{!&6Qt*Ct85RD>xn*@6&jdDg+AS_myx<{Z_$)3NY*Zv{`_K`?qXUW?jjQk
zeLJvXw?yR51EFS2T2%G;wnnr|ktsD-owDOWl-!c3ceu&8dFqN0JyPg060=~``FXVx
z@7Qx<SQqAG%#Nv~8KkALB1Wm@^>{zRl}~`FLa2?LQu3-hB-3#{w!}5Kc1Mui#oJC9
znKH}D(v6;Oi7i%S{ZheG=@S5HK$hsKgK0kHC{4S0NwvAL%!-Qcr4$(4B=f1NWd=wk
zD|kKMC{W&}w|Q2scEP7-B3iGLU0ZByJ;tP>Eph%Py#N+{28~D}K*+gZ$}{b7hozg5
z3p|8h-xvvxBOU~W(kpO|w#9H_X+7fcC%MuIe+uZUa9=Qg86m0FIy_PG9Sh3&N4vR<
zCLZ32l}BVhV|==9q(ANrH_&+p7_ve;+m^B(%X9KY-}r*DdZ)M1jnf{|X^qv>vjH)W
z11I0nnsLr)e)~bKrMQMb9#$|59^95(V{)jilbNz~wEP_bDX001kR3~rw<G^D(p?0_
zz1z`roQFoWO-RQ8o7^Yys=M$`ji)L%4M}9o#o1u4kYXPcGXQuGUtKCktJ`K4H*W=^
z0-G&CAjSYdpV7!?64OoWCzw`+V1I@8(|XTZ^+&B7k9@Rt7TfNi?Q$U(?n%gZV`ZH{
zwsl<g?*<p8&_n_tC8Q--LS*4cWxu3g+6qzmcW-O2&QuT_lE}kCbHX@HNRrZ4UEP!K
z(>%}~dbW4O%J%G;-%UzS^+Yeo-S-0T7N+^+@>>+ktwX)rYlpZi+fkw^FFl)h-tC({
zSj7DD`oOsLr#W2xAnlD^x<I=-z-?hD(SP>mj@yUK+|{Qf<y52Yq{cKJy?c(FW#YfW
zxdl^8?QL$Xp(4qV%iNIVHEp92N^lk-Mie*nC2nn-Lk-heWUIrM9gHq`=EQl0#Wy1p
z??^4TN;6~}!HE7v7D`+FHK;}SZG9|LPp7*s*5H$^OPezN$_u>y6hRiVJRF`bUhoG5
zkUsNXNSUPN1CR$!wpi(q(>11nEqW1!PbjV^Y2q9=L@M_xYg1h0L3zfhov!`mzTEIb
zNIhwD;Cpj6+koRbb}A{0>*wTXoCmmxkMl#9C{0j5Ifo$>7)&H~j8zBO9qv5Q%R@oo
zk$7K@Ye;Hdd`sjt>GW4Fhs!*;9~Rj<>l3WC`!3#K?YwdUfIP08tvMpShXdgf#NIkc
zlFw0w2bufE%ZTsn*!KoN85i}9zu?LpE-HLX-LJ2wvfoKlt!1=3Fc~wQU?F0kngIyi
z67a=+O=-<L99uK0w^Cj_*Gc1jit%&?H*VW_V#ki!3LIWO-q6B>OKzR`rq#9}vU^v)
zzalp*A2Hfw<$JmlsSQ_?e71~{fqf^qc>Zz>9+5P6h=SvzGrVu%kn#iYePuz(8lcNJ
zJXds4d^j`Vh$stI0vL$9j|$<45a8=ja^1pr8q2L094^7TD}7aX&dNDeKYYmP0IIR{
zhBCOre!l&C)Xp>8AVA5=*#e!TG^%B?pse}8msO!w`Y#(dXCoQf$mt@xWI>tLz*ecU
z7hZ@0_4H%Gr@|w-ll<^JvXW9->ZFox{zQ#IumD^6#I#^}Avp&FG#|(cW@;DqW?$*~
zv!oq&<`ibS1j)BBc7NxDC}(s%iT61l<wWO0{Pe_W97zo!FTqbmco)L@{~oJS&JDVC
z(*Htipp^aK6{8nNySdh)`KM+6f*9Q4Pcuzz_1*0lJofo`!Nz26eBQ-c#K)j()#GY9
zE2922H%4~1exF=xc`sThXwpCrBt}QsE%*peuqti2(cdx-2<<3=QxliXYE?3)tciSR
z#skDdyN3iX;y$bhE%aDmQjpm~%HWyJqu69}@^Y_Hs!D-q#On!6BpC9am<W!^!8pC(
zv<{78gSK(;c=j^xFu)=YaH6oIDJu#vYCAd0wLLT9yz9Cp$cy5lxqE0Jb#Y`tcR!*2
z7wUUY`}NW4v(vT*C+{o)X2@tu-uR}OHD6huMh@ZRx9g1;JGAvk2>pHhO257%Iv>p!
zqr3ez@iDqm@~)|Exb}t%;3YE2jAzF;wW^58DnVcWdNPrh&M@g-`Y@mxKdkf3l=k+$
z^ue3s(@9sn9C)IWAnlkq5fZAR&$Y}s1s5`s>$zJ+s`@0|>i2QOU>1}YJ8XXUtD|e}
zE;#$tl#<m0WQ49+gk5CiR<{Q<px`v31($;bMPBXaPwQKRKK$BI9ramZ*r;#6vqlKB
z<yqOVr*=<hC|z(<#d)^aRcdM^2mO)6j!fJFrWhv##UIf2A}nt_Q&dV>4WAUP*#yP}
zo1seKziwxrl4+N?-~5O7c-#^I97^Y=3go%6Yp&ld0(T~VWWRJhF=PXAZXeI2Jyk_1
zLhi782v{Hev3q&@{b^1i6H1g<Ke;-5_Z*POO6hqI$C8q$NHHIfqBbrRj(o5}CW%4z
z+AnSL?;^?g3}9xRUt?e%g9NFlF&)2_z#R_e0geLe2s4|=D9xgHE^nZEMFts`)y*K;
zokMV&IBG3QTF%W8>F3ntx|3vJa1yLW0<gyJ?h@17QNR0+*wehQ>DehW^mSXoKX;Aw
zSWW1t$hA{E2MR?rA)cD-&q42Qb?j|nksV>jI`Lo?+|5h{i%Cu+WzI%E;*dVm8%b4C
zpU&lX1GRiv0q8`3yo;_YOCLNi3woV~t(g4``azMjI$!O}{nhW_R&->55dQ9!sY4Qk
zJ`dM87zSP<&JbM>P9{rP(Rk=Sq7i}vXXb*F8VKm2J+qA3*yQC*BAK#%eTN}O9$@S?
z1<Ggjg<@@6sP%Zsw#2vQee9?BH;Z#Tp7nTC`-L-7UnSDa_m-{DajEX6`-{^JF;XA=
zA*Dm3?Koj1#5;dFgeT34rO2*YK2B{eG$E+7P+z^zhp1f^*kcoVsxH<gaQg5H3%w&p
z&%vXk1^mNq9~?L4#D%Aph_8#zKwhrVC77C{dF{*y-)0nub*;k1uiNPhBpQV_hCU+X
z?5m($tE}>l%y7dSlK&;EYj!BWrr=q(1X7Uk(&Dydr)dV8pa3i&Ub#shI5$WvyzQA5
z+e@98p&99Rl(Atn?yW%H_NpV_0g&&>_BC4nI+~1JttSM|32totTRohpQgR?RQ{Ari
zN0=lUk$!<2AauZ%XC15h^5vY<>_UO!Kmm8W|MP@^hTV0*a7xcS^W#t6ncs9q2gZc6
zQ<ttFm|{tu$f9p0L}Xm(URO<mk%zwOg#!99l!YDxFzaBW0HQc@m6UDm7VlMjW8+nj
z=szv=&(986rxOzWH<mVQhn~Gm;pM+S!77!BjP)#Pq7EJamXUa*9wd>D@H)B}!aAxE
zhvfr7q&1i{k`^}zwoiFjOS@2{oaQz|O5TGCE(pa@vZA?P4DWht0^-T!Yz!nFp0B_8
z!kv{gu!!W^tN((edeS1M-jDFQUU1YS_r`8nBWr7bf4|AENk_8|wWrZjFH<)B$#e#y
zCtsew>JK{tL1!j-WF{HiBaMHC<;%j9dq}oioZJGLUA+ASbd@azk7H8tE(Fc>-#stb
z(-!$a7U!f?31+6?eEEuNl&a$X?C{~BoxS+}E|PX>G(-K?9n$WjF;Lz+pmsdkibhx3
zsq3>)KFGID7`&BT)F)142iNeiK|*b{H$srcp8af21bxk;zDn2KU|HMvVfsQ+61s)4
zqn+Y)(pa$*UAD(dTcCFQBU}S<EfMl+1XFBH%rOug3;ALW+Ol$L#JdLu$Yt~*-+^5c
zZ&Wo->M>@m9AEfFkiZBw_QCu-BJm{HmrI{c)H(+E!RkpT<yTrN-zUsE-l8NJ0pCL^
zp{0Y3J8qyc#~ZLpSL^Ii&WKJnQ(VX=^-8)E@FSjhCwF*Ib3{`wdH9BM-<&)p;T#3_
z9p{~OyABVzcj~ziNLU5spWcI%%*7^!)kjj&DgJX)xxgWkv+>raZD>k1?=1_9aBY$@
zuhCyyhfh&D`u7I1MkkQz8{z+ZxWT2h5^ZU_QABHV=Rk{}fWa-WyML4l?n?ZR(J<(7
zt9sFI!4r-;eFY?PXN%EUZBlN3DAmN6qcs%?#o$?6sl;#K`UZq%UzX{$f5enuDMZME
zm22F$%7s6vE=Sb1&l&|;dAnGYgE4_!8YbFp%4H)Va~JnFIG=XjwxexBR}0sG8YxiD
z0ESu(k<0ewZ+avsmN<v58L8f<uEl`LxZy%~bYEVL6keqd&|<7^k@9Q9v}&rQ#%8gX
zoVH;^+(<wNf}xt~bEk1Bm?X*{7eYA71MXN<fqTW{mV0|Cnvj{kYj0{E##*+xqdLIs
zXpoEn=@IxJE&xu=(*n_}ta(Y^Q07&6BvpvXUjwk2ib07O0<oC_E*CdL{&rIKA&-{G
zj~_}@>irwzq3!4St|QkOBamc$fG@4}dG9B_S)fULr3fkxIT|!GaE`{+)x!>St4<KE
z$PWH7J@khB7AhB3KmgyhX*o~L`mn1|;3H-CZ)Th;XxVgsH){xBmJ!3I{_G@bXnLNK
z)B}no)!(UUB9n+K(vo-(Hy_ip^;i8090d|GcwYL!Rf^=*0DPE(Gt)6h^n_2?Q-d2H
z>N*1}4@;ZOiFV#uX6(-Xu>s77f)0eLl<cpuyh+a~uIX<F0jerh)iH9b50p25KTumR
zq%G}*K|lI-sd!2>oeUN9tzUWHps>7<twEM~LLV^u+w7pW#h|E(j^HRcs0ugV8onQn
z_%sZNb<A;68j>x*$=X}YY62;o%~WF>=dyA74yxUa_y*Bi(CJlW&%fhOsg;jUlPwQc
z+YYhX&QN&3KNKRxq^|*ZT{W*SJc_om7r+isqqTmp(YkL?D=;h%t<6j@5YmjmQ#bhL
z)932K#Opi=$lJr6Xv8K#qHJ5W_f@X65wkeX(M9VE?FT2)XcKVP-=QM)9+U(>j_WTT
zQb99@5m>KyR0<d34NqA3Ij0e=T9&bSP*2{GI!y3h<aQqD$16y5dGYB+La7pt&xx#-
z_~bsg?1`M%w-)ml0qF9ZWUMUCIy)VUSzThu+Hd_Ku2hnuLVz`xYOZ{je11o-q<J}(
zQZL@DY3ua!OjCr`39f*DRHXF}rq&<#AdqyU^5Y11HtG}gO2^}=K+D>BYWJ6BFcmzo
z3&Nl{+(#8E%j%&ppeNT*lZP&!1XcVEj(+1#yJV0W3wP#z_!6z*s#fqe`@!w%_5XNh
zy16#aT)c}OIZIB?>3D~Gpu5RpR4>5IgUa0SR#O-L!-3TG9q7i$f;|`RUiV(9{O+QA
z^2h@(dq3Nje!NppF$+wW3r9U^sgDEc;u`*na8pPX$y3z`d!)vT<F&8xa@2L*j%Sa*
z$NbclDNJtbY{$Kp`+;IgAfmikVHlMu&4uW5hZTPuLJ!QNeD`AyCh535OZnLI)F~_`
zuKk;FH>+w%Y;hElj8IaL5R%EPkEb2JQ=C)@DL}><6+>HWp2HCV(r9l~BYVyE#>{Sl
zsy2uHEkVO(%qDD6H|Y=t`!g3IiIa0;X;$cho(&SoACM$>7Tgfd*B5_Tko<|ApZj9t
zdc!K!=6&>lj0v?!5aa=Rn~Q>+zU`fMI;Hq55bKVeeFhfs(6&bHe9XqAf@|3#i@sBt
zjlP9(y<Gmd%uiHcs~fjd7<~JM9SLOq^5Tmj#Mrk2=)<3>2REVL$UV=9*ZT$E^-0;S
z`Gs0vT(fvRT=tEI7y3^$C)b2hS`27v0R{Pd1wVibdIR?iajKuB|FirbY1pQYpPX+7
zpgE2W;8AdqOrsaHishMFUWFzVU;q+IGe7wB>f?Z57Ttd1xzVJeP%@y<PudhXEp~jd
z@e4{GZ(AmW)rT5<nb8E+W5jJZaHCL3nssX0oDN^%l2T6)e<CT3d2xf1Zkjc*MR&D1
zR7Jc4mj*pD7`-e@KHt=G_us3<h7jiNWF1L@=h|AMO#Qjp&P{&nSuCT^57wFw5PHZK
zhQ-ou?rYlmlvM=KjI`q9l&6QM(UL-+=HnNhNfLEo)~87Lu2!&QeS5!IsBr>NaWbG3
z>xNf$t6JZb1zKGgu~<iyI(9`r?k?$G+@NP`gHeE_DNr5|F2tm|^%-{NcRkG(cDx?~
z<A@ofNq>m)4e~8@oDcOxVSD8kIH*#5T7I|RE7EFYX9#-zWk?DioGr~#$%?A<n$Gj}
zc){YBSMckvByfwm9a#ufxsAcL%AkPfNM|y@WGTI}`)x7dn#Le?xQ6*WkZ!p9d{AYw
zeTz!NnwiT(M>`wSb_z1IjU;;X00m;k!qJzEnqg|MMOQ&EvfLOEfjmj*3_TN)SU|U4
z=%|5cWv0%g;7<V)KFmXhx!_<7AazEPGc-~0(C2t-H@gAr>$y5-59JB9$8xqDM(dw8
zy-1q7uSK0vr$-b(ydsAkD?$#<McMF=^ouo*ovl4XQ%i&Xs=tgz)=T`FJ9b_)1^XE<
zNzm67o-FWk(thf|OW?7CcclO>|COkDqj#kWpu?Zhm*ISPnZXTB>*e*=Afa|89CW;o
zzjSo&evp(;XAHjfSes71>yET!_D5oell@60%Ra~<TI>u^D*cJk2kAz7C57dVKXcVu
zWt}5jHmh6vS7Zi9yiqgst2Pnz-Wj(>ees+0ap+@{WYoIY+T{t@I41g+V>z89sVYN6
zs1jt3iAJ5LLIxY6W5(!w41WNXY!=pnKpc7a#kd3JY^;VHtcb20yx(!WAYeD?q4ICn
zrNJ2Di?YgnVyzVehAPH&eAmRyQs-LL8B9a?Z1eqkT4UOu|MRe7kE?MPg@!$+L$F9$
zW7BMv^4q=$#nr5fm7U{k-f&KPrZHp1AbzFgKIym;_(3IaI;RJgl~X7P=8l~h1l(fy
zX~-F?<Bly}icU<S+y42UR*~}n99jcvOvpSOM&b$%Z4kd2Vn`k>J0d!vZ9(P=!pXtA
zS?ity%sNa)7SO^d3ly^SmOA8)jbqxmL~>P}+Wz2g%_H=ePIGOOl~_3oLiao|ONS5^
zKKrmh1{FG=sNBhQ(j}KY4*T+_CS<NZwRkl$sc3OiKZPn`f3G7-?Hj5XbECzmnzTL_
z_`UlB3lHN9y^0qGA@U~pmoR8qTO=3ef0O)B+i7?pQ%HGlg(z1F;D?j4i2p_nzsz3^
z?MteAznyGF=18R&Vr}fH3%wv66XS0bDjTFg!YFA+ZBEBwkmL{bM=)%(1m5F#ds&yd
zrL7ku$1T&gSoGPB|Gj=BR!eT$p}YOdG&00M<LreC>H8SPZ!1}AM1>SReX&gA?q*V_
zQN&uQ5$JNbR|Iq<q{!+_-vyjR`bd3Wdyqi&__Sqr{yW(6E8R!-dEs*$K6gS{swdBQ
zRP{aOxAK-$=UaW$i3D&giHkj}ACJ{4n`U`<=#*iS+@+;dkrSB773S?O1)Yn2QW)Z7
zw-Dwf#UZWhys$r9n}}6vU7W^b{kuhPY!tfqRc=pp04|{8>$^tv6>Tl^s`H#HfHt72
zpf}~Ve@bztcYWrUXIN(OG128kVZ0$uPvtn_f+q{z3gri0H+XJ86`T!_`}=+fJ<5sq
zz0J=NAHdy5tpUK0K4g)C^NNdEkofI)(?Fj3XTuu}^i(L>!|dTCra7>$dof>uK=}$v
zr1F=pt%rTNB*b(VOiOh9QdS2|oty4pFH8w$;B^Ol#qVPnl;rN$^3YaNn7(0PjbK8)
zF8$o6PCPMIkRaNjXIRgUscK@4O_14>%ikmS@}!H2MU2>cCSU%6|AETAw8El?$%7q5
zwP+KQ0^BsBCMikL`BKz_Fp#cq{$=yMhHsC53DKs6+!P(=YefPf11Y{5YM~y1ubg_+
zA&3XzPW!~6lbk{!W}Xr#zjT|hFAON~8y*tD7V!YS5d{C2XxQu8U&QopJ)Mth-R}^v
z$u@FH|9hkgM>=^TvAl$n_)GR-BG7e<?#eni`2KMVcY>;;pllp0%w!1(a3G;MlH!&8
zXp*AO+!5Vh0+Hk0bZ}RY^Z$>Vw!8EoB1FrItM~{VuJLdlBlk9Y_6$`O!l<qvR}IfD
zf=BH}sUHrvp@B|^*e}}TMX9!RN~TdTEZJ9q;a!L;A6=cKV}B<~j0>8RbtzAcv;t|T
z$VfMaKyLD~)nAmkn)&`B;|XMy2#zSls;a*TIzc+h3$uXekS?)lXo7w0*z6Xnn&o05
zBC~A*;lqlZyv)_(mI^s)%&&;E9*+8(C;Ih-px(f#zT@Q;W7^g4q_+;u-~QIU6JnVD
zfI~2Sm{}UhP^k7+X}Vvd@s6EO{KVAMQ<Rk&!rrq@&L$_dq==|hf){ZyZg6zl!Qm2~
zR}_fRNGYJWsxW(4sc>z27@)+=FGkCRXL{ZS1+)oLk1wHK%xXSCXlwK#T;NO!PElGp
z9oxKD)m<H5FV$J$Ix8bRg~i72s1-T4tR|{Donwypf{86;5^ES>f(RouKPZ^c{YxrW
zaw`9=AcojDW1y_!)kcg{d5|_TqQ)%5%u{-(>#;|~h+0I`m(m{Q-6I7*0xSuWK)`3S
zrvKcgK3}Lanq5I6X}rV^$Fy5~5U=Ha1uKDr;)Zz+5_(=;%1Xwm9&h{lRED@{ZMb`N
z#-ZG=rcnM;Q9`0p5-nY3FT$*1SBUgv_w~r3#8=4JeM`P@ik<#KJU5Y0k08fT9uF!X
zCw;WTgB55w!PmD+g|DuuTjRO@aif$vWh*TPh0(!5Qy!~1lRo{zaQh8QXHtb?wq5d_
zz(Q0cl!1?z`mN1T1n&f+V<k(h`87^v<5%aL4X)^LY2v-<@2U36TjFjc;5edcb~Cg_
z>f&4A+4T-UWF&E9v0Heo%A$~NKn#`;apj_}ed#^pDKm^Z+Wr*TAI0~z8>EoXT^Oj<
z12qCqViCxJ3;ji@@Y{TZeYf<zOh@Q%<Lwo8h1$>5Y${g^4|cf%6+u&%w6T(ITI_Os
zMip&Ry2HLH&{x6NuZ^*gvIUv7y=L&oMW%cjFWBj&H8mDNCDF&|Q=!@`3EI+=S#kH-
zb?1%toiuP^#dx4K?sLAU*e$eHW8pM_Iucxs@}X!*u!Tx*GZCZxZuL>ZSy2rj-A%WX
zz}=$?TtZ18ioVfb&JuKH<<Er1&Io7j3&Le0vti~46_I)n;r5`H{gc|q*YG`O9cCKY
zWDJDTk^@WP%VPA!sQMP>&qNca7>`DK8^!R#<40SDT^!mE01YYCGbbKH8ax`E+0a{S
znRDWQGeSE={zva*+8Om#NGmatnZwK0qu9dIPxiYN(W6~QmZayTla#lZZY33Nj+;<R
zbS;cE;J~NVK2HfyAzl26g(qKEE9{!l9kU1h7L#qhEw=C}MY22d8aAU;glmoZwA1k#
zxF}SF$TPc5fsb;%G(&^OmgRUA{QKO2%9;p9i3LaWK}P)EcyqYBpBlcCX^?!;?&$84
z?US!W0ZVn1p$th|V<dPow1uiCvxjZ5d@QeVlI!ju<$(7w&|ZSFpR!c`WkS?yJqP_N
zVJ=`Me@mA{cvLC%Zq7Y14@HJctS##jgbO{HYDiE{4!?>Qa6=SxRY@t;?(7#Tj^j=9
zK0h##BxW|pF}Bn=1Qh%}8faEVwcoJN(6JvRywv<&!{<!-b@{gx1vv`RH0nO`6?$xi
z`BDR4S=>}|qEcLPCrw}F=1|-{*>M8(5Vs@BDOPH6T&(|Bb2>~=4lO0kmKQK_{gp=H
zE-UX&jR_Jmt4NjhFM{)kIdBDYi0i$*KFC?ovgXIM8Y;MzPU+>?IgQ!pvt)AOn!3Hm
zTqI%&7`CPW%ScH8Pb0@ShSdhxkZESr42B$r>SF?>#sz5A)b5b-cG3n_tF8WG@D`qW
zLuMq}ddqgrPD&Ol^qHksuU-<MI*dpzII=vP?#0XxGL<utL!DjkvL`Z|7%vROU#g^a
zq0L}1LD-JttXompN+UXRrHwmMrM>h%9z`yq#M!Bm<2w9TCZ+J|P{=ZsQYvr;I%nA!
zcCHTJScQ>Wc&@>Hxhs*V3dkIH4Io0rP<(C?ESOZ!OQ8liXa+1bPeil#WO#(Yecfw|
zLc^)Z+24%1$BfRBI#$?F$m~yFu$iJ+qo)6O8j7+?iI7S#M+#j0>GADDZwL`}q6Uq(
zh$AZzq8SUcfkSECr(<=K-|nkUi1ab~GoKJ{65M69vY9#lK9g_5m@btK9HD@$|Npl1
zlrPvER0-2oXeMQcDH>pjvEfywm@#>6(<hfMU>i*ThpziaF7HH!?~5)W20Mer195DH
zqQ;9WANM~_Q;tjrZ)|2HGaQohze`{V8%u_ZUxcHi=+E=xIP<#*hQ}G7P24nv3I8Dn
zBINN%L~pM7@1zrmneH}(ayj)n3~bMHEZxY-CCjzDCsY=RQhisA${c;2@Cz&P$BB_e
z>ilfp;j-UoXUEW4t>TFf%`c`3r>D>!!&p-3B79>-7;c<<xB!8a9jmqFfo|98smaYn
ztB8OMCe_MobECem8&8UHM+$d`hn=hCBWg`D$+m($qVxjqGj%|a@gPi<|3EgslS!#!
zC=W+wL~w#obKru#=kp@H_QHCkW6OmYo`9WJDP|Blal-jo^#2hn>)B8QGrpN2upC~E
zhUXQBxh)(eB=O=gGx_M3`kXDagsF%KB|rj6?yQj3r~Um9zTX%^_U!undf}|X>)75T
z*L|Y&T}tXAw&7N(xF=H}Yt{5)7BAfv|4X-%#P2#Oh{7nxYImFaLOJ03?>-v>v_Iy{
zvDo_dnhi?~^w~w_W(_|+ToH0;f#n2GA(b-``W&0Jcvrg>@8HZsXaINweWIo)A{Y+i
zF)ttTASiUUt@Y>8wD5-oiM_?!i(G4yy!SDeQ6&D6BE`Q^zz>4da3BX3|7q!WZZ><q
z`ty@05TvTs>CEw!vhx1;u}nxlCIk=bHdiVW@b{m$`jXz)pw`Y&bySYyWARZT5^VTo
z0h9?i*Sybw!I9J_@B$6&BqRIAPEtR~8<>6h>@>_e_N3UWJ}MewBdU>z-lIZBKqObs
z|Lqio9uYkPBa-W`rxVGUCq80n4Mx>;U3?V0epDK3XIL*0LV?mTguukhnO2>DYvrA9
z_YUsnJ2?#X41S&*9o*2_m!d#m3TSuD3>f15`ALBBxNr}B44zA4ZP;!qR2YWE4-}4G
ziK&ZH*(aLnhR2fgbi?u^p;EWX{bje6AMAXK?hXngCRf@1{G^n-M$`flZP-#}v4r<{
z!H~rdi3WCtlS-puZ$iyGd~0AuMw%x3f*Vox#XOa)W$n)4@E;VXHzHz=Lslz%y-w*G
zBa1WvD$>)UUu)o`dHRGS3?v)0H(D_Fzuai#p#V*#Qt+)+bnk{;10aF`uJo206a`P`
zh>Eq3Zo<&Y-Hk@ABA9t1j2Okg9G~;u6_4xG9cC&be9wMv-}Il>VAo-8eAJ?-9JQqN
zmUI~2^1BB_Jx_W-h}hC3sF%2<ocF20&a*fN>A?^4l2#xcgY~J}D%rgDbFLT0T_Vcn
zeHIw5V#R5jFO-x~9-6a_xVuJe_$YLP8<;EhAf5Q_J@5{WSzI7oW}T71`e;2#V=2kw
zY;EdUt9GsC!?Jr9=T%|Ufli>2u9ef>;by=`%Ifnx^-rm7(I&kHW5|MmpF7C<rFvMx
z7p9a?&1IWn8S-(TOS~L8NA(RRZ#+=}5IOqTn*MMQ^kDS|ZBDEpq2Aq)*M$eYucuN$
z=%wNy385bd)vdZ#pXwabT{;7IQvJlw-ka{ZivYy?T*|mpFjO^eMY#>ytrlt7k*Bkr
zac|~oyC(eiNTM1w5u1E#Gcn_$CrVnVH&vkj2+;o;?XBLXMlN(8*2*7$YGcwZE*MsP
zw=USK715jz{krZny3bHR{%P4Qp5uJX|EWGi>atkA(QY{L>Bf^&2oD!p3f+G6-&_p8
z@sLs>oLnZ{5ZR!nKWJ6#6OdZ?YGbHmER&uFTF0z>Pt7s8lsAV8yockx%FZ*KKAXc7
z$Pl_f>mdvg`63Y%Ix97{;Kk~{{dAE^3Zq&|Yt%jj_?QW#o<L?9$hARiah{hc@=n;z
zbHYhOIu1_DI3dm%Ot&>P#T;oB*QwJcft^P`0}f>;0RmU)EgV&)C%1gv6X#DFp4Id6
zaT*askU&WX6>*jo99G+~W#cHYRi-6Jr%>GAg=EqhQ&hl54DlnG!E(!9cn_?sIozEj
z0+7X?pdne?<8jIL!A;g1ozYsgw20MUVWrV0+9{H;Sa?Lr7|PYy9DKu|!jfQnKKu-A
zM4&kB)1~&lJ3u*WP{!5FqQ)_SY=8?d`WnK39q{3}PbHoyCJE@$Q%6jPbdtp_*`U=n
zDy5PGue=$GBS0)Bj?Ghp$r|y--09UTVaX_aJ>rUOo>2TR3WX_&S?osNFed8V*I;{2
zC&`D^3SEQ*zYexHUzT7H1H-U`JoEGLt4X*E#4omqJo&3V2d7pZZ|cEc{H`2zY4tN#
z(h;PTBZ~p)W;YscqZ%$OjC2=I-CC_gDQGw->weR0X%X-elePF((|~{;s5-ixiyhDZ
ziuxhz<|!sqyQ2q<#&e5c(j$+j4G3B#G8vXvXMOke?FH01Oiki)G|ghunth19F$rbX
zqnj%SIl|oT3wH1u<b_fQgIpIZROl9P@u6EddPJ`Oq(=1GEnuzt>488r)NOPvd4vr2
znx>UH<ad(SDBy&xe*HrVjn>|gnV$V9TD#R~#|Rd4{Ze{B&le9QA_%#?N9`H7!ZXM9
zuCE4cdBbC)58r@*fR_p01(MAnBl|4h*zlVS3~S;KXuB8t_Q_lUgw)yQXlR4(<l4Cl
z=XCZqE5R_H^wiiah<jI__@0yKMf{-A8d@KFpk(o-d;9)R;z;!Bt3tz%@fd-q+VuBH
za4g;<Q(3-Cg$1D!uRRH2i|~-POKf|@zrPmU$!6%fDwUL|ms#XwWLl$>aT6Uv#aV<B
zem1Y9jR?n8(?3dm=s$U?M>iEKNx-jOVPShIZaij7leEwB7eaM-BMpzZz6`^kk>=(r
zH8^TsaL!7#XrqGCdSg+XJAmNeR1$VR7v$k~mgR4TIMJ*k%{DbvmKh~(K79>|hU)De
zQC(cQbRpE1-kz-KA-u7UEl-ZH@=KVRlwy7uzln{hGV@)etgrAM!NBLdEGw<*eCjJL
zRXZbbT5Hl)7z9;f(7hwMUO5>mZ#VVZ=Rm^JLMpWbE%;=%V(zhs@bJ+lq53U|*P&JR
zefK*%yzv??1p+43YY71@Pe?m8N8=jw%Vmi2qM}zFT8r56)HeC`5tz$TXd?W{b=J#g
zXMV}T(yVqQi<heDR%^#E3d-Gajhb4GBfyb-LSS$ApH`kG)paxC`)(DIU2Xxb*}|O6
zbI8C8r+<EvWSqrP7yaWowc&!BL+HKCnPbuc#IM}b(jPcw`~9UIDBLRNDH8SsjNTff
z*zkx%!x}17>n6`lTjX)%Vh(78RHbiDvg_O5aDIJP>i2~@0R8hb3ORoOwukDvp&TA;
zkctMql_5rPd8`?@HdCyZub5-A8^+LfJOCnsH1(9+QkF;f4iZCEwZ@NaFtdfA%MNBc
zc7ch)G#_!0_12Jnno6l3aq~-_$GOT`t3!nWiUWPQ6b!OS%;a@5kt_6xBZSc?w5+TY
zN#=L>e<YiYAM)%9d=r6W;?n0t=%&}v{bk?O5Dye&U1UYvp|Ds65%IqW$8dPO?P&h&
zmT0qZR~=k`>OIxe8L(_sXZ+k-noUL+DCJ9gyU<6Ahl%4M{6JM4$r_3TeDp_k`ky3h
zJUWG_;~M2hHQ6GbHia>tH!qY|zz#OyI+(e}WSsEfIDh)DQ@g4mq!*yLD4xDrUbN`U
zAbn^oa*EyZfU^#RHQ_Hl?!%CPW@gLLi7A}gGB*1?AC;7du*6T()R|E~T}bpuiiE!S
zuE>iNY0=qJ!eadUp1YoTR&T-gr%};{egcA<V2B^%<S%i!w4Al>Pp5bCc3ZONay+O&
zs4QP+GKb?87w<701SYgBK&Dtdp<*#4NzZWnl!`*p4a0tHY^?l)lNA>{s7z!LF*SH&
zs&|Qa#YlzA|KK68a_Z)%I#;4k6K42*==q|#!N*z*Cj=un&UQ$#;UirdK1Ps!<6C1c
zh2z6CQvMYTwy#jR>+Xnek|tU=<ZI!I$j>kpq{SNCWn~Ej3Cs&2nkPIWp&R5V*=dG1
zNfm$+&!{zlM@81v8N37<+-sARo3u-^!ceTWfs|6#JGJ<y>hj3XKdv`;$(YMOLG3dL
z9e)Kf7}34}ycP3?;uW3!J*E7>Bkua;^2N3U<DNQ{%c356)ehB1%oBr4wYo*3U-4(t
z_KTS0iJ3}y<FODoNLKvH9Q@k|Y?YQv=dCx2kU7B8h7E}Q0eAvz0^#j9nwz<2A?boP
zro<(37C?O^hf6qrPD4E~GW&a~2o;9w;+EfpMC3+j?+K4YhoVV7w918h0RETPch!hu
z;^xpxE>=J6LUo1xd$3tkT30MVi#zjiH#R4>Upl2bqzyt|kJX-idob9_wwrU(yc!p1
zXFv8^`>=_d4%0u~r-6l`@Oz{p-XdP@eEG7^%V8)!DFv-)1+sy&aD|Ex0mTxf!`A<R
zRaGIAg`K_7cO-uPGzwH^0;bJ9Seb9>p6Lj;A7qtrHPUG73|Rd+y-O&wcXQIzq)luK
zGGBDyZ1r8}<KBTI2|Z)X34L%rLpX|#x^39v<<;`>tU-g9DDN=s3SArdOA^xdTx%aj
zosz$F;1C^;1?y^3Mfv*5@;(o^9oB38QKhB?0va)s>}f7Lb=mD(>m4r^Pz7_>M5t>b
zM&J!Eo;u1VHWn>);NfNa2RY^1K(NIEhpAHGGTmQunygLv=7xj+@?!CUj`E~2DRm+v
zFOq=IK%P@s6f?#PS!X=Drn;>m_8M;o7#-r?UUs*%<H81Yz(E<x<IO2A&Lf{jJ4|$n
z<ALyRt9}T%ZIj0ws6oRakY?C!Hp&&u2#rE?!tnm<=@jsJ6Z(9!CvoMqtt8@=x7c6E
zaHyn%4aCD*UWxHCcOM7F^pO1;qLv85GWqbMI05vGn-7u&TXpk9=BNeR*{9N1&HLXs
zdCh^p&y)9OWo=eZf;&TR5>(+kL0U`C?j$O{TA3E<3^g1su-paWmIGyKsj2lK{1N|@
zKzPJ5yn&X{Z?D}SYB)<*WMC{zlyVu)w_kQ^dhWld0vzPytaWX0D*`UxtHz!+C^^L#
z4B(WH(1NGl2~e^MOJ!a&mv9(Q{!b7AnJf#H?BbnSED*bS=XYm*@QM_!&$&2?J{tZj
zu%u+xq%ks9X#Hj?y6?U*VM%(Amg39KKi;5`NYhc8aR9g)<fnE$p>9@T3$;^<(P8TF
zWTVm;bB&5p=Un6U{{iM!-N|ovXGjR4bV(JVdXh%Z#@`a+<|}@k^_|p2V6NdZ_|B!k
z_6$Ey_<Qo7Pq%YmB*!*ngHGhYFd0@xBt&i9cW<Y`73g+RshzABxx6L7(1%6ttxW&=
zN2)*%r?D|dQ%!+0XSlkCcZ%ZK9UVP<?VcA1Em0@50mHY}AMR<#U3hJ@(!xDJBsq&)
zqRD6}AYYOGPtw%cVh47(+*wBgt9{YfqYzJbjm>>nx<&?IPTTY&7HQlDs&?Rq-a8eK
zIhV6p%I6}fk?FCgMgJ`0%>&?bAqwB;;Vv;ARF_6iE@|of{mGctzj1KMP0~xOs8RB1
zwo%*PY*4pvSoQNl@UVS(6I$d$h>Sbm>`fs6Ph#dNKqRWJ^q>wyuA|w`$Qg!9Cu_O$
z$eCC~b~LDt%J(DmwGBBMRpJIn@MPGN9p15uMc9}5_nKuyF--)oHkV0!LU$>0(`Ih8
z-ouPkR8k>-)q?^JYLAL-K6#DcG^9{p^i+K$bB*~<Ym$ee;sGEz7HG2tO)j|xIv0@J
z21&lVl|@@QMX8gJjS;W-YUwvkPp1RM_hgQrLEevS*R%FAW}T<Q4OosIY^)ttV2J$M
zCU*Ah`xY2AfQe<7^9x@11=`9K5LRQFbep&B$B&Y39QxIiiQ~-i0sJg_)p{*fGeU9b
zEb4~`g7zm6z=<@_^uuv=ftZl;a8R;{^8<Xfl7pH`!e_0inO`vaNkJt0I$L&)kpXI{
zxXfTs?YvMi)#40yIQLlfw?`yFVc9Kc1_2TZfP}+y6JIXr17WSbWGweyR2axxkJmkz
zn2LSCN!D^#d#zrd{78QY90xTmP_0SPsFh{{cU^gnW26rIy5o-K9w9LWgZ~l$+HR;W
zy=pDSgVqj`mK`_%-a1dVSCt?qX`IMy^iRWpPCXK|MZ*M@wD9G7rKNw?X*@ct{)J4&
z0c_)wBY~NnfAidQdA4kuP=V-Mfx=_{su^mtn)du*loqV?`wy`>a0@!X1IrLH)1L7a
z;LZ^v&*>L~GfTxS%&`%7d&JKL9w#E460_2p(lkc7E6Ha^%*xwHvN}T}w#R%UIVmVl
zGx}3vRs~9tTW756ZA4+Ug1lpA3&51H4=N{5^_psfX3liPCdQsJ=YF}V(TIz!Slxqa
ztU=Nq&x<_Q8L?%xM|W^;GJ59IzsMN$qD|dLp-DxzIY=dhPr9j**Z)h5kofpvtpW?C
z&cz0HP`QPpsUC>!H+17iW|)dL6zz1K@3Rg=x2P2kI;uI+|G4B0{HaIIL-zM77;xd(
z7KbKGUvk{j;(j4f{`TVOyhj}?A7Pl-*vb&G=7hS>9@QY(+FNWEOrh%>zteWG-)WEd
z1k%5vn)8t4?nO#JTOu9<H05&U$esm0<joXGc=m4G*qGq@IoejXFtnNdNI4&vJw--|
z_){YSHd2uyM_<-=foyXDC7PQ#9j?5YcRjbl-htxuV*@^B8!sx%nk1aegv-%q8Kx7_
zn&4M8uz~%4KNs3ByYjlvcjE(dX|JQzACT`%nLVhRw5WZmBpk!=p<=dYa)-o&Q@E$!
zJ<Kv$P4StjLZ|n$IW8bRdUx-HtX&w)v*fZOuM@D@%&nR#d&==Ik5b_3rln>x<q1GR
zv4GehN!}AhEreu`?)i~)<GIw_-N?S5hi+_O9y(aqTeKs6ozSbCMOXUj_+w*M_pash
z_7|GYFU4s33q=B{V^~AX`Q{bse7D#=peKd~S@|X#OW(Mr#F|X#97CXP>}isqnF4d!
zvEnL+YO-5RbU&BeTF%OyTTbI=!)A`O{{@q7=#lVpt}G{loP10q0-glnLE|L<^2KMa
z*9~>yUku`@GPmy3W~dLHJtKG#6!r(mGj!I+lg00(!E33BmBO*hmMbCF_C}NFl}GJ(
zE?8flgkprAhUE+e{@`OkAb{;<uH=&Zb^2L}1dV03OmgTB-f)mjU!|}nvVuxw+BeTp
zf1O79jI-5R?}apNIc??A-(5|FjIpdrtXUb&StA{td^@XSh4`hb6qTZU1;}ebPdi94
zzrz~0dwryDm{5oc-aN6t-)B2hKk-;kIrN;@91wJ=&#$`^bx;4zkN<|JfoKK^QxDgV
zw4c;?I)}mdQ=JGPIn0zvP@j=LsPqly*X(|N@8cxvvx#hSf@UU<a@Y-o)w-#0(^YOr
zqNc$lwU_sWB(Khdk7MHNSI!Ln(VjUUFa2Z>3ImLSmA?-VX=^^I_!(HZ#c#{sfC&B+
zfOyJ6nQSWg;ev0x88k@Urgl;i_f{f&38(et<u)ifT`faD<iqM0pzI@DCvs}rKChj1
zU3TO6%07*mYz9k+sZAYlB7$D)UhD_ogL$GX;d1?V3T#@X-koN*bbVp3Q6*??eNXCn
z{gxl(v`I`5^x77(YzUqzT(<U1wIqRRUx>|@B1dvBUc;lJ8c3Ui<q0Ars-%cTgh_d8
zud(P*ij|gbKN=N^L>O3enZYCdaSG{@>I0<Y5`L;jVi{Q7HDyvx&}eLJ@J&3|=)I5C
zdp+>~0XyV2{r+meS7fJ>A^Wg{d9mGrw-RNIIcCF1v1>z71hf<iT^DfM^Pe4Z8I}yS
z_3AxhJ@SAfuyQ^c3uTrM$<Q+A30mXDB`s$5u0(wrda(cyk!LW?cnsbDsFMj)lx8I<
z&t(V5IgA@9`2>vgvKPo4&w^Ib=}F@TryT3C%m3OuJBDnfLS1Z~x_o;wtE+K`f=E9K
z)YuK^wtvDr)!X-k&9bO(xpO5$;=`_gtX&eX2=%QY{~tBI?Zh%}TV*#ig*CFrti;fn
z;Mlu%WaSu<l-5wX`;kCu0V6oJFH5!HPSzTcPgQ>iDiDiyg>e^R!}k;Q+a6v<vy{81
zq(0OM+0|hu>E0hBq<;Hh6%UrCPor+@Nu+E<FA1q7LJ6KxVo{()rWXZotM39`0iPeA
z%sl^e#x23UO1M2bhs;Jv4`X+7re?i0;JP2rrWC!i%mCqHj;7kWf~J*l9a9@8O6XAO
z@<rk0k@?JTjNeOzW-UaI&==s-a9SBL51Zn-W;Ns5sun8FC6d_Bnt7?|=B!@XsK_(r
z`mULVSTR{o*(pz2?oO=X3h7YM5`@ngM7axOHu{YcmCW{Oh+!Y2uYi=>pY98F(+y4F
zy}d!E7oXOo(BzRCF7K%zI;E&3AB;j!<bLBpimL^8$=P`~;sk3?z&oE?K{1N!(@0y9
zPk10$Wufj25vkmBEw)E0IuMhTE+)Rdxd&C3x38H>>{NA80;#9LOeu?Qi-A*1kj^E(
zW6gg1Sz6KBI>E|#UbVm#aMi!Rt)_LE1*|mBPM8&rYc<tqJjwF2-kppK7WqEy<-<_C
z8utB+<}&1Qc)Qc#lr4y3HH8N>8a-;U23E4X)%S}Z;ARA<CvEn)i;M$IYSMPS2@+Ip
z=VLNZ?_&b6&AG@e#5eFVoffK{<KEi{!q|?{5`cMHW1ZWw)|~0Y#%43JA@L$Uhsw$m
zR^$uI$s0Squr?)<F;Hu{lU7=6f4@}o;c(GQ6*UOCTh0^NFj}XWu+eJSFP#vEzPWbB
zsvi7&;D|MXVs@N@Mo}(S=AxBJE5LGCW0fG@`ZxiHoeq8b7c2!3)68<RzTLztah50v
zScVSnY$@-<(S+2!+WRG-9a^yV-lGW}kXir`sqARFX4UozKx^c_-Mb%l5gzpL^kB@9
uV_ldlB?T>O^mzz<bBsAM{S(vB_*L+T@7ti7gs2d;pMH=~;<BL4a2mxB$gBeZ

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter32.v
new file mode 100644
index 0000000000000000000000000000000000000000..f4ddb7ac9858202e04cf1ae719e0f392427b7e44
GIT binary patch
literal 4704
zcmV-m5})mh6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}VJi&oc{J3v^+
z)cTQ3zfqM^;YN>N)^<kx*kH2#L!xV$vva-4qK&N1f;l1izCwK8PCGe`FBHA(by<}1
zx54?QI*9ob?Ox?f(}Y@$jKS97W7*vP)t6hR0-lw-Jwa+=a=DYlCaQ?)p~0Wpt876m
zSHG+(VdDQD7BzFeRY`)3l&(#M?wK^C8WJy@Vl$nr0JR0nSord}7PhhpkfUUQh>|?t
zp!g+5`14pmUY%wiUocej9&2BW%}MWVH+QG$2V0Q<su3<xF^HHvM1i@l=s7I9@!gx_
ztSD9bP_zI$Z+$o19~X$Fu?6F3kgAja`>JXUTAh*`1-TNlPo3vppr;}W{s(8@;`kJE
zj`N7vf}nt7%<apZf<2WN3L$nIv-BMSK2e^hPrxOrV-UoVE+cSRi=u+symu!h*V0B`
z=IGB9ycU@Y?(>7NfX+p#p5#Cyrx{rF$UJ*&tX^2&s05mKe^APjC!!_nf}e7zbLw^%
zE?y+B@kRmBFa?TuhonUX1Vq<FvaOBEEOU3{_wRCmA{Jp!u+G4nGJK=p8nws7K*v+f
z{>~>YiCpg|g&c5^Q{}s-mWAg#9WN64T{)+ef2K`nP&vkd(<OD@WC&@vcvY5zSc8dv
zzS3Q8OJliOCy5hV)?j}p$ZgT>>+_MM(caC(AH-#KhdOWxDm#I|S{;y@(430HBNTLB
zq#|8D?iYR%V*){@o5w>}6RAoErdVx%9hpnW8t-xB@ScYT^7iYIOt;~#9&MEY`Nzwz
zsD(uPy&8Vx?;akU{$I07f<Thl^N{<$(<+}cmhchWDO%+5@g1bWBXAle<+4AP>9_(q
zm;Yu<Pk-P2qpa@tq#|m<hA6TQfBoRz2!xzMGpdRC(%PQInl_>C|D!s0Y0wnCE<_qJ
zp7R$8MoRiRDXr7?ajYhwD8S`Um3VL|*0cFrMLe#8Fh$B!fv?@YEslW-_-{>xP%L6O
z2QkZfINZ2OQx`k=$F5AJ>DV)_(a(h)A}RKlJ?Pu6w{5i9tg^hm5F`I<#=E#^{2kob
zlCpGlwE(`7eFspaLey|-8O4xXND82X6-}oxbIj-UimGGx`Ba?pQc0mjrAG#~kB^Vf
zAd79cR9EPSpK?3*35yJb$P0yI_KTn!a`SaFZ59>)YBQhr*ODJ$4177D4jr$oTj=nY
z@4nc@M1Kyv>5zCjl5lUenqSY0m!=%`N2in@h3*tZOzB{|BfdrjP#3UuzwJqZVI)dn
z@Xq!Ske)wyxNqriiP*~-6?z#SC2S{b-P|#dgP@+6!O{wJTYbU@?aCjXn$Y8I^yO9{
z&Y{0X1mi6uZZSzpizP1o*A!Q@Z7@m$Hxut{iGE;ZSIh;a1+EZ-U`o0*<nV{{Bh8_<
z8f5)0@(zhs6}H44p4&Z%F95N%R_^N;@U;vg3c;k`)|C1DeXkqEQ0QVlX}(azxuf$X
zY`Li#v1TFbv6dCgx^{&O@|CrJH5*J5h|=SS`G)NLD~DLlj-cbnPiL+S8=!PcA2wlw
zR@GqrUE-XByIYjRQ8ml{OWklMLRv3G-I^5Jt)NfLnfvyFUA72<xRbV&_dCmq2=J{=
zR{@H=6iO_1_H<JTC5duB7SM{#BNsfJAiiYRMFzPYc=}z>2v!DoH7T&beHS`O(sSGk
zz`TJ;7~$q6Ym4S@o;bZ&z3K3+qLQPy>Dn##MmCobEAM2-3pw4iKf=@dJ_c1e7RJ_4
zgqXU}bkmY0vh*)v0mSs^KKlw0mNKq0++9`o&RO~xQS#UEWFEDaND<NRAVM~ZmKKC^
zFucc{B@f^ThyZ8DV%KznioKanciy%8Hbb+-0L&9~4xSDxqF@=(<vfEmIAjP83ym9e
z7=9VDb_2yfKQS36)I?~;5S93o<;K5{WP^1p-!8`0JIg}+5ntD3Q!e<@yWRa9@Wju~
z)4fd7&Q=|V4L%b$X}n>-?Y&^hybBnW1iVeFO@XkesqZdA^Z^xKp%nJ_$+1u?D_GEQ
zI+7>jGHRIH;cF}?c)(}doV`~Fq9DqwRIele{AGDZ9GGrQ`H0?#sZd0Vv#0XPV;ay)
z+=N#x8MGs`8*|gy??T~UA?2&EOJZP_KCc$nN0z=n$M9ckhRARmZTFar8qYa=eJFwF
zlP=IscM}Ok81guLC&XP&6V8v07Cgw-3?GfYxQArb&EmLbbqVzM%W{7`7Edn8sH7oT
zJlG52)h%T+5$*Ut`ci*-d@I2f)j*Z&Sf2!bN$|dh4}WSAvxY7f%xsoBLXXYWK#&)-
zk%Vc<NJUp6J6U7~&X(NP@6yh^R3)M!oOc^JcV?mwA3E^@+e}l@;*;H@n?17QJ__9Y
zvNn9@ucyNX<d!t`Y?@gQjxaow7?z%gK7(O79@q>*0b%AwR$(PYN1|`kvI6Lb8$J5{
z7lRloZt(;PplM8Cp<AV~9|`*kvY|+Cm^NvO40r+}|BzJpd>hrVWCOs<X6Uh^;g4s#
z{^Ux;-v!3YXPYe1?g`8l##b+5hYgNUxQfN#a18xa9cvj$G@c$M(uwX5;Qcj*wV&S{
z$Z}kOw~Z)a1`0R)9!Zy^R`5v*WO2B;*hL8M!*BEGHLtAX#!>&OnAIR@^pcK0yNIHK
zwSW_Xpz79VZsaN%iz0Y;?^ps*1Q$_mG$lPqp)~;pHFJy?PBW=k+`ORhNK)HDj(Q&#
zHdO~}2)jx{I5n($B^*KDtqSc@c!O8d-2q>Mi%hp_9dwaHPtQIS@wF8R@e~{RQV91O
z5;%9k>jZG>uu<!64l6|*H-!Fb*+SPBtM%4-y1iLltHWU7CLM;f+O0Ldi6$TnHK3BU
zQ`zK9NO5~2%tgfBBJ7@fm<SmDFy|loZ*^hHB&79C;=Q%iPE1aJpAssT_fUQRx25vN
zqW*7{nDsR;rh-8)N`o?*r;Ll098wumCaCWl5vfFVMt|14qRIZAU6HG{eH+vjGv^Kh
znc`t|S3bfw&(d~hpOB;?;(6>BDumO@Q{DJo-V9p=iVtNnH#gP$`vM3Lz=WCQQL?5|
z&V=)I<+;$)A^rAyEi9L{06vDMjmRILcN8LM!;)!HF8R0cTUJ0ej6xlM@%Ggx&ZZ@r
z9e+u_jANW_9;5*f2sDd!im>fzB(WA<lq2dYK-T0v{NmKOB{VK$lc%?^dO$u->q}t<
zkfEH8UboKKf#PJU+(@{voc;`(_h9XNhn9U;q-8x}4z7Wd43M{JZZz7!yK@Hm>AIh-
zh^`5`ppsRZsOQ*2;MSO5`&m`F@<^X&t7V3a=g4GAz3^$?^i4pNnyIqdH)8JUNi%IJ
z_%#ZMx9L%g&A;Kvr`B=3GanyRxBY@E*HHrDGsaX`)L6`A#um!5@~O~x9z_`n>^{Oi
zd(KnjLO9n-iWxWet<ft)jmH4><J!4A`0q}aaHsLe@D^Idep%5kyLSDsqclMnHXna9
zq+TTy!#ryaSudTQ4Od@OP|WXin|MRGX(Jdd^Q)?7g`t8(^T<vZ7$5_{Z*^b1dkYuO
zAlHR;GZC(;d}SUs!5;$(E{D#0K5lc|cmsIx$Fno|7R-ky+{5HDp%@Oa0GAP9@fohJ
z!pf#}iaA)NG^Hp(_q~7jIunIhH15U=e5alQEUQHvA46}0zY%Isoa~z=3)SwX-C_$z
zHT)-691Sd+x>!hWT~-BkR{77~c)LNZK>dDW3*iyV0x2rT6V-VoUR@MxCU#OzoFZ!1
zaJFYHbJIA{*Ek97$=~dqF%!l%3BcE8#_p69Q9y6(G<rJ;z_iaHQ3qQ;xQq5NFa0y7
zAIFbGwf_2pGVIFQ8DtYnG74N>iu=Uc7+kFzJ_d#EhKf_LC)i_yt2+U6fy>(*Lr(7D
zm&)n7LXO2q;OBAbWtt5xNWD93<DhmFg7nm8%*E|{qi9kBRS$}(ol4+f@nA9aqL!qf
z*U?L%%-|AsvHmfq$jDXCZRx%@F`SLP4|EuaWMr;GE}MF1l1C}jgzWf?!G?;jsHz#o
zi2bM7sLS-bjUpbLZw#dO6_xw<I)EL$QOb0}{{)21DcZ-ncEXZrP-=CnV$H?!k|)&T
z8k!xpSHEjObZ-a;2$<riJp9RpPg7sC6Z7RHFH}OaXen=WeL6hR)+!IDW%2Q!h{Z?#
zjB#xgeeIBVLSS@<i&Hu-(8R!qb913>w243LITVKQ>==nYr;T<fj&^gjHJ{B9XPHY=
z63k@fz3|BKVZm`?@_Z9@M1i0~PKmzLlmNoKSDHq)g~@?V7n_}CNp&5OB{?i?D|?n_
zaFOnuM>9awxScv`9@F@5=AcEj(%|w2xdE@+a;_nh?g&;@?=5#cl!A|$`i3xBfQ3eq
z!ENelz{H*D=b^$Q8fz~m=*R}h?ws@p?~AzELMzVhvcASoCsa;sp@)1ybb$Vfk=J>v
z3_Er)PoRj0;7ZbbPhmL}wrdec0aZ=#smEH{G9k)H@QPVoO#@NxoX;xcTRz9;&nh_p
z2nx#>U}|>Hf&QosFWhFqBx-lR2VGQ2o4s30wsC*_s2Z+|N(*h%i;dGI5Qp?%l5XhW
z9t|P}-T3*PH@9~1W=xAePA$_l$)J}gRo)y`;f(6#BhTr_v!jI`NB{X{n7`+-z1BSM
zlAH=2v6Qiqj?rHwJ~h2y({P&W__lgsS7~M}D{ixg+aT!)5!X%5G<DI1?b}QZoyukn
zSIf>fTfV|Bjj9i+*6hWooyiS_kR^e<_-vPHR}gmzS04-2v-U!MhD=2Yc-A3+(p$`!
zf{`FL;+C^>LUuq-@WNR&ZqbDY9&U}Yz|ZW}9L^?~>r!=v$^8GK%a95{;BU_B)^$`x
zE-mep)}VHZdRmOTM48^3@K0ymkTGif^>LH}mlFKNJjL805A-Iap7Fi_8m)>e512H0
zW=wB7{>IyH|9go7Oo*<^4VA5fjCUZF;iW_mplfDzrHvG7<+PE>{CiYg1T>U>;AEw3
zWr}JEXOHlorF<!R@3Y%jtTlqrV8b5#dEF&!@>_sr%cES``uA@%h0~hWJ~oTdXMx1Y
zJ&Rx8EV=k^?-qA)VoE5X2N9g!f;#&GuZqO33AiJ->UK0r;)cdUtfRi@egMN&KSY)=
zHo_EkC@}`2S&n-^PP#6r_$i*8<HDmV_soawOWU&;HlBE#Ps0xQyjK?D+_>w5eU{K#
z`b-u4_d*U_a}Q{emWn@mDVc{@`<8t_eljL-_VM68B)=cNCeshM(0o*pU}4Fe72|R4
zfW?jFBC<T*Co0hkGbex7{Ra{KBmINJ8EaMtJ^&)Bpn)Xqxv-8a4;<_`gh8VqhN(6`
z2?JA3LKRqgseC}T6bs6=0qd#S_j(BkA%jm$N;m+om_iy&Dv}k>0Gs%fyH!IbFG1)s
zvd$No6YdsmCt7aY6U<HF{qPHc!ilJ;Z?b)~8B1XpJtC+w`^rFSJr8ir9kO?7zq1+x
z_tPZ=P_xb#MI%S01@vkH2w52ALMoEDXZ-&DWVaL;fMI{50$|t{{j~cm9oz3KYb2wH
z4j!2XV#6m<C_x72;V6APKcZZqUy|cCMhX!!i34-=`NFsnK|1wlp2NTPM9xA8RlMU5
zSMA+NAUG}r*-jup*Re4CJ`WJ^33-P8XB9E0it8612CSA~{>N`7hgzK06r$-AP@DG~
io_$;TUiWu{Df_^ns5exT3Xrl4zBELK;09q${@G8FJSO7+

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter8.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter8.v
new file mode 100644
index 0000000000000000000000000000000000000000..d91afa2fdc2611225477f7521b2243f3e947cf04
GIT binary patch
literal 4512
zcmV;R5nt|$6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}VJi&oc{J3v_C
zxq)=-5-P2CnIW-(Q7Dkk+QdD@QK9ea(}m-yp;{WTrQ(nvLoVe<eO}bF4YDVMDI$UM
zEV*jQQ-QeLNChVw$o=FPA{?_l#3`oo@z+))gwux5k^Kg@((AX8LbH`-wrnbi+}seH
ztp>^=vN=krd|N?*ecL9iY*~$p0*!79gVPdcAQcoha4p?*97GH0x-E9_qnML&G`f4n
zY$OOGg6zwl-XJ}f$xWIeF85~Eux2imGq<AdU9(Y9m<}laUiV!PLj*7;;=+G@K-_0Q
z9#)y$Wn(zEF5~Eru{Bdu4AM|hNC+thkQ{{ntbAjM2sv2iRP>!F_Ni9@FEk#dsCO}S
zf%zPR=fX2h)=mL2k3hAyuy_H?(&afKw76X2+J2U2&TE#ZMJbrZ9HhD2c%}bp#oL~R
z;W9N=p~L?gG3^)o3!MD*je$qCm8r^>V9|-hP$n0(IGQB)49LD!H1#Ps*60Leo=rhb
z1L<U7HPtf`!Kl|SR)bR%#l|azijHul#)ItZp(QgD-J@oyL7xSJOAL9-!+SNqj<uFk
z8h$9W%8mQa5n*UX26kB}8MXrwoM^UJJF!bOMaKy+sdr&+5N&`eu+@*?>nYzPNC%WG
z)C#m-g&G8O)i$$}EMpEtu`<nV^v?*=;Z82{&o6QrOHB#vcXxVvW8xlVR`}ua<32uu
zS}X{o^UPI6pcR*diA#GjkdY?&^cOi^<PGxGJ-NQ!fzz5x<Gygix<!4cDJRfxz-_O>
zJkg3B{^qr&2!grBD=epr#lf@<*K&W5=YtXT7!OWXJ?~2}ET>=E)1Iyqy0z;pl3F<d
zLCnO=^HX%H^>Q0(*~7`4jFV&z8c1;$(|-4G@4qcqk6_4kK#~tgxsh+vi{r>t;$-&C
z)Yz9MUbf<*h5Eg&6DED1Wm?eFc1t5Jw&y{StbZy4XKnbSa@U`D3ow#<y#0WNq$6hm
zC%}yuR1*hs7r#ZzPj?u^ip>|omSfT(WR}{S<7ereCIBkx?6Qf^696v!9>gn{B-@O1
zos^jt;YetRJ9h8+aE<$^`425y>L=!s!*o5O{z)mrr2y$gBR2aHB#Exi<F2?Y>K;z(
zuyKKp_TZd;Zqf2UV=2IUIauUs+pIxIh}vcK>zw5pGm-;-)8+s^SwSG%k2Rt2QHgJX
zI*4U-(CR+Ut)!Yt2(D@ZFoKZ8oJ&%aqRR5ZfL)v^A%*r_RKzlFeNC8Xz>rXbb}r4b
zEO5ZO#F|kRJpC{*%lrl<h1ZbsFe^JYN;HTqv54<}pm3(9@GBR5kV@s{G<`_e49|Bc
ztmmnT!m>gocEo}DgugXG2=eS-td-gM3F(MaDL9A|vjZ=TLc07_c<873O-ad^4>fRo
z$4Bs(N6Tw3hzV(8%x!k>FPyAA`-cL<BF6FQ;l+jCBQxb`G$GZJ_kBuarmP5-X-V+6
z+9-$5uQksHWA<YIW=J19`lM1SP-0))+<6O7Jm+F$ZfHdMYb4M3+&?V-5XZ(Tyw?G?
z8rkgF0O_}q?w2l5WdI~<@Vrv}<@Sw+lKx;4<da7if<mGZO59Sh;P|eh{%C8=DMUs*
zWsx&|;GeXNsg#}>pb&i;Ub-pcriQ;Gz*@*bilN6DY`eYv@GJ`4A11VcmT7F_Cpev;
zpMI9|CLpwD1M9!c(Jkk`#_EJQxJxL8{qK@~^e)G<C^jb?bBW%#^7QQBx&Hd4C-WMV
zIP;|P?e1dK9&89>cy+eLUmGdEr3NunO9N&&!YC;^EPC`-rIQ6+MMmV`Oq@ss_~B9K
zUcMhNW~v#dtSiL^E4ymr;ZC%85c8xt-78w?eAfa2&k&ZY(O;&byoI$e3XqL9Mob4a
zN!T4!Zxn^Tp_v*i$%g!_Dsw~gCJ|NZOt){%v6a(!5~<jcM=-WtElS}0bRMeFpOVhZ
z>J9~}XOSE5cZ~vNQi~D8l}x6zJqmg|is?!IpZTy_)MnUMRn-K=(C9AFBWi^WUIwVT
zj^3+9<B4-6gu^`SNTgGzeZ{QJeqAckBuAgh?-a{Ds8_`h`A7SMu=ACL5;J-rf~-wZ
z;z)Q{ys1|$af0-F*~C?pc<h`Mj@Q+cDrgMam?nCq2bcRadyo#T=;KG#>vJYDTpL`S
zo)|AmG9<2re1gJeo5@l{0bIDGW@sfm6Kx|}s#zGgupsd4P}D*_dbF#6l3NiOq<lh7
zwe7r%Y=wn+%nq&N;4;VA7zs%xB*t=<y)9=qU6X(aNoKAd8Ypv36$?!JaeMmiwKcFK
zuH0z7EA=UOmtaz@FX_1jF*A=Soea^d%oC0%MSZHcf(~QjFCadpe5Xg?dNliA95d<%
z>Ww&re_$^+l>#lI^ADTzf?^IttD_*3H0-1g#+wLnlASxIAkTKGIMPQsz$qp?JS12|
zQ3eUM^HGAPc1`DHF$$6~%k1JNc@xA_q7_qQ)784UYkGA#cvmMN3Lt6&G)3iY)K_Rp
z+Xd~N?S%!^3h56%BH!6oz*q=b=>;L;;>tbbISA$LOOcT!TF8I`Mdf8qwDwiWY>WNi
zDe_eoQE^`%3V(iT_ms5Alj>2x!B<yQ4|e6-4H?8sPq_6T=@N~Fsfu7Qg{z6ANthc$
zVrqRRz%m6LHQuVxX=GhIe{zWpQrk{HBpX#po6^W~Gn8RE2)Fx9{`>{7m3PPKU$9+~
zd6puBwK}%b?#i(5vWFPRXR6YQ_Z$hNn;J~M5xzy)H?}MxmY0Z#SDX=T{J9dmbW$d2
zv(x^si0h^2Im<p&1YqvZb}mE@*;~e>ZM6nP0!v4LfZ(>~cNqhM4Wh`FtvTyW7|W+>
z3UY=E9Vj}kK*capiIR0Mdn>i?X0-Y4#PrfamWf~0m8<Tg8gS+!qh03TA<qK~_Yakn
z;1NKkCGNTG%x<ElO^u1}`i%M7N;rH%&nf}I!|*x;knNAvXF8*SCQ2^WhU3Gsi9E{K
zhT?OG#Plg(r_|Hd%_BrBI4n<C!d~uTZK<yIcAUotCUroxWVe8j1JaXP?8RVyT+O`3
z-(WK6Do6*B^dqO{+W7X5CH9V<_M{wPIR)YhW@IF>=qna_lnC|e%%ei#evRp>Rq6hI
z?Eb6oo3uJ?>`MG41uw;ZD&hp;$TH9z`QSFc7YUnB9{VT*r9NbMu^;e`e6|#^8q|Ob
z`3U-M)Flll9@fxK2VB4({MVsWkdYdt_}*I0)*kYfN^Q*w0cxi%I#DbB^YsFiJ1AoV
z`rq3g%%km4TV;mn{HD?5vjNAI3rJ0Hv#{BSa(owZ$<k<%MhmjcD6K2wC^uIQ8nu9t
zI7~GVCfp~Y@1D$X__JSZaomAm-q}Q><AyhGb%6M0I~$J?NTQcwu5p_Pq1rCchtwBW
zp30wTIj+*sr?9vwtzIZ1U}k}SAA6xcL5xcwPCEq2>dp`IJC0@Ty9X2!w?7mdRXL0t
zmz;*P8@#umB0_C7AEDDS`ZqM9(O}<w>1O5tA^XW90<+8$@&@q!gw@f@$CK)E1rnT(
zuo{ejX4?zU1{xy?-F`5AaKa2+k71q7bUq0|{=r#rIo*ifn9lQ3Xa3LS%XnTcB@p!F
zQ>8h@mDB=P=P@5-?tR?9m7~CRTy*pAL$eDn)n+t(1Z;X5>!v93vn7CMgtNi{rSCqz
zET7DPSh6gPMAE;+gZ*_71!y3oB>gxPA-;>+C-&$TCt+H%a?s!FByCyK`=qizv+}h;
z+#KG|8TGtYb3AOduBvF~wcb6+HUOILc}QRbTfFS`r=eQ<@=j#n&&??a0c}|l8TX8+
zrMm9!ayu`ql-L2XR^-v%s9%nZC+I@?WTx)}>r}?D|A98h#H=ErOpl8T50FCdMP8K)
zQVYGR0%!tGkM{SbZlC}@_<4SC73Y4kIReajB`tZJu>-<Jqs4|_<!h{NhP90iwE@=l
zORJx>QNXX0*l<BjY$cdn`6g$~`Gpks*kuW%l>IzNgVxjJk8<RUzAA)sdi*F%vnFES
zGvtdi3JhwMUOVj;KG)jV@)rf8Qt5Wb6a6*j2y|*MCNP#IXL*hONd;MV-wv)3c7TnZ
zGfDTifw~ixNKKiOjpCQ8qry1{DLv^zz%Lp|6RorLyw;xZ^>GF1*M9Qtt0%W3>aPay
zbTJ2B{HLCZE705R10KpDFX<Z>!57Sre?E7&PVS@Fs=l)HHb@T>H3}iTT?_*B3~n-=
zT1FIT2B7{$j~+3}2=C9lA4+^+yaQ|Fh?3w}osP|8z;kGk><yU>E&+i;1^-8PD1j77
za^b|yUW2-tp||jlJ?%89c<Bwrox~dys;`r1(2Ua0DL7{;&eD5*qFoq(qg&F%Za3<&
zuW`9+5V@Z^5zNEKmsIFKEZF1Ni~wn~hV0V)C!K7^WU@D=T0rczXEbm-y&?yb!+H%E
z4i@g1_d01J|D1c2n|Ps&s()JyEMuv)Ju6e`xSuKrW8WvEKVzdHaaDRp*1pvMu?2Oj
z2yg72IWWTFX0wWy7rmDNw>PXO!5=tsAs0V`E`swm8gC||u)%mG%fnd;ncHeH@l+p^
z$XaTjD3IunXMR2p;^w_K52fy18&||2N){WFxHcD4=o20FS|n_scC!G-?3Ovtyb2O|
zS|9_~klYRwSqebnx$Y4JdkNa=Xu3#1XR3lmt|KA<j+BOSMEzW}Rsu8ci3YLA`Uqp{
z0blkVb{SaM7YCU*Rf2w40pOFp@o#16Rz3qbQHFJOiJvYfM*dUX#CUXKdg4U_p{0HV
zAIg<jPC%p76DR-M<k)WFsHZQBQcM3?TIXS|_S;HWeo0lvo!xxLVkLhleVXEbC*+l7
zwOE2KG>b&#8g~dBKWeD9B6X?i=A+xB5+oK#ygu76O)o&Cgs5tT?-OjDqt9r6Z<kAU
zdN21<)H)11zwlrUi~uEHF%TcXao|zxau}<@G)6A_q}led;AKG;??<ZBP)DIoFP~-V
z+++q85e2Gj1w&@HkXzEK{9pU{B-_y^VmARJ;8k$fNQ&{TICM#?zfTt@682`p)0|y`
zNo6Pd|GgGQB_H|^Mc*rPfd?IDpc-wOoiUa~W1Ej?P#ejNyFwEfg(k<?BXXMVZ=<MC
zUo+XR#XGfSfIdm>&2u2VHKkY3LT`c~*3Z&i$EuX^+hQ7D3`5;gOX2}~Hh{1FFUqno
zr(?z4@~5o&Ypv^7xx{2tm1kpH9Zx3Bf21MA<Twy#ayZ%zNum~}Jw`7ex_3nu9Io@`
zal6QM3vAy$*5u}|A8Hgop8PebAkEs@f4ftQnj^ma{U83|twgi;H{_>k@89U=2y3Tw
zTY;M~v2=TucgZ=J2w^7p^x$FK;xb6bE46*(`<^A>%Oxk?MOFst^6w1l6)eKC_-=xz
ypD+}qCRvUsk|9k0R+8OBh_3C}$3^=S(v4Rq(PGU?+QnFg8gAe9g*i+x`$~=!X2EU%

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo32.v
new file mode 100644
index 0000000000000000000000000000000000000000..e0d1af490f2865af7c619e6ecb9d369e3788debf
GIT binary patch
literal 11360
zcmV-mET7Yh6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1OhTw@)i}QKob<n+;Le>sPyVF8argdyH
z&vimx--tc*_?N#eNq18)XbPO4EsKK$Tb%tpptS=SxW(y!MtadbMtO31nkbVsfrYg)
zwxzG-Aq7bvk%PuwOoHDgd44%KkDNPODa>WJetpyp%KM><dA4zQz}S0eV~Dv~o-xkX
zqw4!&WSTrAN4ZX)REcxitMc_(2=m4zdJS3Q@U9bsG(DHm-14yx<Y<|>J+`+sb^3EX
ze(g3P((Z0lBa!Hk1DiRa?dF<F-nh)fJt145`VR6V?J)%}F#?Qyj%{>^5J9pFV^mkG
z3I|DnLdnUX>^1?b$l|r)_zH&)tv*DiQjC^1yi#4z$2MSVQz0$$<vitk`*fOP{mELA
zcAnN0J;SQw;>MqBg~1{Oa-K_GmD@lHaV0k)#>)Zg)g1)fpk+}<1@$ztwW`m}l=ru?
z0}WK5-P>}CPr17&BC|_ftzwplO2@A|z#!+Z*2b3vggOM=K=kkthr_1rtm;o<iUE#Y
z3C~@NY;%4QY<5Mhsg)H6CTp1_!`0EVy$OGZK(aLh5+odxk};!4`KB%Vnq6B``XW|?
zrgRNrrJ|RqC45v4j*={hM(=R0L5pnf;Q-ZM@9IZJmg}TnM^%eSgh62Gz@jXX*!k_a
zz+@*W5;fsI>bD}7u`U$Vhve!8Rk;kDIZ`V0cPrRrM7cur4PGJG*FoCfeVI9}i`{x@
z{T?$GeH*dRxSCoN!IHSWttyi&Xi5zt`P>;JcorET$JcZ{)HJQTI)?2K;QQ1^zic_{
zGS#tPPn&<{C+_x02@Bmb)w=rXZzdRn5LDPpG^oF{RFnGdGP?8ljX$VCiAVYo3pLky
zhWx}C8itx$-=T&ZPY78iity&X@JD?pAF2)L(VCc{;MZ=RWecN*#=knFQ>y4KQ+bzu
zP8B^McO5A&JsI`;TQAqsc=~&{z1>d7rE(CecCzcBR`|Jl{oqfWo__ui&0+$?vl5{7
zXX{|EPDZygD*?!9<^JSpXZ%rt4wwn}43u9Zd*Rx54~svLfBolUX7EZnDdYxbZ@p7_
z|2{+{8dPbRFijAM^%2RLpzUxd{<JJD+m<p6yZ{8}9FLYWTmIXnBKyWB-5rhTuJD@u
z6#~A|gO717!|nccv;7ZN3`aNLj~N6wH^D<Hr#J&z4}wNTz`q+PpX72AItSXSpdXCv
z={d>%k)ru7S$8>ra{;=C`zJlTp`Tl;^9}^vd}{c5{nI~hx&nDOZ-f?><)O)v)1Eso
zSK$r<@)(Uq(3;MkT!s_RxmzI{Z<#6Gmjc#8VBL<~V4*_h-|`zg5Tg1#<53@%k<V~K
zFtLoCOx!%NR*(*hm)cwDK_yUey&CNsEs>+Qb|kW+NIR!>fb-4@$J^-z#vfT*$2Z}i
zSeKe;2`UEioerw=PsH^sEG3-O^@>Yyukpck=;(ueKLIz=;Doe#i8vCq5Wb_cEB~#n
zbr|K!wn%8R?-z@*D-ft{VtJzkV1D7BVh!zUz3>fap!*=B6)b<m4MQ}&;tPTP6bh$u
zVj`?Idz*7Rz-)gq2;9P>0!_t6EuA?tTrgYE&z!jU;cKMU^q;z08U0(c);AJ8psnLk
zTLVmD$IR6}t&f5vUG;)zT!bWI&q`2R{YWq`CJ83WwXMY5@~xXwmd{d`Ru&Sr*oVe^
zVtJrfZ#8!$nA3;xdQs3Fz$ae=bGG2RfIP*4HlaYJ;fZ@|Sh+YgGv*djkNfk&mwy%l
zPoz25130-_X!%Ba6v9vVjYT|V>2#zliWj<%G6Fn~9uemuXMKBPBWk5vUW03HkkLV8
zChWC!ModivU4EUL4I8dMrsOK$r>!sYoTbHi;C5Qh;OMv?RIs)I8K@ik1GF=NOl3V|
z%NBHKRMs0GBhVNxO2uk==0bWXBar-VCQZ0*qZOZ@wKKo>qf%poTF*G$OskUiSGUL<
z6kVRn1OmsF{bpf^r_$8iZUTE@OFnFz8o@8$U&C4=E7J{I@k*d+CDKems)1|q+!MGl
z8g+so(Mm!6dalDDh|S-EGL?wkpNp1w;W8PK29bPZIpukQH^*n!zoXuv%TrGZ{z{^F
zWqpXOdQ@Q3pyFwIk4ZikgWq>`qi^Be2Am&7y?1SG_tUQto`QY^uiT1>ei!5XWkv{N
z<4!zeo+WN6j;5{ro!$^fs`>};BL$pFFqI+jqh?LpAo#+*R`ApC9k5$gkjaj@FN!nl
zy<Hm=D%0e&fDH10yxL3TLA1%M^W6Z2v_?!jJ=;rs<<_Rvo<HC_3@rSF0O}1|M3U)v
z^UoH?{iIL_nRsc|`ojmbCG_oXE^+1?OqF}VY9I4gB0ye@3!?^hjmnjytJ4Y?wjT*-
z@)10N2yK)kD4)KxPB;Wx94j4Y)({s(a#cSM0orrNB0oMk=3(f=nik1?wk4%xSt))2
z3}L{Gbg|0Iti7Q=p^t1CfTIbkZ5(^lB$AsYb6DDJRjZ48;ky5WIS}D`rJM;jR1-Jv
zQB~`mG{Ro?Ke4E)cRg?5HeSal>|O5NJZk`wWbO=B2T3>^<i%IeoWp`Hw@J&~xI%2?
z_<02#p%-+V6xq2TgwR2(JcU<IzhxwRU$)>Xy`ZS=g(N64aD#OQyxq?cRSJpyQG=iU
ztjDzx5BNX7<!USwCookAbgOZ7i-4|%Hh&d`O!n$8loS<F%?aL4VKL{=vZVq(yG>5s
zo5ggUZ?+ysyhK&Vq4;x5RROsTEd&$sL5~3{TlWdZk-X8bcaKqAV&C2Azf1{l-=p$;
zhM5f4T)Xcd#H$A!8VF`k2!Di@qj%blo&mUPZ_Ahsk;2XuSEM$sfpx}k0NZOg$5GxG
z5_kNdZs0>zPq%KMnm}Xe4GZ!gfm$~&$;WD!)W8>#H|ED4_4>cBO#@s@@v_Y=2DnvV
zNQ?aJw&-Ns`uHgKMbvdn1CMsP(*qBH(s(5>cXrm!;=x~y5O32-c=^ItOrXA+9(^=E
z8`vxf_V^L9^{%VG>aKiy|4+S^6tML_I)nowXg;M+!I?q3H9vQ<tw#0Tvo<JhHJI9A
z(zfj-ru3@|O~DyENe+J7Cr1mMJDkU*@;23EfLRoNzlLz>`5>ueET6{DNT578T?Jdd
z>ni#!RYKZ!(%N<G#~ZlK_L;EibYk1R*mXWsmXo`DWP1)i*RL5`TE9GVwyA-hx6T_<
zty-p5bnPhZCAMz!#W+EYwfc>fYt~Q97QSAh-02_Ke`jjApSTV=wk42<wJho=zwnRy
z1v1e%fRcV`JB`6%@baf};uBnqRhOyo#3y-d`0$gEjf5gk@kDZYP{HLGJg^PwHFehA
zZ1@&O#=i-j`P<oy?HFLh%YGrhKN?LMe2sR6b1Ycp>^}>H$yXOR4uUI!L^sHQ@`n0j
zrhk0oIFU)>A!-(EW%x~s@Lo9L$y79}anRl11}bbdaDjr$eXf3CXaM14@BLf)58nD8
z(HUkQ?Z}=ARa31B<DeC_J93*hD>PBc&(KFViwG5mg7Mnz_H!s#`uWi%=+^&q!hkT_
zFcTCdo|92o$GuAZhFN^g`TS-Dr4yv1?=Tc_J~#zN$osW`%CZs<bhM_<xSkJq%J*ei
zCBmV|2}U#T9bnSU<=aF#V~Nd7I|WQwwfZ-foxa*A2z?Dh4@|(or%>RKW^Nt3T(DyB
zhTg9DApM|+<Mp=ZZ=KbF0W>eKRTp#lQg|_2A`1~PK9u+EE0K$7T@3vK$=_)u46<1c
zubl$pCj3uhM?Gg;RWcE#I_=NC5@0nt(>+KkKbyz*H^sR4HkoSpxO)UqxW#2VCSGWd
zOy9gnYh;*=$m!35$R8lCX9+9er?oOypAF-OSUR7;jC)ibOP*sYYBsZoigReHgYndF
z8o2zDExSs-x949vBw(N-Gg>eshJeQsh*n_W`%pt@dqC%hz|<vFy~>-Ce5lq)QNXJ$
z{>K(cV$sMJdET{xZ<iyR0_dnByxaEk@F@{D2IDsWb{5;+$Pkacv{<qtWNw!=Wz6B%
z&VCi3(ClC0Wjf!kmw!7qsF%IGGy}Qsm$_5Zt}P=9EkZ@z%Dk6&nr$NxK&Mpnq08x~
zLt%TP0@}sO3@|NzgaxO;AXBbcaAQyh4a{v%z{3Ls%6=m4NKqgyStMrpKbV=y(aHI?
z?Y4S)zDL5BIa@jf^jcZX=pFe94R3Ef1j5%W|AVl2RZ4wdUgWNoIY{AMUC4=qW><kH
z^sV*ECzMOf`|v3m+#P#2ny$!3?1a8aIJaBphSSS+0K*rd3bK4+L!<SDuf(zrZ|Bg+
zILCC`xPAf(N)-{&;j9*p@cRSDeQYpQXC(_FUp1R+4G;<?U%V;%%uOY;rEgQnGPyz|
zxfx0rju2is#b!PwHVgC|L&<ZR4_M4I13dVPX(`1q+?ETB?TdoJE53}edN7n`5Nd(5
zrgm`4q^m)}DI_`uXbn=^_X%kQdx$I9bns~x@KlTBn}e>EqkVOQh;%kZWRq=#dxVMP
zVQO|FN4HUu3;yIDkO{ryAcsD3z7*YgKdxVMW{9tAdnzSuSUl@aHtlX<6tL@-*xrbW
z!I|3i7XK2ITewwV*zWy*$`nW(tIG4tI}X>Mwt_g9<KBMc0mm4<+Y3byS!{?F70fj6
zoHB+TEB6{~)UBAum#9C{y;GWW`vF1=*^&su-$Ffa#3kwG`OBZ7MkpNNX$BI(Yw%r2
zVU!J=dctjtRh>(6|D-g#XMghc=CrRoTAk&0E4`8W<A}*oUpE~dJ2!{dP?kL{Ad0-m
zo`eD9Um{52Tz|$bXxhW!F$fQ|clmLDNJpp)JM<;IYNxhp0broK6MZ}J7bWx3sh-BQ
zqY~sM6-N|e3%T(l+xLcvG5?(^5@v`!T9Cv=`haL9V7vAuslu2HR8qiDe{_&6Bu+e-
z$%wDu<EoP?znQY_%z%A|k=yZfGwwcWULw(H>U7_0SAQVKou3_%o6!|Bkcc%UsQB1o
zy)`_X_GiDX-M1Nj9wrH5y4*`Qw!J?V9SBPt@k9d6R}8mjNnZKXL-{=>3lV&EThO~a
zOTr^r5O|s1-vv~xMhg)p7VEK6oxyDZ_N;=0Yii41`8@z@ib~p^=`tdk4=tAyB7V7G
z?jd^~N@BdUBJ_@#V2~ksay$6jHX{jc{(;NTO@uO}@bjKg1E+!h?C*~*r~Rulc=12a
zB2Dc_I)z&JAFHtjp^Rk-AGRi3srkfuA1yDLgn!rcBd2Y!fIAEoS$$oVN5yF%Y(Mwq
zz`b4Aa^Z71PvcG7!)#dq>cb}fEhns6N+vuiWegsL1jt>0em4+(Ahk8P;tb`=;g`Om
zy;>FVHhUB33}iQlP57%#g1s<XNLHVcz6>^KuI;#Ayp+GE=T;PW6gR5@y5=JgQvt@)
zDhZ|L7MO_NgWee|&p8_Niku*lRZ8~dZgYW?3fW?HqgH{vt}z9~t7`V##4Z+a;&_?u
zY)=kiAf?sk2~R{;4dZsv&{zgv+A+>8=f@ksx~Vd1l6K)o-Gm;Ad&xE*kUb+jSuhdb
z3V?tdK@@=|wTD@kr|c_7IeBVV9HQ0fQp&+O{XYzMT*lA)zp9ggMT0=b_nzd+Ie?&{
ztqA3A8y_AUUrL9X8UO2oSm7Na=sOZ4+<}{6T1bRDB-_RQJ~R6vbd8$z`L}%b{C$G@
zK49(N4Y<wuK^9N>R(cTdv5o@)+2v!IE~-?DMdD+@Mxpo)7G=ul6p=XlqfUuy#I}zr
zy=JzMa-#OHCx;edDPTNIK54YH2PIMj+^VUMCd^y9A!OTMbl}6vFMD$}xkQ?MONsm9
zj=e6HfCz1$j>=YA0Vb97N^oNT(a0;U^j%~0GZ56duP>};rbAmSeMSGhO8AL|q5^pt
zPW4@FqU8FKtjNX$XE{lPo;^*fYE>BL18yzXq9mHydJ|)bNYHz>7xlERTuYl6L1TfP
zaQ=7L4;e!Xw+k05EIi|K&OZGr?}a3(iV`O2FLw`3N~_MyAf5!f&88>N7dGr6bCL57
z{t^K=3|_ASuq>*`_L3Gh7Vmx6`FKF0HWwZ@%nKGgu_6H{p9y?^|9<u_+{f>)w@eg|
zU-dW{l^kwu7os6nbSGyazw@Wul_sYQW-t`R`-Ac)(AcELg|ul{$?Vba4+w#FPfAh-
z;*A5iLQXF9Ot0z;9?Kr~gFzS9On}6`*hs^Sl57qWCZfb^9>z6+_94NQM@z}0D_0Qx
z7O7~@so{)`Ia+fN`Kxl*s8?ZNnE}OuA8I!icz#AY25Qg~L&Dvjc%utL<+=pLm#2q*
z_5Vpywd@>hP8Tl;pu}@Rv$xCSYi;;e%Td;9eEHpe?v3i&OQX)}WMe+TRUo5Bs`-hU
zkcr2}SKt7d+V9RjPp`?1AI?MiN5BI#6{S*B%8I=|HOr08lnmm#mFiO2`hS(psBa4O
zOIpxVPM1JNK%yph@PV|u$CI&+Y~rNK9=en5h+;bCG;WV|Xa9egsGQP!9dk~?LYB4k
z0ApjL`~00p`DJ}kje&EQogfIndu|>>Tj8!3?y>NvsSA|jv_RpTKh_4-_t~&%Au$zV
zRphfx)$HONVvVcjlQ@v#pR>Qvi@Mm6RBvdpXA!>1g$^<%hBKSgVe5VQ%=1e<DcO>r
ziGButWa1yjb}v5%8I~p2%csjo|Bx#}#Q`=~Ww4WuhyZgt+^4Fgymi_#wq;OV{X$c*
zNxzv<X)O%Je%6zOa`Kpn^7`Vg5tok-J;(ky&&58|bF$MQBvVa}J}F8uLU$1RH@;T5
zqam5L#w<D==F_9^?(fu(ERhgSGgWu9RMm=s*OFssnnbdG(4qA#9}N%`+?EbYbcg%y
z@YT+MCHzII=k7=2f`9O&urIJRI(>rL3m5`TzQ~tM@#__#x1z<I;G3MBc9}aY!pqF^
z;WCY->qMaOSzTrRA&PlDJOp~HJbj<Z!WA3Eyu%m1h2BF4a-yc#FYVHMSj3VYhG=#Z
z2c=S_z1-C(xC^rnxZ@ocfFIgf-w!x$YV!%Rr+!xs0sSF6wX=Ve`W6$+HQ)17*Q722
z0;y4gmh*Mykqz7YYH;DV5gPD7s!G#ft5H3=jPMs#Pwm2A@NR~!w16!-J_Vmu#|X%C
z*9*YWT9}oi^h?E13h&Ek(-mKP*ZW($P@-?Uz7{qd)O6}0dt-!Kz%)h^92m2Mfhq5v
zm9XwDQ=f-?m(2Wmes7Cs>c_=jyZTNFb*1NkTEXBN;d|inrp_Yr^Xr`8*{xg?%2CW(
z@p%or>-6>@hJ4uq@V<T5!L$+KbcGNvwBjIYdt=+2V}g3+u0mm!EOt1$W1T#GUMnrc
z`DjN3h)px@bEB_AoP~E|0Z~xMW|j?J=}soFmPJ6LRzG<)|Nm&#C{w1TU7^8Ka`<54
zaDOd~*Vojr`l@bGFqi%eR(L@={9B5XxnJ<UrI(kw%fvM8I)|dzUM#xdlaj3081XU^
zejMK6t`|RR41*hE6x-aB-1}!fXpS6!<TJj1o^P60_%>9XV_bVnsiIG}TE6C(bfCVT
z>Y9k*3etE+ca$@!r}rh5q0y$nY%<v`#955@pRsyD?d;*p{S8toI#Ka>JQ2Ln$IE6m
z`Jio0s4))tB39hnqCGH&+3KzV^=kl*Dg~1AvxvT%6{{{qZPS&<mFPAC(4ZKVejy8l
zC~4v>OaUpf2nEKui=gjoH#0RhxB3@E;Ldc!_0!xtz1klmO3)iovQ|g!7yzIW+bZ)=
z+k)tZfMjyVnwC3-&}XJV#XVI3aV+lI#-{&*w{!5K-CJMJ;cLXfIL=`c<(e`MFH}bO
zLsp3<y$>Y2bb?2=0i709G~LORIwkSIAxrkz=5)wL&$3qSl4yyUsi65uLdrG;pqwWu
z++RSXyW)2MO?l(|?yN9e;^Ay9wD~udx4sb7K{?L~Hd5-7GPJDb@?rJikF|*S8Wp_n
z*Itdf(CD1cMZ2N0MU*T$LTs6YL*c$IP<yoCdl38?dIdy|s|AfVOT&-Xq}3ZAKT#iR
zyHP73<QUP@zl3kQTY;r%#rnbW5Z-PA$C)}dp1_R*zBlc}waI^;4*e4_W`5Q%)P_!=
z9RFw}$v8ex=M45NL^3B0lJe2Bna0ga-7O-UMn2Ro6`A|XBU$!&4ySL{0i;3H^dxOd
z<ls2WNMRbl3>6QCUZu4z$UL?5p-OBG7D?{Cfm7W_4E1lok$Qf#m#b^e$R@4aMQQv}
zjd#Vf1{Q#Y=-zVIGM9}fz>Gb~ffSa(wo2*ekUOz@tS3r0bTr@;uIL%U8$@m(rpAvP
z$H{*ylY}Yzw$&w~2cbTd9tSbKhaKVK5<od)qUWamC6@fb1b>?zSuKJi!crjHk<ag`
zovM-bA7mG8QIvm_#JNKFwUFQVjYUf5H<S9pqw@)8fUcB;+p{Qs(<+@+QhT?{SL0!r
zP)ps?Eyp>c%_?y^7o&MtcsAXPj7NS98ueR(V6m)0bQR{xt)CcMA<sT^696Rrf<x=w
zPeUg8`fQ#5T`nn5Az?LP(9kjW9-!svN-E(a_HAuum^`n2c>?$l53hk+95o{%y4!Ej
zNRX5Z>N9%|5kfC|%~5!7#JUJjaK+ItR@nF2?Ef*=9_eX7rfUIdGJkkeH?D+4_T<BM
zU072en*UsQ1rIOc&)`lk&L7~~x*Cr%JmAj<OrjarH_M-OlG#pv@aJli2Iw9Xs;DzH
z)S-LZzbukHjW{Em9+8iY9>Cc|+e{BFsz_B?l<g{t9$aNZ-)QOz<o<XLo(+kz=vcIg
z23}bT$4hH$8f705L<Uj4C=1_;UxPX$Q=P<SxW-0@De?Wd#|=_+TTwMWe7}sCm6tbk
zyr``Jh`4;M5CZY`a|uQeKZ@;-LyYvLHc$LCk2Fde2@!a@L*LBs`;)Scm)%CORu`-F
zj8ar4^dd--5@~x_f3b_|qeXeg`!0FQ{2HO#skOD;aaLS;Z^T}_MQKJYDqErk9Kw5Z
z_jT{|BL2KRu|LX-co@gDRvfub555?jk{CY|`T|Yi-{!qK85L0R<jVUr2ddvj6w=8^
zO6wY-h%qd8kwA4*`KD%dI$IOoXngjK@wRbD0HbDh@3<606)2KhoRPEv&n8-|8P_AF
zl~-pxZWbq8W9$L@jH!o+xvkIQk4l?3S%<K7Bk(TPqW!53t}6&O`fIWWvke-^V!NsU
zBiqn<i<MdCMQ{3NgME%EZ|?te<6*Q%c_|Dsj<+c#BI-WwKvl@pDt)kJ2;zX4mH;yP
zYZfAZ9Q8%TtOfa)l@cKRx;P*ElgbB2cXWL<>TElX^gDry+y=UXp|I<vlWK%YXc2@k
z8onQ7LNlLN4_RGABubm-6XJo{`EY!|Ig!rQ%(%cI{jVv8yOe3C&X&lP`yRHuBV|LX
zw-LVR*cZx_yiqUhyU#-Iba9Y?dDlsj=Kp9Pp^w(6&X&6H`9D&aop>@i3y_-rnrLY_
zl_cs@|0np%ou<ZpB~nENEsB{240V62XarF_ZiYSnS;h7K(v1{BHYBDXgPyG*eMa(@
z>N)&B$AHe{W<qw5mzxvP26EQXHxF>m>HyuRm$zk5cx3F4qHIe+frxJJ!lt#o*PQj<
zh|!QjX{Y2TAZ&AR(W@zbjCh`%6~Jzeb?#g$$v2+5KopImRkYO}%Rm4KQQJJ|UZ;$R
zHRclhX-)w)x}{S`3_1#$wYU0knrz&*B2ejXR+&UH7?s5+qtsYrlw?&oS9(@Q$Mm5(
zVd@&FW2t?})D0X{4WA!p6g8zig*41eD6#cTeU7GG(|0#mlud#%E{dHMmM?i2y-BHz
zcM6t-*V_=JQhl@I&ij?Wn+lwm+BY6~C0J#%Q`2hMx%Uzna_*1U)^j>8;8Q{0>)J7F
zHB&vPjJiK7qpan$vjFCO8so84rDDwJds9-FQR9CUTqQv%i}dUaIWa*1MTj=-%<4_2
zHJ@vi^MgFp*g4b@X#(W=*q+-TqE_6e!$c>7!*>dBW#y1N8p%t$mus0fAh~s!Xy%qp
zS4(=DE<|(^nFE>%9w?TRY2Z1jiKDkAChxtqtWS>WKjp!=*1T@s+we&e_TVe^Ee`Z=
zmj&}d@-`lmdc5w<<y&3j;3YmLdV4^bSSJmeZL*Oba3M2xd)hnA3Ua}E*&oz8>w@M0
z>)&k)NBYK%NC!^V#5BpPYr!ughv_5$p7isW?3v^?1=RE=R%T;t&DBV2w>A(7{G}nv
zwzvjq!J=R0t%)UGWJ>3%1d{83ndY2*U#76)*?;Mt=cV`oyW6)ze)DPfOniV7P5Fj9
zuJ)+r(id%{Y`$Q;O@ENgg&R77XDkDu=rrWEFZBf<vW$?l6M)DA{;z7jS4m&*pQyj&
z9vRT$(;K^CEfe`~ZqQnG;tF;Udx4Jlg`s9Mo*)^vZ)*69n6;m-1=go9M8y15zD}%J
zFco1T3iB2vZ3$r&gS*z%@MwWW<|^rs!kV`8{2E-O@V&z)S73_#O^7cHXn#)Z4@n;=
zXCR2aeUB@-UtNT}Zp^PP9%J3<lzv5Owa-0y0tWHok?wyHnY_KEs(>knKNo~oUqYiY
zUO*zy9IG`m+eX2D1{zLwSMb`Qk}BTFMrdQ!e~`Lb(<cFAIR+2!72}u+gX~TE9~-k6
z`}5AWQ9%CZnsHLW{k@*Nw8t|+C$b;M-T$kEWlhY<!P^rLo(*um!$&88TK^vv1yS7%
z5)o-uT6?14t2|5#jo((?v%75z;7lPe62)n>`HH@ig&S8#j3-^cjzrH1)ujGgwSoDf
zpn!Abih7Or#nnfL2Bz6#xY!GAt>{!5p7Nd)0x{AceHA?2%b2FJj(*bMlWT^{1eOM<
z9TIM1V~w4`a-&%Mb9vVM>?giK7gA3i;lhA_b8?^=!^(g^N)yh_FTa~VQ-YJ66SFnt
z$KRymB34^mfXV+j5ynn0!>hl#tqrQZtW>bZ<<gjX14>r6^%?Y_HOR|fFMfd6J`cHO
zd))!HTZqMjFDhe~tKzIf%@IgKm|>{9MOph5VnMwlDJxe^_c17Y2l?ZTt*}~>W?(mN
zm)a7Hdwl2aOC$z72-guqY<w{Dx>_zMo2QB7=gEKpjr>Vec+#W&HK}@#mm%H$y8N=0
zOzctS-(P`%<Pn)mhxBZLlTIizUY9&ma&WY1|NO1K7#Dq$3mpN4FmG02gYE;M1)5zM
zbT^M?%*Vcrd#6_*>rY6xy1)7QU=IYY2c#aZm~Y7cl3Ki(11S3)c|jNV6c+ZPEXLf2
zxSd~1*%U4FmaA%!>Kx1Nq(po~r+|X`gc!*;1)zbf{Bz-h$|+uW!aVNLt!XkoX&MpK
zZ}CwD{A@iULWkJ_*9JPNugNAaxTh7nH~idJU%6KYuHudnINnTn5^TP^yk{DUfGX2D
z=`##%4MdX>oy_Bovu{tJBjR##)33&g%Pkz#CdY$d%>Aemc*rNYGbmaL$L@(S(nqBn
z$qm0z504N1@1lE6Gr5VDt8ZoIJDpntmneYo%BuAtJDG~wlL9OYmz7ePtpYU-yL@#8
z%e%{mu5>vT$sY7m7qO#S*`|gO#W97%FnoVA0#s|rDdC7K)NRERq19RDhkodR2eth0
zso#_xoQvoR3+JkJ9!{m=N@sgtPosTwaBn4KZFPxnM}16TBqKf6J%n3m#Gl<Q!|b$o
zfDTawhj*X)e*ICvYHCC%b-<w#l`bEKamFxv-vXm)5|%+JWg7?+VteD4Qc3$I=%+7m
zUIWZ^h(YIQg|s(TOiqrkK}U7-R8a&%p6z=0>LW$(oj*)@EcN1#)9KeXw6M7vN|mR?
zWx0t~$rC$~Q{;1A1-m-#?T>suFi^h@px)_^jy6k}FJp|%cy>9SoLYZO=I(V-+KMFR
zpjyDN>qC7fqKYy*!9~&-LJ5sk$%zQ=rioL6--J1G<oQ;KS|LjEx;^dF+uY8pSXke9
z;g??z=bC17dXgvm1JQ+f=U&d_SvEVcEErwxy1TD!fv0sh{L{~ocwXYDRSS!YfR*<D
zoDMBwBxt-VW6pqVN(74o8z};Q$}UaQS-LBIYG<saN^Psn_^#D-{c|n4q%>P1XNH)Z
zgCF?#Ds7)3cFkH33S(k=2tpo?7r_Iu%%d(<SMh1kV9(Zk_}LU5rvm)0X&Ixz7q$F-
zJ$Mb~Iv(Z!6s5yr9dovJ7p|6-zxMZBliNy0j>^7dM);4}GY=d_zOORA{#WTC(tW$s
zQug%Ta7*MUfnFPR)C?qNb}Y4u0<W5r+fePte6*Aqap0SF7cv5<65^(3<iGyW;CAT&
z92j?U=*?PbL#ba)_WF{wMf9P~cS|yh>wKakYMf0x6ZJJZXgsFTd1Q;30!?chW`Q)K
z#fWqeDe>kA6xcEvLAUG0bq1pX|Kf(KWb88H9p8YlN6xG4zK97hk?=(Ktbr+J(&0Jp
zNg%+Ub1c`8bnWkeXS01a10uuw$~W&8kTSAQ4$)%R!?cvf;VwhK002p5%{)bSAsWUt
z`PsS?^xA?$2(`$`t|bD&*7$~Bm(Ooely#O_wgFb4LiIET?3$Oq_i$}z_mZ8#&OsQ2
z%^Fk!h_VJcf&9R`v*_DQ)dOBh`}F=oA1sreYa5NaRM*sNm;qXU9_oy_)n6klwTBRY
zP<lh1+R!g!Z~uAT4w3;0fo-DpPdn@{lB-5`^S@@(YdoKkV|^}S$|_1?66C)K((TLw
zlTPD>K0`yLQ@Ix$NQ;&{W;^}U1AYMvU(u52P@?L+SVHM;YmQXLl!cA=5fxu57{5`5
zu}MC`>(hIs*L|{{8Utv{DZ+z;yVh4>%+gMUWdDS^NPQXT8#MR5L}b7;;aCd;9;I--
zEv*MecA5JjO!#(tjEBXr7|ys=d!0zT#^1ROevdqPI-#52JOojjI$d6fbd#*r3aB9n
zu*0*Lsdh3Qws9FeM;Ig(#)c6j%FplzdgKDo)2jKRL+=zT(V7t}s#h{;-)k1mls#-y
zWQcr9WLaODvwv{N%YbHL`sg(60bEi3?${@>-OQf{llu?}{>8AwxSfmLR720R%aiGx
zh7B+`Q<wP$99Z3AtUIuXv^-T!p*Iqpid({Ns$aAQIM0uFO+|JWh<l*_vriGo1@rE5
zo<x#((t7jOt-o}LjI8eyzA-PayQ1R9j||ykRqzdV-0P-SkYmKuhl|<QpK~;+?#14(
zd<xT=@C~z@uJjD(TF=)>xe*+S#7M$zQE@YOIvy;|%GhT^7ka;b0A%oE*_|oZm12#X
zo;2<#Y@_1&9f%f>qG~p@CUk|c)W{|IP`I-|bbFibie^NylACgr$Mob?e4|*;HgNwY
zMsbWS9>$`(N4i<)fs?&<Y5CE!45dHr5y6#;OcfvPj{eWFF*U!DHF$t683o@If2)#|
zIv8HtSN)9G(sSfa@kqEjTf4b&yG}!}D^)9Mzsk~4Bo7-^`Id7N%)fHmJ{o#N$LZy(
zj#FZnXI<YHWv7yf3)$mPh$_eUV|ZpM<nOjlD#2h~a>Zn2;$3QIugqejVF2Y=HPWkM
ziv{vD2-<1LHdIaFIoR|pXC$m3=tl#noO8rMXt!A-7-O+Kf^gnyDwO5&QGORcKi5?z
zB{MbnjH`dOuKnHd1LKIm2N>!RxdkSaPgRcT#V1WBPRZRdY<N$4)Hj`tW(XLq{}~LQ
z@UmGKRR`~xH`lV=3KX3CeIe%Z_-!#8AeAQE%*|>DC85Eu899sNRlx&%CO|!tP<wpV
z+pA}qEvD3hbxm9xWvSjm4i}YqZtqNjXKqNA+*A?!Z>G)#FuJC666xW%SY6Km2Rn$0
z=_mQ;J;Xr%yYKZy>`$o}&95z<rQZOLCEN<#ZXU7#_tnp?<%~uUhmA}}{zCrGBpH@>
zmhC`rM5eRDT^?d-GT<qRyATjFlX7wy_pld<-MqR;`>*)Xv9OCj->h*Vs0lyy$(~&D
ziSS3v&C(Ks4NZo!A+!BKI7CQSeKO0tnP+{m^s&S-H3bPsC8j<TGF-2A+k(pWfb50H
z*rp77OcJ6;*I@7T@#KKyk*n3^<3#NcUE#j|b!U%MLtmk`go+j<xjY_9P*A!>SW3s(
ze$(8|Zt1G<tlq4^AQDI3e+bJ}%3|CRs__4w%;Sp2NlsjbHB-GE<|4VuwYx8-mx1+q
zMH-|c3Xsd4ayE)$zDoT46^ltwxvjGP3WZmAgb)H4dqzPbQAXJ$d1d0n3$NVj;HyW=
zW~sl;p+OkEqY~8dpsy;qQlR1QEwG_UYp%69FwNgznsB5jflbhDHLD%|T&2c1nZH85
zDqCwOZjiteA*rMVLX<d;K4<>qaI(TM$IgJd*jQI1p1UN}7XgVZ84?R);XdWJz6s+N
zqm#Oslrw@5-`ib8%28b*Yh%r{jEN`c0V?F5?#@|Zab-{G6X<Q^a^m`ZF>$m`J7&p1
zC*0VjUv5SK0g3-FF?2@{n?qd3);=GV!-EK`Em=fBpQJ#VwPUk%=ojt!=o$sY2?|Oo
is}s2!&6pYS?45U6F~;8jTtlBHJXj`3{T?aO#ZD9oOC<6D

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo8.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_timing_adapter_fifo8.v
new file mode 100644
index 0000000000000000000000000000000000000000..536416ca5e2daff9b90a399d8bfdb9a6c85a5594
GIT binary patch
literal 11352
zcmV-eET_|p6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1OhTw@)i}QKob<n+;Le>sPyVF8argdym
z5J$KBUCljH4xoa1@qjy@$iY?SUo5U{>^xZ6u{wszXCHkRx^+apqz1cxk_RR`#b177
z42p8qRFe+a&-Bx$X19{84UGz?a|{;YGK_dV-c@z3rdsLtuskfHwJhv^NSl1{I?TY1
zyjBRQ<@psGQwwJr+-qfD1lp*kxhq6mgOr>UG-?OqZ)|%q`Tkvaxzzlve;Yp?47ew(
zA!|C`BTg?mOqrDai}jUP)?|?DZqA1qe*IRb^4*OT$<UmyCC$}orWPK9r-a<`OXoO7
zvhrnlc0w_iWlYn%cgh7Gc0?B@xX{L)PY(?{ddDM^w?j>74rGm=8V)#swtl&}2evf?
zQ4T@hXLtU77yVvIF1WL9$L`cwmZKZx1A%`ZY&wyT)W}kQ>zsK%3`S+KHD^rPoK>)9
zxM(@4M_d1DT=?K`Opk3Qd#FcR8z-ZjTuNJsmBVOlmT_hkLL#Zt^q6|xvuR~d?@p5u
z%BHN+I`TL?9a5A0>O<(q1#V7TB>r_}U8h&so>vt?`>94L?_wGGb4;x_MS9v|C2v+7
z)fAoSEzzA~ZO%6n^Z;_(R2d9qGik5e!z(#kiJ~EHaRMB%ECehkS}%TQKI1-eAroBw
zGUaq4$HZwnEf39rHEm0R-UCCA?c<M{c-6qC&;xWF5xt6iHKf$Q6kQZ6_as>_S3f|c
zSyRV<xVH%pz~F?~I*!@0X?92^Fx5s(L#t!G%BgL))56VbWtZ{ti*AIpOLy3u0L(#{
zx&Y-tgAx!rYPFX~Gu*9M25nmzd6iZ(AmHvMAs;XF+#}+UY^&}zr~@W3qi1=wm_<tW
zwvKW5C-%|&Pzu3%SG&1a>Yy}cxi?4yNujHIAf0T14TkCrP%pi>Gh!`3B+AsE@qv;g
zO3459PE3wtKU#NThZBM-#Tr3HUo$vG4%1;sQ`>=9C%?CtLy@QIgjnL|g}Nmdd)+$o
zK1g`9rRTsf#Zrv(U&V<01#PN1numFAh2^cYk42|LP}_X<N7c9eaoGoKIsym;(p4lc
zkMry;9F}%^*MAql_<i=g9#9mEgVo;NhW9z4(t`|#s3cq*qtXvE5Q+3piS6cFBTQ1c
z@&qW}#fr0D(=8t;DMp+Bd5>YzQu(aH7_Z*svkp~}WwAkG7Qdk&Pz^>%X=(ErUSs$B
z7~NDQHZV^fvT<M!8&rz~OM%aB^#-B&#cZG6%d&ApkJ|rG*>dWl0a6fT{6D%Zb~J=O
z3G!gj1bAi!Xca`)F&hUf?`+me_GaaIFb}G<W<oYPS0|9YRq61^k#(!S_>zci>BLmy
zu~gt>T=T)}7|AQ?af&_mSoVE#x#w{HwrIxWeedaB3`!sgAA-qu_2INMw~~Q}^l5~^
zJ4a+3z`IEOf15^U2Wi{u_$4fqtu%=uLC9jM79_T>*rspeE-NF6Ykyqd66z{uD>CF2
z{IGS^p*g*|W=o=|unOUCjxyTXo(gP`7=w~cOmGpB8)fZ}5F|$c+4yO4<&H2eUsA{6
z=KLp9SN2r{h9%VS?l@g*RgW3ol)vf0eQlh{b-*xL+@n-M&sexToY~-^9knHEMVp|V
zH>C@|e>7=bTOf{48EYr{?^Ia>+#xH!7P0qq`o2CC@^RGssK~$~apQw7z7UjUhwOz}
zk;8Xu!p>;RxO}2q3)={R{KWtjVY)6<=)eihdlBxS(>Ouv88B1pOfXJj&N~Whh+L}X
zEEU<e7Ho~iG6cb^aWTLPvrwDrEh+%H{Pm3508hO!AKm+tHi*Zq$WTEUUJ!-|N8FVo
znqMj(T+T``=)iy;{Lg(6Ija(@)9C}VyH|XQ6fT26w80Qldr3Ezig1Y@8AQC#wSuHb
zc3fH#x-_rhXU=SK8knHzMX#cQ;2dW-_2_vl9_t$!K#|sRKH>#(m~=}!RIOt~7A}8j
zdJ>L(T)O(k#l#RF-G=d@7%S7an9IMHDDzsm$wF!9={M(k;zP$#tB{QV)G3ZUyJ+uG
zY$A5tE4!*u6She)CGpP74t`|Ig!-G&XH$w-JkQqe6F78fhJRS3Z~7ekT@o2t+hBt4
zS)L07)}kW8DC3dce_nKlF4{#i$0S^Fg1#24H0F}KAhIN`BnUP2p!QhflY-{xO}Hnf
z(TFahISYljoe>xDG70{rt}I{IAS|;_KRoc~N|_fWB_a?uIX0Pgiu6Ge=PTl!1AGa^
z_ILTL3fQ4Djhv1wM*`arqgViIqc8%_BZh^#WF8s7bda?g5>HI;mV#fM9tesy+pP$h
zZ-tl{qr@gR_GyFe@%_F7vSXeTxD(i-VEY1sF5OZ8JF+Gc%aOT!M4}05En57a6`|Db
zDN#lgvbCYP+e2TQLgRxNH~Y>yDSDbhnkWjn@l7}w)rT4X@>xSH9x5GKhRwh`GCR^_
zsvf-N@c_6X1*sg(&h2EFo!?y0jATWP(b8ggwnEAMGTXarXLRp!ylOH+=)nQ;>yFnh
zaC>Y5ucoN@cKNz}wM`n{92>pi)?EM{72;kUGy+eAt6~$vL@Ra0X%xNt7bP&V=n?9P
zR2a?So5X{pVXw`dnSVBmr;CI!OZw0Hwe)`2dlS`oltM2K+g{F@Qap~%Mg0`JK%z{I
z`6UZC6p*iPYmgfa-+`WduiEFwbAy0B-nJTrz1^BtYju-2i3J1gW+P;4F^+U8!k{j@
z+7AJivkLP3_4)^P(9e*>{6Pugv@S+#9Z{GGTG%rxbzH;B(68c2_l!Yvqg|rp6QZav
zX|JqZTuy}p-f`IE%&`6buyLBC?N_QBa;<T0d+40e3`FQR4=9EhrILedwy-ti9LzQ^
z{m$6VrUmFy$W!L~>?=25tJaMSh_tfS=5~%nJ~*{8eJzFv97gCd!;)O#s3{S>bQ&b7
zYytI5DLJMB@zzLu9){oJ<8LV{M^e(<;Xu-BdO_i7u$tx0)oFkwIfz%$Zw>`aLACy@
zLB}u<UY{JAy4FifPa3b4^e}*(EEAzmS$YA)&<cU^Oa{@CLT{FIUBx`E{<?FOsshk(
znL(l#Ls7e*_#(xhnkWwSs6T%#!j~iJ)-3J-6{Q!^xUCn`>D$@R<_84C4z1Ju5?4o1
z5zsSb))$uVWie>9Orv9W!vd|KIDJyqZFiO!Ju-{DLKVGCGc~gxUVUN|HK_5ax&*~1
zg1YgCUc91O6bL@k;}kHSz^ck9*1l~vqb33APq!G9_Pe2CemKDG^8s)Xu_-A1ke=@d
zYt;w%6NXk1kVZhJ-T8DBuR<!LD5Sesz^`+_r8?UAm_rtiMGXCn`j8-Y<W?JUdtot1
zsP%}MXs!&#L<db^#mFncew_`3BqQ|-W+RzuCdK2DyvReI$#?1ntBf&iS!IsLjyT>$
z-k7g4r!IBx)U=%U(E@q;UDbMREr4_r%@Zk&MNt#EI^YvA03)!o`M@7MVQxk!v@hJT
zIDTIIv^HVs(<#|zb@*BT(lb~>#Bb(S_lI7pu(&UzAX<b&QaAO6$n#q;u?X{#*pjAp
zW4_KU1I`_fZCG}DX_RY0`b_nPgf^^v)PQRFar|Bfr(T(3zGuQC=8^`6%(wo}I%!2l
zH1c}L=jTiw<NQ(E>m^T1eSVf}Nqt6kULxK7G)*Rit}FWi>=>SU39{y`0Ahr|u3Bp0
zCZQP68J4L2>d&ovq^`U{(`hqGDUIvhL-@ZehqjfsO20Sq!Nf(^VpEG{f)Ba_qkrPb
za5Yu30%MOO5SSXot*aL!Q+{e{G$@(->)&i(kph_1yz@5ojRG{!?4`qz*-oGpU%Qrc
zoOxB9Yix%Q%Vs<k4zm|dJhe}A3|;CP7fkaBk~TbtJ8<^fb7PIYry>g3x_%KL3B?Wd
z=VD&fP<hx$q+k+7xk!+k#+(Iaw2HM}ax4Ror7y!S<Y_6H{l`ObW6p1_cvLpC(3TxU
z(|#_)f!F5BpwPyaNoV;dgUFDE>BGJy5j-p5rzAz?#Ls=xq@`+wuonSr66_(4Y^Nn2
zG`YE3+}6|Z0{$7X%I;UaSL1=<_`6_9<6HE4o?s%N2(G2MlFQhC7xYqzE#h8N2_q55
z;MQiS+41)uWLJ&?bz3E}Z<8ku^5-Y3AAXWN2jj2-gB4SLAoUyI33l0uZrna~C_qK?
z2XvJfUV+?Wm*+Du-{gcL7r}4WuWV17Bc+04aVCGLYRi+<kfW58uRrmY4}E9us1aCP
zGX-HbYa6xZKDO`vC!}}p7Fp)U#%uHcgQiV5TBS_pJ*um)nP=&;Fx}g|fR=6V9dg=h
z!y$)0h2|kF{B}=+-&P^uiTjy!u@x*6A^kQEIio|E%D{cQo+3TNMoNs!IKSjz@M+{@
zVpzv6L08HHj{UD0Zo>`A6IW<dJhX7JLI_p(8x4~@;t$=6(8E|;YfOG}qqybIp89q;
zD`X8EpfaPjAAi`BnJ{#Rfslc7r9f4sAZEEK)g;op>)uq-Py%ZiJ;%#YI|VjKOFW)y
z3pG^~g2L|Qy?I1}v7&n|Zhp^AtNweF`=9`n)6K7Sz;`%S$tQ1})bZz(?eDZzO^h>J
zxe(MRjVj+!FkN<3Mse?Sxu+8N{p#SGx#q%GBn{cS#M4sl!Og<&)`48lgS5z`G6&Y8
z(Oac904e-AnZK8~V~%vB$*Nl6q*OgQTUjfrOYdU!@=WEyc}AEWMj)1=XS$m-2rxy}
zQTH-=v-z1(_kZeEP=l9*c8()6$D+7=g~@n0W$bseCV{<!zR2;yQQr(!+EcnrZWOVt
z?M7~*n>NB^D^J+aHeRT|u!-JW!oBK{ux-|w$3{wEa5Bzqw(zICd}WTW?u(M@tijuK
zlVsZ$7Nzc@V)r(v7M;5u4y9s8kD6DWYL<(SJTHrHwiQZoK8_hFJaEd7DQF@y-wd1W
zO(m1GT^}7n02=_>9%UxVW$I>u9kb8_XZgv{#kQK541uSLo~DfM(L^bJ9~b0=6^gtr
z`4`3tnzJP3NblisjN9nawFm4%{BrVB%oJMYOX~+O4KaD-x(8aXF~960DwRW~5Q@E1
zWp4;iN=?wpPger4+Ny^d&DuQ^Fdzr2&kY{fnqZ28nGyiktcgVXe90>OY`M<)FDs0T
zBbTzewuiTpzHquNy?wo5V0IBsB3)C71<F^zh7%*#pd@R)vWb65YmOzsRc{h6tWp-h
zu2^7_=h|mLr-{>D6Jl+ciAMFX$U?Z^0GiDoO>*sI`;jtmT9e9sJO|5S*LDTSCpQv=
z7`Bu$=J<dC3z2z2?pZi2Clrw;5UTQ5P@!yr6GRj9c)j{(87+mp9&k3vR=0N;VnMC>
zMK}79v4R*6x~nqVU-pVgEc{-ESBc3+NHlMAd>DLiqn5wkG6{#k5aSyHvtylr1x<2N
zg?K=4nP+*m{v9yqO<BF;9jo5DaV26EFa#m%>{KT3f9M<A>l+ltE9lpR#t=wjq7#yt
z<#3&K9xbcV_okL*l1WXrp%B4Vz3d$fTFn4pT^wSVJjuIZV@4NoCDszIultZE)?<0)
z_2j<9FtlyK<2d^0q8IZkL8t$nQu<atYVnjiub*sjV#2KEX}Gg%VLfD<e<26QZB@eb
z;?{EbBwI!s3&f)6@b_Lj!%6n~RZKfTJn%8?_4s|-1)Q=x#r|In1z1o*q$yZ?lW1VE
z$^KRgY3*%vEWv5d@k{y^XDPPupb^bnKr&C|+>q41-UvjCt%#KA`Q5QY-SqN?a{bw3
zSl(7h!}cw3?inQSb@->MT(ZqR-y&&hq(kO=!&M~HKQj-ASN!Em4XK4JZFI(gDYaF7
zt_6OpDC~HvuO#9^3!_c=#$_ns$>tA{N{$u4mF#FQQ8*BJ8m$18*?_W$EL4ZbjweTx
zB~RhRay@$8-H2z*<1*T3hbBu@WdSOo)Bie~lj9dp+XT|>MPD-1e3T~aO@4bZG(-~o
z<7%!FNmSpbrVMNBcIoam9eb!bN0v)u&f3uLt&D$+|BbEi{%+Rv*RvBt2mNH`9N6TN
z?6}L7wa<#^`fKwf<V+SEP&HPy9oowo>4vyjc;YQa6U4R?rpdi%j=oGdCtY%YvfDig
zRYxr7!JVo~gGJpDk=hg5F}Q3fBQz5Z)H)oWk(Q9eghaK)$FbH|<7OPa{w9*kW6Tv!
z97-4AT(&zWPRW(Gnu(xlJOTs+L`(|umKs6qH<lma^Q6((=pnk<NkF(t;8p@L-xc<>
z3~Z=;dcw!amTN4xQ+p6!@hTS}T_3Uwr~XM}@bWauQd1gx97B92bJRBcK+a<)9Hq^F
zs9u9iWfuiP{2b||#Uvsu!Ho*4fo_lY(5JD6@NinO;6-?S2E{aQDIj^jVL_`iev_FF
z7oC#V6ock7mNvVENRi*!Hd+q^q<X2y*^8+TLd=kV?J~Gh8)TtOo&AI4{0O@v!-}yQ
zH-oX5Kj`5$fepj)3c2nxl8%W=()vKKMv-S00B^8_fz9$z21E;7+CY#^X8b!^f!o<i
zrL=3Z`nPZJMe8H68wR8vjrv?g@|!LR4S*7DnT3v!uiE}DWV%%iq>aCQ7xG6)HS*$e
zE$dFclltC2ZtSINpo2}|o~|mUXyZG{6ZJT98XlO7-~uz6UP$Fg7iWlQ>;2jf+%20^
z-E_~*3Dw*(tL@OY^ubSM!VxVMReN@TjV`M2D0rpClVWVHD^9Q=WWOGjgVN`-##6_`
z{iI}7&luK0jlQ!2Qv(4#aoZx`X{91CUlnT{&#EaRu`KR?`zchm7*Vpbjj?Ma#GK%;
z65xpw$Xc}odE!|`=FNv%XOBnc4BS|CDNQts7mGyWRO<wG?pe7fV3Cx}qG_4-?f!|M
z`Qy1~>x4@RWQLW?4ZO;%Xzrr~|LNT_d`ahQFvdFr`ev-`nQTN6?ldoyi8htS3_%1&
zmiU4Qvh$Iaa?TUaYO4fY-fA^vvRK}QP)dqg&J^Jl-BUugCftM2lSZdWGmT(YcCqB5
zm?Wx~EenqZPeSqNc*Y9=)cr#o0;F)ax8;ibepGGc+)yRMk)1VzbO)hR)*=%s`ws8c
z6zP7HEN${W733>p;Jy`vJzwZW-#<=TVGJ80%X)1rQKQF3*Un;<@`M*3hF@`=itY!H
z69teq`J(kUh<|l(l|$Tn6I(2Ca%oc57MG`lAL`vw&T$PIquhq~C(Sz)fM6LCPUf2-
zOPIQ}6Smc08x)OhMCweu&pG2@iJY}e2pXE&;(>rHoJt*(@Dc?egnJId_F8D-E@u!B
zOMi#>jfr`mwGbDp@|(Hnm_-YVJ$OwI?YO_86f{HG!oqY|3Jk3Nn(suW1)zIDkeJM{
zl*{J#(qY<Ud?{G7nVW===j%o8G#6{O8gU9=`TW~J$l-YEX{S-??O$&2n9s1jhCyOj
zU?)Ny22>Z|u*9L;%~i%zZ;Z%y!`n`<rka<D4a$gG_<ry^%Z3|@e+&>rRDR&E;)KR*
z`p8LBA^7~`PsW##Cd2sV{}Wwb4eE3N1w&C`YQzD-iq+CTR|m%24LBCNF#dQ&HV_<~
zr9#-OJBqxAkF{JQ1Up=BVjF2>sulbs?emF^RkqjFd6#C*Ah2J7Xv)bu5QZ=*KgMaV
z4;XHC`7pBR^j+`Y3h^LQ5V>VhbWBj!$<K{OIDL6sj*;L$lPB~NCgAhwGS^uG=<l-_
zV^w@}QdZ8KEcVH*iemqo!P0-uIH|6(WeDqq*|r63h#A(OP?3^qJ;UD!@i$tvhq%T*
z-6ih3y9Ctpe4TbF-76zz-d@VKVa1Q%p>H(v9gVd@7X+3z&$3V9c3+DF2L^;0*WnPn
zZM50e>n(tA#l%3Wh6u=5cy(`8rH=B~Wp6Hv6U~90YTinp{uDysZ{#@5S<radvmA@_
zsu{p}8V2Fs>VBS&S3swR(!Ad*;;kQEeQlG-(}KONrK-2l;6|q<u2T_d_1S@R+)Sr!
zfat15i=aM-Pw^<`tVt|BJ~f7&hyYKGydxY((WpLFRL2=UN2=RHJd4*+9j60+SH9)r
z9+m1(3z3*xkRO+Vj>TX+>CjSe4P4!4spU7@vip;NHVuR;v6|*;FwMrQ?Jx)CMf>M=
zQBWh+#F7RE_(k35i#%-Q7_s#*!qrB7uY1T%hZl3D>XvYg_SF;dNDv>yJSrrRBa*IN
zym!-QFizYC#7gKrxHFUq)UW`2Djb~MR##g}Sn?%xzpgyWWVH-Om9-G4X@t%z5(aJm
zPZQT|hnrqq{Q4;41pDG6He?Wj550z44btS$-HfzAkFv+Va4b4$pLv72_|XcdP-Lst
zZv0oDaLXIKjuPV@a$So(93NnA#Ydi|(dFVhEHthRV(-(0GA(xw0n7=fdQHvDiQd*R
zH_S|e2`5w)|5!IjYX#v}a(;|~Z()rO0|4&;nQB}Px6_@3G?x=c-KE{BjUq1XwTleF
zg%%mOASQEmqskX?Qy;*CZCq%->rH9;rCXPLmpS9Am{mgYNfZ$ay%;!|TCu4D7x+2|
zu4D-ahVWXQdYZ3q0B+71u+w{kraDw@{QmoIZO(VPgHK@wYgRjrE<y8H4%PB+@mV6t
zg)0IUVu6#%SDRnX*5mUMOAj1QpgvQkf6v+@P{REG!55;xZC{=90G7%O|8nB~u6IpW
zTzyPlLI6J7U+dCQMowTxYOkcvjv#Tuyy;Go&FILRv7U74m*`H3SRwE^;L^W#G11_n
zX8^~idYJ;((Wd~IWp>8(wBtZoX)7po|6uv_M0Y`Xpto4HF#SD~<ox)qTJ*HRZ$k%e
zznpJV-}quAE#Oqb>KVUd6ccikM~T8yL6BSCzPVTTz3-s`-%I(ZUhwi%LXj@5s_I9A
zI1g3{?f`Ow^Wo}ieo<yg!7$a-uCt!}8;r)DG(3_^wSay=I+W-m@V8G$l++}(KE}9Y
zqwZ@)JFh$!3@V@j+xCp&fU|P;#UffP=&lYrXq+A+H<29_53QEFDPy4rB8y1-j$4>k
zo5UF@St+0V7^eqy=5^@ichr7%Pw?~X0RS6jnPnw+962v1)|;!=ZjTC`20sh>f9rNX
z5VI@gxg_YI_Rfsxt;5^zZDP5}E;w@4;)(swCoWI2ik%mS&=7PyK@@MSjdINMc|l)L
zL~~(5RdYF=5D!DDJL>v-Acu}Gw$_Y`iZd^0qX}HrjZmI2mAD%qFwK}7KBL83P)(NG
z=5w$n+R;so;)Em7pWhmhb5J&5@8p|9cLE!%L60RRAbmWlToOAmlw+Qfu-j@LP`3VR
zC4-hp=%yfV8cPoZ^&9#JZPolc>#N+PA=pzk(hG*AVGtCbP9+2nS-~=&!kkhkOg!LW
z#N5+lTY1N>0i^5#G3*M9=Y`yKWZ^6XPMc;TF(-E(^_nGZgh0-M25`gr)r<_oEuru<
z(MTsyEm|c%%7uiE0)td=;)8opchAHIG3%j;dM#EM14--I^Wa<;;0GO?Zf87v>02v|
zWI$N66*ps4sH`XEfCOQzDjy7g?TWg3K0C=-y`xWcIo>kN?{x`Xbo1|U7pC#DrU-@h
ztLz)*i4?-3het`%aX;{kO09_5bEh5C8^?sYjyvWo)@eh$E_h41`U3WOXdvmCEKm&8
z>RRo=VbC#Dt(e048Bi1=Tqzk0CkXx&^8{cBU^u_Kvx3X)KuFVaJkU!a3iXtAIOr{D
z{)My!zWpqJI4~Ab(HY|lmGy1VErdVoc6Af0!m9334sFT1eubOMnc9JDTLiarR~q2E
z@+%A*+4(t+zNnM0SJpAl+hU?epDi?9*3g1Z1|B&Zqs6*Z#3yM2N9NlS(|twesq)|T
zpU&b0&2tZ2{Cz@(0g!qQJg<=3ZK_-_m-)j7Y@9>}?!Ue{fUm$BGr();4rI(DPRV~N
z#X9{OAOI{=Edzx^77Ga5sxC<}-Js;!b^a#hzNOAA=bgFBUS|`95Q0qUxp0eamK1~6
z(!5<d=213p?AKlclJj%UdRfQO+h=fi9EpGR*wo12-tt&{EBfm#<6N`jgIcaY0@#c1
zDf-0;ujbj+@4DXw9B6qYc^%h3>1m}M7nkR9s4Caow4FjC;J@Zrs+i8<`YF?4^Usbu
z0b$&8+cUnZC%(sh9KILg%FHFl3iX*lVz)9`36~<&A#L-66BshtHZ7D>GriNRi|g^d
zlC7J;JI%!W-~37=Q<_*rbdM17z^?Tz=e#e(h?k{Xn#!AT52vjlv_vBLTza<8%##RM
zY=&Nbn^&S*Pcvfd14-cShmbW?M!l=G&_(dY!Qv-h+-q!iDN>2ZA(Zf8F%KTidRF4C
zYRm4_v#~J(Xcxvw2@KUvNpUH}mbHm9g8;aW(o1D&Pt$y)czSxckxp^U-x(TZSE2S)
zFY1R3{3wy<18O>?L)hw`%<9_4ul(CEsPNA9Ip|mHR~%AwSU@l>NIvcq#nCLIwr7~u
zV+!nQwJ#A6wDD2eP(Py3*!B765?p@qR)7mgV$hnOc-}I4jSmHxMt&?b24uDI3y6E1
z<+pwOlJ2jAAl?c0Mfiof?{zG;6TiKC|7|oP*Bo`mt!H%lE4sD;Xjn><_9gDGKrgHa
z-@!;b0EY`vQC-rbIe^rX_Qs?kDts(p{lMF8)z6*FE^PjiS5+7rpRc%<C^`CU;=0LZ
z%wR4=717T%h-K^->ZY9r`8AdzT}aa#5fIcmd`zouXNksA{9<Qjze59_d;Y*I6RIUd
zdg2QoiW6>({2H<2Zqar`A-<bG2#b~=SLV<vf*jFm>-PA=Co`pH{&(JBs1x?cRw-WG
zFR|X%pw$TaN!AJLj4o|?WGucqTpj|VWEKp7@s#XuU(88Yo?sQOM{tUy-JD!_$YV&h
zhobTqou&sy{CHB3DZ+M7n>D1*df)Qm@q9~;>U*Zp)L?J|4)xI42GT2RrXkLhFmV)f
zrR<zPfXVyWFWRW@*PJ`aKJladiLX4`%?Ks)6*trm*1n*1T^VVkP!>O2>GVVrR+p(B
z7fte8`Fu7Z;Iq@Voka<X+UZjOl!E?Nh-{!PbMmQ?XpjD@4zk4nDw7x`p9kmyJQNCR
zDP+6F)3z4=ctKHn{S_McNE3>%$R2w6-%+zL@6;sWWe-*w3EIMzH6Z;GJfRpwLvU`}
zoH?1<IFb8i)ujY*|0a3x?3Td28oR!+#!odrKB*h+f5A(UlFUjI%yE_Zo9LV&&{sd=
zjN5O-czVBP#D~Dj5rPHD!m%3_s892&HTK1b=J#J(7GJ>JxjeMOth51#c5$j^HZQV`
zQ({6tVF~#5;pII8u(EqEB>hX0SuPruN;y875jb5crXH8#SMVjP?`K{FhC?)GT>FgP
zqO&@&(9hti1DqwscRN&%dZl&l3VFDx42DTx)u@p^iz>V}Oc_-s6Kr*xZb_pYEJJSI
zwpSJf7#FyhE+5RHjnYxNyesV55?H;V=BzJTVv1cSUdA#H{5*5+>nu{_yaZ8@6{6F<
zUWOKhXrpnyhcUKc(ct~s3qLl=eG$_QK=wa0(E5qT8@C^roYosuZF;7ymsmTi=sxT=
zt0?H`7vufW1QYJE{ikU<{c3Qx(5^%8nAc`k>?j$=bjvg_{oRDKMu8e)Qd7rdYOAE9
zY~)Atk|k81*wG`?yW*c}?`xC707R>rm#WBF8tJ=ixI)<Jc&O?u*}SR0uB0K0lXy1}
zr-}7$?vOZ$St&kKlFpRU`41gmebWBUH5k7c8L5;YdXK`%-vh3nRqKR{^Ln?tdxqK8
zrP8cCDgm*fTZi>Etq9ewf3CVb7fkBZX^8=R6t2#>&TnY;)-i{4L#%0hWwdKdJySok
zhAOwboF6np30X9qXB^ScpOD}@^LpT+$pwG<Ro9A_gJVL+H(u2LQYPSIEzP}ynsoBg
z18rkHs{6_kUPhBT_@uLpSXZT*5{AY4vUO7Tq<y=$=xI*=1Y^!5H%)S2-6Kk}y7RTO
zxB#auc>lWMWJ@;Bwno*{D&oa#%s8K6ZB)NRdO5*NPbPL+B)m!pD_ISJ%oD`fRhU8H
zR~$8~ezoV#-2q-xQ+nt{e`?#DU#!oKg9|2cl-B1nIY43rffML{AaDpFMR`XVJ^Qdx
zH^pK%Y;pdf^u~)3c$NY5lx9h)j6e}n*zYB$@b_!_NEm-;&?g`M79#YJu=rbXK{bb)
zz4<|Wq~#I%OZHn!YTw^y|IH#TCVIsgGy7?``wan^3NrlAIr&OBo&2eFr<&dZ3S9c;
zvfQ$p9C!X6l2ICC`b(nYQ}U>~wfBDy8z1jn8W$MsOeJjwa-)T|J3O@;n;PovI|lpn
z1ET>1LgAbtxA=28o?>cLCf`san&!muhND$dHPI{8!#q-4`Mt#44%E`zf0_s>k!~vd
zuI5)6wawCrCvgQ&CBS*b?{N|juSUZEd2pj$)s^B7@@Dgil3EqS4Y^nb8jIXz^|`lg
zul>{!2vq0({nQ>>`D?~TqD}fjLzbXZN>PhYc@Va;1^{AC+V5ie$z~ttTAa|^le$Au
zZvH9u@{oA3w@NEyDK-hizn0j+9X)n)`O3?rFpHa)DiLQfpFeZvoWA%YAsb4wGvYap
z5j=KvfMoQN&QVU&5A6zQn1rVVqqOlrYqBC13D*;K?)Bo&$ExM|hT?2azrKZS^5vXZ
zREaOk@z(`+KLdqsKs@;^o<^n<6V9!DlvnAHFt(S;T^f0iu2yI=$rX7{#ODqjhlu5}
zjMX-}Rd-aU=21GCy@UuUF(_sNVbh|s07R+z_%)jQSyqjMU_~H>RXJ9SSJ&Q1xAa7P
zCzk_C%VD0kxQ?=_WcXYs8XPM*Yj$JwZkC_^%?Vv~^+NdQuV||Y&k}dbgt}-QCPQ=f
z2X^$FU<JHobcahs75Z;!Z4A7HH}m;M2>q#khD#YmjB?5-t;SH2VJSspRHs`3T4@mB
z@EdlOISQ?M%Tbjq_S|$rogF(_>uv)Wd2TX6kmkGlj9vvE6fZ(#XO$MJC;%4IA#kZ`
z)jOME)K`Lfi6IWq7d{F@krdQP?VUqMF~$bPKu~;EfkeZa!^m`7jXs0eeA4*CRln4R
zPEK+|S<N|3jDr!%qijiN4Xj@DoHjl208Tv%rtv;i=H-p+17`jE6_Qd->j>6wfcTH<
z*`O#CR7y*zDqcvYBuJtjoM>6P=)l8=(Yl04L~N7CMB*v-sMMS@;9D076&V57aLUJI
zOO^0I3a3HV0M<vi!}^#cTLXZ;X+t!4bz$PxjfRweKvrTjC*~8G6TBq5`@GmSo@JXQ
z|IFEAGe2RnFK?{D%>ih*4I@1+#T;V^%zfo(iY@``RO!>|lU>j9CW+VMG@_2ej*(3-
znAE%sYFTcM7E}gGzKK}B6pov7R#b&8(=$gP`Vy9MtRyC^Dh+)7gJu}26O?^i!Y?$`
zg`2R=^`uNP>}(559w_wJ{!3U38?LMh1!GV;bpiSINAKqyG$leYd3A&-tYueE8hmgU
z4Cke83xsNTe@u{Kw<{{JvL|#Lmy$B&I-+GOOLr#H4Cwh~+t`3r0|r-~79^TALHc@N
zX<N=$C>pw?5_p1HmCRIbWRlgm{a*~}^{|bUM%H>R#axm?datEPsCJv}HjdnnZ#8^p
zzp3q`K*qr_)lMUL{65+ixNCQH_v(`#<*T}VkkX69l>|9}<cwz4Ds1=^=CpLOLVuA}
z!o|5mCJM<EP9tXBN9R52hiu2#2ayNKc{5<Asg)EOygop~nc`wU%~=%J$9h1lQ9nC2
z6x|S>Seh<VXp2*fTOql}y&PbV53x}Wk{gPUjUu1V2-~bOLWAwb5j-$rrd9Bf5o|#A
zx|^hU-UqnH@DaR=JC3Z4G_qn>tDoaQTIO(J6<u&o)5+3!%UGqsOW4eE&zH9E!~m!L
zeb{a_jGdtk!<h5+K)$1e5=JE(Awz!&xvgtEb1Nq`v1@-wSiN#DwSMU<&N107993z>
zFMJ!excIf^R!OHEUE^AWsz&y~lCkueS1oE*RN%!=cOhQx^b2W%i+M1OTNI%yEtBMt
zF|HYc@ZWp~<6eY=LIs)DRrjTM&p|_zQZV|_b%GFI#=b`+C+HsTOZXPTQ0PR;)kq5*
zG;Gj{!NH82;b{*udO|P!nX?VN3)8LjSn+fa(Pzxp46%;{h%i53>DhhcCI<7bC%Mb!
zPdOzDlDBu6=8|uiF!ik#>*Py^P(F;MtU#E5g~AFnR|rSDv$T@qyFWO02sx#d$((`S
zamz;(ByX4wJd9!f4XO+pc{j?_*m{8=wb$KO<csCQCwdBD_Doe%m9`aY4#^SD&oW>y
zXdr`$OI;VXT|4y_=3{_82d<(Ypjnp`zn`TR1-M>q8|gD<<|plnP9@Jo4AIrF(O7Q|
zu1F0EqrV|H`V`Y&B1*zMK!O?!BCksR9H^y`$_}WI)NI8>OJK34=(gOvqQ5YB$k(`v
z*e0Cdt5C^nK9xLPqMe$gh;yMXjCMnUR{OBH>wvpVO0tL!IW4jpMX$FIhMwtF2bFRN
a8m-47)j`fvh94atp%B4qXgXoe1XZ?{Fa);%

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.ocp b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.ocp
new file mode 100644
index 0000000000000000000000000000000000000000..15cd0ebf6d8a02d7b9a2669776fbcfe1fc0263a0
GIT binary patch
literal 1688
zcmV;J250$;6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?NyYi^eqrA1vw$!f3}E-M;|>
z+hok8v_xrP$FQrJy<VCIS@@&ZPtkZO=2&+h%btIq7JNq?Fz%e96n$pmY=SIfjY6+R
z;cbczgtU}G%8vc%j2$4=k7X(5jYzNI;re2{Ua?I5YUWmP)pnVR7HGop?h!L)){$TA
z+uFBT<iK@z>u2n}poO&!OIu>)?Xo~^e*7Fknft2rC;{>Dt4iMxl&xsb;WOmaGt(7y
zNhe=b2YtV`7I3J^mo#7Z3H2BO<_8uTpF^^@ln`5&HS^y%TK8dOr5@72E~#prh<gKd
zl}jW$av;FMk$J$7^tW(Mxo5<N`M4z45kfWy<`M2z4LbhQao}@6&g6=1{fw?|HA{xP
z)7Az=?caA48(@g^*T~nre@Ng%;+qkbL15nBL}+s-<X+_<`0m$rbk@kfwFjSwsv^U`
zJJ#`R=D1M)oA4!>{tlrw?*=ayTtm6K!p`UR(BK=x2v(VHdVK#k!SlnGNg)-@+#x>x
z|1PZzm@cighRZAI^~e_##*WN$35rB%?2GoLwhKMdL$;wKpZ^kir1?`YsZ^EXx(==#
z3-`Qi=6D6iCv2b!QnPiDqSc=VjO|V-V!%=MufkXTIIot^f}0ut^4EVYYvyj~$XEPY
zq}KYtdRL&Gy=MG;^++%rG6Sk*pvqtVQj%<-9Bq5E;CLnH1G^b;FQ1BSChePF>yRkb
zYHIOvq|z05O6SoHPI6D%o4W*7eT%8mR0P%z^tsH_N!xJb`PaAS`QKPBl59tB0FuM|
zblcs#a3qWYp{Bl31LyMf`7-T=KuDn+xi}kR3l*g>HaR&GK&XBj@y1Y{KRRX#J{B?|
z#7g*1j9+j(j~EXlHrUs>wJ^4fVp~<DK&Sy>o%D(&1x#dA5NsvA)UCeP;NOw^z6RzP
zA~gf~A)|(-4=xDwtYV6r6-dMqS>4Klb{1Y$V0=j!m;F;xlxxRt+3fqx(>fe;m7w+t
zG!0*>EGG%p|ECv>yv*CFimPTBf_SizOjTigMAXK6xIAPjGiplP*f}Z)H|*PT1(`U?
zP~uZ(GK7&23Gy&~UD@!iNC}8*F&y3yH&>xrnQhFnM$ZKx#_|5FD^Mg@V!Ol-_ya4A
z{1d1{UtZ~R(CRc?D;ojc7A_tk1aVI%$G`#OzBF<{gr%gEwZeOIo*0dd38RcxsVI(G
zqeR*djo)npUN)!F)8t-e2{^U!`ShKiQ>o7>9_~+{4GT~IN~ixL-Ls8gkse_ZI<KoE
z|IH(cw{1T10FDxkbuOz=g$m<UUyvV>K1}<OcmieY2><}z8qD?hso|_oJ|#z#6y9mM
z?8Ylv!-(+ViMN>fpTKQ4DB<?YE9BZqVa<~TKrTUH%u=CDwG4GLsXQhhd>a9OwvKg<
z-NE#r=FNOu5uj*9^O<xb5bHz;tEuqZM7(9#M3x>^*I_+oB*wr``6N0+x2T~PJ~TqV
z<O&N4M6o&>)I|_u2SC$z;q>|{A6uV|bSfF<4>Eyhj2dVO2Q=8;nkd7g18%Bkrs@l+
zq}k%6KEOmg4%!!qv=1-!CZ5lqIr83Gr#Ah!D~cnBYa)bl5?tle8bK;u$4+~OcI;?u
z)rKF7?{5m=!)(Z02+MN}-0XkiII#;}#9<@D9e)+ycBfCkoDnVmLsq(N#?{=40Bz!v
zm#ujTZ5PC0g^2O-r@8=i_K>&UIi1A|{o5kaI3A`6L>{_i^HykT8X7Qd&o#{B)o%Oo
zrk*w`On>C9X#2;P;Ps^5_%mV5=YU;(6SMA$XT4bwMxOK0p0LA>Dc#4I5+ck4X^Bd`
z4(f3tqO5yKCHB-)z_IQ82|tWo;7bJaqNxFuD$AV^{26PZAQg&kcBVCQQcMvxwPFHz
zSGgGJmM?Ma)aEOs1}eQ&<}DH{860cUK2kCieIks@613ho=HbuIY+c&85&#Fkg(n!a
iM{|LZ=*ujbukDuU0xv375NaT4mUsGoWPy_RI$$d+PfUye

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x.v
new file mode 100644
index 0000000000000000000000000000000000000000..69e1395fe120aeb4e88cf1b1029ee50e00376775
GIT binary patch
literal 13192
zcmV;3Gk4636Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T6p-cSiP11_`
zz+feT<YcbO)+c}=8)uj4Wuh(ym=>kZN}H@F_tuC{zK}c-n-6v9hy5;dv!8{sfHyQ*
ztR>#CxVo-rQWl%lzGLnkO#%6vukAd8oS#wo7?Fsoyy!T9E(flnhU_U^W5w0jnD515
z5|_fn5G|<7I?!wA?b~yo^pqyj9H)m4W}v<gkm#6r$*vr;tj>k)0|j<&bis?E;GzT<
zbwlfb7VnVAlfBD4Ctz49VFV@2$*fh5v__mh89hVy!(gomMwG1%E~=wXS#5+q>bSM)
z9yco7^nZE{C~Z1puusmDojtQ)*TSH7P%mgqGTWwHh%rxj)=QekrkLv3Ay#gfa*svy
z<fCRlVc?T$%<%+4@jPNCjQXg$H8=2l_L?I+ND&Cb&iZO1_q9Pg(ma=;oDq)hn4lfr
zR;KLX`9y0jY3h#O9iQB<(<2JhsT0=Ar-lQ?Zstp1cJB!g^R>~HW_33?R`GS(o#7e{
zAm4S94eD5<u9vGhMYN#M^uh&yaU_a+6!B2_aQgyl?&)r;0E&JU;!6y6)goK3VdsJ#
zO$_#-1l&RvuBC=AD?K;n=&I8zl3=E;<Tct8l%HC@?c>nxxDd?zgdrjX$k&~GNp<C5
zPBLE&O|%Q~bv^8*40+wXrmn8BTV}}KmdsJw=Y<qVh;T~xLj$I^Ms^9O=k=N+Fcd@0
z6p!6I7Q9aRNkch`nKB;VnMC@uC98`p4cGV`y4mrM`W_2_LloDFQ(km}-^rwb;_E~q
zCE_uxbi$<xh_rL0ZjuCgz^F?A3KU0T=3D0)p6mQV9}iJJ3*Z7ZR1cwROzw9rolA{s
zt~7noy4rd<R`M|1#Sa6VWnf75TF>WDHGMf$zUh|wMOiqNYHHmjx8dV_XAVe}H>s+`
zb8LIkS1BumgNs#((NQyT*K&&A`gKOvU&-afD?0D3L;?I?|M_edJ-jyx8j{-WT<KNY
z_cPui5wxY{fRDweD1dUsZz(UnMt1!b*P&mZ4wtu2c$y5)endL_mrhlysY&z)tbe$f
z^rw+FwYjK=9GL|R8@zhjyR`b&6rn;V%~P%vxh!NdmO!z&t_`=1B$NQ$%b0s^^MP~p
z8$GAjw3AB55i3)P7#3GB7fsdBL5Fa?sG$Ah0@jRQAB0@~`{fpE1t<aic$IHe=XzFe
z$uZRn0lhE^+Z9gVlxSgPXh*#DMRjNvNVdmrG~cEMnF^*SfG~>Z^D%)>Qv&IuCFo#R
zFuL&qpo@p)C3KW-I5B3P)vd>?+pDy7u3EKCK=I3|NHY8SkHi67f%_+eCxjQcP4b4B
z?V&B#YXM#6KMWtF0f8c;eAMN~_1Wb+6B4sf#-3f<+dYY@Okd7bG!5UFVrDk@2bC+K
zs1~j#2CAD;No>%JEmGANQ3p&K8Nkt4Q#RZgE5f1HZ>8L#fg=_}Zq~W203OYlmZaG!
zh7Bu4fvV~UGpyDz0!5RyE9J-Ak1O7qG{TUjbB#BM%h*FaTbaJ|Jq!|w?5bt7;El&L
zkHdAST6^Gc)G4Jaf-=83w+Hd{`xeL<={Gid+*OGuJT^GS8|Tx8O|w*4NUL#s=C_Xp
zQrOb3i%8I*4k^!kTO_VDRBf`fcnkO<KM``U`j+^!DiqZUuRKr;MaRBjmz_LHWKHb4
z0o22}Et}~>5ENjGT-(0*d3XLZvC=l5dQ^2j%;W8?)O-!AA^9+k?=XYCgIrF@U!0>*
zvewb-f35vt-g`-hhiGcAH~eGAyKi~ZYdbS>;k@h@v<dz9V9c;9V?Dv1&x*(<ZGnq=
z+}GZK(q2f^{eV?Uj}QpgO2f+*JymIy<08LTdQ6ra4kt;@H<kwUm{JuZBhfF?Er-f-
zccpip0u@L}g|*qs{>J~XP^{^;vh3?sel9Hs)?3Xgs}-!-?|M{&!6lBsQkhn7jJ*r1
zQ>?`P^u1-SdKBRqeIhhvf+C_}1_?ds+N-!FhSfin>W9U2%^VZk4p^8NOcI=^hoGdx
z!7ae%h`_W|<gKHl{74U3pXSo6T^ZftR?Fdf^$oYdt<drQ(9V6@uN;!*;<G-Q(;A%%
zkE`lUL;N&l^qWUhMYWlz6j#V7;JP>;ms3vZB-}W!h{w2oNpWP|t7!X~w#xm|#ZDbm
z*sycJ{IH9%m}dF>I@pMK6gnYI6a(|`%a>3zSD9VWp~{9LE7E#+V<A}VP?Y~q+Ll_E
zU*HA(eJDOVQI{hrNq!$4Wr2)YTNH`34AS5~Bx?|2(Gc>&(Uh6b!$8bDb!_jc>x?&b
zpF;^N0)LXQ6p)sw(DBh%Vx~w0>M+dynn!hZJ%iUqKpN`>QYE(9P%z!9cOnH0G{#-9
zyCydB4)MBOGZ&o0M;6UhzeSkB#07w?xS5H$foX<HNSm?T5R?!yFA8P^REsqflv3^n
zf>>Rm8Y4Blt7(U;?HgV%;;AEO3k!jtjsNb~JlNNwnLQ^j=`4c-bI4AtaKWe5p;dcp
z+l97Bm+r^nQns-BrlZ9N9mMKtaXi`n!uHsu)3I_=pRGl`b)Tgg`8rq5z8}Zn&zw<Y
zw71|FqNpHqpM9=>h)(O5gI>G_J;lB9d$L~e4*}HHV~Lu%Sh#Ww`$>n=o6+=ZdWG_5
za1jEG^1{#ILdK6C29#;F!Ix>q{+o^$>UsmeTJ06V2L2c)(`9+h1DeToIm+{G%LN#1
z1o4=xZyC3)G7|7i6)i8diGDjcY0=f@@gA}K5<GecaprjD+e4=8AxUq)BvK36kAHaR
zsgk2?*yJlgy+l+b#p44>Xl2ODQ!&=r_3-J43f+Cw)w)ejo1Jn@irTtUs+}TmnsVx&
z`@ylB7~q77is#FE0g|c0SWTZLJrbv~J8rB8=&J0wphp&>*QKJ-F7Wnibq;{=d6h5k
zO08bfjVbwpbiI~J%&mt~WRmuQg=Ofoh!RdF4}#Ivhap14;@ZicO>NCGrnCFSQ;a;P
z4jkF(z@MF2Ddrngf(zeMh)>|;A4bO=99-ZR+>_X|t~nn8Y9D{!zH+o^0W5LdB6#;h
zAr`boA85E<b1d_PLh5J;R+9rbv&rWLCJO6kX#Gs&Chz_I+`*kOilsO-^iB`z9?z*7
z-?(-*Q4eamQ$}TjSXW`Emo_INJ`R}L*^W(e*7wUw@^VCEF-%k;Ry(N-j1SBq%uP}D
z&ziQh7{As>!y5}tW3qB-^1n>|2|<z5WTmez??`l;HHI88dBm$<BXa!A)&p=DrnnXq
zf#;U%X%9hQ>)80Q$$vM4jtjo{dnv8bBl9#=J{#CER#v3AQBPg82OyWt0H3$gP04`(
zaCyJKNQj4+9+j&&Un$tTitre2nWX3c7j#xdA`#B2a;f@1bw&4^Dp-#;J{Djh*I}_B
z+~So-*|z^9^mbiy6?`;Jw9Y{){O~Rg5y!a_$QKY6{Y5ZcezC=4iNm%C1_bAWycY_S
z8<UhSR9^heH;_09SG(ePZ1|?MmyZ3rQj{~8F1c>!5j;+6>@HI>{zjiO{M3GaZp?B{
z(*gr<Ba?&7E}@w2t{r@wO?Bq=(^g1VDIb%lv*`!_Sj2uV8B#LW<Tz_6EhFtW_3ZoA
zoPy`KGtbb;cIVt}3fAzfaMH6$i>m{}F4It&NwPjhi??G`^ej`4q+P9dMu&B!+~g_$
z`jzH<{N>Pp4h8Fv4+5K$lMq?%eti-S%V#|u@LfnHwW*p%M4^a2Zw=YK2=!Cvo<ff#
zP?d62_<^X^k&H1-NcDO|{+XB^<Ldz~5)8T3s_;F3WGN=KFVq3q+9a10=XvR<uwPGp
zfB=i()Dx*NQ*a`+koDa+sskDdm7|q=BnCXxB~0}yJ)<-I&aSK4zHC}F`VQ42u*ZUz
z)p;X>qWUa0zYS_I5*!hO(wA~#DHp`K!-zmlX<^##d3;RoeXKj8>>WP~-H&NsbG7+A
zv-^wh&jyF;)!!g5CfNBnv!xXnBd#8zgeA$}+}=F%GwD&^nPZ1Z-HUyAkGLd8L#L5o
zpI&!1a+xO4<29wJXH%ioFd4ZkV}~)5h)+;|p*U+%vd_-Pq9>D@&7z?7KDj|8M%rJb
za0*TlfL?$bC)?G8Tw4jL@gsR4ys@@!HF~_$YNy?BDg3D*Hwv*hY1ODMN_@#}Be#b;
z7#JM4C-7G4*|KHWCvaJ6n|uo);0qhrQQYK1dlc4Orfq}SBv(W;l3Y`*kC}PBFqKu|
zD{i|Z$9_?ie_)y;gl{`zWihG1wvUPt*j2Mh4QmsovR1RhBY7Z0Lnupd)@JJWCQ%>S
z(>zM7mJoicbbp?Z?4MU<n@V&C6%CCX4mFaO+Y`Nd{g0|LB3V_sds9Qx&VO&;Ya?lv
zUS04FGQn!*)$XQ-lN*ie8`_61beG)s$ZWED(Uvp?rjTtf8DgwVqDe~4<k+H9mg}1&
zXI}1W+7_JHQPr&Doob-78ynsyP0$&)`**Logwhz+dcF1xvZkEnzjii>_@{6VB<si{
z6NBW%Y1ZaS<hO>bz~tkggpdU5x3U%Z0Ia5i@fb=LQ#Y#8Ltr8}H8^e??~NO69R|*(
zFx^*2KLYk7v}*ganNC@>eoSsFNrD(l;bPr%_1!BxurYnbn=DoJN1NNoQ2cSw1a6g)
zJ;8j!c1J%C9--hLibH}Xdw9E%o~Z5hxO;}diD9YjNZOKDfFiGX887^N;dn>uxG3pn
zhYCJUi!uO(?al88_B9Q6t(w>8nb~JyvhAR~L1wwX1y(SXTU>?w-8u7~_m*ZqAk(pq
z=;z6lw4+Ft+2<!-_4-QI+@jlL(K(Zv+ie#QP4H1H7Z<BZE;B5!zR=o%cq-qN=c#tG
znmsJJLUvSwsYnV+Fd3UShV;`807az|P~Jep(X_OWYPsRo(Oc>t=n7Jss$p9-KOd6U
z0UB@cowHysT_*7F^|B--o69fL9ZI<|VJW1GKq-G{8Cso&m4VL3@1p9a?mV0H!o{v`
zy+slEri{?iec;t8Youiw2o4$WZgOACU9~n4Ev0rTWlv=5-;E{4sNV<garzgt6ZdrW
z7;R8Lr%qQ~W`q`VM#0rhzPXWJA+7co?>KW@0;b5B`8Va6z#br#f>XBlUjz!T+&xIj
zhpqC%gQ^7cv>L>2?@X_Bsw<TNF%>BFv$~%j2J>GwOSg<>TBRp4-P>IXRWWdJzd+IA
z)rn3V@!bxDrxcgR#I7^wn$;VUdh2R9tJ-D-<Go*S&O%Yu_d^Urp!6f3&}{X-ELDO>
zu`6{M-_Z+{<H89AxsYig_O@VNz9&b}7(iD_UJz*&(1;@^HvqlS4Y!s2vN}}^(GSLM
ztV!OS9J4Db+;6f_8}pWH;(N?Nin4G&d8?MQDrwTZ5o#FdE!hY=l+dPEXr&9*rufv+
zA@LAgK7Y0%RDzOHYVsNLr*3FsI*uH(o=R#OaVEHk!7wo=M7+5u(maebpq`fYSy?xL
z)-^77CGt1t_&l|^jIz5KNb&ikM~%~?1&8@5H((@Kb5@YJk9u?`YiNt*H-U}Cyaj_q
zoOhZlUnY^pk?oLd=-C6z<HIJ9qMme~e1W^n5X7j+OlI2e9hBNFh%O~;9&{6{_nI^2
z3;os&E+yQ<{#q*}7_c_shkds<B0e4m3TEHzN9)-l_ou_}vu|ZwfoRDb5%H(jys<H1
zF#*|9y+jnbvlbjwN}&v9h0zmIcZwE<e@Op4dAxuS5RVkdkecvOCxl+n@pZ~*!&Zb^
zN7>7JX(#K*`5ARXCoD1E8^`cr08t*>DoPL%2l(u|B&R!rDP<-VEP(+Vut*rN*!bG7
z>-JjkE5)~<)&f0FlIr6k2a%=hGPH*8eFr%c5x^PFO&6)wnjux%SWu=41`k;SaTW7=
zL#7?sB)gbH7Mqkvl6ezX)4tMoUsQk<T*H}kd_gq2FE6dyaYW*8wnzAa#aVr^mg2{G
z7N+c$VBvai$i^qtsIF8h5GOa)=x19+<lD`g$y}qec+gnVGb(RV&;fWH%g;q%U})RI
zX4VNJ8f%%D*|6Ks=DT&^8<`|A-Mj2m*?bS#CK##TY|3jm89yXP20Yir%ERrs+3k%R
zEijijdNbr-SeoQ~&%sp{Dn>{?(_NR=lEb=*$iSu=QIGLJ`mHImyW^iC8S1jF4=pPg
zdz>J$?%s7ghjGVFRQ46JHzj`+5BJZOP!V8xGFO5ZLs)iMoe+HIOq4_=$-UFAEe6BG
z==9=d7NK0IH1Vu04M>Mo(i)~rJc|Hfnpd#;w=9IyPE8t>WfSWm*ENIXW3$zbk$gO)
z%>%o{_lAp>Z82jhZoohG7$wlCPf&U624X8g<=6&MDhQ=m%*#kWh_exb?>MAK0p4V%
z7BM6xgv-b6TF=9fNNFkIbi#w%JxYHxYrTm@Tz*(pE(VRd2{Nok`lY^*-83`eQ&aCn
zMQ80;m{WR}7xzqX`tDYH9f|cgwItHE?c>W6&>Q|R8;p&{Qx=`H0&@b4Yg_v((WT|s
za?SOpXoZaHoDPRB^#ocADg%wQ?)%NwDzptuNW#94|E?v<eqM!AV?xnAKzkFPuC<yl
z7LeBODyVHHOg1>qNRM#!5TDkJ1JJDD_`Wx!o>z&EwB<wz8N-YX!o_drI(;zTv2=|g
zj^1Cz6cM)z@1@A$+j_=?^91LKQRG{HMf9Gd?@uo}(7So&*7KU95gD0xfl|(VmZPhG
zfSN8$%jV$M9hK<JhV(Ej6EiM-YM7gy$IaY!D0glFWBbCo(dnaVs1luU&yt)}qP<`L
zKGX(;l?uYkWFD6o3~w1(gkgE_Bss?rRdSCa4UVLxVdWVXLOeePBj+9Z9%lSeS9w8g
zozQKdU`U{%`uMo$kBghIc508A^MleAbOO_?G*UH0Orfy02hugBVToNAOL38-xM5>7
zqvb0sT7{lcDL7fS{m6=AmAQ@~&UB7yB{zz840GEvudrWD#t<$PYRrG*E^CZEbGELB
zq&%ESsSz~yy3s{LE`0Ds3RXZEh)8=3hQda(ngJ@1!;D2AEuB;y@@i-U@}M2grqJJp
z^W|3|fobih7QhSHg>nXN2N5)$`0Zho97M>Aa=K6fdt-@sQCGkZY48hfot80hSkmir
zvOZ2WK3rQyNJGCEd)jFz^D`1C6?X9LLEyYmM9o=eJF0<WI5iH_y*P?E4;(Q~qmsF0
z=4yJMwXr#Epw|!pLRkWBcw5bc;{H4t`VqCWW(ULsc~mAV9l{S&>QcnQ9#lfJiH@Qj
zn2Cw@;z&%>k5M?M702kgH%de=uVq;PxwGwvs+d~ffT@9Pu<oR*NX%=GxLIbX7t5gx
z7tIC4jH9!ardNam2d6ib5bG{qALl?HB@uJLhYq(G0|>POi6l8J#A;wkmcSEDiuFo)
zbXitMy4gn!%McV{^ED*`!Nk73*~y~4%}lfZIFaZ@mA=<_x;UA?tDXN;HG1XNVqC2B
zxRh5(2evN1sLlyN_;ffB49I_I=Ea7zIF(Omb+WzbP+EIli*DHB2mC+RWvfAtILEYt
zWiVH0ChPfgX21n^@ccaaf+=h~v#S{5<vnRbdF@e4=z60WBY9A;8OX2vyPHJxEXheJ
zGpQ5@lf$r~X$?p(2801-D<@y>LGmt7^@gl91R!B0s9*vsSdZA3&6J3tI|s6P+66<>
zkc^7mg_2U?Cy(B5jqXwX5OjyXAbX%^c8R%$_H%kJ#uR0<cG)r|4+Df{(@zu`-QlJM
zikiwx!x^$*SM1QyYVlI?S5t_yN%>^_o2dtg0`XBx&2m-}n6Z);%F>S1P)z<cw|;Qv
zJND#xVy3C0TYxn{+~OSq^5XfVLu^4c^V{KEy}9WlpL?_JDa|x_DE^?C!pCQ~=<ux3
z5!l)IHv&$%E7P&lmFD<ifFlTp*KCm-7%4!tgTOXkmcaE=es?AG>vDg>t})Qf9>H$O
zTIMzbqlDRtF_LC&ANj@tm*G378$Gw*PvZ(wgxvCV(>R%%)1D1o-d6>1mgz0%P|Hz{
z$AzhgtD*y@7<xZG6&>SaP`u`xryRrFy!-EqRUwn$nSWI+Sp(!w%6l=I@!cE!SNomy
zlt@-s(oJki_;UWBclJ^~_;k<K;!0Ud`3T}HR($e7<H>7VocK6to|8bc_~(^$3lqU_
z)i56u#W%6ewsSYs?<U@1RM57S9l00VG>!z_=E0>{oek3({uE~-WZ$Xn$A>bB2_s@%
z%Bqgdt%+Z#_C^5*8bod2393CI^FW&ZwQ$4K@;RR=p5c3>c*$&QajOa23BxbbR|=H~
zHw8WAzR*BBs?YVDQGx`&n|azb$X7AmW32q?q$kRh&OiI$yXoM@6I<EkV3o_r!k@pO
zKiF*KcW`FCZ;UmLNfrpvnouui7xBvIlmL?(ge_*Vo1~^pG6_nzs`b_A7sfk72_`F0
zt~hnLjU&cC(l6JYia@KlvV0i6N{Qq|%IGckU|xnd?0nF~Z4;_zS8C3Y@g{F?5efXU
zCHmP4yh8j?^B@QL8-Z3X%JCP-@YL_RgnL)z|J%cSD9IEJxSi6}*Z7k`HEPqcyvLW)
zb3){WESf+i)7u+iZ@o`st+~)c-9``5(00%7@j)82%q-x|+4c)0XP(mPv7GK+tD`j=
zqLrAM7v3>|K=7p6>{P%B%*mANiYkn;l0z-Xuwx;OwQ*?zfWk|}8-_i4X<FHA%HfCW
zy$}cLI3Eo;`PeLNDXB`hj4HJJG^7$=4mQwQ8=O`wOwDM?A4S2QMQVHO59KHcS(}oT
zi@#JrU$0bIDX`2Lt6;d{)NQdlrfykyAaSAhMZ{=nHqGy3iJpp50G>+<-hF<|s;l04
zFe}%u^!t)$1*N!QN7-(9+5ykP-W;`KB;whINpR<T0vcEu!{=?p4Y=Tsan#&vH9P<6
zELXh+LBcXe7QO?11WS3HIarA@$yxm0L(dr<e11wQNv?c4by(6~u(B03oUbMk+yKu(
z<Uz>$K-6Ef1W?*Y&Ju-%7Z6LsGiiD66gt<lWs}ij1jr}yFbQw6gjIB_eczXVI}qNp
zYQJymQp(%_4Sg?)pBoUkP=X5iuM;XKA=t<#yX+KrJrj^rfbL@{ij_`g>w3s@el`Bp
z{@pv5O!BqPq<zUjI__1pIno8|i6(WeTvs%hB15`&+%*q%V(I4V_4(2{fEXDCMw@b1
z>jsE#B)RSJID|*QcDZo@h>Yki7Iup)N)d$h8RhSGT<8{W94g#@`Yjh>@dl5tA4nXf
z;hLQ#?BtaL+lYKZqL<-@%Ibp*cg|PS*b=ALai0#ka4`r0Rac^&etvj3M`XmEsLg6i
zAA+K3`jDa8MTTaQw09nP#+>+8O!<#Rb~S?%lNqw?QMmu=7!|-W7k$B;>%YLS7Ro5S
z);o_@)rrK9SFQhTlOMpC>dY*gml3?wv`w3ZO*ht6Qd0IX1TR?<=v@Ydd(ghSyG$tN
ze9b#O$2g<|=4#6^5s*>wC$fj$>NYUL%~%_noMN7AVyity0ZVbv%;?BMNa>5?w6za2
z&_xImyxKV#PH0>a4vYaOS_tU5mR#)oAN^Zs#<4DJ56RhH6c9eeQ66Bt0<&J2L_9Hy
z@b1PhU(H{${3vf{T*Fxg5A$6W(=<TW@tGycFvV>{ajQL>10Em7+o2OO0E;w|hsxUC
zxeK2xwWZj5VqBG5rhPvuFg7cvw=aLlxlZXNCFas{p543EYXx(i)@y*<BThbSXx~J|
zCVcf8L_lrhSy<7Vcy&Rm|Dpfpqpy|Kxx(LO+HnoJZ>FI{P-F?7z{~vG0OkVv^k7+D
z26Iw5?%}o23vz5dRKiktz};AXG}QD|wA!<pn?6vOpXE35T@Dw~3#3t^&~!)$Wv~k`
z#Q^oK>cyz<q_~X%zr*!_FtCzHZHyvRJZzr**lI=?_G2YOy;4KO-Kng_TlEr>P+klR
zDby%{cV^2?p#av1jxAk#-hQx?>A?-0!UK{jATq@Z1kK%GRtI^XXz3*=411oCbi=o7
z<Xfs>kKFB-iH6ymRzPIOf4fB=ZORdFF9OX1s$b;%BP^S0c-;(gLo-5yagA#KGZz6>
z75bZ_H;1UwU^Re61|FfxBpqgiQ-t~N84_9Tv1z1<kzcz;mt3Y_y}6#K%?RNM#gBJ~
z>h|p@TWJUin4_MOunI0N%0#PvpS7^2u5>s>t`^wyh@{z8mUy1{F{W(k`=(_%Z{58E
znGZoWNFrXVoR3$!l#dm0p<3UemxO42S`YR^FIfFoed37x&+MfnIw4GKqjt%Ki^waX
ztUJs|JO!HSy(Vxs7kashQpTu&1zpcj4HG{_QvWuAihj{z<5vPBC@8xBrDsv&3XGZw
zEF}sSrfEfn^MNdg0`FE&sI(E&h-99mDJLeZAyS2c$|m`=On_2ow&7#=LVUOeM^Dfa
zNCYeI8NiL*F;h#cPo?1ef_4yvKO`yYdM6an*}@Di0ISDY>Y=2++-AW$8s9eW_rAD5
zb}R7jDWm7**^6*8how$I8ydK7dwZt7uSRPpPEEw`YXRRZey{`-F2UW$M(MWuD*m}a
zZ(}+<Oo$sIGbxiVRe8A=I1RKA4oF^2KklgO=C|j0s^qYXXc%SyH+MoyV>?bk!!~-{
zv*RG;X<+*Sp$!Nu$8#g235Wb8xc$P0%OJ~rf9q`criX(Q^{uvEv9HWE6M(Y>NWc=>
zrHk)ij(zw+N5Be+%LE*;83EA_Fsf<~^ZFnXKu{OsKepw07~YSjvv#2*g*(Qv9d&pG
zU4lGq29QV}_r^J7e(XXdNe05XH;V>(tmu5Vp#$sNeQ}1xe|>CuybmmIOEAl%1vhOr
zl%f2j7>9bh0?73Rqg4f_YDFottE70flcYCvi!X}LA(dN27wAi(=exOl$X@Og8ms=8
z8Rbf<g#lD%HBA_mr>@Azd4R-L#8J5Mp5d#f&>ZlBP?{H)#!x6EYG5DLo3@7Axb$v%
z&nW=2|8CeNk;hT9%7a7b+mv-aU!x(ODCGuAvRf5VHf~Hg8YZcgwyKs&9mNm}EMuFw
zQeb5f>rrMHfoWIhRPF9<ji)k@gLh?f!fMy>AEFd}{oi#~Ghgqtd#Dsp<vn!d*vBNL
zlk(qR*WPy{CLQ{a_xz^HtXx=Jqtz#UZ>dt@rT+BHv0g0S``7YcOOA&XVj&`LXr~@p
zq}TY2n;&i1LzRponN{lK=P%KmR4w{ji{pY~XbU^B>&42!rwjL|L)^spBP5bkzTK*6
ztaU3zeT&a`&)7$OU`)ngkW*Q~s-SLaAeI3H{y9k9WOLT9%FrIz!R!Z6qzLMV(RO`&
zY{i`&Ip&l=bWT)d1RlR?o22&oHznfwk=`L}vz3hX${>g!wmf=Q&oL_O(H8ICN0^h(
zZS02Pn9Xqf59m1{fs8!as(>~2YZ~XofIxm7f3;z2PpnKhPl1OwJkzXAe+<dHEMjJ7
zbwwE*=1dub<LKt;WmFIOfM%4J*eJFb?f5{!KMVnuG_1HeOkb^*cSu?L7h5A})80Wy
zjCbB`T#0cHP}U^87bC^h3kE=!m_$)AZCSl}a^6||`I`|l#hD;ivrbw&p*P2)tnT#*
zKEICr(}7C15LhrmY6O6EqOAm2kI=sorct8IVXuXHw4U47VKZU>_qt(cSv2{#XR!7*
zOa4!z_+qQ1FF`npnPIhzJ=K0qA%4$Btka`Z(3h#U+p4%CH7zj_D36kcOL%;hMgMdz
zvMp79L>{<Z=fS(Vq!QQRE&E9Jd2aOjsY`RCaKVzu{azJ%$=?q31#TSn)Nzr2x9SZ*
zV(sv-8Eu%4v!ntDqb<5!C5&PF>nQ=L{EuuHVw8m6GlQ%ewRO(o!}b)(*K$-Hda4&3
zIX(A79EE3l4lTQ6MSPiO{Fj299|~7b$ADC5w~<X!2e(ls<e{kBKio&ZL~wZ!a52_z
z&0K4SXa-=|8QjOtIZ`#tZ?!q+Ty}DR*f{C~#&$q(Rs8bIyuL0_GkMD{z!Z+O^X%`-
zb7ZqRHy>+R+$#63JGdGFT;G&Z5N8%rs!A1?Esbx{h;YIuhtf5uuxK%H?b~K^aP4OP
zx`-pO@E9tc%eh~hRBOB(WM;y)$y~LYJVt*VP0>!-io`Jz7wD?9bfcDuVEY2La?L4B
zhDd6V_4?AB`IUT@Cd};KhTi(wceWLW9XSUeo{PQLK4VQp$VenT7KT0A`lCrYPi}>#
z(huvWq#@}#Vth&KE*!=6`Q;4T&@v}gDzczV@gsL{9S8Q5nl)jUCcK>kc7lIG>7qB0
zh!>;RnloLxx8UJX4nR~+WnDJOW$<~d2A#Ra9m`{o+YnEcWa-LqB3%#$UQ{_ZSly;N
zvv`5`u91WHB}_+SA%L6bPPgBT#@}n!{4pQSM`~K%ZGe#vR(TvTv>=}wtMx!i+2n$g
zN5lk&S(M8q4z6Y`-rL&IdDDYf+s4!qRaGA6*`Yo9A`bFew+F1N*zXZH5>J@$p#x+5
z1Z-N;jIpNpGZY#a4HMHlT>NsU=ecnMy8FL54Z-vl+BiDg_pL`i+`r$jiFvS9lno%Z
zfa_<Py!Y*M?W#a5(npz3xEV-^;yW<Hz`?zAuO(vjkQXd&EHzmII{AW|Z%F$GrI-lU
zBm$6yn=_uAlbY=)1NpK;p`eC8+q3rp{6&^puD#D-=5fO0Ub4;`deD3{T^M3w3ESdl
zNtu$B1)90qAfTXSo9LHqm6Cq<jS=JL5&9PbY^csmgMF=v5ucfFUm4=C*lo!=UVt0q
zK0;ws_@$ke<o?*oUEu!*_T)!Q<=Nk>p_xti<?J-={u0&#kBIVV<~X2B&&X--y(I&)
z4n8B&qj%(bG#)@upyA5I5f!WX_LOn(PtOxz446EZ9qLrQ5*V_{&kpJP@V;#5w1&=<
zVV<E?PIObmvm#(x8B?<^Oeed~K?^uQoY}DsYh;yR%NfZ}Gi(<?e$RL~z`W{*l?nMV
zX5N_v+BW|h6D0DM);o884f^}rnKq)Ev${8GqapgZt_ctetleP4#@KqwQKomduE0Y!
zZhVbJWcrPtI8Bd?h$6e(faFZn3A}x5uCeQ;KZaX1-Sd?g2gp=IQUVZL^74kww76e9
zWZul1_=R4}++u$J#MY2tfpdK%fGBo_JAF0V6Rz;>)+ON`;TF|Hoh7(#DD|RtJ>x?T
z*Sm*kKh~jI<QwR9=IZcQ5!s)SSu+tTTJwYSn~AMCMPe4t@11`#`HClN*Huhn8``?c
zqi&vuP)sSS)OmR$k!Ch-tjil0wUin40L1W5BpAUArN2f$F>%aQa4Ke-V1Qtv6nXX>
zV+kfu!0o}R%D%=0N5z?vT|pftG69S>z<-E~!99RRPnAkMcGaAr)%XE*+v%1RpseS)
zCSOrcmjoXWi7=|Wo1`dQ1;%WG5DnIv++qMK_L=M;@laBIr-!+WIP0V4wvnzaD!>}G
z9>X+Asm^@`-Alny)OpfcOA!qyblyD}1~BV<Z~DCVxMTTIQv!&tNGqdDVEUesxPiF;
zs1f+A%y4IXUW-(c36WS^L@c>p#FA{x+-KWk(4+{e&Yca1xvGL#S5(G-%PTCs^0m(E
z+$6pV&>)y$QJ1>>Z8YKfc`bJSEq-)Z`yvdpH@MrjQql}V2B%RB4tI5fYK5z1ArUCU
z7Ti9pB>|EVBdbV?AKQ0v_esPmXTk|4_wNZz9!*B;_C(6mD_vPuj>nETp)-~F01PTI
z$(_0^VOHi+q|eUJ(u{h6oS(x{<Y9nK<CE2tT+F&)IM5-011PnN_~4p~ZiYma!si~Q
zzV7r==j4n%udR`;An^buW^XM(2L~;2=a5eY<^m$B;3r--ZsNZBmDkE9nQa`6@zgfe
z6;;|~g4C4r(W)jHVxhW*6+eTgEBT#qOK5}s6O(9|Hezmul1{<YH59N-)zxpW+Zeov
zA|I!3^==2VUDG0rxr)tf31<NP7KUtnm1cf2*8^S?*w`vW>0geANb-uC>HMU(=&I#u
z<(coac)&a1sBl3`Kj>>`utk(oiVB%*)LP5>47|Ng-(Wp`cO%e{wE15SM3ZNaJVfoU
zO?<O<W;*D9<q68%{J2Ao)KBZFKnyD<T`cZMR9g#={+3c|+tC^Hhw2Xp`9BPP^|W$x
zt3^G7?SZmN(Se?=RC5tn^?6sNTyX`*oVtU>uDc2@ot$*jh%Qx5#N%vg3J+Q&Fjf&R
zYBvD8Yg3YHlSbn(iexsgbjme*48p>`4r8ln(m!tD^M8t5Z9$}8rOkYr^NNcPz42)t
zHDt#sw&ryg*i|gbPpl3rcT5;eY3w}sbzd+XoTg|K3X!Vi{+$Q)01@EZT+Tg+MRf~i
zaVkJIaTa|74JX17h2~7KrB@PCP|iMJY4>7{&rCM~y$Fc-Qte(r<}bb@)hQwAjHaQY
z`v;BIe&y+RzR&`4ctFXpSg|Euc{ZH0BpeX}xH2afNgq#avq2t6DZPSk*leO6858^2
z5o}AbOL)hZI|$iC$-HFg46Be8Kl99TP0u7a73y`>2gHRt)E7|$3DG22&G!}+l#jH=
zN(Smz?=5rFl6p~#O|@q12gF(&c))5(In^&j(X!cdc3{t-|E0+VhfQy3N(+`IC~ek?
zb4VXXAYLVmO{uH`_+093Z4Ndgh$;wB2*n%d03@8N<GnSr6eO`pkmdWSu6co!YcoVM
zuZlD9PN^aL$rEO9k9P$I5FDW5GIdB!t8EHtWW`x`S;0bcGdRF6x2Y%biDj{_km&6f
zwKcOjRdY@)surVXywU%U(F++13sVFxuo3@xC$>)K`j)B#S;+Q77@`U$mbJogIda39
zZcl^{e$LCvog_~i_xtNI$GX8ceX+ufF&~3(>B-jzMoG^#?%lX6eB~(zwW>xSj8%hB
zK#mb#gvD-Y%L0$ZvekE3xn`G;`kp=ZC-6~nON;<0dY4oDg0&~0r-v)P^>|b+(KI1G
zYMwU`gu4b7tvHvY#zgQx$ejZ;ZJ&vc!JEc{Dv!L4AebB2-(eDvH$*S{+4ScSIILo6
zjkr$9)R-8x?t?t=N=n4=d`w+%Etuy=f^ZNp79_2$bCvZj;KGf@pjQBtJBEXogFf`!
z{I`aPG*<Fv<AcFef01Ef5H6`xv-KsTA)F2<n0U(eZIb6z3P?J>i9WU5o9qe9<w0BY
zW&Q@)>r!0@=W1e83Dou4NcqzV*3Di9CFlJWJ~k#=p|^~H9Yt>`c+~Jr=cN%N;aDWl
zNXg^t%BMIqA_9n=`HLsAwyNXu$?Cnazbsd{Mr-DL8WjY>!G05zzUpzMV-^3D!3e1S
zR0(O1_Zx>%Nti4~mtYuNC=SLLEw0`@0^qz0KU?Rfe!3L<st)^V@mzVH`di(5;G`_x
zuRa6_p<Z;wwEPNinl{q+#VHQaGronq1ksdmV!E_o?~SlRx@Iv*sPv7ollR>s;gjto
z`5!P@ybIfj=%bWH4XYtQ{0Gl<4cZPCs7%=2IBnbEYO9y^Ms+->rT=1VpC4o7XQTI|
zL#9Bszrgz9G*{rp0~(+$%*<zmPzZCCQ$}QLTEQtKj~ou8=`#5Pbh-ML`bicYOjHNb
zWs>DWSkQyfuG}dOt$(7xGER|2^cx(%!w5!(AhnwD_mx;aFyR_Xs8B2y{n+J{m{_mN
zw;0!RI%o##Y&0pbB!I?jHMfU0oNA?mTgZfa1Yl(1r}h=$$9(lr^+3E?mwAv+U88M(
zh7@l~7m$ci_fsn~;l<k<#G?5D!#}NSpqL&ElCnr_;@lw8m%w6-A>%#H?+X2x$X~`T
zqTSy=S+L{sY8p$eZ~8z~Y@bMr(-D5W`J6I}rq4RNA$=%IBNIVUd|wL;{l3ic7yiFE
z0Dc-bVv{+_#Fk`-*0I(Oqy>_@_fMCj_Jm*~*uxZrJFREB)y-n^jDXCp7LHm&U`80A
z!c=pbh;Ni1z#R-#dZ%8T8U8fWM{kz2P=1@%Z$tmFT&Hfbe<(lzu6F4~KtQ~lLVuU2
z>=Tvg+@|Hy6qmgry?zS@E#lCjb{28BQ^vxFPrPV+r@K2Q2R#ZcMS?ySm6h!V%QALt
zvWPxE3{!hecTq2Z0CrJP0Sw8aivjnF)=d%@GRqDcu8^~E-BY%Y<cGP{$I=K5|HdiV
zZ@}CVd=UAQSMF4W^YvA59MyNx0%J}rcL|1bs0$~Yj_Fj5ME8@*^L$BMp7a1FBeC?q
zi>0-Lr;<G>sx%r*?g6p{zI;rukVrNkTU@MNy>xd3O@65hN|H=&=sLJ7%$;HsnUp3q
z*M^f@mfWmllqHCL%pUH}l$4q)3i^85wg#V&>8Ow!E^hH&KFn*9B2hvu{iDg_Kq=1Y
z%k;|}#W6CZlTvPAOZxLtO+r1xN^w5yWJ|2s0Tw!Wf;8Lv*rXFxLu(Hlid$po$}?k7
zFD7A&>CY6y&Ua5*umW(B5<i`w!Z>^{+V&y<I7{m5M4(#w&lndS&RVDG2%yYfdtu~<
z#t+E{6gs8@F2aI+j-UB~y3m`^{^)J;sv%vY!3-hS-VT5h=n&_~EYq=W=O_7T*RChW
zl<Z_l(3;;W7I;CSlEtefYMJnJqF*bOj>LHQ8?F9VuzG@ru%QY;{hQ9XP_4Rl=8U}P
z+seNfJb6fReVOp!^IqbJNFODsrmgKmDYOJLu5OqAsGMdXoJ~!^JbX>T14PSZ+{>9_
zT=fM3MTx^|p|0frCTiYeO*GstRH>UWPRyRlFwxHBJED&e84$mHE0{Vo6OiA$LdWl~
z`XA=o`uNCE2IjL1KwX23mjVxH1=hT|_wS$^0V1S>#CqYyJ(>+WQ*vm6kF9*M_pSY7
z)s3O<J36@gKmuJG=j8FDpyrYN4S(5FR+i}+noS_)i;|mXL2FJkFvUBM0L;D|t2THd
uyqka#9rOZH$0ldLJ7L7GYpi>#t1kn?7qv7_|46cr>XG8nSI+wf(j(tO;kriv

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.ocp b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.ocp
new file mode 100644
index 0000000000000000000000000000000000000000..17173958c0a5ecf11131ca3b1d650dd8dd79ae44
GIT binary patch
literal 1664
zcmV-`27mdB6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?NyYi^eqrA1vw$!f3}E-M;|>
z+hok8v_xrP$FQrJy<VCIS@@&ZPtkZO=2&+h%btIq7JNq?Fz%e96n$pmY=SIfjY6+R
z;cbczgtU}G%8vc%j2$4=k7X(5jYzNI;re2{Ua?I5YUWmP)pnVR7HGop?h!L)){$TA
z+uFBT<iK@z>u2n}poO&!OIu>)?Xo~^e*7Fknft0)n7yaqH{_Gu-n6?Tkq_gK94eOc
zm0Oz*w_{3Q=w4-tGUf}_$N-dcF2f_%{-ecA8qq@?hk8t$Z8S`<NH*`65SndG0;`?{
zy0K&`fRo#{zAKW~B3_4vAAT;yKDk>l=QdogRvet1L;1@eQIN=;&?C#Z&@x|dnX+*U
zNom$Sn$=9jS=|0-DMy=rx}cf{o)12$mA!-5BbWtxKrO-y>OkbzHFh{M-o=X$IJ(`X
zf7v0FA0gTg;?A!Yq_#6A@7Lc(U2)Qy)w}EZNaUh4M*87(V4IkD)W`bJ7}r*0Px!!s
zb;dGgg2A7SJ3vHS*Oor56N9*%%jf)E?wAJUHhE5Ky+TPolF_17+vUs^npdK3+KNCl
znftJC;tjL&XtXsy5)4iZ67zdUSJl4jhh0Hja~q_lB-xBEX^S?gC{0yj;Hi5NjiuuZ
z(vmFTZ<8uM1z9t&%nq}5u?mXW($>1-WCS{GsZZhQ7(^D5p^MYcjS_Nm!XPT=Z18F}
z1fQnLKN3OZ@xtJFb@X%bJK4#ZmIvw8t{kIpiiQ=WP{ypEc{9tyCxLnLYSs)8@PoQ5
z6%s^UJQl7^jDhp_RSnDWr6ooH-ce49J|*XR`u9WHHg@qGqp1uydD$*sFI&=L_{K0n
z7!+?DAWMad4FRQ0e*ptTo_i>N81PyfZ7H-ob<Y8ymo`>JyGZl^9ZIz?JUQ2aAe0G=
ztfC3-hsO8;ieZKP#hB`HEsd6eLdsB43zT*KBD8k=$GHNYUcGvs_Fwg71pZThIj+`h
zwFwMcw@^${q~tj4RZed}NxXs>tdu9!m`b54#(_IB=;4WWKv4>&N530*^Sl$2I>%&J
zIF7mZ04yxIejz=f*FH$qvqB!|;`YZtxE-Sn=@oNit{Kx;bi;8nX7l`Va-}Q%E2O#M
zv3|AJxz`4^+_Q{KsFS@bVR1n;AN59;R%qjq)Tvy7111mL$5;K0N|<7BIc!=v_scfJ
zu~iK?U{RTL8t6Z)qtHA<`yWq_WnIn9kMDsWXe9%qySHB44Hw;Q1|2-wo&G*DT#sg-
z?|{wNBT^Gw4U%;F%U3P)4ckc>0-qZ>>pJTZ6tzx{(S%Sgs?d8=b|lhz0??jMM~UFv
zW6WQ(Tt*skzMn-Fr`6lvA8S*F`i}$mS05@Up!qMDn+j;CKIwjTOAV*9K444+H(DfY
z99wvZCjk|z&YAh*%GK_?vbNMtts-mh?XI$fUCc~81FiY_m5<ml1lssr$WqE9`NoVW
zzcq_y4&^~jO~1?}hf|fCGzY>PXWbfrJR|<ZjEBL{JZy{5Ij1~T_-6EX6zZK76Xel3
z_39Qd>CgXN!42zQmde(@@+Nl`2<XZCc9_6&W-j4GX3p4VPT0h7kAU^*PU3x_4TK%V
zzQf=QO_T9Zfysqnzq0|lu&`xwyp^w~$n@*oc~>0%*>;Lq#)-tfJQPaBtdF*TGhj(8
z(f(ew#rOtyc4w`(cR)e&;_`^HnEVi@y|t*|Eg#=4iS3pgwL^}buZ!I|LfEieDVoGE
z+BrtPWe45Mc40MJ$a9W|xQ-$*6R3nuAN-=gvU!Vtw}C>w*nb_w^`HCE$6{hcfKK?m
zh9J(}mckgjQtJKN>Jn}y6*Mmx7Rl~L1lrW?CPJB;xL{MLogZN7>R@UE6#nsMaMfl@
zooI-7fchw?p<^WKxj76T02;ZqulwSA8s7dG5^_WI#2G2Vav5Mt(ryWV76!>mB%~_#
KM7;AZyQ{-e6iLwl

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1000_base_x_strx_gx.v
new file mode 100644
index 0000000000000000000000000000000000000000..f995cbd4e4e86cfb84380432132477e3e3fcb6a1
GIT binary patch
literal 12832
zcmV+*GT+UM6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T6p-cSiP11@t
z4Mkn)P9ux>wc>Xmln1H+bQwt*5Vr}~gLO}ccx$YmOw7C>jJ)Y?3Ub27diXFo+<bb8
z%GMMM<HmmF))>rkbtgUVdlWt01TdUCH6L~5ocKCLL>MI;T$A~_*J$R6&kEpGf~yh6
z$|F=vzD1Dj`f*OSR{HI>*|Th@<#Lm~GqyGlK@CefXt`v8P_TUuHxYPINV7^63Z8u2
zd+>xVa=oJQOAyjrz-;e;3fydll|<8Ow129{aC@U@Vf)G&&90;nIZCD9WBO7=3qk;v
z+rx*!TdZ5($rNyUJ`52QCfY#BAws@>@bx2XY>YmI+gB4V`grbo_CRRh?CnZ9U#AUt
zttg$c6A0M^q@P)b%^9N)(DWd$$H6i$HO<FF>&-U0eo~X}Gp%Qg>;?$^$yEhb`vpc{
zazw(FvTvtI>k5ET`{iZ^<ve04DSk_fYf&vfq@_!%lud+jF$26bmX_X;rQWNE>j_U{
z`wH}$@H`<?)Af3bbj%Xl!W??BGN<UpsS{P@Tz>cfV^@{Z1D4=27lZ>qbli%cqR&QG
z8vbXV-?XT;X{-6`Mooh7hTO1_#-vE;bZ)xH(K{58^J!hPmovD>-6g*wa($cvmD?sL
zRS9xlWJj8wHDVL&aIJ0PB11^DOv4NB8RCdiSy1DtLa9(TV};-WY?zL3p32LkR5UNK
zIDgpcVTqSNJS@^CyRkb6Wx{Wtxulfpb-GsFA32pyKx^O-Jd!J3`D<%QgMdpi=Hq&I
z1k@H1UY!T2bhHf28mC^I+EUtbecJz0JaxOJo&40|$f-oCYcoNh_SEGv0Y4yVlf{-c
z)F-%N{0d<D*}J_qI@64kWg-<cvqqT5=^rHK2`GDraY2y#Sa!N1hP-;I{MP?vs<pcv
zrd3>c8s;4_QIGq#;&@EXpMvnx4B2-rR^G*QB0&-VX;tY$XN#AD%-{V>+Sg<%&9$^#
zOU>f3TgA_e*JM#3mu}1!XsFsmCN<z3^<%E7aw*4!`pVeV`gH0~Il7m?N3n_EJ9|gR
z$V+Xtkqj%xAN%hRjyHDTWqrnCcN51sONR$7ZPIpT@<<#~A=H`eU_!4NchMWV0>dM3
z6cWOSy3ZapM%oJ!9{Zm~?yrw>kLEd746oHQd@C}8-J0m7DR$;jUTT5r(dEm5gpe`L
z4&tte!Z^#oXoK=3c8<_8qMXcz<pT{i&aD+a&Go=k<A)qW_{$F$cvk6rw7}k+)^;rR
zv8W=7g0HdP%A_GVBh9~D4Zkw0ueInn@o17|bXQ$+_$UyUSBx#55B`=&+`x=IQD|9}
z6!o+L?bM-;y<Q3^*G}Cd>bvV80O-Rqf8Zg>IDvC3zK}y7oYGm${CFHupv`$;dK<3O
z6SfPv2ng`oKMq!U7`8g=YZ<M{U%dg!gn9cYMAkue0h*so08^CrDK&T2+|x19J@Zwx
zkJW~@9;u>zl)?Zty&6R%I_5@tEbd8qZmgl8vl7M9TQ{jTxMI1O6BbrOY!ktbZ@EUS
zmxXDufn8D*J>9wo_n9d^*kZHL&p59x$fR&`o2g~;+sQ_bCksZeGg**~$8hq%mlwa?
zt+W@j=rMu49hKGH1>se4*hEH>!Fi$R_<&YpD_s$ZNp7rIDW$t_3vsSJ24`?DlvCRb
zRB_z2!xX%A<PKt_jsh;(_@W<|n5O98K6mA$da@^O>Si+Z%F<oYkW3820rS5c8CH-e
zd470y@xDO(N11&O2ce%$f4h}7^JC{c?+=jB8lP6vOTgIpg+U-^{OLYj014`sqmoak
zo5iAu7Hf6S-V1g3MbffoE;ugu%~3Cz+{@=*T)GvW2u51ss-H0t9n%3ER{k9z)po|c
zjQ>o5Q+B%!meTL<uMxQ0BWsuwJu6vUlD0LK-TwRt<^(1paC^o%@9L%N{?3-YWg{>r
z?0CsyukB6AFp-w8-=r^>q8eL5YqN*MQQ454@1<Eefe3rs_Z`RNZ|GOQ!JmgFL5Ytl
zz!ovl@IItOm@%<U@drhK5Eq_oRwn~b{;I^~A!XOhPhH%t-H{sJpvjliC%(%w6b;1;
zo=5!F8=mvvwtuf0$#FEK)3r4^OP52JBB7*9q^t-Q78}^~s^hAM4IsZ)yXwME#&7rT
zMNtLe^Blm6`aE|sOro0{Or1=PnRF}L)S_TVRtNYRC=TQ~yc=+gl}WKht=F*j!%kbL
zb()^RH_s2Fgfp;zzB9JbzxS*UaDThTL3TyzRgYYIw(?2LDwp@jL=M&!;43il#w@}R
zJ2R2|X@gDj1XKKh=8|U)7se+buOf6hV`ybXacCzE_8)vF)U9MG^6O}Rp-3zCskN^d
z1K3ogXAWTXgE(m}+ARP&R#9rdu}>Hhf2Swa5CSt<kiPQxZrp>;6DG{RYLHizT_Fwu
znNBRtE84sgA0^!R>vL0bbbTv?1B-+P3-4BVH%oZv7NsiEjsp<|wXU=Nclnq_)q}Gt
zZ+T(No3cDu`en0!EiRi!yLpyHTBvV0XMsyL&0)4Gr{l6#?L|^GA$KgkfUyp8n|<uY
zni<C?x;ICHUOj=}$J)vk`LdX|VQ#F%{iIR$+WY&+<Ke@GdMI7708#zRESo`Q6BEZO
zHjT^25_EE(Vc)K?plSzuM6XA?*fb^D$0X?8m7?+br<0OjQT5{XJ4%fD31%q=VrmBB
zMGJk?X>+*(m6x1zQfkZC9wM`3ItmeN#AaIDUEb~x-)r8_r)7};(t}C9&)x0lvWn2i
zH(yPUyoZH%=`TvnUp~CV&^s!Y5@<>@PvZW|3zIe*2UqgP<D_tHI9AIEW+yw{Y@f+_
zUhm;J8kWDa7;Yqu2`tSt1^de}qt-%=J)SbaOGk`?(7Ix8P^2g9cM&c8nnPGR<JrNC
zDE+&&Td&<wRyn$Dy?`QS>7iL$WhIK3#leWuQmXQIF|n`v0zi|tGRZADmKy6)#xU2N
z1)kUQir0mgli}i_pp9GJK35fFC}o^4gK}WsHl`>>G9;V8xQ$EV$DVP-aa?Vdd*GF4
zMYRJ^D&HFDsK(`HRGovj0IIKOCC*(m?6hv6_Q{_2BW7uowFVxU79@#oWgU#QB?~xy
zoeo}d-Sl8XzJ}ZE^7j>XwY*e#&WL)@A&PpYZ7O0o@U6lV*I`3TfGIPkT?xnLjuhCi
zpC=8M2s_Qh8(}%F_nB#N9~Um}8tD=U&HD@&_;HJW8H&w<sUNJvYR#*wC2{3p>~PLG
z@X2NIs){CIP6tF<g)J**d03R;r&hkPpjguu`^m0JWRe9p-vXfeW+$q&v8+CH=r^Kv
zI~4~B@m2?tmjyH`re-|&9liXdBVb7Ed_X3?d78s*V=2I50l?i+O7*+^ag>XF<4}OG
zQvXk5g#{6lN#N>tK*c91oC}gfJKhnI$#hjG6^!-JB?u?SAq?cW6@WLWIm4Y|QR8Hu
z|Hs#yDCC7`T^&^nmOnp)Jlt59iok7X8MuFB$&C=w%)BpsxNini{GoS&VD<y_)yYr|
z-56AG0>JKOqnCo&bpM`d0$rhb@Xn@kQedSO$N&I;Hnm*k4X9s+6VaFG1;ICry6ed8
zPX-H<qHN-jwr-hoj1<Q5u8m2Z<McaJAE8>xYa`IiBBT~&MyPXIV5av0X=UhBsht&h
z!z0<E<rdeb1N0s*ywMH>q>hog3?5gBt$d6b+SoA8c*Gn$G)70FWFH_MMfkNK)**!B
zay)FeZP$_HNWKS=OK1cjo$Z;d;G1TekuZp+V7@;iBzWRN0}tzGR1$O&Z*%WKkj{7J
z-tXO7ptflmXC77WN*%WF0#=W!d{g}-K0A@`<0d8EI-rdXbi*ei#Qc8A&07g-bUX(a
z*c`qUkpFnGCZ%U7Chs<0>C<#!`%@DmQjy|A`NSL$Z5d%%M!JtJOCCEo6qh%l1^sKu
z{^^qjbRXvj?YAR)M<D_P-wkpgq*eWreODn!H2aKT7=^?8IC?rOxWhwSCRf2#jzECE
zn^VGqZ?FN2+vI`U=vx|E@xu=zBj=oby3!{yk#6*5%_66KhZsEao?vK@bR%bLQ9-QD
zoRVqbvvPiI5n&N~9V`ZnWlhv&doYn6AcSGy&|`teabL1)fC1{)NHD+OS^DCKonPBH
zjna4E#N~5_-XarA`T-T9Ut`l4Ub3NSF6(*GL=>~Z2t%x{qHGB(0UYVrN9_6<j|g!q
zoe=mic~yXfi2J4v`nq{(46(ECC1<8g2d`qJq1to=={qAj#_V;;q!dbAZlKFa0iYF=
z@A=?p$`<{R6ptB&ia-#`9}<kmAF0=+RGASEc)Ihmsi7y((HL-P<3h9ti%yDrmD-~9
zujuI*_Vb!;0x2F8#+w!jNH@k6)vc9Wo|=|JW!t)qQp?CUXGnE&xFT^a`C>IU?l>zh
zuV;7ElwFiCXRpfwF;9zQWcmMrE46y4Uw$4i*Ru+1kti~y$T?#Tal7~)E&4>$#sA?4
z&p5>bO5;EGE6xlqwms1MlLhT`wTV{`Y<Dpq0E`TY?@~Jh`mfB$ufQM9k(&hViN8Aa
zJ>L;Bj++O1P&0ghl#GKx2U@hgw8C#2Q^ns5LWfxr0g9^Uccvl3VhR!LgV8fciVKxN
z-ZkAbw)!i<)Q0CbvKHUWnxJCNA?x%iCX+yj>0GKu*t?qzQQw|YLrT|DrKL#&%vnZ7
zP|4^5=I>VxA>`7lk-%MY3v#bVx~xJV8)#Oul9STVg)<l%J2{9`_<goxd6|KRZ@)VZ
zHx%hZiwHsYuo+NhmS9x*Z@Igtoa>bMsZ@ax49UEDT<dN2M7jfw6{G21x!Q>V+P`xW
zobn+Kf-pfwP+R~m6&6G0C$gZW?uBJzmrZRclV+OYW*BAM13Xtgn1|b46qEox4h6U!
zZ}zu~2V5Q$u__SXB0p$hdEj8PC|}$+4*<ioxBCjY)n-v8HlTMMpWjoc64k>m(DMze
zCh&(K6%^<o&Z%eI;d^0-{=3lUZp~M5R3wbdGU9j3)R)*#u4)=sGXhONV|4)EX!;bv
zQz15w>~NQpxUC<m_=l1L5D9n{w(5n#Kv33iz||Cy)&4?BOV@Yvfds*yx8(^o`=kjH
zRH79Q^tBFw3hpw+^MemiVic8f6IjXoz-<LnVEECi=!8vB<iO!#zo5YRJE|R7(K70n
zQ`|JuBEjBNm5l|8GHDuFb0@n*oo!QAI^q<vpPdE>xrvf5CZ}TnYUiK}CW(Y$7KU76
zkx+QJZh*6g)c$9FY-<y(#CrnB9eZO^*~9X?<XSmQykYRGa0r$5v17i*av`lZc`_b0
z49({4PTacNml2Er+~0?P=x=S#w<<svQ+zo@$(?}Kf;v3Ccq3p31@G>rgFwqk%r5}C
zmt5BLuTQQW*RwwdIo6Weh(TFvB>AE}|0FVT*1;G7ygyJHlVy@4z|#n{l8+PlzJ~s@
zw3z(l1X^+nl`&6`K}VWcRTTZi(kF;2+FMb;&j0)4IMKGot`|{%*Nl<CS6Tc^`>DUO
z(sFAZ_JJX8--?9O7cX<0`O{S+Trkfw=T*aca;m2B5#@s(ke$9HwoE-kIYR(kCg<I(
z(J8Beu00PQcoY%O){k;U-dT>Aa9?lRh<ti3yc|$<Ar=Y!-E4_XuDfQt8{t;R%!_qK
z*eePAV<@fIc(tC70IxFrEo8>{v6d60klNKcmPF|>&AupSXd>cIg{a7nqih3fd41)y
z88BlqqY+J(!RK|D=Z*1@mXocdd0I2MCID;oZ$DLaGD(MaJQN*0gJD?hZ_y}@xNY}d
ziAM5y9s@ulxRXdv+$wz@@-oP6uTX%UUuH#awKcbgXqAGH9UTan!s!Fct0yQYZ>z)c
zQhRImcAGN2PT&iAjZBN3E@qAX@0#QU%3-9!7cvA-*UB{BS;?U8Q<f?MtBi&;7MFq*
zHB26YyF0-Y4d{~exM8Wq4UDr}0<@m-G{&Nya<Oq|X7$$&shINwvLrD5{i-!Ku^xQ~
zHi*QY2&b0IFrQCl#J4C2?c%Hu7b13`I?v^O<TR$4%v4I0thqNk2)Tp&V?sJ>R;JPl
zt)tKuB{S!_YOC@qHqoXWny%t5a=yCt6aP^?veLV{mQH-v+2upr6r-teA1tH~rFBH|
zcmHc%vKaOe1FCcC3`v|JUc!AD=-Z07q=1o7DMAKR&H*rwsIVlV@M0Eq7X(*woEZPb
zZ8WMF+FJ?F?5dMPbOOSJu8G&WNIl6)<O_wVihaZpE(@r22rD~OteY(d@?-`GnOi&K
z1?8NMRrxw+#EaTd4^WoU^{pHW`3(Q3)ptPi^H4%$L=CyD?bqHdL?jGd|0zaX#}UM~
zFRYsXrdH1#hFYoQ4S2wg{Vs9O7wW(o<A_%SDlR16RMzbi+y7x14p_7deZ7C8G`<ln
z6*rcFCqZ!mvB9a2^gOJzIys~uIVd#wpnjJ;%C+(LM&R|X<-8JKn4S{WJcPO}!^4_U
zGUGT2{J@;gU0pIZtU5c4>J=|WJdZ7||8@cR9C<9mC4AMnqc+W~6Pf*l&<K0}7u@f?
z<9i-e+XaT(0`4PqMCDCp?3Wczc_6D02+EN|?l#}=C6J4U?1HmRs1!J<NYB|t9TY>A
zhr$M7D;SR9#=eLy{hFW7VVAqf7!<Zgh$k!;*oGj+y*^#(+|m9fC9U>kTVQyxqL8nn
zf+53Fe}B|dUzWg|UU{DmFFR>ok}_=2tu`X%Rp7j|EB$t^<vjRDh3QYqO_Sdm+Q;xM
zE>B+!$ZYl_7qW_mKtg^l7jb&hHy%@JrNX;g&mBWi8np|vrmedyX%$vwMY2$9h5g70
z!yN|&-q;(e|Ey*lIJG-$AAm+Hdr^kHT5pj{{|5idkO3^rjX<*YXucD>*n_Zfd%1%X
zA~hgR5&H?wV6`_s_k)qcw&KpQQJ!`#Z0Z%eT({1c7vmA?0$*q69P<sN2P9}U*F`9_
zE%!J$2lHCvV0zJP2N(3OG8vX`XH!YjR<C_-?HWBpEf_xR^hPYCYVoVPECk4z;{}?z
zWo{S4M1d}F8u{LybHYBtm6UARZjSYj3hhB=toc8of0;3R$DB#-o1BISdAavClhsP#
zE*15X1bpn5tNxyY#C#C1z=vP5uim{%UZKv~QWEAe;O%JEekbV<=lh*&WY|8h<gRb`
zcZfRIXtp+{B+^VbEbD^3?IlNHGd4m~u&uEBVxo~kqtIIvc_soWxRW6E)i=z3RY_iA
z6k(Dm*fjRKRxGeoo>bpih_7D2<v}5kHFX5w@`)tO%!UZ&_x_A3OLpwNhA$GYXB+m`
zfZhr*+s^5Lv3;j?TEkdwtV;>0_P|eaNZ-EC_Y1Rm2rK>C*IqYx1wAB2lnkq?dsocx
zV4(*psqY{sp_epU&F7mr>gsD(1Klah3o+IE%GN`tDc_=N4Wb90**pH@vZF;{r6jYb
zX6J96#h5DHW1ydN=Tiw)SW;f=9s3+M_Tsnj<5!U+<3&fP`%;7}F;Z$k`8D8j!wwU%
z-zLXCaz0;qrCDBF0VvKS3zxCwE}e2RL}o#2B4;!m9vGKBcY^qR6^K;;(Xf3G!S0mD
zPbx5A@*k5r*mZx<Uf?dC#L<x#81{2wb-I_2O(2eDjIkc29x{bAz}h?-UxH~e&=xHv
zW12E5$FgLs#6q6oHS1%0K0tM{F;`!BY5HV;y&u5aIvONoVN!%RW0mS9ma;V@b2!?<
z3{io7Q<qp{Cq7mx`?JO;6(ldPYhGI@L-({UUtVRMVyEeepb0f)d{=Q?cA})#af71e
z)N$ESp|!RwTAl0mKochLkF?#~?h(vwYXw^!LHKqO@>u6Lv$i}&@uBAetLgy~6-r<~
zM=Cd&_$7NF2>J*+g}Smf;6qM}4fEu0NvQIRZmWf64c{k3c1<k7uB{f*;CM0<T4G36
zZe$hjZ~MtsB-)P;1L>Q`;l|}xONGU$I0&sW^~&MMaaDR;y>CUK4UMGi3;p!WC&h~B
z#u0gfc2nU0n~7H$X_kxZ%<-Er`Z>}r$}v^A03v#%J(a2fAK&n$ZtH-e`0|Y_h<_oa
z`vELrC3(*oM4V{kraBt(I5iWug@Ay7);YGUL#ky})2ogF&Jk+8CDfMa=P1&|dm{c&
z^^_X;&KQ|4A%V46wJ~nf;P~Bz@p(Br?;Fw1?f5BBCE+JO-eK3P+Npe*c7eB!fAlv0
z%zbVlXUEh45iVX9=R&|q8DKH^A^Y0#xbAMNjI@v+l{-6X(+gCd<27ldUJ&1@DT;XZ
zO#U(gL<f=tt>>Bu#%K{IAX#ok(S1Livs)0~<EMs;tHNQ(mf12%p0#B*&tF<Q&S7WS
z`c*cytApE}#y9ES)@KiA<YZg#1LOVkd8Lz<^x`JG5-pfP1mgOsiVKqBV)xe~><&V(
zD)nEY&Y}SC$nuW6WMTU5qfm=oP-P_6E6#lrSL_F_lGQ0)8h1mP{M^!S@o)u{fLawr
zxc=9dV5x}^ZQfL1_lwYY>^Mdj+eSqAFl=0aqd8wL2^MZX)5{LQG>FiY|J8{P0LRo0
zUL!(F0l;G)EQuEW6&R@UlfOr}m?tW7xooFfRD8dWxI3ugyTqXp{%>-gE(1Nlj%9m5
zIC-$cJ!IYy${OjZt%a@4ld-Xs1lW#vZ4o$Dp=3NT@Hx!_IQ_8#0qQJIA|#ripP&nH
z9J0cHW#r0Y%N`M4^l9mif9IV!A3x*m`-!kd05M6h)yL<V#!dgn2zscA&<LI)UA~>M
zQeFlhu1r>QrFlZO<k>sZow)g?QSk&oqYUUZshNS@MC(l1nF{J)z8?qrKWIOrA#xjz
zyB*(2500}Wy9FV<J({{d)YhZ2hl=i*C15z(4Q9sF27-Rd=?0ovL5Imj#-(RRRYmJr
z{|0BOf-7wa-)mQ)R|Clm=s5#m?azN#mZJ-aR(YOgrtcV~sX!fToM^v3HrYEIQ<zMG
zkv7Yx1Z$YU-Lacw@Ga+X0`{9mRCN%f+^jCi7D?}0(3U~OyE<SLZN=qwyu*<+k@@a!
zdBFQO0GIZflio92e;E$g1e$Y4H6V95*fsVWDBaY^@x@HuW8I6UjXU$%2QvJy-`hbr
z0Xucp6BEvaK&{Xk>rNt?CfHbiC&GQzf)mFzI?LX%#e$DB+%?Xg)G{ibgWAX4Svd_M
zC^JT)F%ik8D_wA&>-0CbzoSO5Hf(o4iWgmSjn_>Er|R`OcA|s-CE=&IQ~b*vecAv+
z7l~}IX>;s>wwTMHs)v(tyaZF>NC7?~9Xv3cvcbIiLM(*Y4nrWkFa(LjTBQLU8ls68
zoaNP&H6_qT1{Kb@WV0}N9+yVzG)U?y)A0a>s)+J7YB$_BBHh?y+*lI`GS=_Kjr9j>
z1h!X{9!Z}{&TXrO*Z4rn6r7O^T;!-v;g#YeNQ2U5cjO)X+6PO_eSU<<NfIPisz&u+
zG~UT<v+Rp=*h8t}+{B^E%dJDUuEZiLAyeQ}fJ+mD0Imr7?l8DlZ>OQDw4?;eaiKe%
zM!UHC^mJv#^tvAvf=D=%2<Lua9kXDuALdFrKEOSXQ;7IhDNO5z&08w2>r_2Z9tsuZ
zgf`d+k|+R`uBilO;zZ8layE#C!A!_-8<zrRtuSoy!`_o5)w0Q<G~x*tyFm+Zts(aA
zv^zDUF`uMPzSK2N_o|2x9Tq6jQiDN`ti={{u9cLGsC)aBr{eJwybzX!=&$D`E_}!F
zfeTYR#)rH0juJy%GLg^Jj7e0Iw^$ee=Fy+gX*qW85SkeLVIAxC_N8h(n*G=vbuq#?
z2^jJvRPWnz;)}eD%r(_FEJB6(5d@LT5P4lC&Ngt%pZq!1I+%|gynlIxKoKj)Z^)s2
z@$4}q4y7nEX2b>_%`iPaQbd^~6>I@VQ$W#M>+!k;R(W=3E#<TMa*P%A7<7hwB-ptY
zWD4dLAj4afYeURgfHDaPTBQf=_l>j8V&~{;MuUBw0;qU0c!wuo&s2;V4${nt>Ng75
z&~cJySzLaGM-bicC`BsrWK-%>61BcYWuzO}gvp}!t5-92dX&e1`omL%4v<*I{x{%}
z{890WF{+mIL2ajuh|6K&9n;iCbWd+MX0rzbmMAyEvdwWaY2qn~eQN-yt7CKtQ_loC
z@MH)VvZGV6dv!sNQJCEe!naXako(qz%R^s;Culpy#}%+@$L#U-HTR_3pBPz#3^l(I
z9Z9eF&=6gPQt@`f8%yTR80U@fJvUosl{Yo+%4i3wWHm5IZTt#Vvf!mQsE$jiYI!eb
zS=uF*9$7$%ZWB@PbIU==m-JzV(9bCV{8Rc@6YD70mhUoV4VV>-(t`P}zACe$VBC;e
z>lHmk5%fTp!Rw)pdS9x!TAnt06-PGoYkiZqS^N(}2CX(y=*g<rrBvK>i6z|uK@kX<
zgpjm`dA~4~UmmYnx=M=hk=o9&q{Y^wBbF&}GpAR20lrrQ?Xuxta(iw_5i{RG6k?%a
zHZBvA89s{N(g-J;4CrwY7xK!96->Ewr~WwFV#r1AIzASL;or!e!InJeb#$@sr^~p;
zvi|<*jTjsJ^ZRJokgiTi!I9_eROK_EIO3%yp~c^G+KK{{f2%<jVIo(J4(WHuN3*c=
z54kyDyQo?cZU@7sh^DR1b(#6*iTW{Ev8nmzb$|(-E_v4M@uwTaJtPPLoH4fyJq?--
zfTeMmoN8A7fK{xS*XT)m8-|-P0(1mlz!gCiHaPp4jL9_7Hb{3O=ExxwgM0poX`QO5
zm#d`rno8u;#$&n#Jn;(#8~-W7_()*V(DGUcxb<1%E%?eIaMIymDa+x(zYOq<JQvBu
zeWs5E!Z30Ew!uzI3&@;UtHk5td>`a+mFRY$3b)3@uCW)JsCEZ9q-l}&6?uaC4$=7)
ztfD@xbD{w8mPsPXkVD1&`AJ;x1_RXx1bdiiUaCfXkP=SL#=J?+no2+Wk6s&^s$r8g
zAWbJlO&1G)C2F-najBbt#~@8=hmdCAvXmN)4kBVyCO<7;a@EfdDIBe%_{KzW43~J3
zQ4u_!RlwaTA|pDQO5-wyg-gPMS*S+uK|W2cs<DyI0~UVzmm4P2I(|K>;}D>sHQ0&K
z5GJg0aeI`&i84g8^8(L@nrFvo&e9SOG?ZxW-%$VbJRL(ojPzhXVEhB4!4i@!pdRNh
zVDl2}AEPnPZhuVP%e=et9;dB@<C4!8y_qMNA@f|xYo1_Al~q;TMc<GnlG;grN>l5D
zzFR&OgoZKR8@ytHzP=b}W^(lAQt8W4W;Bb=WizMH@d`&=Z@OZfEae^06^bd?A6eZH
zA`(-!x4ezgp<h(MYG_p(kcMTqC#d%kK-!~S3OkB5uJSzy<Y3@6s9JLCDJV=dC(}$@
zL*sG9jQy7+-!Oxv#gGVFB7QW);Uz>ym;~V=S0-_)5LaLV!?As8l4Iu0PhXKfNYxaI
za9c=7?Se$!meK4q+Ia5?h9eaksae|EFeUX%!+_4(>)WboP4nj385dPlkv)#fCEkNF
z&7-PbA=xGx*;-eB$GDZ4kHfvA8O?OQpaOieOjk#;PWa_JLC+*;rOv_xvvP#Y8l@1Y
zUU0UI7*ty)#F$6!(^=c=f6|*eYS-3k1sq&<gV6NwcC;c^Bz6Q($Qum$Vins#{Fi<g
zI=8(<DA-;sstsd@qAp<8UNxqqR3akKF#`u}<95U-C5u9+6k`c3O-~HX2Z<BncDq*r
zw^!|UZU^5m<F(q2*n>DMdF#GAFkW+#l$&>GnEo>FJ$h}`Ok{!rnJoc+`35REt4(!?
zo&v2NR&V)+zz7f|sV0-uJAoo+;YNi^Kw*9Jk6fM@rhY2rv8$D(79)u_ag|VQ2i>oG
zVjUR?_Q_Wzt6ysXmrONz?*~=yM##tgd7NGdi7hN}W%`!>8Y0;#7gHL<`SlGD)T1Dr
zHWeTWN!{4vE~55tD9En7H6D=M7qo5S;!Wo@Hn~Zj<?K7indmpXuf8y$yq{Jm93b9P
zOgucgUv1oeKz&}tPN2$#FsjvC>uaq$zpar{s${Y)aI}(ynG^zMX%gwOii;!$Glw<|
z!e~x?#So75xoooa*YOQB?qW!eVbZXQEeC1o<$Ei?nAgt!Y4~LwSUgF0Va<oOacv9Q
zw!f`;JEE<1v!2W&Hf!@%?8UkNcD(R8Si%b5FQk&?TT;}wv`Y4>iUj`mwftQ;aFQiV
z)z9j-p~yL^)~2MX7S+N6@kztpdjkQjMPi)+4a&mpUrf1VOgC7I){s|m^g2q!Dh<=1
zpChq<Y&<CWux%AULhC@I{El+qFJ(#5X7NvpZB2+})dIE!=srH{(*|%}pmoDtlv4Wm
zE$S<`<ToO?wtE}_Rjy4s6E#cX7GOk+Kr)@>F_(YmVw&qhLf3ZqYj8Vpc^pERLa79G
z7F%)A>z4=;r2e#p+0JoAa8rQ^9Y{WRc8Kl|_{0A?Ir=b*hSYEWR2v^#Lp*Mk`?_{`
zvES9V;>OrbUj?o^q@roMPBhazAkoe$Wcp3Qnd!k_p1gos5IbobSMf~Ez~xnkq(rhe
zrdb>Q5D0WgU+UP<jWM9{4>AP4JSf20jJS)b=`e)?lV=QL7HT1#h5;y`u_hx00@-KO
z!D`x3KQATj^ATR?w!Xnl9g$YF7S+69!%cLQ*~;Jh)arz++lO=GoOav^E7CBjIPdlD
zxF*uT6m`*pQi&zvp2Vn75?gRo8h3R}_yC}n%vaUxz%g90H?54xRh7QfYKHQv|6h!r
z3m${+D`?%PP=0-Jz$NbFkADh?BE9==wKHwyb94+o4F8n|m?i*cDJvMi0dVCxIVWju
zBjIuGBe$UDR<+QwgzH>rpOTU-Hy}%LT5En#`i<dSq%$Bq9|KEDYCKhiMD9c*^1w(2
zw-E$*)8Ug~a#*zwO^c-)57}}g>pwh`DAmk_GF2n@bSGdrS7rugq>qSNM8~m;E8))L
zm+;n7;A`78sdidXYK$d9|AE`$VfJa$bZ>X|5mQh;cxz?FR-0ZqD#>8)jT^aarAJKN
z(}WZbu9e}E^XV<aH6!Y@o!&quiTswTqNgGptWs*BZHHEhCdUsx;?;LWFdE=%601WV
z9PyZ>R;@;pp5IP8Sf(V7jOc@<fuhXRRuPdkkp=3}q6?L>IWW11jG5@vfF}S`02NZF
ze}%!LLi~M`V}rQH#%gB_g9rT4+ywUrn}D717>^d>lxa)Cx{$kep-5h@nQX>_lFUuA
zvY67l{DD_dzkNpo)_F3B&^68S&=QXF^@eE)n&)97m)_hnbCE?bVWM~@RTYIs?Db4f
zJdCA%Ki6SL_Sat*SD-hUTFW3%R=nzarxr@5>RMjg;+{<Z!Ku;OxFs5y>a?6(WTxw=
z=SjlyWldfwmxVB1TE#^uD{qx#2GEvQZjv*?R@^ltGqIK06u4;a2=}s(FMt=4D}Am&
zyqQz)(;UL+a&?TV3)zm%Dhu|}c2u*s`X_n;SnM4d2kTB4j*k<QZaIT0NKO*!E*6La
zP>7-hWoRi=8`E6L;B)T;cEZxc#xRzr&D0pC>gp$rdpq7OgE%ls_}ov4IAQqYEUm}c
zWCZoocmA0B*Ladp8Un~xeC1a3KC)%Jcw%+ufN;fb9L39D{~3+vj;Kjq@z*Q=&CUxH
zldlL6+pFWvpU+qWoD}WU_E9}^rEdLOknQ$3`dWBD6^Wjejctq*qjsnTtnkzh{B^_E
z2Ij5TTUd*WMZ)FF*Qtqwz=cYhVmOo<4^|wfv==;Bd=iY2GxzVqhlLUoENrDPUaTtX
zkd73lICn*_dzZVP_dQ<XtZ!F@A40$bL1iow4V4IYGA9Q(N(&*Dwg<td)Wx-6(37*{
zNxpENc&7R|Yxw@{@40B3C-#vmon)mZ##223y+b$NN|wCt%zkA(v6l$Tgw^1_&<)e|
z1|2Immp{e_I31QE%~_pvR<lYFzG2z3bT&2HKG%opa+3r(j?0^f5}lgaKLg!X$vA6F
z?h<{u-2=6MDP1E5EpLS;6UL`_>8ugRGH`(ukE6GrxhX%<Y@3~6qzQySBK@qZCF}lY
zQyO!V&}}XCFS1>;;REDx^3}+gFzorlKnN`?*FTlrI@2bGB&RU2R3S?Y9G8N2NDuh8
zZ8_eB-x%QKN(HQ!(9m{q!jfP6lelF=!Hp<_*95uIOY?WElQzYP(6k#l?B}9XXf}^o
z`F+{{&K)Myl68E@K+L{Z-py0pGkz4)h?}XjnZS?sj|tF#B=4!5oQMv}q8Qlxj+C#~
zG;F#=f$F%}&i7A&ZMXWgGW4UA<fg4(LPk=(ovC+76YfL2EyT$9H1gR2mQO|Pg!+T6
zaV;PQ&WwYrrb5&`J=)Yh@Zo~+z&JeaRM5@Mp1-aiEPt8GoxcDASc+p}8LUp{oTzvl
zx6~xmu;?_-DnQ;ue(rWC_Fr$~4+3bE^Rm2=KXEs?#2}nw8@5tY9Z?72g$B4Uvi(`S
zD94Id)5TRHDBuG11DN>mHT!fnXv6{E=@c8EnysUeuKc*NvqUu$hSs`vCb5%1MBAGP
z?6reB2MI%+z`v-u-<AQi8A?19QY)jD1H)BDN{m`{2>FuJP5<c(;Xn-WGvb~aiOnjU
z&t9L2gi}sEza;ft#brBYT^tO;1)%fb)Z!zKeLK5;0%C@T`;neqv7Ta_ssN+7x%D&7
z6pMdLw&Giifm1uF6cQn*-V*}fMNAfkj{1=jU6#u$pCtyV+23o<(?A<~evxx58IR%h
z*r#V&#}ShmLT9uu>kh^$qecwkdf;*}6S_D!`pAOv<S$7DFWUD)nQ<7Cz%=CkO8?kt
zV@X$ahQY<!un$ienf(wb1GxPmqFChEHvNS#{PtCd!<=T}cwC?FpF~7<fM+F6n|XZ2
z4Aw2(`j^Y=k}rch5`KzP9z^j6is3Nk4ID4Gv_o(1p9F$Hgv&2o9Ym6}nX*?C(X4MC
zv}S2P-6xFaDnUQiH{0G_@tnsyG1Q_nD?NV+pIobaDm{v`V$1TA-zBh4Q!KzmW{7A2
z;y=UIlqMhfcBQ$!Mkpz&%42bpEpGVwb|5c70UAHDpF&N=@9SsH-q6A^6EE@qN!I03
z(g%x+YAXeZc3S-pqFhJJmX%MT$<$avMK+@F%U$DvERx6PgUO?<4Ti~n0SHn&TeMY)
z(%S8uOZ=lmqoI8&BtB=b0TZJQ6|RCu--o5d*ZfSzgn~&JzfaAGo3)ZUcJ7ve$Sd1g
z%E6@iefYHZ0(b{x#G{1EDvto(DglA?hif*1>@3o*7d2lfGa);iGUTVSR}%6RKLKa~
zDbcff?u<c_2j<$@s2wV*dQJQqbM*OkBJ=}edq^klnO18B;J9I#w|@Uz*hnIwMAoF8
z7#mY}(()w6{-rX2$2}P}$Vg@@8B``*%L7Xjk&&Z*{)lmCEBd#?$JB1vJV0OzJkzb&
zY>lIW^vKMX7w|i~0TF<-#C}t^4w+mi6GRzp%YQRO^YK4p{A}e|n2}jm<+4psnZR%o
ziT(soWM>fvaS2Xa31{PF9xegyl9&vGDaLI7vuHjf_fRx%g`l=o9}MKZz%5{8Dk5r*
zu6yn<Z%|Kqx}Aoaw}Y~_qoChKV1AamC+K{a$0C1mYaJhaUcjN6l5?p(LWHd&3le^x
z(<vC+U(HIz^<Xc?sJuCI+Begt%R&JX7ZZ%AyG8<M6Z8`My8NTE0Ir^QV=ZQ;&#>5~
z+e*vdgh+N2f%G4zSxty<<rAL+-8Lh*=n5`trDF(ckj2S3OIJ=Hp4yahluzJc+1>P5
zW&&Tufydesh-Y9+*7xuiohyuQ8S=o#YLWu)p6`V{Bvc**0K39d@kh;8e=EvqeQLw8
zzlw&vfOFtsv5O^AJ=2$3{AdkM1*K!T)XEiM1R&L66@A{S1!RJRczfWTH}+n#i;9U$
z<$NR}#`#ebiLk57`;J!bD>>dhY1qQ>%B+GJA)OPm_BO`$w^c|uY)8&Y1hl{N_0tRc
zd)#<&%vk0zLLGsqn0;b727qzi$VF~4n928QNRBrQG2$$rk2S7QIPGc?HS*!!2ixge
zz^?zPs?|K+&5IBscvMk<&OWuARUzoVV%set9XD5xot9Dm*l<ntS5f!}5%2T=Y`;LX
zAc*F{NL`T6#IxQ7TSaZ)Qhj`I{<ppxk$Ea&BJhs%FF@Ad@BwT0pUoY#Q=(l#Dwk$!
z?FtKS$7WrY1S)!jJ_2O@m6^YZ4UE8Y1IW^l*Krd(yG63YY*`3aiazqnMW}_x2a5jw
zdL`%bIZ8;)3<X(<^jzt0>}O==mf10!L?ow4S5iuWzgZKSQov-Rbnh-$*1~XM{=AP^
z(UICw?5`r{Wb{7}(H`ER%3G=eE{?B$OGq#02kANY82@<;xS4c(X#i0g>ZV~hs8CU1
y%1JI5O9C&yi?9w_oC=EmrLr^MN~ws|@-w64Jo<24kV>JKr^^Qf=W6Pk_Yy|9OxeQ#

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1geth.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_1geth.v
new file mode 100644
index 0000000000000000000000000000000000000000..28b75481be0d433e83f82f8f94c23d62b49625c7
GIT binary patch
literal 28888
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?;Kzg{(escSKJa0#>UKnLP5
zz&3uokqyKBaEXv6x-|y`^4;dM*2Ly=0`NFuna$*X$p~My0Uma_QL`K3%XSV$lWT-;
z+QX%Cx{RQolI*kn$#b9rI=lVg!@E``Zb7ufWs!h(#%0v1?Gis<c<Ag=zdbt7tEreP
z_Qm%s*!|5WFX8O@Fahzird%f<A@XnExc(=11mWHdU&XcpYQQEC%f_`*<=Z6y9MmXC
zm=)HbQ1h$48b}%v+YBH?Y%T#={g(fwK`mD1#3Rk5Yqx_?dX7BY%}C2{h_SOYwf)*h
zu5_QD2ryORlS48ALtGyD9*Pv#1k7A&KCfBfDH3*X_oh*u@@ZGy7WG3vymMHSE$s#<
zW%yANV_0aV)^=|@ER}6XTt3;3qrn^m9-D(<d+I4(U^^E<a^@#m1IqGT0<eATvj}67
zxb^KV=-Mk`s{tM@wnlCHum!u}r5HPCUm4`JCyPaJZ-MN<v{F0z0N5*8o7iAqW)KhI
z2@et8LsX>3vkAe6-<`di8iCD@@#hInfBn3L<2XD76=EJ`@sn4qb1!<D>aww~0;%zg
zlxiZlP%3hoTVx6661bj}R$s_!L3by3<!swtD~_k1r;dngAFZLJh&=mXODTpt^PuXa
zI0?o~T*M3<{NefO_`r|tJ?~d?RBc6Dc~)_<Zms962*@WDIj+{0;|1vhPfg+En$*&a
zL^FpXjdL(@-))!4^pg=;r(%v0#hJHZ4{!?40^Dil|H8+Tq>r=3nylM;91A}r!u<|x
z_>Sj!0<37gEfPJ&OT=+uz?(5B?TTMoyU-*koZ|)VKt#u@W}mP%k<J(enmwag_9Ihr
zU)^hx5oPQ@wEh}RIQAxbe`C-ezK8fQ+pzIldM52jpj>7g>=8IHe0HE^aajNs9#Qx4
zc}_<k0b3B=+v&N&Pby)$R#q(-=mcx)5Iu7~XjeqmYNk86RqEh1q1+%BE5sYK2B@;)
z3C?jr$Dgri=v%i`4<ewBLCx0(D^N2FpC&_lASbzX|1EM<8{Cw~p{3wY8s(k_(N33)
zF0Y$J;?2v9SgC!%rf(Y5&hh&@FQ2TW0c`TsDfyH{%doC4kh)nEa%vnHQp5<~150%e
zM@MK4uVOHx!DFYzlE0<|4k@mN+#45Ag5wkCFtn3Wsi@-0uZ#wBj3Z-U(@~>-xOxGs
z1L--suB4B>F8?PsFPvF?^Gd#``4JGc6$8UkxiDeF$+TQ4ql^xZBBh4<+rU1n>{@{n
zBJt_z#`S<v;+m3gL{ZF3j&)PeC-GX-;KLec+Ehd^MuVZ$G~+YOc|rAGF;O(#H}-Na
zsk=o-ZW)$R1ICRzaWfu<-D<Z&-a7uOBZAbIUK^o5H$mK78`#^?vbS;Ev$K7u4CDJj
z=dMIIHw`6}p?$sf{nTk9DoIzf`A(#ac|a(w#Rxj%uHO2Hc9uo9%$%c(@ij#XFokf0
z$C3(iC>zOg^$JhJAv(?np@0x*uNokR{v}*WTQCRNZRY1FK2WVte<-1?Zo&B#Va2Kd
zmOU++S*w4m=f?2kXTAP`%o@F76u)l_%aWUL2VZQW_LSxfotQhK^duiB!=E2+<9IN0
zS|O?SOn{|2`|oFx9dX?lV(jMiu`>ow!Bg#;_XOOuB94s$EPWTGx(Z1;DPQ3FlDa)c
z;(GpK?`PMb0^pRX)c;sQue+)#VU`hm&Q;CHEDO{l_W&*0+jzL;z)3{4{6wmQdAk&G
zGx8SCZae#rS=krWJMJ9|?`Bj?WStIqFvse!&AXmhzWeq?Xu>|aCs?#3MS9e@5j4cB
z;4A6a;B0N|g|Z)7p=YrwCXO<q?z{ysAt3bkF$^Xg7HuEJ2rhjr0!c?$bhhMoaPgHp
zLzk_V17VH)P(P2fI!3!(^#}?9634#(Osfjc<Jk{p5tI~sfpb4?aZZ!PuTC!aSfa8&
zKbo^k{F_PuUR*+oB7za>T&)jIYA|N*Z7BYxAdE(!;oET9%Z}!2i0-j<b**Qk$GHWs
zj#pI@BaJ)d)fuFdQj%pr2t?Hx#eW}+3O;uPOzc*$jMM$v!G6(a%ya=6$toV5!db~g
z)k$*J_BuB630rQuCjKQJ6W_CW2IL)SB7yr053Waxxz&$RSjCeTWkw1~C-Do6BO#Y1
zDHYXcJ0IS;V6%o@($oIm0#G!*Z7Hx=RIdQ}e5`LWi|_$|z!moBqN+m$M^}{8od9-x
zx6I)2<x{Kqz7hYt?RjdvJ0U|^Jbb>ZL3!zcb~tCyQHk+$SDfs;17<hEJ8L9M7Jvl!
z*%sU%m;EXAXNNu}5;($uMf(->r2%y%lDdUKGNfbQ(PXKW=3K=F7ai`Q^KAomcVhIz
zUH;C0{RrW>8?;hExzKeZW~|nW<g(cueUyXUI2f<8bA|32K!M)+47fdB9Ym>516%=)
z;hkOChSzt@CRVv}3{r1?5aveuFT@w`DaQ$I(Ovd?xp9g_bd%h<iByaCbFE|z1AeI_
z#y6s=5SDpH`?ZIGKBt>~i`O_>B92h>V6`_{(PS>>r2;RQs9N^wsm;P~dRomG?5`Y-
zqbYk^aAu5$x|8v_AWZIjhpXGkv@e{0oobx)jV{%`Y1R+W7k_2c8rjNp4*9SqDop4t
zveF>Mq3qDJt8gUc!YtYDR%$x2xSe3y-KVI3=4tk(feD;q+0t)YAE%YKX~H2Ehg&F|
zTS)tPH~(l}u26jsS2<r$00rYcF;~+1(0Wu+P{*A!9b_rju9Ych;Oo7TT}k;mqp?38
z>|XB&SHz@~$E@S<=@vv<ugvm_*!Z_CJPe3lrlgc-4KFY^g%Ng|eLhqw4aE>6M+cPD
zSo`W~3Cb!L?FfD;pTxh$5nQeT12?N?6U%v}`qvJ%mVX17=@xf6PAQpu<f>4tpIX5W
z&d4Y3Wi@ZMc*_~oQH-Pmm}U#nN+|lrw^jPV06xkcEKH&HdA02MVKmz=j>h5CO{}HW
zixG!eWctT3X*Y+f&rzYC%TBV-uQXqZ=7XFfbcNNbz>NivccZPFQ7>AnuECQYSjs4H
z(3LU?m}<F3RziU2)kR1wbK|rt5<fvY1mi;(d{F+J^mWq3libt6DK~tT#6=vM|1!B-
zx&JE^f3S>y39cuEuXneWv>WEbmJ(G`06f=+40wX1<Ct<V2_mdkaR1NhFO#DKC*U}+
zQR>756s42@!KN_c4A0lqAB5&zOgGBU(KWOc`$(0Rs8wi2jjV?nUPn<y?)<Cjm9*WP
zVI#GG#oTF(E72_WQlgA;IF%v%GV_d#L0xF~PR&@WB&lDF050SQ$UIu2?Ea!0csn`U
zohnQgT-QT?W?!hu86dJp+3rCC@ZAG_nW9}q2*kizj~2^}V^o;1PyJ-X^(>Gt?=}|m
zxrhQD>%bdQgNeFIl4WRC^bTS&QRgd!MsZvGn?i|0jpv4q5M!;Yr$KvIYh1z}q~Fq1
zPRveEGE8Q3wv*8?1_QYRL^@KW>|pgBM+ZVk+CLn&&^L?R|MN>9apx7hO?)c`5=}1B
zN3E1e#9PVPa&9lMHU#A0B6W%-7^HoB^GKtzeAd4Xw9@jt+~C*Z0)UiuxD6%>khg%<
z5Yv1-R^_fch1huEN8{N{$Gaih{c899M!}z2GIq@8^r?N5;v1$mfX`W^98CT?aWW1}
zv?FrKJ?_508Eo*h&nQs=HdMce)?94(hQX2n$%yUJ`=zO*L(vIp?kK95=~-}b-9)#W
z1fp1iXBB079ORA836HcG`u&VBRb0VvIWJLWK*+`f{U~q>ylZXSqq-&E&4Rm>p1gHJ
zo}-<Ch9#Sd@TCq;<KG!9%Nc+p+boylu#2Xx+=x1vZ16~{t&Qs0;t@K7KxrA|g~0MJ
zgV9=-z%26_2(^U*R7K|RyHfkRMCnW<ZPz|a!#UXy@A>XkNKt`IgfD#v9FToH6_!h;
z?sk{+(DQ9XDL)n$QVLks3m*i*!Xcu;r+EntoAhctOjFh7xQ*Rv9W~KSLp6=qMHWIh
zC<>1Ehf^Cnq0f$>EP!kTU*we1c+u<LF_452j7k`n%s^c7lSzNR2b4_Q@fWCuS;&y^
z6Q3B^7ZZ&2Z~5Z>&LeRdR<DK7cf%0#W5!X#IPQH&uqp4Y6F5bp{-O`46et#a$-hVt
zQ3}h1g~t4=)-j_i$R$ZXw%aBAK$f*upXNh>z)^u=ssZA;rXD6H#X(=M3#y;LYz@IG
z_2#X1pm9XP4<cE8?>iOmFIWM>`gxqyM*r3kbo?RI*N-%sY$~O#h7m>*>I*Y0OnXIG
zKz2sQ>`c%=R0q*URW)zI%Z#w|7evwjM@F0*-Y-{@B?5O1U)!VbRa4vDMZThG_=)1A
zn9AE8Aef!oAZiiLuCT93gzN%p2Wo#Ma)KW3QFZ{=1ABDHo?WN9v`oD?zhy~KO0^__
zk?_6dut;FH`QMM}KcP`~mI;Rt7To1rUY}@k1u8hgu$m=xz0$J)2e*yjUe0%#t{zHT
z$g5O9hg7GVo?`!>I*?$7vSomzy{r`uvOqICn05R|K@JEtRsBJDyB;LvK^q5$V5xsx
zUn(+=s#)X?B0waie<Xm2=wsczCw}1Bv9{3X7@diU!sj8+iiitr9k~9+z`+_Uwq7pe
zd;F;)2<pbkKcxr;3gj<7aKJ@o9Moztd4x(s?b)UDec}4%f0V`)TfL3%Rx-;$?Jp^G
zkGyiKrKcu)^k1e)f<X$MF1WRHVF}cAUL=-e`whzaP0D-(J73|B@>92XaxfC<B&@J5
zr?bU(oK+h^PT+o?eQ60Bzlb(@cr_}kBg^=Ghl=b1U~)`X591u;HWuBY?G$`FkFh$P
z?hr$Zt~bq&INl#(AHZsxprFl@fpNur$rTxx5A`J_lMkN{eK*+CDS;s?EBH&}ND&>n
zhw)}g<tO4O=AR+C&Ks?xcKHg>lXHr$ZAt!H;Fq8`xqSY?ZK2e<me%bOcDI?sBNmuY
zs^q#-hY3GfgyZwcms;|ma9)ED+uFo9?%0=wcjI#zvsP-ZjS2}f>4eITw~r6ZwT&2O
zg7$&y7pQ=b=B}s+HG{85vCwy=*bgAkr3)daHHU8c1KwA)mPWue)apA(KbHCqoB_!u
z&dODr6F~I+PAO}h^`2W16M%-Z)pDb~GOgwR)WiiYUk@P+J{-v;e?StKiJQ36got^P
z0s2EA!>wxgBs`JyWpuYem@o$;eQddg4`Z4Q+5PtfaCJ!|#$t=?V&;Eoqq&!pLlAB=
z8B=4Zf(WDrp8nlkTR_MPjIIT?EOZy7J_4ygxCKoV)VO@wXm{vk<Ip8rk6jOnddJ!J
z^+k^Ce$>YEOa4_iKZq1?*Ob>^V}g7G$;ltW9y(KLiUTBwe69=oS;YoL3Rjv(<9WU3
zgnx26sSv8g40cu-6Twgj;8z;4J2g5YDy&%3Mc+Fe9conr6(uAjJ3^)TdvD5uN-}ER
z>w|!&A(qBfcvOt0E%jdhoN|r0|DWk-A-bs^&Wr`-;cSDrXkpEZC=;yQ$Y7lryk8|O
z?+A-}%q~4fk`aJeo&A|@$$?b?S-7Ma1gjq@-r|0Fm;~8A52&BmCflj9*#twjr8DMZ
zMBGAKOrdnyE$g70n5mU&;nzUPOFi;Ep<@5}qFCu5DjQ}@NgHQ+cN(9@Gxt<XlL{B(
zrig*a6!}EY7Q3_cvl3F(O_yKAqsjx>@5t#0$R7Ot{w{Ly?4j{wC2KA%#}E9iUx9;W
zKQE&k!g_>aMY6CH1FJevo;80cX?znHpQJ$jL6gbJvIKMMGlt~>B#H>8i_2VJs!~Ip
z8&Ol|@R2}&ei5jh&~Z`I%Z1?Fc8UGJ>6C$k>QV1g-_v+)dA*UdzbGa0F9o8G4DIf2
z?`CDGETg(O*!iYP6e9x5={YwhB@nTC*^~{6u^oJ1X6@_{xz@!8kO!8^lElnkRWzV)
zSRXczg|kK}51j&)^kd7Mcs}#F`p(Q_2N4L=?-JtM0KY;!oFpwJ%oPDd;ny$tW-WCi
zKqyRqAJVlJ!fnH#iLY|k<$FR{Ca^L?eU?>q$J0cCicn*E!U~tD<!IArlUVg>6>P-f
zEL8#!`52i0TS~#v4-_$XNrIqVZer6J&QNUoX&_y3>Z!UWvps4!BhT8(VSIuFiQHcT
zdC|<*mqFs~Ru3^o4#IZw2UWNO3Z3hHWlO&?+(6Y%+!;QC*usW4e<8sI4Ws<&!s%+0
z`#tpXH_aG3x!LW%xDzxhWoe`YH2Z|(LGZ|djjaKsqaL=jVedw5*A|k6N}`3uGWN61
z&luMq6=eeH_ux%8<y#IsYAk$s<Xn6V#d@B^?$ZLgC@ZQ$9%+zF#hyC+7IpmJFISbp
z>Uilyx)dtn8vJ~1llK}<O|_W$7@VCU3epW|1i+e0-`6Njie;y7aL7_7hKSS?C?q&X
z_45W+?xaBexXyR+tR;@LnNklIFZ_^Qh4J+KTE6^0u8&&Ehz6BM1K~Nef|S;2Zok<5
za>%D#eEU=SAb_-KMjpbeO{jp`2!aiJlxiG$i_Yi+_An-w0r?e0q7y@GGECNyB>9*6
ztHn3xfyTb%A7t@Vr!!|87Y4*IB&Mn^l7J$)o`e@}3X2Ur@KaHFyCC+`T)!H>==LT}
z<!Z$dOn?Sr+D((Rb0tlrij>W(dn%E6>R7?*&h#`e+Pm+GlF@N|Z##!s%z?F2&Be!;
zlxkrCYx{iwgp_!rkTX54%on&hCGi%cUoUl~k9#(rlW=;y&u@AG16!O`YK&r!Pra7F
z%5kqST*Pfw{!02M&!NSf3AS_Cx}5Rtw3GFi^L=lgehdN)s0(g+Og0fZTNHmO)wj$>
z5don$uEN64OMD)@DLh-o$^8Pr0#5q*$8j@zphHxu5wa1@Bbxp{MxVdy>!9f(-$!WP
zSH}^`Ofcqzhg8yf$>D1bGjx-@_EzIivyd`xb^DESq~Jf2Ubfhh$L<(cfhoUHUU$qx
z3|J71-pTEyVnD~5rtHy8oH_*0(r-R)vj7mDpwxw|K5N`m8v8pZk(cusj7BOGxZ}ut
zU%iM4lB|Aw--<6q_`VEr|D=8=wArRCd;QD7!az#pxWrkDaqduR(^tr1&~^9c;OH5I
z9hBk=Xo8QKKz`|TR4t=B2oKi^!2t<fJS8&yNfNQQIizwW7Bm1x7fQyu6CLeN<b@8l
zI8-i(!hUMTlD3@HYv5D#1WWO)GpC6Cc?)8GC1bt&U)IUD2y3VprqX};AC!U@EbNo#
zx!PnQ+yJctW-32JR-EJt!vC6l^W~bX@hO>+7%R9{q7PWOtS@xS&^{!W`<D0#hYz#W
z4z~o1fCldX5tL|2@F&TUuXeP$)~|5ythN4c>dnHT1pl^wfzRjL9Af4*jj)$Xpk{)=
z3zle&1J=#fN=mO>j6ThHa63(y>`R(1Rn3$JCS0h4zd4#Eg%2r59J5xJqVE=<<yN~e
z9UD0!grgBpU^0yXA!>s}l$Zw008=qD4;=nyYLgXH_m{;R^kH17+foxteS#w<Ui;P)
z?Flo`j1_I%s^O0f3ecliHkb_B8Y3oB0?XtkU?eG?^u5h~>#3daV8ErmgCB8hlr3Z>
z5-(aQcT$jCCNM4=m@qI79W&(V;|gjs*Fq8`Ssr5sh2Y~oZNx<&+F(cWQNH1Hk^ClW
zD84g8#y5;*UMzdKL-7^{^F!4#J7QGb+5n0f@_rm@AZPa~<a77M(WuE^^OuLgL;GMr
zwi;`*!oE&zbUGqznY>*=rx)6tU4+T2=>S~_AO3A-)OfZ(Tz8nVkIt8sQ#B@jDD!T|
z@gZg1<sRqFr8YOE9L}1)iTzKwkwtwN8G%QE+Z67J@vHIHG#m8EF(Y?ZXen-YRg-6k
z!_{seQ`SLa&Tn(%Bl6{arj8<)cg=wNBR^sqMa{e|8eLa8OXeb`R9ha}u{oQ>;3%Cu
z!&^P%1u>t@r2`S`U@}x{$-+aPKCn`4_pB|b{;%^nG6Uhw2QeuNNA|?FYR>9;c>;h>
zn**G5rZGr~Ey6_D3a4mwc*wnyQc7%LikVJ&p>klS_(4=CAtymFZGWUilI0t0L8@M0
z!$k(iM`y!nBawnna7jBwZf)K%KX#*SXU(64Jn-HJ-etyt#ziC2f2TEc6D=EZ8j%>}
zGEhyQ8gewZ!#t2~6?<IWHx~M!9TTXkk)-^nYku(QKF`pA793UokL#T-^9NDE9@oTs
z@OQYTRgr?O(upmd@O*b{)XXviK}G=U7$~+!kGMpL<Qa5j|HM{3J$eU)+sUPF3LXOX
z2e9zjoU<8;b|PzLT4IwK-X!R#KzL82Rf}3g<`bc0?X)1IWESuYsv4FvM5|p|r|Hns
z6gKo5y(cxu2I1E*|B@49mbI*cT7eJt5u)&wDV5u6;DNii-0l2~$x1&{HVC0>3`u~{
zxzOK#&af)?`<aqmS-KB;CK|*#<)AS^w>zT|K3=8tZT2TTN%D&-t%U=YbU7jhln;*H
zTT`~)ufowBejtmLLy=|B+5~8`7JI>@{py4@=$T@LK+Um)Gy~WWhcaJ?i2g9RL>i3*
z^}m=75D2Z3T^+L9y{<=+kO1keDM<Y5b6_qG_AL<Gznm<m<gPlXf7gKM#SFCIsh!do
zPFC4)FG|1z-h#j2g07)%_Erg=+r?Wh4#e*WCJ{8fgbV7}SdE&4Y5&88+x*ey)BLxM
z&w_JZ@nu`czu#W$Zwm8qZBY^E-#=W_x^az$JdKfbVicnV_Af^7=Izc3S6gXjoJ3U#
z{)B?fY0=lj$U||dBjM|G_N$?eKB**2zSc0Q^7D#(lljW9#1J!6XCTl?;RWI2Aq{)U
zyD>XGQ9>xPtO&gwuIcZ-0p*rhF)+X3K9tJKP$XN-pCR7Fq(~_$^HY>aDL~|v7)Om=
z*kp~1cSLk7w3(SgWoeD(GUXh*7GZRctuGInHnXu|a#I%5Y`-m*diuhqiGrccixX;G
z%*K9B%EzO=45|1>W<AzQeD<o4?a}6m4WefxLn4tV#A&Zx7;x_q50(Mq{j$iNm%;?%
zyM{Y9e%N?Qa@mvMzb4W#SNgaqG4iJ2Pa5d~6hw|N{yx4Gly2b)PZVbP<ciuiq>T1A
zg%%x=t6&_NLc@7g@L2n8{l}$-(CH>CWnCM1V<Sq1S<=DqYn(N5vpJgsucB3~`}c@f
zmXyzCSq{b^3EjHgh=D*aD>y-Y*A?2;E{`|g#@5p*yd{9KW<;<G$y#X#af&YS4D`aO
zS&f+Ty*s_Fb@FM*vH^NOK?tz>KABe1eMvOuwX($yJyq6yXgQl2AWMb7#Ihsh!{>EF
zyvh5Zv}{NrTLb#G!Tfd8R;9Bp_H^5UfSRrX21GzP+Wow6VW_t_o$#8);9L=fzSYFz
zCKZy$$5?zC!RsY&n+SlM1U`E*I}<bz77eKF$AC*1`#lgmRayGKi$%Azb-ww8^J6(Y
zPvA^W<c}G0U<|w7JrkNC^mO>f=<K+$w9`WbS>kSkoReqETgNUkEy4_7#j7_YD~#~h
zA|}**a=vh;hIzjHN{?`uk0?$?BHwO&K#Q(_yJio9OjGSv>QP5k(E;Wl8<i%ZH5z|-
z)0~V<UYfQ;vkAl6TVh2vkaxWxEnzV>w|SA->d4Q!ysn>b1sBsUm>|v=C;1)&j(kj3
z+Be0w{*TP~A7}jz@yI4oI{dNjR<yd-Ik6=2lW#X4=B|9=G1mx+5B;XR&Y=KW%td_i
z{!{GI#mt);#H9!Ggdf%UxMF2FCt@)>Jq39{k-FZ5Kt$Y?2xES`p}hFv#`J>^J2zA#
z4c9rs;~Ha1HF7%?5LU8SY0t5L=MFE~f_^kO>W!8{3n*sgRm6`%Q)>Z>U{16JCH<}P
zA{a8Irf``O8espzhZE4cEbrYUY?sJYHB^I|(1_)Nwi}&^nNO}Uec_O!)I8!Y58<FZ
z%-;V!Ms?pezc-YN(UuGHuK>x97rfF(t)3~+9GQ5PDz>p%*LnbyH6;r;-OPyQyyJT6
zSU3ZzkbwI3`XX&|%I3Mhr3j@e4^DzVREtBJ20fqs#^A!QY$f`2T8fZ?Ihtu5IvpSN
zp$m2pZ7!nDVXWeG-mIB`FRu~Po0T*;QP5Af33J)iq+VtrZuFH5a(Oowt1+lO18)<w
zxg|V&++!V5Gkq~vf+iv;Bz9arG|J8~A=)NIHmqgCLy0C1M%#T{#3;UNy}A*C0#<uX
z${S?kk<L0GmKfGuyoy>^JII*}g|fcFtZvNxrGHzjGnYzNx)5<T#4@}W9BZPE_-H7d
zLUQd(n^AMuNOhjZp#V|wWWRzTMo$BN!c`|(dU#)mgLmL_Bpa)hU7*UZ-P|<9m|<zI
z<rT)T)r;1A;)_5-bi<_U*!fWy5$v!UrjZ8`cMBMOXJ;S@qS2Q|?o2=A>;FF8kXUpQ
zD-CS4k-&IrcU^gvA!`^Dq5{WW&6!oOlGQxFNx7eXBh~6gSDPBE#SmeNlbAe7MllDV
zoU9LploesdY9GRB0;q^=L9$65=)w@R<+^RaLBG9i7i)o&X|)eMIrE~|jFFC6`j&A8
zjXinNT<DbGB-iQNE%U{nCSD0ZDe<m7q~j8%b)vPmGP(S>k*=$!9>tiOvtvu|KN^4E
z@H8cINTe9`o|g2t`2skupf~b^hPDn&tUhfvK3z2r9U7ejKW<7W0T5Mf6IK5&DIf@Z
z?Cc7R{xkz}@pDZWqz0lGPfeW0o#;&t;evwpnXliWj2U{*_k#l@u+kCih;$}Tnlv74
z*?zm%*_9PWKwji7z0f1~ru_D+H@49{2DYGI7|?McZIeW&3Y7D|A)59qli0l%xiaMg
zB{t1`vnh+@Cljv@X|RXs?*;zDm+8yuh?s3vFoKa79jOWi$M0Q0b%^K}9NzxY9FyQ}
zrcdo$B&ngTvDsjzS@C*YxGzwB6Q)9gBc`q#PSheJa>u@CRsQEy9l)g(W_h#?BF0jP
z)f@WRo3S~aWxZ%GUyEQbQSgW4(fBTYKtMP)8#>s6i)Ee=fDFC5l1!P4r@F7N8b!Z_
z9Eo(Ri@Xw!_oPJUp--gDtm`X2A=xomnU_9^hF2X}NIUImVTi45E%sV6{)AFIo)QY_
zMOZFqoJ77KPLPtXpXevv-mNz`f3*|aXM@!^#VC;hvJtHg+=S`F#$MZGe{x5I1yBZ%
zew7^22GqpR(A3oXPZozt7A@SA_uoq@Rq}@N<}II)n+9(GMNa;fg@Z>Nx#RnC@irNA
zbwn}Vxm@x9p$~B*qPgm`4_B09wKIEsfDu=*B6$LSP$jyX_aIAJEp&73dm}PPQaLmG
z;LP(CyUg#<W#X{g`n$uw&spQ}&XfE^q&IWHYUfLf`%8t3PyyGF3`nf%TZHn}J$64Y
zP}u5UAQK+t>{rBSK%5ih5-sx95@tPivvQ>$dc<(z=toTuGa+Xkrg@bD`O&}Fnf@tq
z{4DowA?9Zft?v|&EAxUz(4*J82x^U;gO%hA?r1)Mz^Lb^NEEhT9vC~K8A|!~`1X?V
zLtKR}Wvsoe<J*WIiwtvVt*)!VqN_C#wsiM_pfz@LapBlo69;$U9}<FI*O~BcJajnC
z4ee~(3+YLb8ggeR=U5-t!d$H^LNv(T_Z-;ylPXKt40eMB42UkKKw-f=T}}>AzJp3o
zaqGwd^7CkR+b@q)8JFAQQflrL*=g`%pYSHDmTy4v>lu!5FTxsYB-U`^ThKS=;?FU5
zd_F<!Sy`oEJ4&Fm4TPl1n_}KPuIWY@Sol65?8l|5WGL~TgOYz=v#!lSy~~pW6~%*|
zS&+$0oC0@%<32_C@yHD_;fo(tnc(VtbAuBgHE;D^Y&$-b7E0;q=m~3hf4w1L1W3y*
zx~<Nr_Vc=BT6t55KfkL%;Egv7&i?XHq-&wcLi?o{QawdO3f^B2v9ERoPHa6{j&Aix
zR=L8Wb6HF(osFoOnoUj+J#>XJ#3Dwc^F9MHAaaQ=K{~s$i3fhpBvY^;*a?2xfVdwj
z(oXE^L(z9jde^Z5fnKQGNOcHMNr~VV8hl@_gLbZEAP0zV;KX`2P)i*4tAzLvZNDq3
z<4Yh<_{g>}l;yk>aw>PKJ7d|C37a;`AQN1-ef!WuT)@vFgQD$KJvV;GFOSU3%XmL8
zn}1tNUgagg)w494U*t?_!y5)Pkv5+=YSQ%H!;I_wHYq$a5<<R)${_QBi@l!U1Z0$`
zyOb?d`$Z~ir;*Mqj)m_4<M-Mc!wVhbYCxx;IX8{-<M7(W5>#ikAm0GDL*}<%0o#$y
z$<G8oW`>*D5;DYZ;1T~iCO~zi<ptWd^=g*=P^SCo@SHud<((<PsN8_BU4O=9&e1;b
z%wTgUGbIUc<>mf?TZ*yYg46^I&$kM}V8P5r{gS=VTY#<Z;A<U=yFdLiZ**a!@j0P&
z056nxrg8$Vn#L&v9x7lj%Wos;ehAk(n@QUApIJ*sqK%G;<j>0P?IfV?pw~k}_EfBa
zX*sXc10&fL-vb=&C>ln^t*XjHAR_hM^vUmoA2N<2fw0C7qR004KoYtKT^b-hEu!Lk
zw5PQWew3XjG~NSOc4nl~`vH8^+&|2w`K){RT6i}2)qysCHi5<xZ*_~m<7#+<Wdkni
zGDk<vt0)7hrIum)N0Axp(iO@Nv*g*k<SH(Y1#DRrfz6O^I3mWg#$t12c0_jhak&U7
zAb%FJwCDDA#~eWeq1&?LxAbWIROoL*8BnD)n@Zib@`@#P-E8)PV5&6II;rKDAYWQ1
zd|4f~lWMoV4E%UYW?b}9UgCXfLNRt6A<~A(vu09?6trjAO`Wcbm{Al{?WL;&afE3n
zv42T$w6J+iWF(lVm5qlLFjz(v<vG8Z3>qn6Byo2iy|)En*jY=O7I@~JHrri}RTUmP
zI9T2XDb&8%ulkIxlb(6dk1Mlxt+9;mcCT)?{HpFdVjK6-ad}Z)(;Zf^-I_2k35;KH
zOKtf253vP=ep-w^C|p*V2N8SWC)jDp#kMS#id7<8ph%X5!Z%Q~#K@9;nmS<kCQTiV
z<5F_j+H!n!e-_P#6-zHC?(do8MJsPVI^O1+nSE*56+r>X30&{>o4|MW&`HV*Kp-(b
zBC@c^*3B;HHJ3^r1+IQVD@g_aheG{N<v>rBr1Vlp{49JZfD3<?a_FF*WZmb>GV!ub
zSRA_$4f=qrlkRiWvIP%&>pb(bS7l<_sQFhU$n%v82?~IQqUw<13j?gtTAYO|v*Foo
z1+NorLDSEs$B&x{a-;IKcIVIgsv;t2E~<cQ>=}F(C994(9mwJ>({z<Fo1S=KXbxVN
zF^S;dbF$z8(tD|3fQH?Vaj!{Drh>i;v8TkY;saIHHqz0&-Z9)b<ja93iz;C)Y~y*O
z&NJ_O0=;EW-p$whL*M;1`Z-UOK||<3YgYkglNb)ZDr^Ypwvg7DvDh{J<^+TMI!IWr
z+sM_i^Z8d}g0$zcPpRZcFxwRTOnN~hrg*k-o)+-z!zaj&-smHLZz?_a$M%5lr0Yw3
z=6sNjH)!=1aAk-5lCVzy{cWxSk;b5b^HI>i`1jmc+WHWL{>;!KzB)#g<wAICcY-3-
z=M%FEf@wjO7Q66vdbtTp!HUF1a~nvS?<oUvs>uk+_ei+;JO9_qJhU81YVE|K<ws>E
z$>b`Fn=B%PHx`+vnfbC<wTzGca(+)fLPb&=$z^n&$W5qsTEMoD`k-kh9g>cr<#sfL
z#)$u1Yl;og$ON7#QF3Q-qDzMVBlx}7^PzfCW`o>4-k1Y<I4Atn$Dt4uJcE96HsSah
zwBCj?*Znw0_}+FvMYk~UrF_3)CvYOTHq1vE$=Pj+Z1m6)d_Ey|%bLNJ9|;1xEjzoD
znW2@}3SI9*#F<B^-`ZwO4k5_xgWxFmPGCZIOY#Q6;K?`j0PSe0+iXK=(fx}rVvc|*
z>_;zk^Q?TMF5-`R=*b{XMi*|RjlFLc{KPBmmO~4Q|41;^7MSok`l6wNyIf6XGfPu&
zJmdC?WAntINlfE-Ub|OOSbFWDtk34^73gUC1?zp$ePNK<9ut;LE_lo<EW2*zzZ%iA
zg)*t0jXX@`-=rRZgZkFjKSsAc00fF{2BaRz3TgrgvF5%x-~l$@z_{4`DWmR8wF4ki
zSrhfuHZPmdy>~0-Vo`A4V=|w#iQwmgnzu1xVe{bqBPE=-(H+V7y3zr8tt*$-q2GR`
zAZQt~U{^XuZrluw3BvZZY4AzQCV~B4%H^0j9!u5kCAw_vp`7nnHRj@tr@eXrY|u9!
zw7@ks8c-<Os6umssJit+I%3{~7w9I-IAfAU>zf4)J({C8#Ss@dqG!X2f{i-w!k8aX
z1T3&lT`1WrVKpsg!Jr&gxj#HN>`iVOHthgq16Y)O<@T_XS=LgB!16FFp+ExL>G==7
zBTg6Wms~Tr98a8h>ub@jYk&%hTu@zIsNSpxKvqCwA7x@Ki7BAZxCBz2R1mxqB$KH4
zL$kfm{lGymR(=E=h(R(59){UKX?XEcdF;9aKH`;F)GUyQLRMdQ><k^AQ8m~iT*Q4X
z*G;bMKQ&>5ue-?3nOYON{-&{iqd>hQ@l$!02r%RV8AO<drf7KaXgsyhAQI6v3iYR(
zi4|-iAJ1055`uc<XNIZP!|}d`8gs#J>A;W=Jh8)W(|9KH(=r<0W$@PQRV-Q9=zEJ8
z_&aGIF2}+vhQFuT(l9BZ!LafbW_RRYi6e2wEuW`Dsn)hg!I6y4ltY%{L2A9bi$g`X
zZxYLu0sJ&R$3F_bPP+p!i+Moee?&R8_t0B<ny_4ELV7S!h!h1enYs&Ztgwy0xa`e<
zw>h;S^($C3=?=M){ioig;Ihlg$xgVDtB3o{HmFMDT{HQe4cF9pQ+}yv^e@abD;g$a
z05B19ah^x4nvFi`OrkR<1C_!fXA@MzY~l&Y`*FEXN6OAFo1L~@lX1(6avYoMH~#-?
zd{Vxwrf#x&CxlsUp@|%xi_yq;9eCO0en~;~qe}5<=gn!i<!g!{-b5#Idk^#VslN3v
zT7_vE&J;&mX9paP1X1ub|B}a7RU^r?GvBs;4!1j3^{Z8o*aj{AJ~MvZ4-h7>-81d`
zYpc1R&0Dy~-1X91o~xJH<ZlHr@|_FShXW_W!-lq;6Gg1ejz_-ilaUweB$KDa&}D_;
zN-JOs<^_fhsHg*~=Vze8pJ~WI`^HH2^i=<03a#jN=qAxCDsRn_)MdD;9q=Z3qz<x8
zq?3QKQ9Q3bfc9*Zl0`iiFZNf!@XrU2=*4l!gA+Aury9zrF1W2q>^y?{I#g!nUY(&h
ztHA(6h^E=3xek_oF-0~&_bk}28i3)_nGiL#UI93qTg4wBD2YCWTAst~zFTb*J~rrk
z)vDp*!DUuXdmm)KpwT{@u}xW%Z6cDo(gvfIt0v+x40u9;+IRp1S4lzlaJmY|?tZfq
zC%sjDF`n8e>qOHtfK+seKKX@rqn)&?e&<*H+$Jg`P3dY6H>TibI)(8n>Wl<Gh3zRQ
zSc_|&Y||8f)rwB$i-73b+Tw=&t}=FrxD?GN2DVJ8$OEeOIS&x!zKuQog<FjFg1Fqh
z;7Xr8-ZJb_NF{#5^VpU7Zyw^G@|c_qqnCixdJ~u@y2(|SENpA9m2Z>)m;}4|tRMp8
zpQXjwNo31^+wCn!0~g3**rX+<s`dkme<eNFvyKR{9nggV3M{?nn{C0i=2qV;-B2fg
z?5F^Lvph(uI`7BgK8tEY9R41W$wz>m{-P9*LII@C%pcuFr_-I2PWUZ6+4nC&j${}W
z<~+K9TrBp4puNi4omH(??)}K<IS}uo4Iw`9X9L)D&qPDy+V0hx<01530JW-P9zD7o
z$`%eE#d>|!NYvkT0s=L1D1IZ96931)y+|L+rRwp57LffYT^RH1Y~AerXBD>+5LbI@
zilFoZP>@ZYMZY!Z5<!<s>FPgS&e$R9YC>(&UM)7kdR%Li^Z_reriW3|Kou%a?9+V1
zkFTglGm`oV4nlOTrR7qG=Bk&y#sOZBiGBqrh%ri#F<06a(eG2uhIBMM>EEAi@IY6g
zZ+E^A>iRsWg|Z|jOT{;wjwJcF+}oM{T5KCB_JDH(<DN6P=@O@1Z+ME_Mr584e60(!
zd~Fk(W9J2^6TJ^^=r83p!(>`jTb7A}<71r4E~>uD3yqSu=^UjfTXbWnFaXj<<0qbT
zZ7%$?mb=rrWHV-04unnXvfvEf9*6F^J3M7eEUqyuT`)vw6)#ZxsrcS2A_*C0=xK9v
zMZ*!VIS6x0;JG?JiNnnwlIf6XKTf}lXZt6Uj@Z8g&$nSE)qA;#`8HhogUXr)^jaPv
zpL|Mk?YDrvaIUd<f3aR<1VgR;$?T&VBJf4O(r4q`H+|!b9@?o>h7gW_T=c9RE>@^N
zL#Q_1qH8tZ=`i?=lkm%4W0cs<lbpZ7_x+?No`5?E^6U;Idqa;EasEF@OhGd|_@<Ui
zQcJ73MNj^)N=RBx{kStk9!?RLI%xa`kP8@Pv>Wqnl@*(Imds@LObO>A=416xAoGV-
z4fcOpnr&{~f=i+K8BIMSAObr<U+uBil8qiNHxMY-tZl3##(&0f<NdjM4j-6tl>a0;
z`XrMl&7CF6W;A3Z|L7od!Mo5wmzIZ7S3kEEZ@*6G_-a4{CO>A*05v_PTR%|APB-}l
zyup(~6ejm|iYAOnv4@?wJLbV)1EEdRaIE&0_hlU=w7jg;*Z8C&o)?qsjMk#Umm(Ah
zBzb<-k{s|V=0>%wS7fcYxTD20(p1bH0S%UD7_hGyyascE`QRI1gUWr?dn7boXVr4M
zJlVWC$Xfv$rRx~$KlnU5P)LtmM@reBQ;zk!MZU9tI=kY^eV!%#h9BGB`_C}?-;bH|
zrQWwQ9Fx8ijECHazL@*-Jo#)%InzwibxAXvgI3BAbDc}JTmNZ{pf2%*b8w32MK4?8
zqQvB(6$ZRq#wQ|?U6#3FCwd1$5gxV|+|N27lU8L_!iLv835WhvCk(@nm3QOgpO~eC
z{G?AsIwiC2N?VfXy}?H`)x%u{opg#6vvM%f+_kUlV}1a#C5n20-B>u<2x>JECtHRs
zJ+1GFK$CTtkAD%j)B>-$vA~PK<qXUGQuS?XWUg&>0in63192s}li9FHx7ju0Hk#Zk
zV#u^7*ux~%h3&Qz;t!9SGn6jZYV5`toIt#c0Pjfi*#x%jQR2@uLW}6w`(0+!(!>71
z=zW9dvdPkneCau)vB<LDfM4MZQCw$4gV*DCt8?#w?>HAll2Xu9AucWuHAYn%YjGsk
z)ts;L+(HxlV&|KH>*ji~;x2}`IUZ%21YytTHNlpeBf%VY1Q0c3ddyi*Xm1L8M_3)~
zhK#@Eh|<Q$u8tPTy-*mVNU*KS7>L3cKU0J^U10I(^|nyg#S<2co5r+)$dV)>Za+ck
zKLtvo#SA#JW2qu|yUGj&Ip?s9=LWAXSJLWNb&W03D%*!r!v|I>x&^HC<8NoC2-t_@
zONdoE4oXVR-RL-}C#LbD97<4iQ-(p({ds5Do*BU<$YH;XjlgFEJrR~$h5SKowh@O`
z9xxv-bl~RH3h<(U$r@$ogUU#&KsOh}q0pIC9b7l3@pbW(GVF;?>ZBI1hVKghf%}}%
z4poQXAimfH*GgOPj8H21RjZ1z-#S>%lYnh15^t|O@0)+7<PK$m38XA4zrE2+@*sbU
z_@yNDtaO=dJ`S7$$Euo5(3qzfn7clkNC<+7N5!?7lR+50|6Fm!wZ;|d)>R-#=x=|d
zMZH4Qc(ltTqRaH{Ge*+4Fkn`X6-X1?9$YwT(QJFu7#&uX$8r!-62`kS&7%@$7gBYT
zP*|zrML|$Sb?~@=@H_xwH2T9R;qPf%=N%osPLD8)|KMaI#`cucgpT?u%)&vQC<X)b
zA>(2CGRgcn_`p_VO<?|3^?cBcW&MQ#&k`Oz2>XiC%E?Wp=6txOK$>+KttOmEs(Zw+
z#(Znz{d6{%QbYek+M0P9;5r2V-U;#~EoRZ*M|nMopb<TY1WPc9`3%JhTB?egpKyUo
zWkTqsv~oDFxhsfwu=A9GP%#;3IWX>XnulyLm3okXs&aNn44abVB(7k@;tA)%@Ea3T
zKYNCBnAW!}X;x{35ulHYelyzgi&3h_=5Adsd|WVLDOGBVSdKE*r2ZUJfQ5d?T{m?Y
z7u^<4bd=;t-U?&^@YAJ30s}P@Mq51mxXLCu{9jSPVqK)o7!mpE@~WJPy!|lIM;#~W
zx?l5snp6NW)jcvJg_~nJQ(lg}aMa=ugC^z$>$4R)8f-ot_vi~-@w(vIcjbh1(WT&H
zwkaYeI$<I7T>-sIXgu_9U{g{2iG%dDScB-vWbXQA^t@JFe-CMso4RE~$5e%DaV={t
zP*f~&EtHfi_FX<VUAqM#V2R^KP6P6CO|;L`P`XQc{`biHw#{H&HAH<&^Ai_1B+qH&
zMd6C^S|K&CF8$41*=2^}mU>w@V9%Yz9fAHT>FvJ|GL)}Yxjn<n0Eb`El}a_hs01%%
z6GvenX0bcov@~QPlIrwZzVEZy7p1g?ix-8e_T&tU=As?-9SYM0TOI+ik2cCVG(mAB
zcv4G}C#ZXRrRGgqw#0gXP5RGNpuk%C8g;vI<eCK0%AVkG?xC|3whbWd>OHySLGmEa
zH;c*=GVR`c6%QPq>UC|H$KEnQ4S<V<uPpDow9p);K|@V7R9&LHT{&a?slDrY!wAX?
z9~g6dadPQIsk8yo@*9AnD032FL~=rgB+O*L`Jn413kA6Lrs`wdbV(uOzBS)DV5_F}
z>@Vxq8D=KDm6#*{SA|#ijczzt<Eswh^4lSU&`obbU;~x2Ci9(ABgB^i@}HBox263T
z7W(I?r^i_QltKmb?D4`o83+DMMkq~`q(VP2i&!#z_02we6XMbxJ)OKRV4wn-MR70u
zGp&8W418!T%sABxEM&5ivOtZVD(Xc7o{AbekiK5j1(`2@D`0?(#~`wKl=DNpPslVD
zmRLe)4+bvaNaUtuV$SN_{(on8QT)c!piMQ?0sBu@nsG1cw-}C=%rBU-DESwD|F}ay
z%}&p`Vn1X9*v8D6qd#eFHadOCju!o~sw8n`6_~TASfmsT?0p8tRu)?U_lE|S#3?h6
zKx>F;M9^vKMHls9Bti`#j`E@lsp@KyEEPWhr?(o{7o3~|no<okY4mFFUhDg!0l^-|
z(I?9{!80XcqdM(B%9=6$M^hIPO0+^3_RWN5OB1bGwjFv>7DY`!-;KwY<`J0a*Pd_H
z8#BxJ7)p_+VQi^QX1vwYN}m5Suh_bhG=P(0=+|uWAzcK0h0+em4A>NP0D{Jr`Hna`
z^dbbt#_TxMwfN^ZX7UA+aog|{Cj^HWgrC}0Ii#`YTHrX;+Q8&&GY}Lg1uvaL<GWAe
z=d%LFkzX~hJdjPH;CdU=1R6UEuyl*ptkMidije|+CeoCb8iD#6k2nH%l_VD-C?WPz
zt2Frcq2b+(UG)!8-Eo`bRZ6(KKiqk(y<er9>Oy9=DJ3Ba^Y{hN)o+105OP_w2(EBM
z!gQFRT{3~+-E@singF<<SI06GWG2;OJ@cci!XV|4nNmN|Q(n^pbM-3GTz~-}NK{J^
z>hkFq#TdVx%Fb$;E?s^Mt3z+~gYcjU2l<B(v&zU`jNZIargu)EnU3RX{}I^bWx{~$
z$fy9(4h*rrYJ4H3+ayDo$wvyNU)q>$YeQ9oBcR)kWJA^XqrKmTpEJt2J(DIr`BF1`
zdND)37n<rYXRg>q&<&z3*>k*cU9vLOcrpN6oFm{>gA}fSTN183+qHUUTy$xxRtK}v
z<X)10p-e);;Q^do&-)4iGzIb#^L{lu$x}kltu?S`cl;Vw-9QFZ?7<&$D~(7e)e(H5
zGIXs0E(WwR-tL8;rVP3|I_R@Bm#4j9R|v@&h^?OQ+jbt#dFx3S0AIYk4mY+QH}=|k
zBBKU1ly(-4lmlTaNS=Z!Bl7vO>jLZN`EY!En~3Lb;qra-?5}N2MkpD@l0nnFk9tPC
z&LR1rj($3;P@*u7db2g4j~{+N;)Gv=<B4B<R#xj}l+wThuy93ot^6srtsDc*)?Z&G
zzQ(<L^|P>^!G`_;ryl`0lR8?*)@j?URCzKZNiM79;I}E^fbYwM5b({!eYGUcM9#vU
z7wyV4tB7c)RI~H*YAxqmdzR+GjnXA!unL__=M51So`E5mLpCJI_yTb9SGLCwcWBB)
z_O(!r7OC7a6Le54-&ef&3P}mGnM6Dbknx6L22db}yQGXnLr1}(!^A78p{wCsATk3G
zUeN4fJQtW|<{pO}jo`f1GMII`Wxadf*_XnqOufk4qB)YD4rL0<7uZN>`3oy!Z(Q2|
zeF1_bsl&y=1A%JUNmp3!U>?AY+%+d^?T<YqUL*RP>xlSHrg1Zg`li0gEISPjhoE%@
z=G1(#KlC|!5;-`2iBp)t<T|T}=&jCFTA<9M5j&XcqapzU^Nzff%U8FD87N$5ec|7q
zd<UpgurDf~Dl>U^G*d<jb?j%Pag`2~Pm98JYlw-QMdBIUTo+&(h;2yF6?{+LsOva(
z+AUoi$of^x*M2oiJfz53=wEm!%`7KCRrt-CBlm;)-Ci|Jj~SU&iEP~o1e$!N&f2(e
z3m|I%ToHDDr~k9p7F=f4*n3Ol)PTxp550~yn=l<@^q+DpUCyjMQoc)ET)g*szv^Ht
zmdlGGv~$cDVvU9gLao!>NNHu)rL+-Duicgh7)_84;LcZvNYTbx>dI(nkzaCjV&o{v
zA$XGqWS}QF+59Z_UUGLfl#OFIk-@_`U_x|><Fi;E$A=9ghTwI9BQFuJu!x1lCK5(k
zSUjJNYmgD(G=z|1aL8g%u=6*a$fz=EB_{_ra$<@eO{M>yeVv{c50nq19WW>?aqB+p
z>ack`WED9N1;CIufv`H05wM@m1_enwl>KT5h|t+!#=aI$X=d$EVd0GrSlQ>(=5WZ2
zRY!jPhMk_+qRYCs_m?zgA=mQaa8N%YBTo!z+7gMe4)DZ6q&$&HgMWP{##(D6I_|v8
z@^*IukAop`*84TtO!`manQ`QwQGwvTby=eab(~@dT1$wB{Q+a_-4f4HdeQ5OF3zLJ
zBA;yAW&(su@75q{EcG`3%-dq}dM)!C0n0En&yAcY(btPfK{8q_wVErMaT<V`?M}Tp
z^~rbGkQD-F79W<MkP?NB_*BGN7@)~hjWVsQ=n+H=*N=vUfN@@O*gDG`u9;4fs{v{=
zeQw<VP&>HpE&WFo1QXLUM6OopA9$Xi<J!!Lo^ZgG$zxcu&rr7pMDr`1=c!VhR}^30
zis%LVPrzz$3DTQ;r)0ATE{13Qpdk<(F_+Idd&f`B!npy*N(%4NDiYYA9PVtpKSoM<
zf&jhZQ4roQP~q9hZTWJhvLn9B#M`(ST-rO8LLtih_EO|JnFPX6OEHWf+xPi$|3_|y
zD6f=sE9u4eG*Ap-yBvq+vvj=u87Um-p5_D(?~vd}d+C{ws6gbOpc6|PnsH<ITag~R
zd*TN}^@EQetQ6CZu<uZqhtc||4^X|)X5F>!Vw)={&Di%`=xq3m-PQ(#2JWNmfcHcs
z#ng}LFw-z+oHhv|?v)i_PiiVOG|trB@dd8r3xi45qVl~9At-}Vq(-aadjlEC;ze{X
zekIS8A0Y*+<yO&X+k5nUi#CNc{X2wg^NqMbN|4XP&baegD!keDc;7(c9mW1C0q)P%
zk_^n1X85RZSpRz{%~{`rl!BH&rDXfyL-SPWKsbFG&OFfPQCegbcs?y9@z*pn4K^E{
z&eA0#0a|U^fGHDYxx2-ocqzODq0@v4yZLsWfbid<mPd3wDseVhL*~vkcWZnP$?ukE
zAn&mIZ)M2UoT5;8A;bS%ceokUCPlM(`-d5gy&DeegIeJLA#x5B{`TxsweomdMNoZA
z#)b=yA|d+sS1iZ<o9WdBP?5^!WzEa`LDiJefJx_Vyn7t-J`7IeGkBZsfrBfum}v_>
zmhOqT4}A4%=QLjka3c42CTT%e@@YUeNKO2d04dEA!Jd7<e64f0K|VxC9VxWby>U%P
zj>lmo=A7MMT7uz)k>YZ7oG!)<CM?|4DE4nD1(%xu3k3Z3m}_QiR^3?ZSpR}6E&=%F
z*3Q6;{ud_1OkK(#+HfaKUz=W5M8ts{cs-CHOLD--d>u1O6w;cAB>cw$=jCsDpfn(;
zU$U4GDnTw$!qT_^OX)mAH0hfNt0z_f&qcpv@EX5%vIs1(cxX!XA@U?w2_aFD9s_R1
zaP1dEN?ESaMM&?g6t!3}m=uGpeH~}A=Af}06o`^O*h3Pm!dcfRT;!3?$#J2)>P4e8
z3sP_h?YT5tp$bZECPzH{t=8a0MBMdi%!hw}!#;mb0UsxW>TSlyO^F?!ugD|L2W;?y
zrS*{az-kJT;P=QXOmH63xEd84HdypQ5u@)J1b^kUp@8XXO?=#sk3@uk3P@<`UUOF1
z&;AQioL-(~C*!eyx4hF`_LXQ}B)?ZTzrxleLKMQZIcdrIX3=z0REp6Qz`?_6vu&TC
z``?7*pC%@#??-DzW<d)_)Mbg|^9!u|FqV?b#}I$mQY<mwq9;MI?#OEH0gKq(>zBD<
z0;y)<_8~7<tyQa038M9le}$Y?r;@f;BczoWdItNnCey7@jb8%h{f`ur&13xjp+%1n
zhruKNV@Rqs*Ir)YZb$#U7x^TFT?<6=$Ple?-WH3-R@5}PfO%XhQnk7bH*hS)Il6Kn
z#{57@3}dTV$0azAV3E>nSiO|*VUO=Xg#yqv+A|NM|4157os3<<?c^^Gi;6OMCsOmR
zV>cSM{s|)L`P$E?u<r_d(D&XfOG<O4d*rK6Jb8H<&cCA~0HySq$FLvdHz{?X&(O`Z
zL5|lmpDe1qHG+#O<(Nm58LX?y%+cy-nf+JMw{Vv0OoPv&z@4zdyFR?OJfGSIQ%j(w
z3TBWPJPXz6pgP@lhnRO>gK)agw)Kzk!=P&K##YR->%_t4(#l`>cXSmnL^(@!c+qZ`
z3@;;7xaO}uO>CScB+%4fdk&hV;0;DL{Z!Ey7q`wp);Qb0a5kVbo@K4zvN+VWnf4M%
zb=?G2+qqq>8F)d;;cpVshOZh2mo)nG$zJ34y$?+FYzQlk!<46`THJwqC-hk{xC2FD
z#_+7S&c(coks$gE$JxZAy{6vHUI*(&4T%Lo<%ljd^G2Ipmnb7*KM08(W>Qj}w3IJB
zN7%p1i0+FMUGOVEv3Uv#g4+aq!*#U;f=dFJsXw;Fe4nzz#8M<O^nYl*WWkeVg(~gD
zGGoKjqgH7Tw<G5>1KV)cR!|#9_)k2sdOOy1QN_{5%tqkbd`}`3-muilXG72QH6Vnf
zF2|5bgBd%teOy(`XiM<4s|1_IyYnn;@3O1Y_C9XZPK3y>GnKC@KH8x;<cK@`vureN
z){OjB`<8=BB5UqOf`H<p4A9t47v>ids>y<<q-TgzHqyqy-<FQuQO~7UBpc^N`8J&;
zrDdm3@HS;8_7<m4Z#S58*r1}<a1{AWuic*#vV*gHhM}n!w!8>Ex@yC^P{f+NyMI?q
zW~bNYiKbKjwKXmWk{nUyauipg5cmmOg7oke$o5w%?iCenJqQ_9keNTin{o2kid3ke
z`MGkxtn6;8cYx(abKyYa%}m(SlGuLQfh7+wY0wJVKI{l&<yOdcK9ytsk%9%pEX|rj
z`R^zx%BSnVh&b2I;7QxJVy)%r(G3A2j0Mk7Zr4vK2u=x>P;?Cs#mD)ENxlbqaqdWO
z5=t%Hf6C={8m+0^n}zA|IVruHNy!VUCQXUmy4b-siS_iJjrDyoh=R=n$P3+9gP2Ot
zqL1V?L-Prdr8)3cycl$XY6!QQR*(=}YC!gAA}eVHI4iK5&d4T-2ax9T@z_dE8My&9
zB-;Rz-exPYJ~QNa3QPydg8bsH_Gefo|4}`J>6z`<s8y{%U0nADE@*T~#oKE$bz)uV
zXl#nOSoaI>bOe}n9c7Hya&GS_Jydf}Si20^%k(QRTR|}JI755NL~}2GLIZ1q?YJw+
zWtJYav5$(;R%cR#NW2Z34l)fb@F!mv6w{N1lnK}L4Dx6@qPo6;a#R-Nw7n!2b;~hm
z>7UV#6&VI<b$_O#@1qlBnxM^p2uAr5<YDCB+`I8kfb%{oWPWFyuxIr3SS+T!+&r9y
z=qF}GI!x|}Y08F^d=hUDt=#AF$E!b(sbq+G|07%;_F^>3O-H5&)0)#tWFw3~L`u09
zd@2puq%-frYZK};`p6|+#&ME%wq)}v*1UQxZV!KSiQZ_FR7CiV$ZcS1tkR;B*(JaA
zU9g^6d`v^Aer`agyzIR@GP>ytbqhC50cG}nKLyJY{fKV0r~N0}_II0B7?lV_9M*eR
zL@F8*^9pcoYkH)dVU!>Pzdd4&@2gbjzh8LSVuY4vBb?i;Fd!t2wj@6dknwiOXp$f}
zIitx?&4vghDAgn>;{$f94MYPA{~!Jk-c`}qYrI-xZHiUD!^U98k$cT+mavB`IK*|{
z$;zRgLgidS2l!A<84g=U#e2b9nzZE(jlt{!w-PuM_XoQ-mG^`QPiIAqOcqfOs>}{*
z|3leq;7bb_JPcyD{m5lTd){dn_C@`4dvDAJn6Sqv$0rIM-KjS@2}U#$fCa@DOLgv;
z86*2KtS))&9@+B^2;`16(}C!}=I1uW|Did0KFH0hwArn}tzCaLDD2F}I>Q}iC^K>R
zYU)Gm;khxpT6Z!LMdXke!4o@h!g*Nq?cj|#SNVr*NBzPex>Pa&re_}|o=N+gtwc@f
zN>sYPsExoJA2G?n4(*N({tA*{Cx=jnLoT~CT7@G6SntbA&3@;FJL%7I!n{^8FM;Ny
z*ehFL`;M|(@SlnPpVA&bnW`vCFdm4-DqTx4BM%APeW8g@m~a;&v7+*-x)PxUuNN>F
zRD)%8eG1<1M<yL*bqw*v{qGI{;DYM$fHK8y;o1f}5<??IsYa>e$=LRDO9qLD*O!^9
zCY^itc2n~vqa(IGE3cS9`%acF%et`ikI8+ern@V9=X_-I$;*A#V;j>Qk(kIC?rlBi
zG0Mg^%x|zhxVB+X-*lZ00L>j_Sx@P486G(4ylUQICxb}`+`TU3E0O7v4!TQ>-yvdk
zLhKc!1CWEFd^W@Urv!s0;Z~M%O?a76emLl0%Yjn?tR8(RO;3mPK2#d%HBjD|P3cvn
zi?Mz1yhrp}8+eF8Vj`!fgW7p<;Tm~!K8~|rjCn5cw@`I0q0ApW=7km`uT_?~yy*Ln
z1ocs=zNe%j=+wvz5Kqz0dJ3q;oy$`J;7FIBnP?~%Mg~hF)-qoL7ALr!re<=w)@cSo
zyN&VaO$y<M13Ui#3QPiL^K_y|LY#AKGoMNz%|&Pu9!Z~~Io4WQ`=AOk8BL4cxe5a6
zIv7`T(3Y~XLB*IA(Zxp>S0|@w{FiSFYg1ndt7fO4QYWrxm~>fjVkuw+*jI38PzwBo
zHyF+W^hwG)*-&-C)A!O7Ij<Y@kt@pyR|YrqDj|{b&2Olc+42(DfIErz!$1`8?mp=I
zDjUd?k4tKJnz~cyL*e}`2~=z^uqJQ7iR2XoE*nkXR(-UdGOjGuMlw4O23DRTxN91k
zVk2w*>pC^*Y2_J2SP}Q<`R(!EXCa(W=M`Z=*%KDG9RbK+!T!|0twfE=B&HN&`71dZ
z`7XqNS);YK-|tm&f;udi%RAve*_J*cz}X!mjE1**0YJ`s5W8?c4!5RA=W#B25T(s_
zUeTnYkt1f8UOQIHS=STkHxbLz9>!1{gm&yHOqpYnXVe8cG?o&z?jR*MXpAvsab##C
zraum$DjGZ~%(CW-2O=g07M8F(*BeAU0I+($<e&p+KKaAL5gOsz%jJ_j%3uz;P>Gkr
z;P~ZCX}btoisXXyXIq}qXt}Y?OSVupk|7XXJo*)~vdNIfO&fGmNDIpcaI7$Up;p88
zx=hcpg(ZkHXxPimHZK1qkGzr?9hV6*EJ#)-;x9O)JUizCCIfaKj`*ple0W*q73B9;
zXf3#T2qz)iA!C_$O#gATOy6g!u$<Y&y8@PX=?%{FKUh&iVG-@re}uJe_fv7_NiaCI
z>lsj;76UdrRqE)Ye-!SUtW3~N7`xi8b-|W+RGmDYa#;r$6OeQj5L0?bRpzC!1^DMT
zP|g+fNW5c^oRQ!k=^N+K{&02J00t>YV3d0&YMQYFp0LQx(Z-l0rc-^BN!WCsvgrzk
z*?MJa)&tS7jh&X&m{MGVNU#DGCgxvFjrKTBwY+g9Ep7OA+!5GIGf6C}7O@D%cbWtX
z9co)T-(IUD#Zkv_@hkUdL<Z4R1F2-mg~eel*<h4XAFa+UXmc_Mx*;~WTBeB+Udw{+
z?x-;i_vC5*dMwRvkw=iC-j*v<K_g6Hp<!^sMV#ho`z$5!!9JPtg7kR5dU??6qnTL2
zx91{-(vxi)bbKmVhI2_OOQSq$nVK-Kxj(12)wmpvotTbqT8=1<a|rYTb)jORoB!Bv
zg3BPG!T>QG<xEne88`_9j97IyF`?n)#$<?7?G!XbT|p-x;1}=^x{3c;ywdRdhTnTU
zbD6y{B-VO)&3a>Q?Ab9%8}j^p=+}Rb=;s>{ADLxP=f0l;k=DV~A>VAuNkqPJf{zV7
zAp&-?BjlP0uCSe5XPLfdbnK+((F7>SR4aNdQRXR5%iGA_JAo|J;RZb*%w0jJsv1E^
zQ@BJ*4#n*Or})f-hHA*wpEg?AWnljJ9teIX(~S(fd)#)#RIQ0R&%CcBJysGz-51Av
z>qN$p`M$!5O^qxYYvJ3x_Z5b@ty2C54DA;;pgt2jM>@ucRX7$>9pD3>>;T9)8Kj{R
z@Za<(RFD6M#^k_V_;J|}l*qtz`rur8LJ|`y9jv>-bD08)fR?Bin}9r8EprP45RGn?
z*w6@n@18+rr{VJeZBcfG>&9G+KB7-x1_-!Tvc)XY+mwt8ldYUzB_K=v$?Yi-=>GHT
z^)vkOHike=rfjdyc`6pF<httti)(q3w{0ME5a+)^hUhE(#1zIQ={KDUYJZ<r6#QC>
z_`;!M&&k2*;Y#EHn|Eh^_!aXJ`U3z!3D#=w0~I5ya)zjVj4XMuuL$*8>q?>ve6vW)
zG==IU88HyFnOTT)6DkjGB=aknX^Kij4&!q`22KulE*AH0+H2uH>T83Sgxlv=Ek?4M
z6@JYS9QC+?#df5T+FDtVIjxSsBi>r=;mKx&G9E*Oj~rBxKq;$8C{_hXM8TH?FS|SI
zbJTVO3<TvN+~zW~tIy8qLq7vu@)?}H6Q0q$2wV%hPFjT>MOAE5+x{x%GQU+e%QMh0
z`R%xr*=MwwJYzRUXKed@eQ2e%R1qIIT=R9M`zXA1=;5)LVLNe!hBY+cb8=F82MsQe
zd~62goCi=&I9zMDoI6|w;S_MpL=QZ)se9&#IP7mW!b*2ir$$|Ks<AlXOti)haaw#F
znRyVqoZt%ZIsqEIOIpD9#bi{eoo9r|{0238d#T0pU+7?T9=l_Hvp~YH(dkv_3EKI$
z={JMNK(TTJ=p!9jOhjdX<j{cPQz}x9>f390jVx%`I0hENr+blI;(%%!K5Cu)<*dQ0
zNh#i-`Dc`fiavLO)dsbx+(ga~fSO#P(k&N?l$b8*G+OlbyTLsdm`o6yYrieT5-dv^
zflf*|kgQwo*D*bXgQjKXqbU6Ua=Nv{)I+(W$Mg24PXvIi|8IlY7K!qpHYT1VeSkQ7
z+CIRQ{=8#pL|5Rc_WwB>bDzc+p45D~bznlR%m+8t7CALII}as-1y<u?4o=gBaXQPT
zIV!~sgDWTDLhC??oWqsD`yo(J)}fCB>k}mRLeH5PAUor}1-na|a3_QxPVY5a@4_v0
zt5qwC<w%Rb-nE7w^Z&AGE2)fiYP|;;Sa>-c?^`S_03KpJ{Lc{w%zF>1-Xhy>u<`K)
zELny0R$2)XvR+bYc0Dc?n1?dpzXRj;{h3dYNW7H0?E=9)%(03U;vfiG8o6ippaj+K
z7?VJBh+Ms7bmb!xjQ>Or^W~1l+lzA$I5sv}9Pvgi-kPa346n~gY0W$7=Z7&l;;zSX
zNmcVF6=#`0JjCZ0b6whW=_FJEw!RpjZb8C?nhu&vDJdMgkg}1dy9vlSGlLuV?Ii$|
z|8htA6zk(sb;R^GL2<bMcG9TsBt{!BgG*#<*M&h%Dn?VapZP${!YwH}B1DmcBv(px
zT1Y!iW^}7rpA-%`qzY2L*!+i)(yeReIIOoRog1g3DSa2<yj)KqAH7&r7h$hy@D&%u
zE1Ic*U~CIcjo~9})XB-F)hQ>wGjQReSfH~XC?2oA!8y?FCn|1~5Id5@B%2}Mr#ky)
ze-dUBg{6<dj2tme;*A&Wdp`v`p$2I>+ciCGp45U17c~&1UGCYW%hV|w{o9(_m6>)y
zDRc^9$h%$B@6YuWd({&L0|R7pB;b$2*qw$>`uxoxznv5x?8jBP$?}fQJ~Zr@7g@9R
zHBV`)f{`7<PZfc)K(}({S`fHHKR+`PP6uM&9!(?^?cZ1Uyr$$@i26vW7w~C9nt5xE
z9A(h|tBteDEzj(+<a})eN^&IaILCfhH1gXGeg^y${s|07T9v(O_-*A4plEUPVNCQp
z%xcH?qxyQ(y6g$<GaKb-yY?I$A0xYq7bsiK9VEu(Y@@T~%2RG*#Dmc)g5b4vVUKN=
z*Bd~8H)m^vm=4d#RP)O97#TbPf{Stw=A}8vij`+mI}3s3fCn?Vog6Nu@ID#-IX>5G
zO*(CIAynVNB1$Xm|5HGZTPC^H5M+9LuFCWiHaF#HE5~X;k5~Fpco|KO+YTd$f$bP_
zjVr{gRq-JpIqz3$%(B|x6)#l?;zm4v_b#TWKJ}Xvl&hwPQ(I>UN$XJDL6yVFqxQsM
zzB1H8B4ESVo3)FYuGUS_kTX5uD2G^^U=+VNRZ=v=!k7YUz`=I)nVi1QBf`n8D>60I
z4b3IU|1k@bry<;R`9CJsFHD-FXSt0UT{Q$1*58is=Iq9N6$l7>%JnVC&|FsSV_<un
zaTps%-Vh!F6py~HRXBmIxe-xIRMJU?F$hyTq%1?gI|h3lUobW-2Hn&9(s!AUDAFIn
z+mlZYDb*Slv(;fL0`dA|$dX3xfHi`tM(zq(v&tNMLf#LUSO1#JKVC7=Wktx-YXU&c
z4ZbjmzYu4E4Gm6Qb^ZigisHld091b<ILDNHH2C9q7{9wOXse3Y2WaafDKI<zG&@tY
zNT#Q$s3T+_Zk#*5I5tuB@q(IAtVk+yzoWdiayChP!A<W)V2AB#LXVC7A#TdSFR*s_
zR>`D4ctrP(L%gX_hrGaT$M7XU#$h*onoLvez+Z}<pF&hV--9U$7<Fl_wmc;sGHyO{
z4eQE6<Kv~m(uS~RbFMWnC*v#3-xZsO7m;+1QZLuE*=dI)ZfJKy(USIrbUP+?7xDJE
za7vl!A5-XLDNI}}Ol^mE!^#f3+?o0vzGy!4O_f;w`0E_4J~~)2ss4#&#t3pD>t47{
zv)Hrl(#^MfWWtxD4}dJAbJ(0abb4$MNDd>zNm|lA8MA3?Oedd}y#kfSGaamMX(Toq
zyc#Vbz&!62X#k*8-S~PyM^whO4?+ZY%-91s+e4QQ7P*cEJrwXr%^Q9piX*E{;3@g`
zyoPyF)o0j~=l{8ljd|lrIq-xsK*)P1xFUxDA=~<RS-up>NK_Ygdw_b6$`=uvG$x0f
z%8b)M>tb;j707>nFkCA~qfsDkamA%kJbZ=d!M#jxetximh@w>hyCzB0otQsCP^(|M
z<hEj*$nsG75m+TJG7i;_l(nXsWuz=11Fuse#q*$rw^p0KpC%MeB215O${WmGk5J#5
z&46z%(^qw&Y(QCw8y%*}K_eEQ-Vx;OjC$1npY!myxLw1LyM9wA3}@ighHW#aJW(A8
z?Nw=aS<bal)+64*pZ0q`wJ-%KVR8UK@F@XHg1X{5Fm@#qKDDz3m#CIm^mj1#Vcu<*
zDGNB<;P;Fro7C9gQ!c5xDz7<W0rf%7pdqV21P$W<xk}sv9s9$TC;3;$XFkU;Owrb>
zH3qc7j@n!gy6#n>!s`UZj8DE{WVc(HS&`Rj_-95q3gyEhzX_Qj!`u3=Ddu};EA<Md
z)A6p_Z5@_EECp*+y<lHs3W_5uX(3E*wVZvjd=y4EK2$sS_R)-nu3$U927vRsC2%zf
zmh#{Ht_z;`r^C8y<&o|)=5|k=hQyAWyuqUD3ERK_KNM?M7=yfGiAUZAxbW6MNqzht
zm_HL;h|S8T^LFf7d1%*^mO3%<p{+7f#A>ba#K1c6Urh<rgar#80fK*!SZc1z%yyg2
z_#|FBai)c!uaJ~2Ad7ElV;V$;s*2uLlN&MoLTY!@9A_2K2LTQ(x_(Rh4>prKQ}5xK
z<rpDCu1Z-os-pZSnrZptQ;B$s7E8RsKutmH2V&wF1ZE7hkFdrR7>gD@2qf+iyA0MS
zjfA!WPaCPxM;tTW75VdZxbd-9rLiIL_3*|Sa=9Cok;6Qt5WaCM&>PRiTc@F-!DCPe
zgD%OF5E5=t#v{q=l9XYs?#ZpLTa8$)0^}SN2-$BE`NJs)l3EA9KQ5)QUcD~CnIRQM
zAzNgzBqf}fGE3x~v}6!>t~<nm6lR6<MS-XeB@<Fh_GyB)W_U579U^rPktPgUZU#Il
z#ulj^M)!c<s8(yxcj~1fhlX5!a~~r9mWwMyp0$=~#eF}?ycaT(O*bd6d}cI=6T?(g
zF_hqHWbCtGe{V{x=3%h-A@K8d#@t)U6wl~;)0vG!`kh{R%~w6Z`nuDz^bZu21xSMV
z&`JD=6WDurW!57a_ryK1EF(5JBlxRzbjNKTxE~64F0miP$aHOz-fuJd(2GxBUl~;m
z4Bx<UnY>1st$5(h`!NM$RC^KD+Ap=0Kla%!a2f-RYyj6+`y)yajYk|F<+cnT+zvXe
zKnnYuHKWPmtKRErs3`yCSTKZ)VKfa1U&5DmH&yr$XK1e1cj+(qL4nd1T(dHW29|eH
z4HIzx5lWmAj8>oh;Bi8P3!1<t3tHUlHRbH5snhW1_8pj41Biwl6*<!x^c>E|+AR!;
zdKD@%aK{HwZ%>_38|>Ms^+pUg*twV5>)xFG*&lZ1KOpdy1#caqw@Q`c;ag9Eoe$Kl
zc%%*3OmKB6N45egqEHEL#Dw2>$5{@(cr!s6Km0`D7|ywRE&NS&)|dOI|MEgU4s^ww
zJsQ*cHHwV$nb7hc5c%8_Kawu}zkhx0_FY71U(k)8#2eQMP#Dut{aLOT9K+w=40<nX
zgSvO}6X>si@04>p`gn6wQe}h#!W-~%1_!y7vDDuJi_yAx4hFRWHu%7sq(<dH_$q8F
z5v_^w){cmL9=!);9-^Z^dJ6&WV7S6uUpbfCx*jWXPOR)8O4A8sDFat{g=&+WNg-Z_
zZXD6Nuu!XF!h5)$Cl;H?zUJG|UexmQ&-|TnTg$h%WRi9HfZ8*aO?Sis(sTuLF4Es4
zJ+w$bc5ooFMu5DLHV%$-h&C&>yhGj4SPX$;(*J{;1DC%gbxM;+3oWsrP1><8hG|Lw
zo?1vgx2YX)JyOx~*O-@$rmBt+Q7c8$k~qjPB<V?d*+x;LS%YGz&-HzPbu;EZ(!kX=
zJek+XJKRV>2#f#)madnFa6kB2+<q7qJGQcnQG-h9y)SIO(te$?`WT*QjRf_-x=j%l
zJ;tgOHRCX?+w0;_8lD+ppsNwh8B-#BYPq3FSq#Mv95%tgShcjPIS8LqDpkYQrt7>l
zYbt>^!3D9-gr*Z=btohC0q+<JLs+*wT$SHP`U`HZUPS+Vf}`7r?QcEYryG8xl$oDH
zkpJk&k8%)=Fa3e3>ZCkuJPoNLG$b$%!|`)+g)iwIhta1Do!+<$5q}QER6Puu-guV~
zzGa3yFF9nxDSeRhK83uHoOrK-!6tJsvJb2g;HzGrI{GUZ<$<^r7NlCD-0PxgS`e(b
zgF=j7YiM0+5H&VEkTkBVQ)=<1g&*7ghSWJ=F_MN#11D6ec77du-JAP&ceKg{Lh-0#
zh?l0n%nip~-MN}=Gz)zG*$Y!HRF5IhH}4mPC2n%v<z&o7Yk<8rh`d@Jah5mEX*=)i
zaYMA6d<S;GE8q7Jql<ajRgu34L(V5Oc~4~n?WK3IcHQGM8*Nqk8%jZooHsgPf09I-
zIp=X!M<%NBYhH9tpE|E@oR6q%s{z#TrSTKmIGvg#9%YiTOqt3={5e%za+&K(uqjPV
z-mJUqYZ!J{)u^uH6+*{fNNL-KedH%Pu&GoTX-_XU@c?(E8g9Z#eATK<;9!d1w3KzP
zTZKT7vfjvvt3OHJkAk!6k(&f`yaY+73(UtTPHe|=u*>I{h|C)1xM0H5&uP}H3Gv8u
z3YxMYg^i?g2=N^{?0oYh5|u?FTIxxVMvQ&b&5`nVA};p16aoiwe{F!=u)J;@Wh}qd
z<+Cq0#Q%cZebjnF{_(4T*00KL?W;-i#38+ky$-rx?=|AYe)xzgtxCAs%@@(6*Va}%
z4)*vcMJD4ZndsX1V@u8?jVGqNg#*t@H#D<?ZFsZ5QmpOf4Tqb7S?uQ4j9g3+N)AaG
z5;99QDl}R+I{fQOR5qJMv9wBC(i@8?1aCmp%+C_m+@y*#AmC<f*3?`2b!u|8*4zZb
zpbX7=U4~vgGcgh>W1vV^9;W(5LtO6ZAu#-cs<^e55B0Vj+3Jbtb`S*0;=hOzs{xY@
ztiRC+L0jnYxo@>ydW?gr#>L3Ie;(Q=r0hEYO^5Bbw@mtFq{#f{{Ej*QC-5mH%4xbG
zD#Mid%xhJ=LAAH7F_`13PhIc<CYf2usFX2u36qhe`uw}p^F|kiNZM6h_$mvSw=xhv
zQR#&(`Xgie=^cjl=+P@#jJZKNl1T^-)Sp=kLfj_~s8s|)GLfm}c>!17yI)K1OUPb}
z&nOk$;FFA+SJLB#L5ised?vFqv$zv57uB9v5UZd$CL2g0#UJ5(PMW2XDcIhw|8Cab
ztc9>p6{J*A$qwP$M4M43aZt;5iOxUN0XEQ?ZMygs@;2<=%Kjwe@xY^S^Ts~u)k<y?
zD&dz+j{)2<<Y!1Rc=gNPel=2@3EQ&s0%!03{{Tn!xJc3LWZeRcHVy%hnl+#p(>42)
zW9yiD+<#3MMW~L;8I{cdD8=H^5GAD3wevQFISyn2vp~-<Irbs*b4`G#MjK~0)ho84
zf(#gb9nZ&&p`t%|58sQfvj+}zE~uTK#vp{wYoZ;R<TlqzhF8-(_4NqDHGVI}OQM4&
zBO+A;B0j^=#fU)<w}XVD^8CJ7@igL)_mYn@1YJ$}|D0Egsu#RDjKR!b$fMWBml4|n
z=_Srs8A0=Nh^{xtHT7?6Kg-?DxYa6=fIB&A6;oiNGCLfV<}D0qC~b;(m@>%k=qQBz
z|GXuk*{vv+E@@(ipm`iY<L}kVcakY0Fx2QmF<fA;tdtg$rYo8~9~@Gefsg3wtD^+S
z@LydiA<jTIR?wVS|KoTmF8;ysbuy<Nf}WzQ{k1)mJCz+!L(=TlfKlTyG66eFH;k8M
zjx?nDG{Jb~R^kX6E-L7L+N29XjLiv?B_XR|5v21@7kRNNBkX>U4MHMdI32p<p_d*&
z@J5t71^DcGpi(kQPC|3gj)1@D?(*!REeKGp-orMXl8nY8w86J3#_tQ)G>!)|Ad^%&
z%g$=$&WN~TuM_&5IG!j4>iM6|JAMNVK0*FKPd^lc>evpGYmp_~#?@IPl`cTroBS?$
z`;{d;zJC-SL$Q~j#ve$r)n2WXd9fNOfN-aur&pN@It(R)<myNoKuS|0UiyAo$d+w1
zbAfZCavtMAMT}6zSI@q+tEjkTI&kilfB{cCsTt{~3V0w1E8cR1Y1QDTf{U!%g5s!e
zCg5f0trJV;S;--4i8_}lRB4-2#Y5Ld{uNN8{`f#7OXXZ3lEF39)yy_<5t2{*7?$`l
z>HVVJ0D)8|+Z!0j%}-0@30!dycHB&?2*phb;35_y%T72T@>IYl3B}uyoy<FIAZqN<
zLyR^N?wzgHjnZ|XTSnsvHUQKsq(y?ml36@WHA1rObiA7^ltwLfw|!Nn{2Mhrb4KE_
ztf;4kBNVt5xm4haz+W2v;YgvZ$aU?&l&(k4(42GBbl7S0YYjrt4d0d^aMjL1aK{Qd
z-utak%I&z{@8TdqsAS6odc7~n1>d%v+?J|MuHL<!dU}#r_g7P|C$L2?napUN>y1d{
zdJ_QR+g@fml&@H*z||8$Z)6r~UZ@}G|L+UIN8R{KEME9rSnU&4t(f(1e?%Dvpdo_X
zSMd$KmrzX8lXXUhklLK}CgR0dS~WpMeSaiU<a^*l7!oLy%&q2|jljL%xlD_ObD$Fa
z<@JV#WulaYspHn-B{$C9BB|<SQh8flIn8Gc`S}^J6;WHo=uFtq&vVy@AWE*3q@uB3
zpX61HTX~=7kH)^mp{+EDH*C-h>;bXQDR$j~C5(F?^fV0&#Qg5ZSzbNvs|n~HbbqkZ
z0nT^j9lYRbHwTfS#qMhiBrUyBCxNS+(%Y@*{QEvGS)lAb3@8aWY@o=!4hzJg2U)xH
z;3AARIM5QqZ||09m6mmo=oC*p<{E<mFQb?>N-Ug<lZE%C^tmc%XCGR`fPGm@z-Bu|
z-k1gM@r8?n&KKF<?=RDkZO?9m25bS2d!Sy%Tg9IMYMql{6YH8{QDygamMS#ovoeN%
zuhgl?LGl1PP8D<wc*?!-Ef}#)XdmR*O&X;iZD=SN*Rimdy~jt1q73wYn|qj~X9sr5
zXgqt)k%zZ-6;Va<vugb#A{~a(dOkJ3^_ju#9g2}JVa4`l(Dbn2-z|}6grIF<ce(vB
z({o-u*A6s}s`lbIz{?p9r4A*7qRLe>_CZLp?(KVZ2=?`!g_)7bVmgqmMv7n4NBeCv
zYyRM(!`WEFvU;+id0p#)G9gd`(5s1g5h0*kffpWaNC0P&hTXy!**?yTV#YC##eZVX
zt?fD#wpC*O`f@H6wQhKG+k&NitJU4U3BvsfX{;dOk?+{3nC;>A)T@_}<5#<W3)#_7
z4Vl|DjzS^3QH$u$wAGFV0WUY_5Ynyl5%jEb-C)f@2D2%WU4>k$(vpK*9G57Ne>;_j
z#(P0V&NtZ_LQiw8joo9iQ$QW7XCiT2zU!W_guy#9GIKB9dmoX%+#MpXQcqz)Slx-e
zD+&q_5r}a&?F5@D@G0`Le}~BZxMiLhrL+{Sy;EvJHHm_o{5FeCqR6TM<Q|lA@Uwk0
zXu)>Mt=Fm}w844TjUTb2v&-1tBVb$(+xBK1AWQL;sdQHaP)`GC;3_AaSY><4uh8=5
z{R~ZH%5?^g{RY4!OV(&^h{3@fL^t&V*&mkQ%CL*Vl-MYl?ihfg$;MR@5>eEj{s9{j
z$FeuCFSp@?woow*FoExNjeZbV(`Keq6Qs;{ob45)rF24O{w3LtktxmP3mb?5Oz;&0
z)Z^-Qz^K=2o<ggaPMGZ3X5_o0s2Y(z<KG+tgg0KWoLBa!5v;H0kheh|7LBght;KVZ
z3Is9uA7@t%CW}<WqE^<<=KfzrEFnE(m%&a`=Ax=0ga_D#i5|sDZBTp#Io8w&g;751
zT?eZ{{+zt}DT^qHu~#|&Jx(7myoOXS1_GR7s0oP6@E&HbWj2~z7SsNEn_*(`d=!05
zvhr4VOp47$ZO=W7UEOa#(^?P}q%yu+SF6Hw%7Uss;BcvmbxSrdgJ?Yr^B9-BAC*#6
z3B!<WiPYxm<1f>b)eR|*vUd{oI)rud<L6J|2vr`GH2w4_L^bO@;<E>njAM+iXRURW
zbfi@$EC|yPMP79LIyG+gvGWpm8K+DZt;&_lOtrPD9iP+5@`KS_$FHjsM3rF$eI?Rg
zkgi<RE$uauqd2y@hsigL2;T&siqteUD-Yy^L+mNA;8zqFpVtsU@YU$yr6|A@iz>e7
zpCmAN3U4;Uc2>+X<06a}*gGog3V1)iIn{YNiD+|7YI4KqJ7|)7gVBLsEUt>U6xE4L
zCW&Qud2N|6`<yN%u+&>qPXSV}#x=h0VEpQ&Kk*1_)xzJ}WDs_)-@F+1^{>aLW7u@(
zl0`*K$8RCPWsME412Z|@NvJzR7VP(`<14K<GRUca7SCcI+mn$1>7A?U10FRtWmm0x
zbR3sM{3`RJ=Wu+`QRJw4^J(y&CiLd9>5k((TEmTN>@C2L$32S2&@D25G=U`($-A<A
z()Yfp6J-{FAMu*)-U#Dvew?CojlM!(zym(+a*{~PSC#jHT}xo?nEyZzW7b~;BA`J1
zJS04p_7Sj+H6Kl)>zsFNVZ~I-odVrmiv$2Jw}JrnyD-Z59@_&OLI-CPVhD%bzA{VM
zYh3D==T}yAK_MNlIr;t=V*-anvq?EOYA{$#a^KhJ7}3ZEO_pGLtK^v74BP`Xia6%_
zi^)h#hb$BH-)w5N4@7ylp#jJgn(1s)>p*6GRZLMR<-$5TOPQK6JW+R1OTqRwqp(YV
zQh_~`|LW1g*SRXfpw7_nO0ptxDViX1l{T_<vJx)==@w*>n*QG1W)Z9lO}RYFYv}y)
zHYF(Zn;epmk(bWhO=kI0MqDCd)JA~K*9(PTZaP@yG#W?nW!AW}Ydnqs3CWeV+LX#s
zd_)cSK3t=c5BQA+pU5Tk(E0_F<*|-5#h4CtyW|8zdZFB$JN(;n%T`jlvNY`p3a5My
z<do{`pn?%lOAmis^04o0eeO}5WrlR6=J^Hxt>6K6c@3)<z0)|Ki?sR=_;QCj#ZR3x
z?uf_Dyc|9e5wux?_aowqcl-hpLvQ6ir&JHS$;{*!p6~)TaEOlUBzt`EPQ0C7l?8B;
z!z~&``H!+~6tiEi2QecWO)gy+rg~7sh4;3A9KTRxy9AW_MbUG^)<u9rjZSzCw{CHn
z2@>2;u)9H`>bfHmBoeBulSb;b4d6?A*D_z%$Z%K+g$dbsdJSh+C`T2dV92?rfjuWg
zFiS*YDCnWR7)>Na<Mo$V;~dn!OV^vvjPLK>PkBW(<3%(KC+L|rFBLm&GXhd8_r&E=
zvndlR_hmK6;lG5m9<lD}I<Y$SXWyEwZSksID5(xOzL#t5)Zu)Z_gMalyvXT4nvcH3
zra9iEK0WL3BDNG-c?~hn^*niwAGZj?gEIuZ?SUJmdz>KU3hjXgerKq`#$S3|vH=+W
z+>h4*9I^9vxj*$&l#wB<d=?-n4Obuin3_{hgR9nqi6MrL6@@F5!83%%gQwNd(Nt%s
z{gVS~fU0oXe;Tm4VCdSb9DzYD)md2uHN-c$C?8~SubZqKy2Yb}Aa|cUEsW<UXR56}
zM&oC2-b&|4z}|eFy!*b&eJ<l4Jjo<w`m2h)K~-WygV}%61REQ73IY2zjmi}$=6|`8
zcppo;FPne?*z*0_Pfen*qt~~l2$mX<_hbOLTXK>XS*>RbrSFsN^SLoyImz8hOg+d_
z{bl%)!JS?^v?_HPsiaFo>+*gaIoNDCHBejq7E@t#XAfZNIRMeP3f|mK3>RT6vxyU1
r+mX$>NAk|eW9nwrwi4ia3eDO$nl4O1#b7w5rNb(`?F2YDA=Y2|o|Xsd

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_autoneg.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_autoneg.v
new file mode 100644
index 0000000000000000000000000000000000000000..22d8f7d902261fa0ca5a9cdbcfd41b243d4b1757
GIT binary patch
literal 23424
zcmV(jK=!|j6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?-xDo!j9(H*o%vRpi^^+7A1
zerl_(je`ScOu`Mk<PS0LcT@GxX0ZV8Oipw*)u5;b4|x6Sjzad!a-X;)HQjQ~SJ1bN
zV4Gp;+A1=g@8Qxtnbh?ZQO@D<q!bU?oIG$G8M<g!b#j4ptYzWD4HICk4^C*<lL_e-
zJ0DB}5_reqtlY8wLGRgQ4dV1Jexn#)0pf?Ui!a?-psl3Q(d<kHrItI!A3;NfeJ`yY
zdX{jL(LXtE=MK-M$a@!Een{ef5WZY`G<9?J`oMq^cHIwc5rmAV2AEc!C_}QP&Or*R
zPePTnBez`0r1YZ4V=$h38YGTuabdQgZm>6}kIgO4s-3?#Sh0_BuWeKDK)yrl;D)xi
zeIq@mJhuUjH<V^D5#>9t16%&bV7gI^PKne73`Z+i0yRu_-O*SdR(TDEG5HhD0mJv}
zjjo?S4&|B~4Jxb400VPubQwt-<G8CXb{mQBNfnIYbI&*<`;dFC$|6glu^-BgZ-vJ1
z+6Ij^aM=9-Hr3TXf5s)&^0^xU_3HoRj)yz$tN*u}{Y@`f!C1Cy$h8c?50H@0Z202w
zYsrLq8608W0^a!g4f5@qBtM~Q6X-M{&`~K6nWGm^{J=Z?`Ac9dILnCZB4qQq1bo@P
zX$5kP_}KEhc>=249?8CSg<o_$$zW=734h#R-*;Y50S7NQrld0?v}Z>Z$rIGm5-X^X
zHT!p2!DjQqj<otRE^P)}e0E4{`aq0tjls0sA;28=xw()q4C)ITfA2f=@g63K2f{R%
zS8~PHD*KU<Qnv|fahDb2lv8I8Yz*{lr+E*Q7nq!vitNNU0B2=iW&u`D>rb=D462|*
zU#1gAG?wsSm}nU&QXKTH(YvXmK*^%nC00W<Ho&=8O$t+*AS9qS@eDHs#AJBI3uiTc
z6We$9C0poxwo56Cz`ZES&cXj1W%}L%5}hoi6E-7!nAh%!1XgOwxnIj`K4{mupa9SD
zBO8LCT}a>FpDoR*j@WXE-$H}3XNS52OoL<ee8IiARr-8QMe(T0jbzV(oU(;g<E6Sw
z|3Mr06haN{<jYmQ!gvc`M_AO~?Qc*o9p$E#Kx8h4@uihE1nWLnzd6bXUiBw!e=pv_
z^o0x96f<gG^VpPCBn(YS)fETiiM1fC!}!Me9v}}Ge%VSkHvihIu}|IPZ70gQw>s`j
zW3vr#45cuOaCV?jR`*jJeyjusL`Vk{NFuGu`rrE6Fcs5OWQn1lwIW&j3wm{p*|-S%
zWE>r(=tc(0B&V$xvVyFO3d(Y7vZz1CnVnj{2TP4;m1g-7!PnYe|6l2;6Q>lS_giGb
z$A$Unsu5IM6mHMvN{!7gU|aO~))w>bJ{Akjqfz`H>=}En<PylSXhFQ4YMTTW--Q0O
z)vLvc;+=oSSSI#JllMT*3I6DkJfwtsQx}zBQNTnq`VedlWJ2H%CqpH6zCYU5o?jCX
zx$Uf`Oeub3f^2`~Sg>}|e691u<K(<XFt6X}z*;+=8dR}Ue1NP|M=>TX7Pv=EzpG-k
ztkblUPelnlW3OWv-1lYbvdTb_FSPc&Eotu*9trl2m-ugA_B=X;I?3_!u8k%1l#2)k
ztF;HWX#0U8!3P@B7mJo6>=a+fHLTL!dCifPqL<cg+g98DMm0YMNa85c2d})LZs5-W
z7?<yi?h&1L5y}>So2B_C5KHz?x0`muQPE>Hf>JSW7gP~Fg;ejsprOcZwLL|)s3irk
zeWql3LlKd<uO!N1;oGLV%!8m+DVay8NEnfzmL6N_JL{M&y_--CSoeR<2TTV=?q2$?
z)v6L-@B0Ty%sPM$NpulY*q>ih4@9XZ%ykopg!N!6a<npgscCr!wv=|O3_i-@rGG(e
z1WcW3=Wn${Y5cNJ+`p{zVGBSNY~gVD?q@z1i9GDC1b7N{0qW@*iSXjGcZtt|2I&+m
z&A=-z!2b}p)SdPZX<9W6iT;`k=sD~(JhvQSP@VX2*h$(a&hZAyzCW~p9GB<Qu)+(k
z*#IT0vN`OvB49@vNC~udj?c5u0kKap+(A4K2I<oN#RsFLGNALHPfXZe6O?aU3nx5r
z%1=<0KN)?}nX(4ncd~5(kfkdPpj-H$F2mvzqK#G(&Rb>?amW>pB#k|E3LUKtF>_lf
z%ETa$Qi}{$2|L>44L8y)0PuQdQLOfcB^H?y7$@c>H|@$do#sq4LJ7IewX(%Y&mgDW
z*YxjtrvRgP@m+#sZ;RVEoPeVqppornq5%y(czR?G$AeCEU(!V3X5AIMQal*~ggCOh
z<(@`_Uu<0|-pl%sHgSk-1vximNh6GRBUoLMB1V?L1WQr>n%@`6{UZbY0gKUt=gs1v
z{o#rD^G%UDBVogm2RH$ixeLR&+Oj$hD4~-cYh?I^>YnjlLmvmqc-7e3fN3|7V)bX;
zKn0bFk!m>aK@Z@&*lvvw)d|0B#mIYZdq{bE>k;38Ja+|7C|(Dfe9l40DM39esDrZ*
zI@-~cAaG6{XaOhUnoGzr!XX~WTNkDGQQCVr9U3xu!p|0Q?Y(EpU>3yM`43UML3VmQ
zneIyH3YcGtNT~2lrXQZ8%N0ZUG(_kuthEelmw0viaUUg4%?e0|-BthlZH3WcL%gb*
zx2l4`n4S^Ai-Up>Eu;b^(K`ii^VV?boW*sQcfS?ry(cErK!!NSb2jAIf3F^2!Q>y#
z%mcDKX2FvG9et=%$Yt@#7ZmyL6&+ZWNux$O7pxU{X4SLhwjDBVEoAMQaQBu%^-oZ$
z+3EYqY#}@s(c~Kb&1jYtvI+|qmfv4wmssBV+A%#7Z6Dp7B0RZfdr89FTc;#mc-pqq
zH?T-}6yW0<$myY*J03DC9czK?SNDE6Zpf(y8QJWg`PJ6CjLgSMIbFAP*8hoO$Au4^
z{{>S5TgYzuSDX;eJzi_8Q+1i3y?nTdFr?o7w`pJ8Gmf+e;!sLi8EO3NTBkBVs((6!
zFv9ebbNj90wDo~z5t%bJZH7&(fjE6C>m+<{Z~<!P+xqfPRdW1d;DsS##%B-2lZY_s
zJo$&rc)olzv5@gambeL{D*NWkX>B+AF_s``<nMF&*v|J2xJUGv9%vbLu#1Tolu>YZ
zFF}kuHTdWQ_)zDCVXs~>xgqTV*Q>dZnEHt<(<hKduwQ7#;?d#jg0->0DQYy3w|&&&
zKAr^M^BfNOJx=0$8U1ioY`kM>Fj|!N_7}y<j^2DMM@%u<(_FWu<7TB<ng_iSOO>nd
zHvV$X?*V_&H8~Y)syHnFTc%Aj_{Hs~4V73wh?uHkafB|d!BRA&diz#o7Uih91P}>N
z&@6`joY)TAlGt)o4Il-1>hO!>jFj_*0s#W^(;ID2!ETgn(X*$gfXrv#$14+NWP8F7
ze7ZoVCNtiM!<XA*Q1+9K_al)6qr(5E@P%{!-B+>q6y|N6uC%wppEvo=y~b}sz>6H%
z*oid@*joBw;Az|v%uClPC`y6d5xmlB?4jj7ovmO}i_bNT6_Z@3Yq8>t5b?Vg|K`&@
zn0Po}XSU=CBbUxf@I1R->L)dSFodLwvTaE#Ah|?L(psw+wha0z&p>Z!ZRU#`&pK($
zu!`f8tfq6RejSe#a(ERKs03d<%AHRX1rC2yplj)bEGq$|^!ayyhYg{g<fxjR_M1?F
zPqG%Ms;?gia<}FevC%r?$xe&6QQ*_{#iUO`8fZqTXc*U;9@cdid|a1sH=xN5L3U9$
z82Q;BJ7_e!J`Nsdj)2|Q<yW#|1D$^EJs;Jg0|EPVmeRe1iRz*BERpfiI9id*yG4@q
zyxlp_OWc3-tLBzx&K;q$x^v722#QT9ajnzO>|8X2v8POgAi{DK=W5O3FuLmwA=E8Y
zDR0kbTiBiTHF~_h(aGz}bGn?Az2ND-7YN|Wo%0dEWI5djI~ynRD@Ty5R*?O9=FuzP
zHSsPf!z1AqjtO%a&XLDrv<GER>c$G_7Z2Ia4v}RsP*DZW0C!VCn`zD^foK<vfMoJ5
zuv|z!CeFs%nVC%?72Yfvl|#)1$kj3Yw{4NQ&>l5L5ZczAQO8}@a!ZBrNS4Z|<^EKq
zGz%LPHja+#v8`JO%4RMePkxH$Rak7+25OByk=U7t#!F>OU^{&1#gjyb7oM><O}Q-n
z=GEH1h<XOk=hkyJNZb@4^A6hem00RAmQ!?qf*698=eD|S4Jd9JC|GAdjEv(#-mtO^
zN<P&OoviOI_%QC6Y^jiyCZ%6r7B=r-(wd$d%=#NkBWx(W<F9trfQlV`DHi#?-Zaem
z2IX4F1KYhVl{#RwN?tmu)Av=Z!%e??qxO2BpOoGoAl)|+U0C*@ZeEtv_3vH&Up2VX
zvBcIck$sY>jSH#Mr@ag6+n$+44GCir!n2+G0?KR1xKN2R?um?I!=yIk|M2Tu7-(3(
zx0Jx!$<U|5g;yvYf3uWN6xC7l31*@L*Q$40!{kzwA~zoE4}G09lhP{zwDG9X67@sk
zQhHGhP}k31vJ1y}K0_(&!5DV2mrMm~x~LF*A4iSNj6Opz$6Db+GSs>OE^||aQ}?TP
z72<M$W;LnNu{*%Y;FO>bGu)h^BKE_f=Bzq?V|iL031ZVxb#lNN$X$0j1^eoI2unM4
z>#CG->sGOh7mNDw71U0nU@b>zYiCG00wX*)OSRLX`)v9k4h6{Vegwi$;Hr-#PE6YA
zV;=#I>q~sZ>Intz#UEx^#rUNhjOgjAOSBClBP_zZyW##H-41;i^*cFu-P+BQR%+t6
zZ+pw+Cu&+KjBFa3FpWJv77MT+*bIIEx^9t(L1$3sM<k|xjhbRA_NPyyb0!_=NYZYk
zYbHh^F}KL&p4atpPkWiydF!Z3^z>e1ZU(K3i!#;3Q0x}kGFvA0hnR6~?A|){Se=N2
z)-46lIfJalGpQUXZg$MMCk43cnQ!1^ztZH@v^^y~8~ljf0z0s%jFG(Zp%A}zN>ehf
zrjMgWS*Sc9qhrxm1`5DUlNzAU883HU6QgmJpO7XV`2?5~<W)K<GLeyM$Oy%|T52z(
zPQ(}h$n39oo0=MJS(UX++Je6(f{d`cJ)Pc%?94olNb>)HmRMKUljAJ|z2Qtx+*HeA
zG>ZME2&n=vlgX3Y2X3hjID{ePDuE<TntxRV^F+2$eL0`@1)b6dBDhKJZ@cnAtlHb-
z!ld*Wau4zBCDcueC#LZT7cgwj%00iOMcZdeE%Q{oLx%~`RB?)LmzsUigR|$vxPw&x
zme|uxTyUoRU)Z1sUYHpp@P2uL_?A!@52g<fdo-yq)29!j_v?0zA-D?JH(d~P;Y6u`
zTQ+Oejyuzm2-Nq9$8HJGU6|gLz?5U}{y098sK6z~2Np7%`aoT7c$oL;!dwPc_1xS5
zX;P6sE4X1y5<#i>)VjXG-1DoZ4TE7Bw7EdRlHe^-<fm={o4jdd9irGE-XLm>b=<_Q
zp@e*`rKtR9bJ=?Dbp_}C?K3iBAZ?^G{jN0v?Y5<KttwUt9>;$HVv*VN_|!PZUitWF
zPR`{L-YVWg!`Y!$E$1rN#_`PvpTP$T%Z%Wka~YJCY<&;;vZPY4#kt{BS39I)Wzj!n
z<Y>xrd&4wcH6w3lasO<=S})$A^Ft<nXtf8;+@SQmAaCx?2%7`^%BiIk<;&k<?fu5g
z!Z4NSZb97BanfYUDaBa+EY?^^Gr!r6+@GZy)G$4BIf+V)iPs_-4u5aMLI@@2@ipYq
z5_GNuDh-HY^pW+ro?QdM+?=?M6`8ARN#t8>`f!9T6y+O!xl*K-e~4n{mXS3M@Y!cE
zq=30>B)b`>{#Z~oEzZnoCGq;6D1m|o)>+!+<f9N|I@o}k#?Aj(4=_V8)d3Ww6z5GW
z25+Lhbq={A3*>vtrn~;(Ub;tlJGge-(-i?M73?q)&>ufIy_bEEe#?vXJgDPMp5VnY
zcDGNz5_2F*Limk{>)p@6s~lFa)pNKbs_(*l$6U7Ji4{E%?t*4lGK-eR681-+AE)&H
z3Y!l4hF|(1xjxS5Jd8pYuq%M8*0#T>`;u#x_#oRbKFj|X$LiDg&Ju~RGz9`6w6i6u
zFO`%MmXgaZ*`yZ15Z4;F@!vxy!a>qnC~T3h-IX#i)5SRBuA#bn4okJ@6`5>&C@E15
zjdcrI2Q8fz5cZvwKT^8FGp6y_t;)rItJ|pBL%GP1rlXnGB53FjIo#cjMz89^$JRjC
zgWZ|K#b^470xUL0K}T|XLhf-tq~>`KT}fdw&-cWIsj@4~)*-Z#Az{mep7mDonT3lx
z3qHdA%6gcQo}A-=c<1j1H+)t<tmFXaTM8Zg1_ZC>T*mUSgbey;#b{XpVdhoxtjon`
zJ<ziagO1M}|JK-4<)rcQe6QvYUMQHetxNPvDAT$j)FR^M)S^<@KGqM0>{pf^)dh0u
z8#`l1T{Gh2IyZuFf3<|!FT%4Pfw3}y3ZOtmj#gBaeDUo<?<AhggPE_p8e@G6zb~s4
z`^m-Xn1|$=u4%K*F_NKoN)v)Ami!IOVK<FNFEE`a4@wQa!w%7e?o;xHw+Ipku7c2$
zq$^Sv^Hskn*73WqreTtw2*}YOh;Ma;gtV(@C4z*b+BJ>V<}8G!#fbYWQ8Ql?VG7kV
z4XgSywA6Zc!=CqPs%<x}09iKSWJzlEyrv1MeOOM1`&6H3=5x4aC17>vV8nlhos2nJ
z626zGdx9p%BTmTq70$@yd+B$SHdZH|GT`#kKnhc4x=}(<x2pU59$pd6I#%`5rU$nD
zt+$RNhK@rdTK>zMgD)cPDY7~#x5vSju6=*#fUeJstP<XEJ8i#Ax9#^NgjgYgFal~g
zcM?_*&Qeh!4-%u1DpwJ;%Pc8GrDA=Vj#nbL3+CA1hz$+aHuBjn*sNk1aG2p$p7MHq
zAz^<H(@GwLud99vPXM(HK@P!2D+6UQ$DQr$^dlVv7_p$?B-G<(pQ89{?fB{6u0@i?
zMs(cCJRPHEwe1gWnA}RuZg4NpG24-R?84(@fDw3^q!zPTzq(t)p4DWfm%)+j-GYC|
z=dIbhC{~8yDEe$J{U8gFcSbt0yGz1fTtunihd2$?Ws=je5yo*<)^I3V6}}nB-MzN-
zjvvVn0-_F+mT=aSH|9sgn39Yc^Oo~N-iHS!Hq=Q&Iu%?>`o>t(4UDNO=K!w%dOX>1
zWYhhlt}X_V8e7&*L(2;Zs=LzNtz=YCYoi&zBvw=suj_nG!Y%pr$VZ=qzN!8s^sa2*
zF<OINL&j|hzaAX*5i;$LF8zI?aA|f25+18hMg`C!k2#GwbxSUyhrAq1^>>ihVco8D
zSqBe8BQ7F_bx^&KS5Q<#cAwD-Y+WrH!NvPj;>=TR1lT34TT_`VZ1T+v*%p4flIOIl
znByaFA}l{sw_KXH{AZ<}i5FhD4fgVPbty_q=e&wW(=z-&8Tv<1Tu4HZ;#~7g1Ny|7
z>GMU9*#2_zz5ycsp2&;G<)C!%LSl8%h(61<_nr^x^VSROHG<J)WOcQtqbDyi@_laU
z3<-%}Osb`_#2Z<!h+yGaYjcF^!Be<XK&QWUm&f3lGF)`Vca3LKDfW81=Y)#RoT9u&
znpTh8d;7|sdsGIm?c6B&qvc1ipCPq;o5-yRT0)1HF@}PYKM+?XZK$_dFD7W+yrKc$
z?vXVL1Q{(dMi@g0<B6xNKUwWRNnLrf_>DPBUGPJTZcNBXTe_PK#C~EX<1#|<?pCBb
zy%hVSXhg5tzuc}sP>3Z$ftKnF3;e8-AIZ^eq@g;M?F{FDl_hs5blR6x1iqFMbIzsY
z9O*En003E6jKIejsAJ*)D}d!9mf-vEDQ1IwIb5s71T_UVtNCE2mEH(s*7e;Qw|Fqy
z6J1#T#X|}mLo|dq>7X*#AFt5RTV(fX>t_g!_}T`<;GAs}+w2+VkJkg^;BF8gT1~Y7
zn~FO4PUj5P&yiy%yA$ng=C&NYZpXWmlRssV7uB)+rv>|b;DVFG=Toa<lLW<AkG2eu
zT((f3O;wAcfdiZ<RA0GC3FJopvBWZT*N&Sm7FW?&3203$Xpxrz@}p+RJ|8}ed<RE&
zK88*?cZI0KX%8+yYP8A&Z90Pns*5K*{xth*i}6PgIYSwRQw5LB!|jat+W$4Yvfoyc
z>^*8UC0NFVLI~{RStqd@M7889RkE$fgo=})j*NcYqRqN3H_np<=?5t1G!qJX@RSL-
znVy!6AQk%AA9L`_ld)@+x^Ap#K#M;o1Q&iN6~qXR{|UpXi-Q;Cu6dh5a$-Dzk(j4B
z9W=lJ1-dike%H?%Kl#v0+tz%*jH|!5FBLnLJcNI$Ay6psw5a{%P4=Mv{pHDZS~db3
z=aH!9IW|0_2Lw_TRft%twuYIZIOEa<JEth11cY<iz+Q1ubskVm_~q28GCNoRyO!$b
z#{NP`%vW8C1X$bbI~@ZRx<Xogh<Frx>RMyiHJ~HK=v*!!UUV2@?xo}_HXH7}iw8~v
zsGmE<*~8#68r%2TV}^J0!&M{iflH7E1Z8@9y?R{v6P6@U;<O`Y<ibf}7yh@E3XSfb
zD|`C9sTym=$4s=V{{Dq(kbbY)Xcs-4X6+#(T%v@~tcj+xCID~3&2ZRmhyOpQ7ljy4
z3$@vm7fFgU;JdiiyiXyN;EiXTje+Q`e)T?ImdUcw#T6mI#+OPygoBV&h1K<#I}6@I
z=0Tgu;>N=0j-_rMCT6+dzxU<`&^Dhzs8E^2X);lNtF8`P!f0zH+pY}Z=Q~r-n*d~W
z7pkRsh_Hv?dn`Xy9lyliGBw&3_p>-51Ngs52(Byu;h60xTL@{qO}%nKBLpc9oyAw;
zjr&ol^UPzGt2#FHdDCKd7PocO*5bnRk}a)4OGK5UnyKp9QagHuq74socSyX5ScZJ~
z^6jHViu0E7mQp(gD3ZS($khS*Xh&v%ni9Ru;YB`2=_)tnvIdoXRp@PNGH4uEKLwjx
z`IFq=T)*}ER1sk|DY(1m>@>4@rK5O@(uanme|?vQvGPjyys%a>EyJ<f7|z<Z0szyu
z!kGXa#^tTgiRQng0v}x9m*;mjVw5dq<jXYpS~>QoNOqMQdE=Bn&OvUH8q<lJrUEe?
zrmnZ5eHN+uJQEd?m<V*aHCet4y`4{pjwCi&s%t30qvd|VW7*#{D3r{FQDixRUGu_C
zN}Bz?xvt-Mew0py69(l5`9VmNg_345iI}~=8Z+m!Rt7T>sK4cEk|*X_{^MMQJNaKd
zNd{M61%NYlk+%04Ht3D|v}WH)iE%}0xq>hf2Zb{=oNzqNzBe=%|HGL?mLg7GrmHmD
zTI;<;Rg67|e-rnsqvn~UD-XKVX8D<~CEpaV2F0)Lz$l)fnTDvL3T_yA9wUj7Y8g0K
z3;sy|)i5+I!Sd0sRu4oijR?xb1XjAO&drllnla_%@(=dTSs;oAF#-X5_yo~m`#iMM
z-RjX^BWVY$0r^`r2;E~9K`998w7<cU4}%p&9H;D!0zzIDXp;=W6g1eAg;U=8dwue3
z<g`h9%a^qDen!h)p`d%H)qJVv#uRGuaM!vfc0Kq>&jO_BiIvFyCH>u`J)bM@g9pTi
zw<fwPW=rz*Nr2LtZ%@t*jt4vCeo}yOp#M=og@=y6BsPMPzza73l%k;R|Me(==Fmtm
z$O_7Dn5%GsKw-?GAWXRM6yyxSYyMu*+NfsXRZp2}(+~!yX@pZVmuRQb04U<O!SC4A
z1M*c@M8hb|7$r6T<&=2hH%%fG*=1k2IHU`o)3c5Cf5SHD5t}t7UD132aFS^gV8fAZ
zxm3yM(@zi>_9pYJf)DqiV>IXD4?c|$T!YlsLl?2i7i&pv|3g>KfMS&eY78w$*~sRj
zW+l7m=Mi<#ywze?>4=Dj8>EndbrS^ZJeb#fTbi4xF5r^`RXTK+AwUwiZIRM7qasen
zU5E%;T)S#L-~t6HT2=}qD{ZaAIy~szZVfyeggkie?3raI-vs&?jke=YH!4rZhs}Fl
z!{p%73|CkJ*C(DVQzqTQ^>RWJ8Kl9aCPro40(#9~<9n7AzsbmK%diDciHvw>9T_-(
z0P&t|quCR6wUkk*m&pl4xWiw*a0K#npbD+f#?k)xF7*8Q(smpa*`NDkHSLG%@zo-P
z`uj~+XM8t0vL?FE5!oZ}kA7yyN*)Xr#?>LSvChT(8(72qA+{<J{|p~tq}#0KA{|Oq
za~mqIs_q1m+(fEO%mh?(_<+Twzp1_&<DZp?I^NUO9gkf_cM_*GE)uc~yp9^SNKbc<
z12@#wDw3`bWaKO0`UdcFPtcVbjm>&R;Gim67B9dIcR&ab;~{<-f>tv+F?2a%TCvSI
zw(?LqmlXs<fO8uzZs7Ru%?FOfGxmz<SsW8Pt^(=%rt`~74t@H_DxAh&h2VpA@uv=P
zNC5WmPOh*^zuB0GFm7BhUJPjkbm;O)R#|mxVS_0eAcqtRqFY65*});^w?LPE3l71!
z&-B12P)rpBI&QmvS#JQ~7V36W?rH!!hbo>d#eCSI5Rjvv@qx{ywrb?a#l$r27r1`v
zQA6Wpd9xiW>FeH>k+l*Iy!QLQiSgR>mdA7xSM$FHu9;5#h7ENrG8TS~;tg8KRtaTA
zM4KF4c1|SD?!8_PVA~PTQOl-3H5yZW5jU|#O5`Ts3E3C2r6&ter&c~;^n>0(AC3_s
z0@tQemdXy&yV;ionL_$3l*z=^oA*>Mp<8q$0Z)lt>At4|>V%)QDwknnQzBqLTb4z{
zl(47NZC6am8#*)+l$0hg6q-qqjNFL$;^kIF57AQrZToD^MnW#r6IZ@6w)}=nr7~(7
z#^O_myGCt)&GLL1^wd)XGGah$HpX|bPm5)dB!&MOw}4(y%fwPk@)A8kD-{jLSWOOQ
z)dEvw<dtMiZk~lN?G6#As0(ez1EN9T+ay65)akC29wox2rZxNZ11ORJiHjW$M}6){
z)p3HL=aUpmyeQE*og>6l$pE-qqT%pWT4&kWe0DJi(SuROx`GcAX6+yNY<}N?(3lIO
ztgM*xb=e27pvYT`npW311>hy}d8%4NhxJkaI_4F~%MpT&t8PP!<#a^|{C(_8n(`pH
zc4V^Gs2d_j0Q(oLe_3X>%FE>oHUi?+fI8L7<5rQwWs#mPOH$hj5rqnXg=yOrdtOfA
zx|m?fDdea<=wmwJlW%2biGLLs);^^Do(JS07=wz6FeUX5(SK1G>-jvV4EDi_B~J%M
z>Y%9zs}f~I_}tut-I$g?pS|%Zba`Gi$uku0;w{Z8to&<a+9BFEooMnKyt{@ur)fdZ
z=gw+_sQJRqY743CoI=mKdwqwzgD;p+pZCjIFGT9m*h8?$HHCp3u?L(<oRiWT<>!;3
z@1eY6ko4x0GNQq-^rZOfnJ$?4`LWAv*Vb%LECK{|zG~Sn5b61tvmyiJM5^7KF8MPl
zAZ#segL{(X`0(5TSQ8OrVLe#JHgsR<(O`>21IkHHk&NX653ScP8XG{)gjx*ErU@XJ
z&}<F2mx{>##sXc23O_<sJMaSShC(;vzv7Eslz%;Qd6}|sk*@Oc_FiS!<iN^eZVLu&
z$CpdR@3K*%6He<MPbX}WZLoD~|40*^Wux*N?H1(vlP{HBP$2<+$W|*Q=$$(Bu~y4b
zK#t*m00n`KVcIzAI!LNJ!--(oh=B%KAuddYFCL{k+Z_is;?E3`-QaU~srB(t9xJfv
zNHK=VDtaOABGhut$2DoQ4d#KbSI^_JL>$gINAH~>)pq~*Jf$WoV{)R!^vmlOCc2!m
zWc)rS3MdQfIh#Hq#T1q0(;E=clDhWWD5Ox8EDUdm$>P|?kJp@2V83N(y|ai<trM;K
z&sKB?FrAQqH#CmzZCQG|qPbiEPQxO}pw$#h=qr4hkRr_B^9+z};(Te2&V#KC_;RjZ
zcB5fYguGR;PaK7mB7*6s<f;_2ZzMgf#;te3FU25TGg6#<!|0bA?G?L4=qtTw`G{H{
z@t!j3`7@zZPq`L!59*F@rT)qXPbC<&HdU5@qc-TOn%3UA8xK=7&u(i|v#$#l6yZq4
zEAMaWl{nM(bx$^U(3bxPNnDlGWT8Baivk1c<}*is?(e}3f6EU@RQbggD)%l%`G*l4
zY(DGYwzMDgl$ny5pQDA?+c;l?wn&ec?Zmx2e<c`+d(862v<`Yf9Y(h1w@MSZePiFY
z8rVD2DCYh;Z)!ap6S_{nk#)hYaRkOr!56N;5P2aevYJWh#r8#$J1?H{HB)fiYOuGi
z8h0H##J>f4RFi>-$a}|nn@~e-9g19^>?EF@ZnM)ZJ4-QpVqU{$>>8~KR!C&b!%>$r
zmZS`Q33&`}LG5sGukB_UDkQ7?V$XBiB2_S?-U7>`vlBxULHP&_I3<2uFGSU#n0!{q
zbN}l*Gi#aU8#%*A9J!z#rVlv0Thc}h<bUU(`5i2uO#I$iiKvU^iZY(3M;Tki3WgiP
zU4blHk;3r%x9{=;=MLyqAor!;kra10W{`^+s}japR#|E*#%Wmq1;LsSnuP7#pB%Oe
zOje!jno}2tt$smGtHl+$f~7bmF30m+ZP$)Na6jFJhrT8jZiw;6sP&6b^>=BZM=NkX
z9$-o>=upiZl(+x;!zDnznU_|ZRodsh9H&Ihh)>cH4H*VzS_0f3OwjJ~O_S-2UPNF2
zWo4n(P4$E&>M{_>jPk*CXGzL7eC#gL4>gq&jjy{h5{j-$m+Svqg7!QC)YR}@Ly#S(
zj>gJT8>zP;?lIk?VO2cG{CLGF664<QyS*I&XH-3**441bc)43^%LpOcw?!!kaQ7Tc
zzHh=zj{#XH-S3Sp+iH&YLiB?WmzCR^fHB=|TJm9&(`pnkMD10xl!MZAYLvz`WD%xI
zek^$AdnVRa(UA{$Yy+7n)nKU!h(f`fkIjqZ3>$jPdb)D*1`JO@Zp7BI27^8=J{tcB
zA`hE)f*#ZNp4mJg1aj_Ma)&xIOIgv%?b!OTT#QlYhdSNhB`Pw^(iUeMCNa|?{B?{n
z&`uyTE)TPOtwBKo8!+mxlPG1svafY0L(Kn!oc*z3IY(xRcG&GXFQ|JInI=UicurS-
z=X4NEzTm;^p?9FU>#jFw3=nkx*8-`02<4h$0h8Pl-*I0F)ehdkNhCRzgeU}-$^fto
zLF3A)<kg1Yd~@FO`Uo#>9qulr8j&!i!=ddIDL<o*!0kcU&=xHnNFg*ymjgJ7O+!+W
z#y}{zh(qh|n9bOs&`mziIldh87wx+5Cz^VYtI^$~cfPW&s=i57X60v}MU=l!U;E;B
zh>~1_+gx=uJBMJAhQwrTA>A*o%drF{ps-2`Sknvg3}%>Q34tz{JI;tS@2=tEv=SM#
zkec8*)j$xA1~je##%#SaZAs+WEB#&mUltY^gV_uQE;yTI^V+_Id>`=+dwDYs$X&c8
z!;ln2@pE^aLDr|R0^V#nE#j|6EG7TIgXy_EiQ3LRy!f$`>aTFRX|+X1$bO5;uX?ot
zCpu==@QlDTJs+(_dj`M4xbsY{Rs(5*k}eb~D7<@@nEGSbsA0_9Ym$BEt^Jim#>{78
zgl^V_BBIxYm>sK3E)5_`HZ$dpb@bsuqn!viDdND~Eh5kLNF_e%i}?RA2^FOYOUaHF
zx-NAnv!l*H!Mlht;_lP^^oV<}SRKX%dl~`wz5{^q+lWP1EnFE()3<pw@^QyV19l4F
z2cgn=(fj(dW?&7niVI%N95$;<n#$;$l1x82Hv|wZ7}|*RW$(t!;r)EyjP=Id{p#~R
z7AIpvotgOKM-PfPl_J=`c{|@4c(Bsfai!~gBT2B47dO4=<k@iTLkEIix3%J6{X>e@
zfbY0RE5F4`46j8eMANp6wF&DT;(>~bvy^{r4QgwPN8%Ok^RV_u#y2Kp6<$X=WfJ!q
z&tg$%Qa$aOoI&iF)`ywznMoj^xfh0%MSBXDq-&z4fxF_j91$j?Fle5m0I?Lk78!kC
ztQR4Dv?K~b6VV&M9J@(d);8VRUgu;D&!Y$-qG_R>7cy24V(KsfKVq3)%RSMfU1=*Y
zNEPeMP!-p%cozWqpfh0TUFQh3lTr}qH0FZeUQqsc`e?YHMA~2yAG}{_3NN}#E2Mt>
z60#ZT0(B1fNFVGwiyio(CK_`_^1O}CYl1jVjq#Ug*K?ptAiaSMy#o(5RB|&&EZfqF
zNuY>3tb{e-2C<xV#Y59zxx%kF<<A1HSq)V>;4H=%h)$eNtD4m<9n@E5s%~Ax1+IP|
zndV#PbQo~`Gr;a6V?Ii&x9Xa0DO?)p3<$#AMA8M^W;CrLLDIuNU9VyFM>}sDPSL;z
zLGxC#Wpd=Ub)hU#2u(2Eyfp)TB5Nak5*n=qLei#ry2x|%?BH`E<_ny?ZcMh$JE7C1
zR3*}$#wtpp9=p<^4U)x)>7Yq+d0oq`i(H4qsG^BpkaSh55%b(70@|yr)XQ3cQ(@D$
z_iz-1`zOyn%-YRV;|$Bs&e6wg8yu9w-V{N5y}ZDg-P0LqlZc)sM%D3;()OT-q+eR{
zdQ^y?&Ozg0-Ilo8lZ^t?U^sP}x+&p2(yGHs>=a`K<3>01WhIANwwQ~t+w)Ppeg1%-
z<eQ(^1~;-DG_k&sxH~G(%$O7Dn!Og&<9-8oh#B~-vp$>RV^w*}v7DWdUtV;dl2g!1
z_jYNA-TlGtBz6L7H^w)RO|j@b>E~~N4b??|;-`lxpRcvUyySv42K+IUd(w-eQa(%n
zyXP4%VMn*LGlm|JMr|1?ge+*uW`XuF6kzRnHPhvA1D2r8ypqLMHpgO+^_uf-?+Ru?
z>G;*l^}B4k0YXW)fN=FjeoY{*?%$MvS>Ns@hR-e?Ip6XDJ+A?9PDhYTt3tnD)+vr_
z>!j*6VF^=y0F{zZwp&aYOwF7bv7c)3yDhY|(TnN1bGuGz1ATM3NqRlmNkOMb!>^;z
z#bWH-V#aY+$neTzx#8tBXf_zD=W<g?q<F}8S#&%;*5Rj}@DWkTSCom^$J0K+JP&yk
zHym*AEcujBa^C2)&SU%1H^#|D7rOvZ<81Xii#k?C4#X`j(^;9RW^cV<?2HJ|EJbDt
zWNz?hI%M{9=l?hHt`;%7quT9KLWb<{k<cbM${k?Xe{cf!{|$#Obi9^}47X3rFLQAK
z=i1#{QXr3e{U`Zb&CX!<E&EOKwH!<F?8>M*cfQ6AYm(oPVCB788=}>Hf}ojvNEOk?
zf#GJ4b|L5w+d#jXa1A$=(bw4hQOnI5V4O)MN0}M^D-19#Tgg?!Cf|?wppDkC1!=3t
z@DpY7p&;UXjWlZoAANY-Ygs?zPjY$B0+w1Wgmp%A1>AsGj62I=6En{Q6bM{h2{dm+
z&cwd30V&yg*8fvYuT+S4r>!jn+O|?iOTd1ecV%X`eS1124Pa(}4Xx_OKg6j|kAniN
zo2+BGxQ>`9DM86}(T#iTa1BPQNquPU#o3UM)4iX*t2D}a6c!w_5SK-fOifIi7qE;g
z-OO;NhEUETRcDQT6P>h4|CuH=s>wpKJ)xt>Vb&3!!*gP_C!ey7DXV>2fq?4v{V~#-
z4e7ro+Bbg*;`D#e{ENaH-5}SZDkvhQd46}p?&<Vn+hX5r=sZMlwr>{bD1BVn(1on$
zXzdb4D%sW7ILa}%OdFWi$JXXiGyklro(g)=PcOd}bGNghTebkS!3SX~hFph`X@C^4
zAe4h<s-dv#`^mVr=^@d$13mI|Xweb7r_cFKF1jdlc|<Q{=!{p6b~*kt2+_$$xo37V
zI}-P|M^X$%t$BvzM|V2OH+TXj78QgDD1@&<AHs~NW~=)(gS(VECd*t+SSh7ccjvaK
zv-jlDnOEz#nC++w;ve6rJe+MFzjQzc%;1_}!>)BSd#oGMuu8(u&N`dk3(gTc*V<-!
ztHdmfVE{cx8fV~!&TeB$h4#fC^`~v^OzE4z8HjXsWa(b%7=%5df|0s*ZA83=#9QZf
z)2wD6u^T^fChil}SdKbYtq{(S`}6TSZQ&(WkfR^PQn(gkR7ixlWM=~*|I|AFY$V1m
z`^bCaFyZ!})QUO^fw(ZcaeYZ04qu|?sw94GYXE`=^p6pTeHd;mFJFynK6|P{mnSAm
zH|_h+tnG&oO2qhRpl3Xcz=?d>RHnucY7xDx{Rv$+VwW;V08Wd6njBUU4X}S_?7|Wc
zsa%F@Z`_I!osHQ6h<ERWt-jc4qV&y}YVK&hI8Q<q2s&|G@ULg?O|PyOH21skJodjd
zq~ZKe?+HxN^agh_XWD{kb9Le9?E@55lb7k1JevON87U9QLyc%*2{`HxR;<C7b6fpG
z(w5n2wu*1{;Xr9o{cWJ21gFF3i-4J@{^JSxdbjV~=UD(5j+QBalzy{_Wj8mPt;&NY
zBv0CG=V9IM;wR(fbn{2AU_#>$jI3~04n3H<OS^a3=rpRD|NZS@G=<NhVs;Bfzg?(s
zGb_<^YM*()MCxdiD&+P~X%6~<Y5O}&-xMLTSZ9z5icq!Z1dGcHIRxKAKiymbAO((l
zn0&~wd1O*2%2h(>Jk)ZiFcSA8*>1d&!E!6Dn5B3pe52$a`#nx!2vVfOD{z_xQ#$Sv
zGD+J_$3qdP1Lm0g%QX%Mt!o``5iS5{5K|u=5}PxAZm#h$>PNJ6vWxMzKyQ3I2@4|>
ziyE2y5EW;kwcJ@a9u(iLx!b9yyLavEoT}jr7q+6cC*VShsHET&*`OO<Yc1P9iwVu%
zL}D{03{;7R-Xg`l7Cl%pjU}pg=@JX?F@c0UqUOU>4tsjU{EidmPYlOw4{c;}Is)<o
z?F^w<YJuV-VVu2lvJaL;qw3Q>;vFyZc>C0%`a&5YdQGX;Y{6!1t-W8iWGPkd01&s+
z+W+-;3KYM6X70|3PzF1Cd-=cdJ+?zDVJEA!6TpesF8u12MEVA1!bHPiS$sy2Z=qn!
zOonbsP2hMb`tz$C55Uy6DWGS))_Z0K#r8UBfLEK>O+B+fhsZkfJ@l4C`U8e@vNsn{
zDAwP;aVB`f^2rqtIW_$o<Lly-Aixyg$)0leBYdXhSlT@9Ag!o~7c}RCQ?YSccD?i?
z-uOOO!U73H2-&|KIS8ZQ$bYsf^{kWG_z2QEn!c}iB9?GkGTR?Hi*vSqD56Cms)92F
zX3#y)D`uehi+8S1ZyKp3^@TV#%KU{hDa^SjR1Ri}P|Ki(sAB9ibH|IYir93~E3m=l
z;9K%={KL2@Q(BM-jT?BDKvXQVlsO`Rv>{s8gG<VL6cA!8Uq>~jox;hJl=0d9Fsfv`
z3%|p2=C!b8<ilQWnkArixPg#WUooO0P;)&l=$B(2+$#S`>WMK5N?FO?w8JQd^A-*(
z(^FI3W?p)0eK1%!ozHil5*u=tD_y3tT)!TNA!6*e16Sa)S*p+g_%A4}dom$OpJre(
zx0`F)BDGL-H}Mjln2mqK?h`5lWDX8`86f=16tPwPUfsReHUA;SY*)~aTei?H6hHi8
zu5TLw*8nT-?p_7NULKoR1~xai^YJ*Nk5{4yh@LM%7ZL)rYX^9UWlFEp-3-dQIj2P8
z;xn)`@{D4?a7e<|u<jtE2W>@2u?6po3MPNW5D893>E*n%mZsQ`p8OMcZdDmA9F*=M
zw0l_xmj!VYo!E8MDQ%Bsq}F;#-k)pH8ls`aowwb$z4t<o7*z#VwxQYLK0`W66R(Jb
zeMC-P_eQ|emnSGTWOSvhbT|WN!b3jD+hc0^4ep!Ihem8S7TH9?M%gO;eT8avb(KmB
zR4u@i#II9lsTvnKO9+#vC@}<<Q(6FFUaVW!(g5PhdsJ`)y5U$mxZXU0tioD}P;PSY
zmI%%VGs#pkr>nCxTwO7fhSRFW&P~c?^`eh*VA|*;yq4xX|F6r?GAW)-AgK@&jpC7n
zJC}`gS!OaNwDZ1?_6E@TBO4!`)Ne}P8^>8mc6TGE(cij`6{z{IyZN9Mj?X3!M91>E
zc-J`FKSM1M9yknAL4Ixghf_c18C)g^^F|NI%`4x;M{FBE01PF>5<=l?l=oe-@uFev
zlW2|z%@ID3MKfg7kpW!k9=n1sDu_?HjVo`Q&qTA8@m^yJx})FYJp=#vBE=u5x{{#(
zZ`nxRnbc2m-gk&cDh8TGRJ|YrES&J!F$1>sppRPF5X(!{Mw8DGCSONc$HvB-zk(8O
zo8*k$|L`r2RTrz{oA8pG|2iiY^x$rIG717MhkwT=HoZ0KTr4um_cSIj#Pz84wvUgg
z1>D;vkicD*vC_+XZ6b=|nGpHDUOu8a3PgftcX>79>Q3&MdZ8$FkzE`)4NAICPx>3o
zG%}x$Jr6$&-EYE6(3p|63c9hY({Au=j{#zQY@8)hE=?cUwWH*sB&@2p!)se0?eDPI
zXujT9Q<h*TDS6kS?}LDSUo@D#{yiq)sg+XnM0WPA(DE}WepWH835P-K)RmzZDG9p)
z_W{G(ISBK)k4W=uANVux4aufhxSh_Zr##ce)izP!b`jFZG-U7(MSGksy!(Tt$Rm*6
zE{>-eS!3_Tf+@8(`1?Jhz{ZDm_%w;b`avS3O|~&m`Gi*VRD0&-eIoQY0?dCm=8xnQ
zjA3OsKQ8!c9No-t!AM`r6XN^rD|xc+leOx>NFW}-#cHVvVaE(9H)wXB&?HcMj>051
zm<&=w%e0o_Wtg)c#fX|VN|}|`h1qKv0pg98moUZlk1w9T@6^7nS4d2|YB`lhDcMpv
z$Z*c-$fOv%?&6$}2B&bO2U@h<UBJlHX&HlYKM$)M-JoK8o#xRkr>`C%!m#pjq^&$=
za^H85SNFkB9(8w^-;?NP1;&!E8>!+JL}@tM+E2V#_BMX11&U9o@6#5IH@@+C9VRDn
zIST~l9jp3Rj?M`)O?y;&l<l#yGLNcz8*W0ihR&9zVI>O`n+*@VN}E^@DQvO}-fEkY
z(y3S3_kZtq$EPOJGi_uN!0q_%NIPsMqNsaoMhH#>#RvJ&f>T=-LMN)R9ruqVMeCik
zZLNXQM0erNz7WL>h?UYKz`nJEotja~mU-ijmzX~DCM?`mqk*;q!=cO6Y2L+;OHFkk
zuXg_?KK_Vuuxw#I#~BTbkhUYH8VM<c-73+3zDjZkdMd9?!ZreI{tr$dK8#aXtV+_B
z!ut1_`_+SCS)>S3W(E2FD3aEIu`t)C1BSFV4Ufq*OVpG0BqMUMC1^WRD6lKg_H4(B
z8GL~KuVnz+(~>)B-5oR5QzkN5Jt}w2wSCspLHeX0Eyu?BMbDT{umze-47VC8Vl!y%
zd$A9*3yy~ZpVJ|=9dEplTd*jjM1rD!m{nYZK~Ri<H$ERyaxuMSx!2<xyWVp?iqU$d
z{peeJn+$vT9`MNULDG;__q(Ug66I+z>ziGXBCsyzE*J}N6(PhkkQhuLCEV_S?29)4
zST(G+iHgJWhoce~=I-ggqo9gM5jW(a6eS6Y0S{#H%&zj6Ffi*a7F1ChWGNbZZc#E|
z!jF$h9^YPZZK8?Q{Yw_lv7g!0QPd$_CjOxhYMNxzKh{6~@HN*@iN9n57008co(^Y=
zEP9!i_9G)R)GY1PsJU4$TCdo&W1#*;35HfowJm8gV?i-E`a@_KL2F#fBgSQ6O#vE^
zUS~f>&`~XG9VEAAz?<)}IEw*oZbQ)qB8;Nu9&N&W64(1zK6^UTw%w=ge>)sVe@#>D
z1tl0fC65bB0_TZ!Mk+0l^sK=TeJ_cZDbxToq^ea~<0`CHPmp?b-iW~BA`pm#!Vx#=
zLM*K%ZASUzk-nmCHwc!<#MgBID<tT+epkG$v#IpIQb}zXq0<e~r#?3l?Ga@oJ;icB
z45w1G{d*8l6-i9r+FcN|6rAN83@J_QZ5eYO*BFA!M|yT7yo%T*m9oU%jIz9Yy5T|7
zCYHu`*EMM<242w(T0AiUb=nDB%M$%u(6Atw6dr9mLGtk~;{7hWimq}v#KzjFQ^#^R
z`czcsEY9H0l(lP)edZj*#1?8z@5ex5b<bbnX@U8PqTp1seCk1&^0hEy(d9J&Nq~py
zM`g<^Fk}!|EASgJU^MqyX`Q_)_4{3+%h)1pZZsu^>hN>hcrCfzue_1`>&NR@z<E6;
zIyyR*+H)=g&CJ7(lbm%c2@FCLfJg+P^H8KDp`-4O{bjv2ikKX7xW1G!k5?It7^l&~
zN4QV5W={fh#36BBrD!DdV#Q`PXVrmh#sqUg(R<T%_W~~z!zBFc;$V74fKA*#h$4*G
zkO&ACPX*CrHb{!zMXZ<tFHhF$FmYd%xh1XmcJ=KUxH}BN&wVBz%<n#@VM;~w*+@)v
z;Ghv*96G21?>sgWdbxgJQ{EI<@tO8a?ZE@(S`_T}{o#ug!*O+^Rb*`N<KA0YfXe5K
zq$S`YcW2#46kI8I{dd?pb)=YwS#&w&Ls?_+e;KM`p;U>4wh+*KY}NonawYT)7;WR(
z*l%=VBnrtaQy`6~pcnY`{Z#|!klO4WQp&Ne)n%&so{f$`9*mq+ZqgqWph?%0$3PxS
zMaPT&mld#hx@;^|Xo9*n4``5YV7S(VfX0Q)IQ*PKNH}_M;)SFhw!1|e9{r^)hJ-pq
z%KS4x00)MPHE8a5rFLGC-1(rHMYnK4R{d%q#y>OATXN~7|E;1|5MqCM{AUeB5TfW4
zwy(pkQknqG?`8Mb8R2J54UWl7dNFce)JfIt%|#UZ01xDnNt9HWii%3<=+VYN6n#%8
zQK4abtJ0vEom|rjRaQj+{MCYqJ7s@~6sGhkzVu^0E|z!0refo4+arIC^&iA3j{fu>
zZKMed)3eX7<CQG#u0EydFf~<1=97LFrhC4@u9HuT^t4{KH>v)tt~WiS>qd)8)4^o<
zL|SY;ZgUoJA9x_xIc4a3kw%J{dv;XGSDm)akX@&p3Fv`bwN@G<R$hBKi?{xn$i0Cu
zV2cgwClw0bWCd+-NZ~Fbs=33FcSynpgPoo(ZRpZ)gUxDGrC!sP)WQvj6hrU3BplJ(
zHV9T*%DN8+Lhwj$lC9UfIG|zYOF+53@WS~hw`?8?G9azL0Mek;Ii$ox2FT%yO7T%o
z0qTLJVnN<{vU&7Q*cVZ&d{DcV)QZ64L&I?I1a>*8Olq{1W6%*$uD15kuJjKbPeitF
zEK@1N9op@Yuw$@%`1IY`dt4w80e2gS<f>#ZZ=}tzAfg^FE_Jtav7?kRh4XiR&|-!S
z;g5a&-j`5?uj(G@dad(a*6ZoOTre`I(?i))OM0#uWGzMxAX_VA&U8;x`ep9t+a@UC
z=;wvSj^}l<k}(E^F4Glz=m|bW5WC8mmMv&)deW|SF(k||t(ik$oX;}pYQ!kHL|r5+
zFAk>qn4G9jJq+~aWSFP6bG_yk1}lB#VcLnse=}bCfUGBjk&E)Jrvw)^u-qZiAD`KH
z-4kf)O<o5O@UQNdEZ^s~5)4UAw4>KIb1ZrG)zmtV911UwJQ+Oy(@Ypv=tyQurxR4b
zy_%I8_9L`>G-4*q3Bf_(W~v_~^=nNI=;&#Dn1%1YFAcDfOYNJ)+Qpu^Z5Z(Y)GJU!
zyvD;(B*CRr;qvU75crBLWgPB932UMppBdf(m9rDMWm9xstlYk;DLakGpdinBEwIeg
z!nEy8jj)FxrwkP=0@%1o9RxhUOTc`wBwbz}`Z?SIEJx_I2@>vVEdQN>PrR&wcR0n<
z^8a{JZ3^V*)+FN4FFH`EAR1@25E{+^u{zI}zT}q4YW8b@$<C<P(TSg+!zud!Yc1op
zeKi-zgtxIfC)I3A@?Zw=bDk1wd#wrj@a?TWY?g5CkW%G~i>E(^S?IT*^c@1ybIt`3
zOR>aG+@VUIgjZ`9KqGy%8#TJvGloArGdN@y>x{Qy&{NVQB7IY+2VC=>Xhhf(4_0>B
z?nA%_QLZ>uvvGL%+(MP=e$qQ&OW)eg`C@>h3>V80a$!je)@f(3YF=`jCcPuH(AA)F
z5v`dKPgxsGQ+nBJ-m?9>TAlafpeY^F{+j=X_Fd{!BOV5!1-zX9bZ4@=3=sI@qXSpZ
zqw<H}I~VfWcxLJjH`aCp(MrBiL6ZdQ91hM_MBVRyTU@i|$GP97=_CCagMr@x)Mg*H
z7qkqDxDueH$)ONC<7tQQ<C`hAe+&<kj)9?{t>fK1!LE4GO|$wj*6rFmY<5ow?ql8+
zpyxsjEHo#n__EylilRIUd?w!h7yt4Vzb7|uv-CMqW=R!1?#070hV^-0adV?6=`6mQ
zg`X_5cCG9;$fH;|^Fc}N72!G-+(wu@^cqd>kc?QSs91EqZ{CkS|Jizth2gthtUa4O
zz!oZXbBzV;Mm8JbO9y&Y%&)7cP8NcQcM2V$wHb*D(a`haL3zn7tpnh7zbwga`%Bd;
znKo@JGx)4apH&;vg=@|kf(hF;zPTCy471!swZ?z638QOsQ<=b7;@X&O?>&|#($`)F
zcS*j;X90{1#-TPt8eG?WRgeZmp2>h}rmO>Uqv!)c`BgCi_<b)*zI2HP(#Yc0A4llV
zP0j6z2AGW2Qx6wJdVZxsnt78HpBSO*>)Bw|uNiiQG&oChL7zB`&98quF`DBg_DCs)
zSD!jAPdw9I7S-|#gX6C%dikuUAUG<j+ae3GvegEYg0V~aPDsIXi(kr45g{{t;yxIw
zRo0DA`C4l0Oc#xnYz^^+r*1h1z|NHqnd%m~#VDRmdiAbs-KZ)D#}DjK?HE0UQ%;MK
z#@TS78-;~UD|KS~VXb{EG=r6*+D~EzW0*s>7Vj>f+lsQ4SldMxFPn^A3{i9BI#W)s
zIlzy4@s9Rj?lE=awvN4W2&_Pi*zZ7xy4kB+4I&DRR8ol0nDeKR3c5#Q!=M{m)i9=o
zRl|x0fmMrS#CF7^Iw&pJ99Js_qiUF>oqE*anNgg$$zV`ZS;NG&X@4vpeT5KFI0_oD
zv*0Lth@Keq?%w2h=8HhRl5mI7be8LQ^~RymD=H0ht!cd%;Cx26BrhaR4^g$TqCgrW
zgpbC=TcdPf0Wc{;vkl<|wcZ}~X(KOu7$%#A-<@Y@3D*Xlog%KTxY#qd^q_dlQr%mR
z7sm6{K233IL^m;fSCnR~SG@@*a}>@{e3PVQR_o_3BqAb>i9l99hSv5&66L|fL~YO=
z-3hLks4GdH1S0>Ek*#TA-ek)c{0)uO<=rX`3N5*D!P+q1l{sOtt;d#_SwOpX2V$6J
zF==D$_bS3Wv>JulF{EJcM!WunhHLruGk>Kkv5?Yk2r>CP;Yw1aTW|ry$BGwu4x1Am
z`p|PVJ<Zm$^5nc=nLF{Hc6hG#a|cSNig)o<za~f|#t+VJV?)dFSZ+7=g1(bJY<1`I
z#N0bSj<eo-hMRPP+t79FX&yXhp(MwNCmc0)^T2p_*G#lm_@VQ@FW@jSmVUk2k{^v<
z-mTBL$1$20xheJ*m0vZ1CCzUl|2E!=Dz?0*OOTeP&=U0m0SMK;06T~-!l&pan&3%i
zL&IvdFEq>J^#Du6#;>h=;*W{K-KNzvxHVA}ZQvKz>G?2x)t5XoUaAt$vnj+$cU}!s
zw#-(gTi64=aA?Q@y66PRhnb5EbM_*qYyX6w#4DJ;6(dS4FIu-1mg`HB8Xc-KZSdX7
z_VQg%A*jUN3y(3ra!}^_z~JmStnK}cGpNRVM{|pKe}+=z^d)dsd>WuQTw%Q9v9dd6
zU{M_9V|A=hRIJ<b{ni#`{J|ts)C$SlZ$}-YNKOp|DBoZJ+e>E@Wf_gJC0(AF#Crn7
z^)Sf^xP65>UHzr2sH2U04jTxoYBdZb)yUw@1!q}v2($rJ(TC90R1JWnR=_DLY=1)s
zFl#}Ado2`0w(c)gU@51T2<{|s*|X?eKDmGzb{!}ntKYH$K&AOMTA29!Tdzm8q2~4l
zy?tyk6-d=%<zo)C94k7qxgfVyvxS8D3k7XE2vg3CF){7L=~u1u&4lo2afg8|T{rvd
z0FI_Rk&ejp)<|F|htlAcPsq{Us{Ci&Him5Hu=4R6hGazcu$LTjR=9c);+QqP6!Xoe
zge{4=>CgecR!2OnkRmOZ38lm4kh{2Qm#OL`mFx1^55^(bocfL!bj$N#8wEHTHCnI-
zVx|&ap4~l?(@(uf6JlE60Dc+!Pfo<@Y2O=f{>a7vo)<vRcY5-C&eeRLJJ1?_LEv9O
zn&m%=K13#41S3L`%1bEWdHLVjlY;ln6|~YKK|?^v798oJ9o^a-S;$I#eW1Q~+l}P*
z%xh^twnkAsT%qoT5{yFpN4-Jj%sn4o3;CfLuTh28A)cqG&OUCnri?UC4UrOj+_FFj
zg%g8I;>QBwxyRHS^WArbP8zUYmzc;}nmcEhjY=qKs5R7jDS4k(0y|@_QJyKD3G;nv
zm25z)VW*|wR#0ui`|!OMQJ$FnK+7kH8_n!<NX1;iENcvc;#_7KIwIoBl_#42Qw}=J
zPj_ya?m^P8U{TvmGbf?}5XWVDyc%hn)v2vFYC;Q~Xq>=SW<sA+CHDQxKU)OU)}CtF
zpSq*wQtRVizX^(BzQEk%<z47_BrKQXt8W4+r<=Q@vVe?VBVD5=BhjKIEk)PR4J=iV
z*aR;H`!Nk#{_w@+w&G1Ep&FbBQgSF=S*dbEWW{d$PeaVG`S4KGGZ1e@3|U;a9TA`k
znrL(bW3pH*@x`yA))B-b>OP-gJhh1VV`~oK{bBbAIzms!g&s*W<7_N+c>ph|ooR%F
zR`Ng~H~fP1w7Mj#*5ZJ?ZBKZI1DQepcQ(5;{Hh*ybEI3mB05bI;}MZcX8dWdv2IIH
zi?ZRjTbSS1tw;9D`X7hUI#-k^02EJ{fIo{l#M~3<9`FZMqES4+zR@>R`rlF0(_%Oj
zP{Y+b%bcMZgvLEyey4(H_(f?Ugwj6=miA2<g(&1!0Vx&IGQK0v?JZ>qO!p&v6185Y
zphXjQpsBDIcpV4BPM<P-aWR}3IU4AJ%|<IftB*syuhqP-D3tm0p|T}pcVdx5_3n=p
zP#SwD7<{f!A}wnKfYFlk(u;J*S1wiUIn7|kY0sO@6yeFA_8Hf_Jp!R>V4=WM@8sg1
ztmn@ET`U)(AX}ACA2!A*aW%)Kk!8ex?`zJa;`i?lgYpsL-+-@U23edDlOEzjXZDVT
zS*Ql9I$aN5;%MEx(@#S@zyq+&u94<QHLjq}GtW*2)iA0`CVwdwN@<i~y#<o87YT>6
zCv3qB19A56coDNkvFJI`SHt=3BI`_*GsC!vYkt(=sRhR5zkl2PAZ;7nT@oQgO|wC;
zFDuPhD3BzQk6F#uCne$LfqxElyg^RB^Q28AbFVJ$af|Athr%b^@%qOxa4Q+$UZmyk
zw)r+ex^#c*4Te1B4v=b5U*0uk|5Apd!CLTEnb6HnfJ18nj}5Nz%3F%Jn0waB;(m55
z7`)U0rGaozo?tP1SY}mi%_S%<G$N5s03Ot(gpD1P%$;YN;3=k?XlB9SLaHpW0XBM-
zAPH)dB}qvF4vs<{8yPtB%Me+c;ItmCkAW20IVSW-73e~PAkOe)9@Jj5d$r+(1?-Vr
z)Ze&jTnLwcV(w9zQGUgd*D0r;A=SSL4;vs7{bp62Ip+YEvjo6Kt%h_1j!7xyeGu;9
z>7J7S%5ryy>ikO-#vGX(k(y(%8f>HN-t3Y!?A$dAOPF*|`=6Or&Ng_)8?>oL4eaRZ
zx``Q%!7mkIqN@D_-!J&^e}08bDzO9U%@`3qU8VshM^zPN_bp+`(&<gL2JaTm<0mK~
zTmd&nht618Dx_FJ&=|o_G0+9;Ae^SaFVg-fK_J)#IuInTMM<tur3Z&o1M#3FhnWut
z4oCudHktL8En%_$MW{T#<?SCP72cz+3_9F_S5}`R<{IpZa)?2%2G&?x7gY-3sYkm4
zUys$<TP1jR;7XaV26lLT^{D*55#hHA0->Lk<0aRJvx-LmiX-}0*f89YQ)2yTk_n*k
zmaz>KxTJ>%ojY9>j7^seC&GxO=M{Q>^+v4ot2A4E$0rU_)x{i?;hG!mQ8s<m#M}wS
zEVHD2i-kj0Oi>H$I@e`BI=RH_x9^*E7#Fo=qR<ZMD2W_TMg8M3)wg$iROP<2FDQZd
zJcJ6#{;)b&qx;L8BBh(zvN_C5in~d0$ONS+^~lE<WiOWjz!d(LSkQhjp}hP<0~<+c
zF{bN^krXG{VDNRhD>g*rA$YAA=JLR&jRv+b*mb#q6S4@~{b`fVdJLa%44M1wE}#$v
z!FI^q&D;RVu)wJ@xx)0koqt7Ou)r16l+tVqMaoD86B};72iz*=LKW_>KE(&`8wor8
z$9hfg*#n}I4fbw8)=pHQVVLr6padl+>LNIOV2SQ1DH;GhEm*bc_s84)XIv;B4=dt7
zN^E9jdn8v7<L}k`rbho!p0l#}`~UjKq-~Padza;?sf(vihI$sBYH&;xxYh>67>#Eg
z^_h7?7ImIMp5N5I70N^=^TCR0PMB9q)BO+&au`@GPAc+5Z&G5fwNmuksp?BO@YVe+
zz5z^UEFtE$!j2rU7f4TSg3?q=Y8GyAGm<>}j}X0_rHMjH3N;3cXzMAyh1RGAxPD!M
zn*Wm&kTx6uT1c{K6UKjq^gTzGz>E5CQ4FSTs_1bgeY@z?h_zBCVR{z+Ulw!QJ@<=(
zd!{^PH2cin_SKPLSHy#Jn~t>*s(;8Zf>?L;<t0>T*Z<Xv5VNVPoNLnj9y<oiEv(+{
z-@7zW?>$R{7MLQG*85|dgXS=L(dZZq>ver`d+6a3$7Y;$Lm9i=Pl96sLjy<#m8H-;
z+1h(HFJhc&kKu&<(+y;z!q1dYc;J$f@i7FIq{Qu9g6+*vL!fd;F`MVF1Rh;uWvWc+
zxb#S>6lsKhloU@o<p&^)14m^vWj7dH1X#-ll68L;x_wk>j#x}XYGb>O-&MaOSsMQl
zl{0TA1J&bUZC%xRl`^rBMzFPJR7>!x8GP7jYo5mlbu|f*enVZwm;*n77+Bt1WHppc
z`#1uwbOg1Rb=_G+qh|bS$b9-&l$NQPW2X4ziTHXkUv;Xp9h^0}ueP?Ey)fT$-~qQh
zfRyLGvNDG69KEo^D1Is8zwY9LO^-z5=-73|+-`ZnYbf-{D37~XsfR$W(2;e``YG<X
zP(?I}uOWhRdhYw>r2o;0Gg8<;^AlYmUk1%cHDSEvTQG5|2T|l4NWJVtr!t_vI=js<
zNH^LPRek!bjg@$M2vzr2+ai+nQIs2XY@{X^h@IFp=oq8vz6Noi5f6o_&eD(_pKFhl
z0BQ96`4%A}^Kf;AML-*B=4<>RkvQ9=A>cQcKCQ|vk=`djyJ!k*EDC*62STGP9jS%f
zH`CjrS~)P}A3rlSBX7ivqm4PqQ@IM)^~AsKlNsRZTy5|{Khs3+k!r}k7@$}U2D&56
zrW+OK^bn^=Eyh54;(7oh{J>>kB%_CF0>$9;Aq;<z%KMYL>s@9zNjq*67a3`{Ak*$p
zwbB}`GkwaZ#a>)1sc4KT+Nl%(S?L)ElvXUcqOg&Ptq^h_1|a$y<JqO8o4J^va(mF|
z0gFu#+daDBbr7He`Ez(=1Lh2mJ{V^AGj-3A)qK`~Lj)SPPgZFHuxrr5qzw~G@duj|
z>h#v6YIk~^o6j!2sMLAEi>)@HEHmE&Ief0{qU9I0NxH2(x3@0+-_**SPO)M&8$e7r
zv4jc%GlgFRPVQFNga>B~d`oA*Eke*OtE<Djsl;&ZXNt8(93j+a7#y&oJr#Fc&cbzj
z+!I9&J*O}EVm#+ZJ}SV8O!GT(HT<#Yss*-eyMbg$W)Ko~RVgkNrZ4rNdDq6*c*DL%
zv{Yq*RQkXeZi|y}?kPpHuPeoYu}+6%jN4(a?^vj=enTS+j#%<3+-($<p-^*g3&bhD
z&WDC8OA6E^Z<%2kSMXY*tXKJcKY442CWYo#fwaev+%C8Z2yxMAPidb}OKI-MlsC>%
z$=X&ynw6VKBx*4_XWoB%G$~Lwss4)Z!uRX%$fM2b_6f+tM{09qxVqQRT+#k7Ix!=r
zl|AC)tnY5ZZ`yN5IX~pyE3gY069Ws1A8x>HcSPstiS^`Llo$Tm_)Sbd7LkdC_-1Ob
zMaa7Lr$A;#6U>B$!qxF=!t@_3G$lf`$CYlGb{y_I^XiLj`E*AjoIKSngEN(U-_ZS_
z{6D^!Ve}?spXF&`UQa=(kp>7_bxLQ<IRZX<s;QiGm)@5{L=-Wslm*0KZRX%TBbJ*_
z)F43>?r}(y9jKntr3U3$<;;ETuHD&-Q>NU~rJ!7WIOA4n(1H7rWC$$;Y(xzylB%!7
zvk-w&Gc}YJ<)%aTm}3<tT_=k%uu;{Z)<CEnv8P`G`v>8wC++cfkiBH{VEN+FY!;?~
z^I%BCLCKcphyACyQjyN{D%9H(r_%8!di0Bog#1>&Wu}pL|CN!<S<GcO&zg|rF<o7W
zG@@to%R~{TZ{t%<eIv{ZxYGzVQ00_q;}W&yUfHgtA_2sLs(#ai9$I#EPWO(ESF=OC
zS-<e|^0l0_#h)53JQSS>mkDJw##aF5`?MF)RkauHu%m@sAr?GrId@0H<T6yWV^(=V
zSlQ6=Yk^t%jDFN~F^b|y?x6$3ZlcTB6j`n){*}#*#QW{5OrqwmQEloVaHA!TvTry-
zEQ<vG=jw}L*ISEmZ$Yd)8iCs+zs#9{j5-exb*h(KBY1h<egst8@Gay2W3Mr9JQYlx
z5C~wD#Ca!4LSMfDgR{DRBTFLQG#W~LO#MP5o^)1j!_UA@1uYn8I9a9kEn6?}Lt8_c
zM(6tB>kH>^*P#`sfiFKNjrKe?Sy^;grpJQk)%tcl!Oizr9hLd!@}`f5E_Lwl5?~7w
z;siXT2u67<*rIMf2MFT!Xs+&3kuy%)q>6Q~w`2MtVKsak?->uW*Fyexeg4}4Jf)OJ
z>*rsf*W62<gsF%(=XPQ(SMlLR<W}YRwC083FQM~ly2x&VWcYiCc-ziR{(2OqHGvDp
zSM27Ngo>|AfXO}>sCdH7V~(l(Z4UWIi|O7ZpraL0b|2LIslP@p)61wYac7D@m8B+s
zs2{Lhx3X%Z2eUIixnqRrs%M}T1kSpv^6bkDv{d_Tk;vKZss4ID!RWhXZa2{Zy_CLP
zAOgjJJq2A4DW#l2Gk4wN?^VITcEB;ZH}!C-ff`o_7q8@=m;HSZo4u|-O-w$Cx&!*&
z<IK$#4nSog)6}5pKdsEAHqjBdR*OC(Q`90zEC*j<2FI^wcQ=Za@6dkRc@ZNiin6j)
zD=|TGhYd)Wi*6KF5y&#t{GO}`D89u*P_Pw;!x}rmvb~0JQrb?`^i{!Y_lkpWK8(A^
zE5zU`G?|Egc<~Qdj$~m#1nig*Gx39Qnz!mlx&mvi@Adsil|^Y#9|`IZa%GvN%N9iu
z>uTtdab=$8lNv%x*5T%i2AwcsV%?GEXdZDuSFs(Ye`HH&(dwQbcBL7nWsL3e<FZY(
zD9^^TBaD_dU1@0@o_DSr-?+8Sp!5&eFm4&_wWYu0ZLN})G9GP8rD-?o6T)UXhZx->
z_fe9*!4Y(wr;awZ*5x?=BFta3d>Sd&1@wWtVb>OUC!xXWuw53dR&JcrZZlG&6yqIF
ziD{MStI^<6OllT{%M`<i&{(hdw<)`s{XvnZL62bpu&Ek2iRT!wRw@8`K$A?<ys7^D
z0t)R$kxhqS9Bw4fbT)oxAl1_OEpzt_NEn^p*{N6}!p|?xGCVma7)QI#0k)h>Ty!j~
zOd&<w%dP_tfbHI8?ohwxG38a5G3R$9+Yxp&bkU5E?OmKks+x#hX|R~<h9q6r(pfxK
zXe2;Wx&grX3$*PtJtnh~^vaHx4VY~C{OWrYQ?~DmTP7HO{Xv>5;7O$BbKO<a_#!a~
z{b%<4cSFR({zEK1V_k%;8pjuPeLaFLIhXi9r#lnOo|WXSG0EdbM67iu{^~^_8m8Fj
zeZh$-DE;`YkLUr8E>RT!I=E7pV#<+>IrHGzf({9jJS~&)7iK#Gfgo3=YgW8>w0^xk
z%)r>BO<$<P+y#!9?(-6h$pq*h$j0h5cxJ?^L<;I58D1!EAjrV`okWH%1xaExd#T++
z=Fa?i?RQaS;H+V>AeqUN2OjsKdlPKj$nM92yJL#|ocZGS)rjdM4^as5i}$Z9-XwI5
z#Q$<?3(n-Odcmz|5T4Rma^H)v%`;>a0>z*H9BVHU>*Emm_5&fB_Iszz6vO-3X5E{l
zBo@mNF~WvEYuZXhbMb{I#rO*nMgE&7c*A?JxC(ERR|uarx&>?-3~WeIl(JOn7jr`}
zYTKt&N;+0$nG=`|>T3if=-qd?G|D1s2UfR=ZUF}u>E(v+>bw!u+7<S30tJE?tf+3Z
z`u<+FdAW-EUBYOz_0D+zXJ(DsI9Ls{JS@M1O&(`%(cdGGE4ghf1R5%ZO!aJ9z>Ow3
na|c-S7~BFU*UB?Q3t7M~;J*$C7Tz>6VswYBs_*{l3=pi|uuiz{

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_fifoless_1geth.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_fifoless_1geth.v
new file mode 100644
index 0000000000000000000000000000000000000000..d2a9e1d7610045339780e9e074ffec73a6975b96
GIT binary patch
literal 29432
zcmV(zK<2-T6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}U33j6<%=JI>&
zj7EDlcXm(6W70i@rv1;pJ7PH=D~@H{HYk{B0MdLRLPro*M^$EZ6})?b?c__M{;94F
z-I;herRhuTiAZ_&O}n94;Mx`M_-e1cpjeCF7C0fE`sc2jIJpi2iQ-P{rA$F_IHQKA
z#;MzO%#o^|I=%9W|5qQHg01mx{DM{5BC0Mv(3C0r-j361D4^-{WZ4PEY@yZAt~O4&
zNn4r??>E!UM}7Yl2Kh~(p5kqA0}oIKDx6PlMS4O(GRBOafpVNW_;rkqG;!{%OK7vU
z$de-)QuyTija9X$RnL$`lG-`r%U>vXj$wa5m+If9NKcX7azalCuk+(&MT=uV2$hU0
zW7|y@v&U#n0tBm+^nqsC+3*IK*#fxg*QS0KlNOzNo>L{$n48NUAwU57Be8gUktcoY
z$zmBfemjU>CbFNJCsu8atBgZ<UI9Z4^)c&*%;7@0rMNI53c_(#J4Xe6<UNNZud~nw
ziMSkU_9Dno8Olu@CTYXTnZ^6>yZ-yKchIZ7wB}@y^2sNC_O9o#_9{zN4C$q{lyu{?
zl4fSEBj<|OS!fN_7>AlFOxqZbgbR2hR^jwdjhx_%j|_+as&;f(N&MUGoDam{@R3T9
zSF!U97uE4mpG32qjpf}t@cj_eV+qv`oDH885YQ(BG8kOB`8j;9IQ*c}UrPKxg|5PN
z5qKbxABtfIlm{}dTOx%=54Nnu9~#qxoB?{>%<C=LeFCGQn5}M0g$C(n6AF3%N1b>v
zX&CF8KL+OrRnomRk<F6!&{PYcgRv?kEu!|L<FX{0pXZ3_$dMM2Xgyk-)J1ZuO=MTj
z+s~yJ`u35Ij#z5%)uP5SS3ac5H-ih0pmGjsxnh}a;BW5TyDAn<;)-v=Rwf~qi&)s7
z1UhqV(F8yYhmh?02<4Jdnm$>4dJuTYnA;ajY@m){2D+;Qq{#tf|0W&*gHd!&tc(Hb
zYz3STN|=c1-w*w48}BpGR~WgGicEgBKA&eXeg<R(V(`K@-cIxYbpB=b!sUHC!oL+v
zy@wevKoY;&_KmR|_4V*(Orc>^6c?6As$o#7o&(?hcK30Tun4nNMjxUTnl%o3!2r|h
z`LT$v0!VcqXoJ{$mqa~_LI=5b)xt=LZoQ}+LQbh0{k!mo=t0f<pTexU0s=M2qEX}b
zZDpNKQ7xlt3S(RCXTJjRs-@9#IBFg1*oKT)esN^YK|4*IE3jAE!+}Ta4<LKOdxIH-
z){;ac?1SRIB~;RRanwV+3KOberSmYa*Qe?+ocxG==%(wJ*Nr@^R2W$KYdj<|OzCob
z4`Y+6OQE#3>-oToH1df-7OCx&s32%h&Xwu{G;Qa9xH52pQIVHgLB_V0BuFb|<3OZ(
zZBfpBN{9ENcB~0QkfV%J>(LE;_zqLM=~JnW0*B~YBAYijk(gbLUIcB70ZklB7VD)L
zLu%N2F&W&}Uzz?12%I7_sjvd-Pi0U5NGWLV7-v1+ROP2_PQbYMOj<OH3h`yk?SGoD
z?m#wuT^`gy+YLC8fDyPt>G5H8=8E}p7`C88m3J+j;C&JlC)~I)hrnvlZxSrW(`FaB
z?t<44{x?F>rDtSpUCbRegJwWnGM-!qs6fx<GSUA$>#TW4<7Ai<k(fydHqkvclwgI}
z2<t{(GPnQqc}3EBSnOQz3}}8Aa>qk;2y3zoYd|R)(8Zg?T(mCUmp2}HH^EB?9KHr-
zWO&sLPb;uR?C@CFm&lR<y<O~@(+D!-;@gQb3fNLZS(lF~P%xYIS4J}{PZKjshlx`f
zSTUweha7?@@70*4{+`>XwN2l1S4&^cC6dX-lQ+Hfl7v<kp+X6w5B3PGgw+Kd`ano|
z9A>R08>;a+`q(`41thYt__Fz>wl#;=qCwH)j4=it!Te0zjQ4pqLBbc@uILbhjKczf
z5}l_LeDW`92Pm8>Hd#j`L`dFzGVlrfz<Y3#D`5qG%Z6Yq{p~emrd?83x=(ANH!6|F
z5#c_GR#DjuC=ROmb<@FGfP+2feA$laz#2X%41HNA(<9qvYH#_W?X5jf(P4g3Id}mX
z`z8c@{N9C0*;3REyPHO`w}zg}5|KR)99CH4^WO5WlHXWBD$P=*n5Li&V1d}|<ylRN
z>bPHa?O}Vy)bL!atu-BmT<Na5r(L?ne;*{lKfk`K)|%XRi0F`qK5%zoN)(3+&Iq6`
z6i*E?ejQj8^iqX219^k!+7!(eS*s;J8$h<o3*2s`&Zi>k?$q<#oLPPx9nZzi_%I17
zD@1U-v%J+Pe7I8HB*6ueGAhc=+h>JxWk!ewGDJ?f9pNwXHHatYGoEb=RIL#Bs0N$X
zRhS{JHz$YTQYA#!Yxg@_j)SNHf~)#4%H`;2-<)dGwt#SdQ^GQjm2VN#`B?jxHh+$M
zwpl6h4(}6l!5XYYBmC<At!k*yZhqq`=D|-L{duRsCdM_oBks3);QZ(_b06U<u%?e_
zj3;oeKItVu`|)u3SNZP<N`xGs1~PTf8N>mMja;m#c~l86hfsn!tZ#Id6m~5#GAJ=G
zD(K2VeI&-<_Rw|VsM2Aq(W%8w*Y(S8x>7PSGz%84f=S4L#4mLr_K-NCs_29E3=p!P
z>@tWS_V4(9baPL;!rc=ru|65#2ka1J)*GC#&RHt^kve&-^N7~NZc`GTtl_VX=Ot_O
z<h~grVPFpIv=W1+f?OL}xTyV(cu$Zd6ueVGZY1R!o1v{zd3hD9OiCR_SjBNKYDuca
z3B{<>%fps&>*U7=@M0b7Icu1nCp)n>EtuS0;Klg*XL=&!S$l0t)Nzg}XZ700!&F6C
zi5af{=i!}r6-$HrkXJOyVN0#<^rA>U!_`l7!KXUSUoTod{<qy$ezShP%%=wMA?ugm
zr`lHNo$DJHX29QP-X#BOa{LflFw5?{k|yRtQ>aK8DS~5EmrvpkJ%7^d2!2II)w))g
zCYi?lLMejb`q_goWRhZLv?yFy2C8@*QRjHn#)3!{vw8?;&Q*Uii|2b+e&H>f@dghh
z=Su50i@x$wWn~AJii@j@OJ`2J<7Qo7hp7ldrGU@>5|<SyLtxX)i(hHul+5c4`n7Ym
zqnzqK(1p<D(>Rs{GDN)|OGaO<EceP$Gx-rq=BTU^x#E8_7W8iup$H-DkF}Ey>^Thc
z6rhP~uQ6cAP+9f$`9I_Jul4T-2>V1y$INqZA)g?+%&Jl<G1HkA9HY|-CecGBj~z@#
zSC`_pH}t(bqva0TYc)=|6dhwl^2EUKenoezVb&5JT)ka_4@x*Sp{05$mR|t(d&qs=
zLt_W+0;vvtUvH~medf3lX{QU+V{%V@BP;9qcu4z4P(?uQE}9!xps-fP9)Wqn;J~@|
zZ$ZXuVIAr^3N0zH_R||-(28I4%ZAGMW-Ovp@UxAS+w?79PH6J=?`4<7#@>7A_SR`Z
zQ^>JurKNaIauqS9Je63fV$!W%Njy118C9iow><BF{7cF!ra>yLDhQ!n^0VeM-KNGw
zFteTz%s~&?REFbW%=c$+PHhSX@KxaJLpLp6a!~uW%4#zy`|xBTGt7)(k>7p@GQFTl
z3sN-a;PI<+0I9mB2S=U>Cqkd>#&?`0w;zs)v44yzJD4inCybs{MB;Es=#W{f8t<&~
zr#w|Yv>oLasY1_;DvR~Eqf(-BnS#nR#kw7{pMIo%5?C6lax?q!_W8NS;cBxC<(EO%
zXG_e|b>;2dwRHPH;t{~PYjgC&7wRZ>=jwm6r;nxZQt4UtP*H{kQLS#ZV<_jGIu@E#
z+*JyUgTsKAtI6-&(LXR>lL(9k1T-?S#U6$;MnIg;y$;C@qVO!I<kQln4Gl%c6coWO
z6v&0o=EIEA7rU^wD+;t6w@~|09*EDMEpxM$=&vimT7eN@rkiR#9R_hg*ok1q)+%kD
zsBK`QLg9f|mrn&znQcs1imrC2j2(@fzJiiBr2vukz%Ba*>*SvmKr<Qd)lR=wn&8TF
z*%k5tvnHkgF!bh2%NwoNexAh+1cf^Krpl$y-==e?W&;qLQVks8w<?&C7>v`9->HKy
zQ!KFXHA8^-n@hE~9fV9R0O-yCq+qNkGwG8RG5jkR!k=-V0SfCw<bLM{Q-s^!wqraM
z2z_B3Flc#9C9V_8pD|IP0+d59?Hy%OTao$A@Go&eB}v2&%g7E4fYQRN_HW7Mr5^{2
zcNx6HY=Y+0JnszTq3D;9GmKMsF0JV-zZC%+XW9NHF#=|lzf$(uZFyrF_f)+GUd~DX
z3yD)RSw4Qq79C_4_tQl5fSG!_=kD(q>Y|&FX;8w@2z~+G+-MRF&^NYdq8Q$7-&>?0
zV<`tKd5kbkiT0kLg|XFk82@#4^E^SrMvokB58!XkkdUmTW-;Pmju9}Ey2RGs{WE5s
z3D^KfYko0&pX%*L_s~H2^8hdAq66TDXgg=YZ(x=P9R&c6c-V!xn5qM6t5br!Uw9|b
z@S5*H)L#F~wq_Z`w97?sb#~v=+@U-@1A;yG@#B5|mtW|&t(rdw^$uQxYr1|H2-jyD
zySm)W1i;JIEW)k@v_35b#w-IVnZ_Y-ix2d5XyVs{`@KX<cbdMB{ND8`ZN%|m7x4=B
zCq@c3Mj!0ax@LCY?7tyQP}Tn7m&DVg+uNe_tTg}a<<JAc`|iO5LH#cUgHaz8V^iSi
z8zsi+F7S8sr|}=&YVz{d%dcG;<jSc0pj>$JI3B@}`q7Kbe-LPid0C*{f(&%X+}z!&
zB!8dEz-6P)jpQRX64PrcG0~STo;Awx$vOSQ)6qEGku}a(A!P8-fOt(R=nc=zHrfK+
znA%6gkQhLck<6}jYm>_f<oIAz48$6?wIS$U`UJeJNXayr8+KJshrZ~zI_z%O?Busq
z_yFuy_+je<uRu_3GU4R5fdTU9aK@WARa6+_6ktHZM*nqo#`|?I13oh00`KdD^MU@M
zjDqhjFR!?R2Y;!GBkd);rPDs4)oE+=y5Bi*ye<f_gao-Ls00GYB4q$w6BX)PI^Uyc
zGgQ46F<2EzxJlP|Er(~QavJMQuR)A2gFB~CmG|;+N^zx`!m*cyd_<axdQLtab=9XL
zwk%Bec~frCwB;Yd+G&*@Fa<FjcG{vUK$+o-jtCBR?YDnGUUAJ?iJav*jtaul#9~dc
zJVR<8hf=mro%B}NNqHTfL4o%yjJa_pwxrkIM~)JENetq~0h(oXvI4~^kVS7QC@*(J
zzL;CiW>kbA&XhXvpZiYcwlkslrQU-Zr0@#kFkh7Fe_@44uJl%tPF6hnGW6Rh2L2ny
z;W!^EI6f2-+4+2;xj9^}7Fc7F3;~O0VPPD;D&UBFV7xci_;Y*E-GCB3X0t-IwEbC0
zg%Yrw5WsNGo~j}*jrkQZ5kH}bR|Z@m;$3f9SBr=fm$(4-J2qqWp^l&Xj}8m&EtJo+
z=?3|K$wD5TFQU6wa2mtsCS0XCp=6?s1cBH&AztPqL-n#k>uq9n)?#G7CHKJ3RJCU>
zNq_;1b>ccc9!qKG{xLotE35+t;-aw#pAZ$Wv-LVxQANTpdO7R?7+wK_qh=(QNFC#8
z8RZtKo<;Y^M(>k0an_ID9@Y?})wImdn*`riWE91XZSfR+hIuE7brmVuGRo1FS|g1{
z^?x*VU=7<oGjlrLb#j`qmji$5nB;#?I0QYbns*CE5M;Tl18thC#ZJEwJ;!Z@*1ix^
ztET4Mj)PkVM8A#3V&aMY^Wnrw%HT>N5s5ivf%F8R==;PN#$oTI>D0oGe#=NSG9w9+
zYi;xbs)2?Ybtc81ja5uYQ@n0-1l|8die(<;7Cc`SMx!QM7j1f9D^#CK6XCcfx4+82
zW5P~sn4`*osSzGZ(4t*lXDX=*CijJ=4}Ye-woSWVxw|em4Kdg{J<j3pa_QbkYlyEe
z#}|(NFVE>N*VR5oW*7&G%HltM#82{(ofZL1vmhCU@NZtz`jFzKmuQx{_&WuCCis2H
z-S+H$&Ye0WU?RQ^!+Aoi8C);K%4bO0qDax)WWIXc!0;H5bI&?TSuK^oMcZ`4@Yito
zQCUiOM}efRUU`lh5bNKb!f#S}idzc^V_~%}bh@=z>6Pxn1})E~6#=JAqp?WMT@Map
zb-m**FP~ySIFn;V^|~d(iZrH}C|IU+EF;W{^vQ7>rILFm&4<dV+Vqp>PImJkS5472
z1axRcObWWo%Rd%gNxt$^AsNDpgpXUguD~N<E0%NAwaBH?Ny<tmIs@$zX)p(q3?GEG
zQ?Ue3FQ}QS((0!?eG~1lnh~kQ>3=C(Rbl=(x~Gwm%d9e%bA5YJ<;ttC_tz}70MI6p
zAB|X~X^Jof;KueC<3^q?5>X*5(6cH%zdnY2^~9kN)yTEfEG!I6e<0vs*xF?`iT}ai
zz7k7r4^w<)M|T^@_&EWy9Pf=v8LB(RMAcEIaDi5+w~XP4XCiCnpzs>>sVgX3J=>|i
z;d<hfby(YwnEjjB8C?%<^k&0HD7CKKZsnJ%{QBa-mvt|+!6{}}K>oN;SJN#cOK|NA
z@6WWv=jyFyP*pLB$UKe!oE}UCYJ*^w3_Q@YMdiJAa}lY;s@=Iqu0dv~qRWMcm~a4u
z_h*&3BK3*<(|sTd5b6Z(B%ZbgEu5B;WH2;y{G{IlfzkgG!n{|7qn1K1aFMB&C%^9V
zulj&@Qp?^G!RR7!fPX|=WZO>YTde@EKut1L10(Y3zO#aPrvi17ZF|ew`7^Js+VHX5
zjUy`iC3o-oexCQuMqV<<BIr*@55Avlr7#s5N|)0mi#}oCg%bF=FINp#TT!!VBe-Xj
zloLN+(%FUavM78)c^bu2&T{XGeg#@O8k<Dt&}!?EO$k4ZrS<KG6ZiLUn$HH5ZxjK|
z0F+8uNqJNCE$dgujPp&;_*xksrV7z@@;Qm(P_bz}c9glvsXYw*U@n{;l(#kkzxKL|
zUL{+b4|;zc*nXyLmtianU2i-F6}C)Y-CuJjl85zFBwW+=g89Zms%ry-v?p)ov(Rbm
zrjc1HN=7H?-BX2q&^SwzHfU=gDVV*RwwS7)rDWwha*<e&#0xMQ<G}Ovr5-aL*rL+G
zth$iB{{quRk^`pns|&ctzAOgxvvL+`)*oCAUIs6;hA8fbN%E9sr?p^+*MHx9$6LJp
zYDXiG`+eJRl1LLLsBN|o>W>;Ws7jIp_n{LkVE0ReyU#?>$k1X^_{_Yf9dka=s#aD>
zu3Pjlj8<^X#D8IL8$AmvGm&9taUH#LY1CL}j~CeUkQw^`eZeN#IO*IgLy6St1E8<i
z)C^p~^1l>7#+n55^d!twCtj&wL)9ebSsV03E?1_(AY<Jq1R&1`<(E;SI7|<*;=4so
zix_OF071KTxqdhEZX`g&%p6;nqs+XcnV-6{n?bB3&G)g)`@v{JZs_I~FO`j!&%d_+
zm$PErODc9@vuTfv9EoyMn1+O^7Iy?i$TDunoE>0`c<toR^ioRN3^P*F85$Mj9^a~G
zu!Q0nRCmBP(Iwj$0ebHS`vW0z(L9<bBxq<;{$w&2311t_q}M6%f@6|&Edl^D+GM)s
zTAgTZfb-ea1lphf55oJDIruP=QXK8J;J>AM?sT@>ABlhxOpa<NyJ-io&~LTRa9$PH
zZSl%SwwD|&`;h_!>{Kve0oTQb^hR9ffO^_jut>vgZujtq)f+`bd)RwkUkng?0kR7!
zn1~?49U2m8$h~^>{+CALZk+?ofgjBz+NKkaJIUcKjE0&OIg*gk+fN2i9CQFxU{!61
zaG;gEIx9g;N@CGk$BGZARt>lP5g@3kifD#0q*YI1R*k+qV;CHjDbAf@ady58S#t88
zRK12fDlmv$NPj_Q8QzPy@3=uqxtql!Anz`K-H-vMWyROHEuXmAYvr+$hImZ$S4>&%
zKF)AY@DIC~-Z;(%K}&IdnqFXyXDyVeq9lubA2d3uzKQHk`)*(+?`ljFL-lfI-UGjX
z`E?759@$LWMp067dJqW^#6rz{6BEt~fH=Z5bG-<ap5eQO9o{_ce(MJ*FFSSDz;;;-
z<Ov;wUnE?!fn|64qiM4`LLubH6&Ax$ega5!UNQd7h*M>rrjE$f{<IrCu8hmD(3Pz}
z^eHcrf%Np>eo7K1=9hy}z~_V-3fX039vS8@>3=`V2bwOLvl33Ty=vq7Zls7Wx!mP;
zjw`oAFNuk?t$;K5lDJ1g9ME4t$;4QI{nF+Scu3mm{_NcEV$sTJlZ4(anlW35Bo(4;
zg88y<zyNh8+)fTnKknOz90N1xsTc!~rfFxm64f$b`bRmsHS+h`iQKj#7Y8Abt+pA|
z33eI^grO=Ma!KI-h@94@=Rw^|-vVPGc3oA-$%#k<|JgU1c(~yL{XdK~&T3{_<@VUX
z$GCU7&^Kl$F+?vgGqAjQ&Cs3-0Gn^|utv#_<nL}MA~scbEf-QACwE<R%1*YaIakJF
zcz*dONlD##1D(YM63JZvuPNPH?-Dq*x-Z=wK#~{AncxhP4{d~a>H2W>X^y%&cHX7l
z4Sw<+Yx!ExvaEa}AZj&R(%!H90p49^QZpkSs7vsg){&qMBH<;#l0e4DHeo?VniJIW
z0}k?K&u?qum)Klt-J}+Beg-k1gI`zXSjvv<KeDZ^($QRa1P3-Ha<R#hRTyH~VOd#<
z-yIP0iW}ulR*k$8`8_~F7uAIdl$|SzU@8qGI(7>aIj6*<2m{sDC<O=FL(V}%*#^vd
zgXfQpmga}#Ws>JA9X@A&eiux4N#GvRYvAaNY$Q9byK}($WM>B4KeR}G*rZ9EK7))8
zG6M==v`iw(?NvEW8kmPJup3>vaIayaRm0BeLaTV0;>}ON25RDhz#g8?#F5C%@t2v3
z!ZiZO?;h&V!3A*!U6U<2Wi2UEMWdCG@wKcxuXXv`yPb8AiaTO=$wmf6UJ@xmPQG{f
zl(PqNXg}SYA<k7#osT_S{Lvx;@`fll;RM8kC8tLitynK5CloO3a<sXl`y$3}yx#v$
zSVN6Lq7a3@)5lw1Q}9?tqff;S04vnxy*u`{SQX}wQ&*a4D^NZH-t<A+!*V5d0GnIo
z*VGQuU?-j+4Xu!W${5(#{?k9#^*C#!R)r`X)%Dy3C_aj!QSA%MFoqhLci42va{OnB
zpTZyyobwO{N@Kj1{2U+<kAB(*%4S=ohJtg#B|Le9+VZbCoZYOel~7TW|Ni-qEyJjZ
zQOP(aMQS^(&D(!MD*cJgHw~l_)N?74(f6EZw2Z`mZR?kH6uHI|#C1P57Ud>ZNM;PW
z!3Nwr`G5ui!7-TeC22npPr;pSLhiS76#M=2ko*PpVpGoc(fUZvT&$cfn7u9Oh%Xpn
zre)P#%1zR3#NAMAb2er!M;Z4-(rM}Ugx#1-Evo-a*PFdvvCvEg2om7y{$c;FYI|cw
zgx}$mz4Oo*t|ZxVV4_m(zEyi%{r0O(;4y-#RAhDDX|odaN49a-Ug&_~2!OZScGTOg
z<4K!0k!h}26ziqxZ|B({zoWziaKsjA5O7;Q`)`DwG1+1#qfs5!y|A<i4V02$JsTpB
zyH)ALn)+{aEXs8HD!KZ&%Tk${!RGk-Gm1$1=)ci}HTH^&ioHAbn3o#tyxUMJh5a#m
z3y0Iyzk+)U_o*X)vgXlUJ~O_s$3?ZRi$MdEtm%H9J)1dQp!!hs({#C5iu3kSGUuhZ
zX|D+XJWGPErrb*;<RK;awUgx*98*Cr_Tf#5XhcV%Q<Xgm=84w88w$)v=%LJ`jn&`^
zLuo@QU=MAmYAzZ%`mxi#*U*sMKgn5>#uv`ip>P$-o{O{fT|srKf)eQ$$<w|-*p0#D
zY@_rP*f#gEbxb)x89~nw=Ws^whEK2q&<ZGC&ms3N-VS{#IksD84MmzILMcMj4`x1%
zYMO9;4Mj*MpDx&If1kyCdn4mD>@i#qE`bwiy8sV|7x_j*vd66EUj3xO7pKrBzxzJj
ztYk_R^@-(sTLow)^I{DItuPBKF;i52OqDX0%e5sIzO*&C9PPHyA%+dH&^#wCnp_@j
zcUO9R8opKpySPP}sA&HW)}{l`I#Aye)+H>8cfXv!oC`%~^<iOelTQley)2M)=~3!3
z)TTp}n!77!_RtoIa(Z+*vDiCAzxR0F(qn|U+)T!Vr;TWI!X0?Ji_i5`qRqz!SXlbg
zOmN0WRX&AIh!s*SEWN0*>pu$t{~}y4hRhn;VcsQZ^FZT^r!YVPPWk5p1)7i}z3Bhs
zeui?#U2SHd&7j*>X?I(OZ%vTL#FiT8I!*Cbrl67Lx@?gdu-D~}lQq8*!Znzw@YY`C
zTX>dToC_W`6t<@qhNXT%WNPeO1uz`$3dLuH9DO?Ff?o&x)CnzG1tbLa#qpX12O4n2
zSj@Vi7M4gp_bOO^S`e03&2xeq#1EyTu<<6v`-3_u)oD?gi4f^}Tpurs9%En<znH1V
zI^ox-&HAsrkY|i6VzgBzy@3DQ705?7vyh4|E5{p$R{&T<9uw}b%BBXk(Ang<L>Wqg
zU4s+_PrK8jZ#)l(`Ntp;VRB$aA&+vYwWRG!(53$HB-Sz}b`Yk9Pi9R+<y$NN^^3rD
zJ|?GiEH2`Jdn_lnU;Myc9bECj+l*o`=p)KqMUT=kWLduHF6Zhm3cDhk0TyLbR6k${
z*1PAz7SO~|bVl3u_Pq+QU#RFgv&*XUX?Ha8R3=s4&0g;8YB_Kg*nxvdzkqM7vUQM2
zW`hN5<oj&3O94kxc6^E5SeLYDnN)Y_;q&XtJ0AsC;J66A4Y>np404-iBB!_KBmX_r
zE14PUR-|h6Y^=0}LU@Q{|7UNN@sNwq9a>-j0kdP_9xBMR0jJT-nsG)(?J1Mb87S|6
zkA!I$XeI}u+h!lYXU#8^HOnO{Vv*=^^@wb%li<78X~cFOu7s#tsnv@ET~5$Wi!5h?
zUB^7C#aRjo$k!$)9HmDrizOc@!qbCweR-L?OzoxP+Mzk1tXTg3a^2WPHls&)bbFPt
zBIcLs3<bw10$HE)WsO&+yPGui2O3JW<Ak1`Ou&4E`L{es*KsiY<i9<&Gtm7&L^TZ{
zi?Vm|rI7gD_eDp&>z$Jj@goT+3d5`(jKu`13~$O%|LhHd&D@eh->Dj;TzJZN%C|qH
zy;%hD)r@cwE`th*ud;?EqLQ$z`7-p1$YcZNp$<yr)%ASl0QW&1AY=}Lp3VIy+?ZaR
z(dwY)AGHSPIs2-61zwmfLSlqHZ-0_e^SY%u4vE-bl1^UVtTZqoj?ZZv0fr<-^df2M
z5mBfNxFP#n!%wC9wDIMIG>R!r&yN-GGVBxTWz<pGOmFy4nCki1{nXiTMBPM5UDQ*|
zO=hcD&EbIiygKXuq@!B2R_oP%oYn8-;M|!ZB?B~EmZP*Z+yC%O-P)sLr%)*Q$12jx
zkGuk~B*+RDRwc-&M%tRCdU<?+5nw}A4G=WmYAcr&uP2pflcv{w)=;r1g?G;q4dfmh
zv&#4*Swx7yV@EWI7KhUFXEVFky=+Tqrg&JVh&P|rU*L^LBE9JCt;VCw+aL!iGHBn{
z)BGW6O_mXASA#B47$4C)9~RWiVy#n|VbU~p46gjI`)cqdWiZkgASeCUN85~5`sC3M
z!+?=7d??nG;}@&@<+<MC-W@BE<uvborXhL!fAfxO=$9#xXAah44fzM_Ld&WUDP?R3
zQ&1*BaQQqZfLy;hG%VHI)g3%(!e==uaOfo7%V^PrT1&p=3;xE{sFP#p!d7TVgkg$<
zy$#IB!iub*(6gH3Y^7~2HGmS8`{p@(^6|Nf&<I=1Dnq|5T^jgL2PH_GviTXTYytBn
zK-M@tgehjnx4{$ZB$T7sAS;Kl6C4+G_8bdS_gb5PKchU~m3H@WTR02G1M#VDDX~&*
zAFkK*0Xx6M*(%2P8kMjL#PzI}!9|4sezO)(+Yc`Q{Hira#0@x{XF3vO-ItW|`;-4^
z=CX4Ly;4=00>{qz1@U%T3n@kZ%l5hwMYp5rA8CoGvI2t3X_wZTIK=o8pQDIU4N*De
z@9BNu@+mIMCVo@(ieQ1*oY=pnz5AaDQ##l@H`YXqasc7wZ}L+m<+XeB_Q!yW+Ns|q
z{n4lXk5hDpOlCH%HzC4s2%}fCU`3*yA{S(PHm-6y&c<c+*%y~{==7h$W1ZIg=R4)V
zn~Uvuh!gnSzty;3)YgG{#S7P=F6HzQ<Qs!RhTA~sqU$nez^On7TeqWuu~c^=+t|4c
zu-1I8(9!6Dy&-GB=(&U!K(~Q;zS^msi>u8BBtU+*1fh+O8d31I-f2$SdU<K1m0swb
zi*Cr_qtx9gXy!N9&ylA-X(4QeX`8)2XGFOnV7GSgJ5riPBu+g-QTE3EnyqhWvE+FM
zx8U+<n|(33=GDewYdrETT%Y=?_{Awl!{H?_)=x-am}0n8;;V)JL0OgP+6O`DR^<bR
zOZEMOpz`*S-O-BZ5C^{HuWwP8G}G#)N5pf_gybs(bkL9PD&8A&sIy{v$%d(<9;u*z
z_ch-+1OeO6s|74R?bpYY^R*l>rg~IZ4HxH!Dgl4|lK=RDRbc7CmEx{$Sb@)AJ}Yc8
z0%i2Rv%6n`TH|I+>XO>#5;VH%aO9p~3D30=vBdq%whh*KoYByn2$9yBYcV|sh8S&s
z4t(_EK9;(OLcHz>!hCy>a>$&VRBATAVlim5RyX`DFr61aoUO4&$~i)%Z+k%GvMW94
zxUqB&7qfE2;M7%j_7?;}>l(fpUZ*xk3202>&Vio8gHtFHh?kAeD)p4S#m(S|_Ps*K
z#>Ep=&**#-aWb?E*?=(z(?@prRlSX~sf4L-VUXBz;LqglMxGn{$|-+gR2H;{^M)~z
zIEdDJ@V(S}Ae!|{<_|BRm1J<jB)1;#WQIW-@dEj!RID8E7#Z>zn_i_l_n`}BIU@`l
zmPaNLar@I&98_`hSZyp-`saaZgm0}kfvOkA`rTYdwc<d&Uv~in!ngky0ZAD{$KQ7a
zU+MfsR!Spzc4q%+O$}$`W1Po=XG(;g2ba?4+1CNVRmFT$MdpFYtGgc><>QkIlpiPD
zW6m<KiCu2NL%y|KaQI;@_9L_JKTK@mtj_?LcjNP-zE{Hi*au<lT6P^$Up&wX_xWzW
z{H7s`>D;PGF3C!0cUMod{#;vc&xhkL6i2?*HPlr695ZCn+<>$85kpBN;8PaUO)RhX
zaV#Q9*N&(xd9@7nw4G85$h%X#ICpR=AwrHSo@-ZO0qsR?9E`Thhzf6W21k1cMq?{R
zu^6}DKMdV~r^|>f_3QU)n(@*S&~AWGAm?_%v3m&TnR-!vd;j3{uHAM2OXV3}s||aU
zP!Xq6-x_YcSkMK9sDYPJN~=`Y1QX{vGL?PBeZ9i4NmIYK&YBKA73Gd=XWHQBsbD#>
zUijE<?|SF_I;%1i{6Y#_0F!c(-VT}f=6Rt-U{&XuP#}l|#oMhO&hl{CrXsziZVw*>
zi`S#xt~5XSW8i<PP)f0C=A{U#A!JgU9$cYUOiOde8N)l2>c8?SIq0_ghYGL1^&x_U
zhLb>)>sc}x<5lVu5*E1F$%v(+jIw&Y+uc8F;xI>(ROW4b+OFHUrIpvtBt%%#Vh8Aw
ze6UeIZB@P-7a67<l>38&%^~pl8c-3LXw%}_MOs$eAd(?*t|8Q#>_~T5`2#ZtvB262
zb#!I-jgB{kgi<Y;zv6xt{l{*^b=3MnN`>lxy!6j1kiIWvGu15PVaj&k%gNk3h0mNd
z=L=fo2te4YprZClF@{q@{Nm%ET>a+!as{y70(i}@x0t+S-{<uP?=q{mq0Mmg`20hR
zko*<9RovFydHyJfH0@MaarMnnvU=2Dcj1mpB%!_(G&1ATrR;wYE_Y@DPn(;GI(nBK
zKjYAAIpVNI6y`>aB_5T497g9TO%Ho369M}PXu%zrH=1FwI<MH#zs+p<iZWM#i4Ysv
zjC{3WE1ai+l!QutzBs|&;8~lYI0Do@CI(;0eufolI&S)T{7I*Mv2^`$C(IsSFia9C
z&rH>Gy;qXZNVO?#YVz_J)o41pt{A~Gg*bf%i7ig_n`}vZbYVJ85HPcYiivTN=}(v^
zJs=I&bk}wG3r%Jn?CXsjUI}W#X(-=+QE#uKYd>33x{3kO`xdg{k4#S!t7eW!i!K(C
z)Zs%-ww^8o50gploudeEa5@nkC6DfLz<tgCS#G<dl^<TPa^D5Rl|23J_%Zgu+4NA7
z{E81dKT!M|U(1~G{f6ARK);{zywoYAb_`6=V*6tMmkJ<wgzDCt<Bok~zExl>{%`+F
z$VVDkiBO#)lwU=C5fP&EIhd?di|PxmMpZOHjH=dveg>IGYv(Yqwbe=#vP8ETyRK=K
zO(UQMZol5LJIhBumdKtjlmPqZFNSqB{tBpqRJ*NEb|+A7;!t8#iEEBMBfEBn0j^vi
zVIWFKXSH9Bj^sQ5pLG0lZ<KY}xS?Dkxxl7pH^TN<2{PZPpKJ8~i}}qkEOPj_!uo&N
z8Z2=#997-dLvFb#6DbcI(_}>UIe4|8^cf6(*nl~crw=v9raSsq*FznRz#w8BHy6=`
zsO!;)7#wZ*ez58RYWp2Z*wQ8CiGy?YwD2DnUt^GB@eTGF2ce`pB$}tLFcO0yX&n}(
zd=G?uy)-&zJ4Yq!J#SC-lbl>7dqxzabx4lyQGiG-YCgqw0h+Q$c~$}f;!4ztCVwiM
z`C~zX=yj!eF{&EJXJt2Bi90&p$8F%Bs$uX{@s#B-mPab0LG0Cnv#!nK)mv+8KNZ%U
zb?v0@LHj%2i;8#o9A-=YT250(UrD}y>*k^Qxbx|QIqOtStD!XNG^7IYTy(c7RiZ_4
z(3;|&=_Ar%f7$?}<c*+d8a{_#gwdSvs};bUeSkE?ktDYUPkiPHmDr(GB;njylOrA4
z7pc(m?BY`Md`j(35T+=YdL{E8`pl`|XD|dnTp!=JCo^!N1TF`whAtXu#DW{;09Ys7
z#!qm_79GmLC`Xn>NKm+CK5&Z`KFA2~_FQXJ2;QoRT-fNgfYr-!2^2mRWtaf;X8r5y
zfu7UqZe^xbSW=hkKnpMK5nUYT)eEZGlh)T$3&9N_^Fk3;ViJ&twNyXnJ@?5U1cUYf
zNNPet^l49J`$Stjmw+2$PWftDeSaieU|2167A@<Kr*0;}f_s%k)m~(9s%n-Xs{k@P
z4cnGvcyZf3r8|%ndY<_o@J;I&*mUBRe1Q5pg$xOSP`OS|FF4qhyax<45G*N=@))r#
z^n2xmK|;DBD$_@>zz-c_Lrv*zRR>^(aiAKJc0}Mexxk6TP)Y?yUxG{3)pI#)C15(8
zTt<4o3e@(5VjyZ5%Rk?7DUFcX4Z>}P<<%g%(9}@=4#CpIAGLKYv;dxf%EUq$Fq{zr
z=RrI$izXNmv7>mKXjG#`if4gnz+1MK0gkwBef<Z3R)S;_e(I}k#0@kvvsHI9i*nCa
zoaU@7T*sNQ5QUnYdxGc3v}mW-nw<KZObFJ_UnZEK(?dx=Q;GSBpg5*gBIY`C?%STY
za0dlS20;~=UR)-8g7I)+5F`Jx2`cU|R>rp_$#kmz(hzTY3Ne+p&&O4rbXWPLoU&$4
zW}F?d?4h)LwulVMa3zApbwq<T{mybTTJE{QP#>Ao{$(nvo}}9@J-acPRCwC74~X!*
z{Qn`j57kbncrE_9G|z`DN=4~CS-D$l=Cb`+r*Tp+LQP8=O3UaNE<(L3k5N=I6`cSi
z0-X<OF3P{>A!*#I`HOQv!Be0o&=pR)kyE(d`aZ+=`0P8&7aG7OCTm@U<C)`73|+(4
zNe}@%RThSLgU%gl{;`pHk~_STm{Vo0K==BI50q025-hm|>@8j>Mh?Or)|M6>_K?V;
zf$o9!Spyvtn&mdo-fs(HeUlCkQ+}yh;JX5=UjuV8=lZPj8;b<H^Dq&$+=7SkzLTQ%
zyWM>dlnL&5L)0y&)j1TV7nWbY#wfeJE(vIbg9k@9h(-p^b7d3SOI|CELn0QfM)r$W
z*1X!3FmFEKKaN~djD}A`m=MhAZsKzzSkDJ#NNAi8r%Lr1MRGO=ADvYLEi^UYtEq56
zFkK$p1chJkkp*?7x%1_Xz-bPpFs@?W{BTU;$5e+q+v(^EuY@^-BYz5|naCD28Ix>+
z(F2Di&A_+AJb_aHv5Y!s0$K#Pz~Ma045n50u`5#Q)^9NInure$?K%`6$xNu^5eMxH
zaY0^$$qh2nnB&+X`cW$j<iHA|8MFIx#oc!Y18c1Ff1C(aD}vuwt*Xbi&ntx)^a#o)
zNsuh{$J}I3mN7Cegg&It24Vg^&JgLrh4bhRb$NAJO9aVz({hHdUd#Qp2Y4EAb-moC
z9F{x3r(aY$^Z{YmafFnthH1(H)AEnuHYx3_DKz%sTgvU7lA}8AHBmE=n?t7;_%#IY
z`35Z^uR$fXz<8pqA=I>Tl6M@MTnevIoep(xW&^4~nhfO<G;^&>C<Xay_R3{>QsG$~
zWAV62xtz!ELe<iRpylv8HkybLmSz}z?f5Gv=$F=w6tQAGRpCCrioUYS6Z6d_&mKX|
zw0BC*rME^L&7^KXO+(}dzlU^cQRK+aW1+Q&x+L_D+HHI+6<$k;F$yy(f<zO!q!Nrm
z%r8S2`W;+ue3-)L8^ILHIC_xl-c7ek3jMBSf-;F4wBL*lIglltW~%a-Euxm&j;^lk
z(U#?k_Y<DfN*%Wd;Y4Gtny9JO!?}BCiyB@&G~~ud2|CUc-O&e#g$fuXleOT1pkFLn
z4Aue$Ol=^#z#gQ4e420_kDeaccG`@SA(4Y@>uCY`Y{{KoVpygxdpZG(DC?{{jW-01
z5DKnr1^0^z==66oH^SkN8V`g~?>1^NfeR^eTk<G}*K7fdNOrS?AR$qp-rf=p83a;<
zo|FqLcvkvvf0&{58|YCblNi^A@`%&@Lne#Jo=lHHlZ~-Gb$92amDI$+6P~e0``s~y
zw|c1oZA3_tV9IxmFOTytM+G}hhn-X1$CR^zO?NYeJ}bLPBFf+vC1{#{)?g)mNDuIJ
z3R(G?L~KS7j9>riLvO3?+R691`cx&;@x88`0UiFy0MKwV1E&#PXU2$&J!%LqK%NTu
z@Y8w(c=7O2Mt*uXi~;VIEoI4TwN$npNPC}dR8?Uv)0q=OKFMtKF%&z(zlxEgBM=pD
zNy;1^gbIe-)_DQQN{Hh}5LL!_UP}C}<GA3^RI_r}JwySAe5V-{k~m}8O4h@Y09dwq
z4Np5ok?zaI-Cym=@(GbtQ0IG__-4uD`Rl-t1!YCr0|s#AVK0QAw|%QRBZ937pbZ(=
z9I_vF>`3U=?n=S={sk71IqHk-#}uZS{lxa`0A{|U{_s<=NzUY#AWS@GwdLAMB|I@$
zUiacqZbrQX0phqRZ6Nu)7qRL0`w2(64`E=3U2=lFi={OY!%4%9v^k<BslF;>5G6^r
zQ(|WiVpF&$ubCz)-!PrYuXxv4xvJTz(hkk+*A}<2O!xVv>B<(o^S85zQirBIch}5u
zEqU(4SU$C7N!#n_c;=gKBjpC!y#(<e2Bckg?(d*c;mszIR_wef{=@VeWv<E4ZpZ+O
zn&d$R-0?{lS@_G44KZ62rTxFgg+<Dvi>VAb9%xG&@#-9?0SGW=t{GnV2`^eMJKVgZ
zUmT1<%ACUKA&Ql%D|{qURh71i{EWu1FiM|if<RogS9V`t2#VhQB<wR)z`Y=S%JvLm
zvOsD&IrK7hcu}U7d<%W%7`f)C>pP5}dD?($lpl7#(<?jr6_5k22KmsiHKKVa?&XPw
zxJP`)rs<`<CVce;n_4e-Z79ecyK5$~5$qjA+?iu~@X5h$`y)_ZJEHD=8=8ZoBgm(^
z;WusQvKpa=7fndijH-Z)%DT{IwXVHstZ>FyoJo-qSd=kY)Q9>Yh2-Y^T~z^mb4^QF
zfmAS`Pk>nRl`-=gk@5W&E<&SU3N(3!IF+pb;HiGbhxQf-RblsDk<@2uYW_(jNT5%r
z3UzKNJY~DF!W$w9xQc)qZzYkvaWy!>a^0RHR2cExd|({`LBWKtu<pK9r~T(}5DR;V
zsV8H75M8hvA^m-|dmFmP>X_c7#EjR45bX~$#q2-|g7eX|m_R>a4*i|>)yWQun{9l2
z+zOCNY+_w#>XNf)g+WMsuaX1r-!vPI+&>-m8<-*>sa-N`iv{k%A8J=uw|I>8H<Pb~
zF?OKl%-;t9$&0lXy*^c4uD^^1Hz{}O6hEK<09kf`$9Ag$aB;%LnDoB!)`6#LF#_Xj
zsa2OCwzEJQ=J;J<{a!4Om(iPIRI7X&`q5Cko-K|l7$%(_BljJ)p7iK%{<V++=kJX&
zt25u7%<fZ3e>Ep11-~@c#Gbjhzq#o!$?24!6K{uzs$A31Hb?t?p5vx{F^+R8Fhd)+
zygOA~GYdf}b&XqlU4m(~zMXLAcT;p!uMcv;usHtFFx(Ac%Y5|4`T4~Fl-tN?GS*qO
zkF@x}hSnqH>1<EL`VMI6dJ$59M0p|DsN0^w-Y^0<9h=nkpO&NkBQmaxx1#AH0k2_B
zKY?ypeI=Lw@!8P!SGmindJA1(ya_#{Iz5T>UYV$}c`!&;$En&vlb2m&dY=jK+E1Hl
zeA5FI^VQUtTBYr}_2-f=XiGuy>UaMW-C&7A_rJh-$J+u1-nw+Ki7?vm@Ah{z>G<)%
zUIJ_|rE91a#%~F_sFn`Z-TQ4!*Pf)Yhv0Z9bz*VRMu!jJ*2h|>GdB}PzrMfN<7~~h
zwKo+uW9-!E*1OFCrC%qOCYQJmj}~@957QeT@M-?|UyfaPOyLOM(}w@*H|5QJLF%bQ
zbVuE{!Jysey}`Yz^`7#Bo$O8rEq;W@Hm<J99ft`^BoRJ4Q@NDcaPS;iA$$GwGQlgL
zmO0g)QVFjs*K93`Y=6Tb^{&!dPPcXGq_d7w9ZeZjS9U|w_P)fWv%Tzev*5-mlD8b%
z!U_gZn#1_C#WvTr=6Qra-;-E^+naxj`7#hX=q-bsN}nene;agUz<nY{`EyOXI=YdS
z0RVNPDI(HM<iQUuenR+rM93be?w_KVO{b<hn?Z?EYChq>YX7pc8uKN?0rCuy+m$FB
zbkD6W__7zq84~P|N;om%u{xFfxv)|ULftjt4DPJ4Bn5eVn4BG+--a>Vr>#oH)Hp|H
zixtxvkoq3^0PnVvW46ZpM)OCuRD->;*kb7=`p($kSb5H7qs1qLJbWd!i`DNgzUL(f
z4w`E}PP3B&UK$1NU&)x-v%HqhlXAT)q^Dmf*?p=kGzakBDt0dUgmIT%Yyaa?6C4t4
z6g;D-OeLSetB~u082-~zu&5LzU=%&RG4bOxDhZ%8LQoMV7f|bQ;I-LU8D7$@Lh+6L
z#FK_Kguy_YM5E7z<T_W}zW^Z$@+NHdyS_lO=OH}s+EcAKbJov-BAPA&<ji~BS?f2N
zcFUu$!Ko>;9>X>o)%_4?v(zvYoq0~wln1^&qr~_VEOJ$VUW(7LvaM{?kXyYDCiejw
z;I75x;)hL+sWIu@+aeDPRp+m|S2zc<a=ng?sY$0T<9CPlFG$vp$k;3A-l7GeR6B9Q
zGg+SvDqgE@y~z)}p9(I~&Q@ST4z&!1CysZImb3S<W8Ba`o3?=g!$pS>&hbG)e4*pV
zMU{5XDYuRZ1Tw`yg$b=h@^9s{h7A{?2RXSOfwOTo6XPSd7fM!=BYvWp66Spprbr09
zQM*Y-1EH}$S=C%V=-zzgS%xvyn8bK_QyecT$Vh=~zsyD$9MsEig>1#Agrv7f)P#kZ
zw#B#U&I5%W1>n&%U~QA$Vz1w?T>n=^iO{D>1PHrZi-S%o9d=I;5<)LV)!qa8iDEMO
z40lbFVRdJhx23@EJLGlWG+k(%&++LZe@D#eCq?UDB@HS7U^mr0nF|o~R-bZLf-WzU
zxntWoW9x-l^kr5gD=RqQ2cJ}GLG~y?H3mT8GE+628q(Y#s{4#MbCz0nY6doA*r>86
zPWfWWkm`F3!=|qTy60u<1dw)8Kq7lUem1qnxzvw(`IDq*mouB8?ijG{UeQqxJVCY<
zr_@iKvEuGkK@vP4x4&fcnmhim{~<frErG%Ls3%r4EB#No1$4>fJ`&zYw${m=Z&yLV
zm&j^>3fB|QSl>#yJox`4eTz6*O+n=Dop;t@Ab}HdJ>CW_U$ZhKSG%wPds9FJa@oqq
z_MI6Ff=$-?)(x-a5pV&$hm{qO_p`0Qf?9kqLm92IZqeHy2%pt`6yS<s(x%O*(#?|5
z85ppD8EpRoBSuzF+#(LNWgmq>OGl##Bj-I?5iFgAg^KHjl$at7BcD9-q=$5$40=@d
za!co4c_iqoz}xXIouDex@kHx(Pi&A!|JmN@Y%*mWr?6E1>dc7tg9IKWwh%poU5)yo
zr@Du1f9=^pu{0Ih@Gs9U!q|#{=-(~2c-UN%3nEVF2%Rv!@`DMyOZq(>OuGa*mQ+g@
zuhTgp-W_aaIphkNpNUsAN_!Bhc`uBaafM7@#$>^as-*=j{s3ME!!v6)Hb@mn$%h&{
zrmuF_-6qAI^?UZpbOaD6!;mOKDqAlwnNAowau~joOr7RN67udxI#jzTmfAJ4LqWYs
zB}(1I#sl+dons5v*yyO7tSo5^WCB(ovn}pj368zF+bL3{!8Fvx|72n@R_nAhcHP%J
znvf$kYye@{s7?qh-kYE0Y0FRx%*yr4Q)BYiRRT|W?pIqTgcX23I*gb4Hd99?T1qF0
zw`HIAz~{2gxXU!=0X>Fo?q=O|-zHrZxYTdTqpLOUIq|YS#s9S8a8q@VJafnC|Dlvb
zF-^L3Re$=|*D{9-_aeO6CJQaUq=Xaa@MB~-J_6iRgGB&gN;Z^vSODW|*gddRJXCy7
zTeh8J21`nJR;zKw{}w`Xs~m#Ky?SuFeT#~+nppTq-o^L(8tS=02Xu!4kOc5IWffZ;
zZYDCY6Ct|&DhPW#aKHhXxQ?Y7$U`3R&||$WKf#1{3=0@G)s$YqhzC|;wR2t&Aexay
zECI|LC*9NBI3Cyn?ij>(h!BG0?yL5#y{B2P#!X_-8jveSZhb?B()ZrzA*|k=xO%g0
z2u<ywXNQ2mvfOOgU$z|bn5G9=-EC8KU}Xp603Nh*EDa3H0pBtp%_Ka}Fb~9kPhE=+
z7_w^VZK_+$AvzyO_|?NCHNbNm`P|MxrZyi;5=9uIA)n^+O97@bZEZ2?8NcPCi53Yc
zP#uA{PIZRZfZm{lmgg(My5((&X$AX!y}zt^xX_rZi2FWItvx4-t$O0c`V(kD?&B);
zrM0Mezu0+l59Q<aA1%_)dK9_zV@4353Qo+A4U?qoEyz46I}g?ss1lt{cNp-xu^4+h
zpfU)-AGncGH*b@w+0Nk2vhvzvPsk7k+rI|+wee6Ojtr&;Gbr%_7U#+fDCyEJ?o5;t
zI>!jc!o*YNmFO9jt)$%ei=<9x;%R|TD#MJQZP;>ZU(2){NgDW8p#~2<w=kM2P~sLC
zR_jZ-J#l%<Xx>XxwwUj$+(5?~9>8uy>3dPW1F5!S_nhg0f5rHMCy$7Ja7=WejsgZ(
zVY@c(9}^Jjs=DzTo?W%L7WZk3%~&7Q8mi3$z1%^A)@jiD-U<PQ>{SSe-aHm$VYlxp
z6D?sW_S$}=^DSBBe*QycuG<hZk72+==Q~_XUq$}A9R_j}ee8XR{gMuA?zC(XsCwHx
zTf=%y{!;_k165B;h9z(w(!~(G{34mObrSI$;vjQ`GGBX#k|cQXhvmcG8cPml>B0TW
z8|WgUhizqQ&|k>ppH0t|0rMjbB`NYG{w2?yLHGdJiuunHYxPjZqO<~7_Dii<kCNh7
z;9JRoVkDc)6r;u1Tia#LYk~b;yvHLdnGYNR-H((`rAF=_CBv?ZOVHc8(;GL)1i&;s
zv@HES5{FFg{7qlE7rd#esL%kv)eQP^1szbB6&gz!APYw(0blZ#A{~AXC-q7)eo5bC
z<C_*4mYp^lwDS)bPF6d%j`!U7R#>{3N;SJkPy7eTqCQY=VJLiW-I_yxLC_G9UP){q
zHwa5jYuRcrEoIeWfP%vV_<xCd85fM`^q}@dpl7m!M=Hw1%9--Y0d>A;{5iL%5NQ0p
z_2^_Fz@ENaM^~n<(24FUnCaa;aT3UX3hQNKV~RqvjaH<3C7+9(RK9^4Q~Y*VTVw~g
z5*FYVvO##^^<3Qk*^y<=79d5PsiL-)sl4fa`QFPFsc8chKId*Rn(^~?O4N{3u{Bkd
z81FDDKNF2-;*^!C;pWKZl{imA4tG}tkDVA$AI=dg-je2+5*tfy6Wp5F7gXy9%b{YM
zZTQkjKPBzz6XW6nS&JwmSzUNSDT9zDl7*V%LBsqre+|$CZmYTR4i~Ezg?sM31LzKn
z)*wgakk7<4Dn9Hd^$}ZqcYcKbX{J8z->nyR?{?V<Yc0kPh-PF-R)|xn+!#!oP+1*Z
zMqKxQM}&g581Qq)xWP;kLmH7py%$Ez(_^I3DI896qr5L7>+exoJ8%`cU>`hX*=K=S
zP))aK?jnjeo>o#=>BB0<q$PBe`U!qeigXg)HYnSU+D2I&7%jtRYR#v05VE&kz}%U?
zo0!r7vhe+Sx0$e}g@)uXvxTJD*rx!kFIf1B9_wv4C|@`UOZ!l5<6PP(UCJk*;eza+
zt{^Ks0lqNfZ~MUHC!_q*4<<waG2BK;_6eHME8b1z{m$`T`IgDFr68BCvfb^gfk4_c
zvRHl23nPuoJckX_PoAcelz6E!aR9V5*i8#ndwvg6{bDu2CX-42<f|85$qRd03$@ES
z<I3baclRkJbME~ypBteE&Bn1$+Pcw*YjQ}$=(`w5NtzXSR@<(%v0q1}3ddRY+SxmU
zw&-48F64DF<wr?wJj!-R8OdAz<ZOmDD#f265+)`~)U|7IoV%e!pT)wc#lM(Hwk9fa
z7RjD4uce?^b2rSSQ*@Z|==B+_3FcKV^!8N7&${>bZBs^~br4VPx@4<Q4?^8l9uYvr
z?}D|L<+}I{c(x;hjj|bm8#d?0NSJ!Cu1%N(Q!`?+VbDOlC>Z$CNi)SjGh2RtahYbT
z$^oPJ*md!Y=y?ykehoQ~+%R+Zb$<3Bd~8hq`reHkL>i~gf>>TUW384yvY<V>N}Gb#
z&2GTN<?OyDXbLsg#eU@&xtN#GADut<98iaBKgoC1)AYIV(%^mbuhKY#j9&)?=?T`$
zVV-FcxTBAMrL@}&g}I(Y)QxT-Uuu&8j-7&pTHh}CZ1;qP@h4TcXLIqPQ;r-CxQFMr
z??}ucj2yuj!EAuAT*dewU^tQ_3C}at6ranRz`%JzQ6~<s^OWwBIPjm}TN}#ZJAC*!
zJczQ^&KTBK5k&BmceLv6$&7FpMKsp(EaOSFJh3!4iCsFqwm&hdMU~l~R_1~xIC0@6
zykcyF)#jEH#IX{$U7&Lla{mLI$AxnvCO68v<Cc~p=2G#_6v|FkWQh?Zcg-`_ll^+V
z@@T&Jt#_zjxXy=$Tddv3oYV%CmBVkzFFnd<;3*hh&otcOflPlLgneJvhicJ5-L9_w
zv_z6KakZO)mS+V4N}Z$?iYX!*x5BViEC{5u+ms;dDT-x90PZ*P7Fkr*4Zb^Nkrp+2
zyhun6-72gMB%hK~^CE%EvN0f4{m>?Ju6%W>aXxHb<)>x>6Ms9URI=^oSXmocowNCu
znzokh-DZ>7#2<GuX6TavgZ_ij((g05M5?>%Ra@ZNNdeY-NP`;TfrvQ?{ihwNu!*Fd
z?e(A9K6B`AF|k&2X8AYTDwqUL5%&Ib=oif)IUpa%b;Gu~$&^8_N*W(wJ5T~h0F18x
zc~py&{W(C>eh4Yt*l4m6=ABTJA07K1(OvjR^EEl%iy7dH2J2<Mx*nB6nm|rgc2=%&
z(}_GE213w{<s2ZtCFEO0YnvjdslAkDZNs-J4@m;N-AkaR{ZMU&D93Q_(i$w>S;D-@
zlEBuS-<&Y|#~%1ljx*{4z6}pP+8Xa45PW*ZUx^}vvWHTnj6T{}`@;J}Q^y4Vt#P>U
z7s;MAM_9L(!*16<+BN;$EEqh|F(g8j@nG<Ma=DOB1P~pgXLI6imTmCufJ5cd7f?!g
z>^bl*YKh%0>Juk=e7*hP!8=Kw+eMLUoUu(9mg_@@ZFFD%n6c=bN-nweW8yTCAj8Gg
z(}JT{L6V<|36!HHT6XO{T1F?!ryh{RfPVD@`JVh!^9~3lgi4T2_AOq!(0`J5IP=dX
zqnJ!(>TB%#MEkszqS|G%Tl4dc)@e#E<`O`b;RaLx66X6auS|_-qgucXUP?Zv$P{M+
zuy=y7r(R5h<A#7XnnGJCgUZbSuMt^P>}@bQO@(C|V5n%gXlyi{0xpPgTl684|Lx;|
z`ku6k;ODI=);wx2;xtIBamziGToPrXnk0XMsH#t-?-o(w?&*t{gj%R#=KV7Prl(_E
zoyKYQu@G49b!i-#WfHhoS8S7wi1{~KaLnakEMkPOl5AD;1T%fK#F$^Ul3iX_%RF#<
z*IF2wGAuSvNqM(l3ugmS$vgLb=!ECXvmm=4ksVeH{_pz2wj!#EXx7mZ+))R9v&)2!
zI$4V=enn6?`LY@YKxYOd42eXARzu#(;sfKfhvkl8?rc2KqS?~=Pc?9V&Nn$4E3C{*
z$WU0?x&E5G>PN*Wz!<It(tJ4%KC(wK`~4M!tB((>$e0w+|C{XJ+fKFwyr*IFCt}~m
z7ew-v?MzRPb&})jX}R#k{0aM^Ewts;(JA3wK|7n$m}d92Cb2`-J~p4D$v|#T45!Rm
zMen}wQxpM_^;1>_{w=9Ew1cSTudRtysILah`s!SX9CG|ufw63eXB#Tf{&<yx@4P9}
zZpY1hQxe5ZI+7A$%&FR0eYQ7QxG7OYKjr-w&ClL&M>>0KsDiyenD+h1wfV8kA>HgS
ze8Bg;ICqh1l=amG)wyVQ8`g|yxCrotIDx(TfQ~$QesI)e^?w%@(}Uor6ui9Hd)ZYU
z1~_*P7wz5H4G~UF&bdB40m99LjU~UK4V4YiEyn7aBCq3@aJV!JfZd@~&yn)~5DP{8
zdUS{ErKik5R}eKcooj4lstT}VYWL{vVa!U-?fo=5S@YQF8Fi}*=`w@^WRplSh6<r3
zv)82gZ(5)4Jt%Jab%t)2dXCoTX?R&hP>%7;4zS*jQBrF7Y>TYbTx`*mj=OGQLMxUX
zM(qD5r!DG-y8}38M|8Wbq3O7uJta6YwK4>-`b>!QDZ~<@tIk#Q5gks?#Ps;us&ZDW
z(9=K-rYCVgqJa~S9n#v(x1_DNA;W7mqu31Pdh$YiQ*V#}dy7k1g_&|q!%=HVHGjhW
zN>3m^{&Tj8RJNhF0HLxu5S+3<&!X&Jeq^;TFh|Dnyd>g!M2|P{svdT0D@=)BlDVu*
zm%N5_Y`#OP*J&)UIlBdbDo}xABy+DV3ib!FnD)Zt8}6^^ap_b7|9y<gwh#cUd;KqL
zHoH&4*k>Sb{qvXMQ%)`<IZSe(K#?1%%cPQ2mWvS2{a63f@dRgT`nb45ympLG^Bsjz
zG^}y9=*YMSAFC(xKPFl}T&7%-8{nf5;VNEZh8>FR!?CvmLLU-Ep=LPJ-<}X5_v+K~
zD8J`q3k|wQv@I~x;M@>UQ4=$T&67IT`O^yBO}p>|zqh#SGGy?vT=Ri$Y#go5Z`#GT
zwB@jU^0p;!P;NCM`>0va#=3^;yyBcQza2TQ;IJzEP*g3BJv2=%bw{7pso=qcW-?N@
zjOWo=pb`)QC>(*aBisX-yuNmYk=M>W=$&IJ@5BSj8XB<MG5{Jmv$6plW`F))?~FO;
z!Hp=HIW2n_#?2}!i%EsN$h1qvr%RoTHT4Wv9u6MK|11ZyAtos!KRcQGdUA8N5R^Qk
zKHj<E$od%l^wjmh=!b*;M=ZSgCnIkV?-0i|H&`Gg>29n34?iVV*t@Br0W2CLG9K5n
z#imQo49jX1)CV+6SV9sP(L_ZP)YK@^SMtl>9_-b@eP!=r*u`6yB2e5z%n0`?ix;!7
z$pOlK2Q^hc0=W_ChZm=s{J?paj3XV%eRi3lx{MdUD@GK`h|*ocS7ESRs)>_Ic%?~Q
zuCxm_c3d^cM0~NU1Df5lxCO}Zx6qPm6U0$e71M_?A1?n!!~<W9am_h%hRT^Ab8-Mi
zz?uI#^WoV*h90R@5;4?j7rm6T{-36Lf{oh<B_(meSaOzXp&ln-Tq5RIVm@9_2}ieI
zT;P{2^4it7LD@85b*6a%qh3E(00cFTT?uQwt)t|dv20jOup!`>6^Iqq+M?(X4<}Z&
z2>pT}1!W7RAsB}{wBT?{rl#}tL$E#jK94f3ocoJM!{c>WjnBPGm=rU)#9{|p%RlHS
z00s4=k58b~a~+S$1dr4>gt?lBT&|=!-31!l1K@@63J&xsaViJboJUj8{~T6(#_9Cy
zD=I42S+Nuy4?~-`E${`!82CwiKA7xYtgl8#<cq%JqX>qM6ROQbE5xT7bCpH<+NAxJ
z>%4R4MJVLDsW@5n16AIOy~gyBX%PkJ5B0IB^NhgUi({4vh(0uTc^HEkYenMDE?s>J
zrD@@a+XW}GAch}ePzF|lQ(u)p??#u_ag;{ZLaq`)chY^|;Eqxt;^F3z8^Ca1cYq;n
zTOgJzO@>uSe~dr`%-$K^KBc9F+_IX!j&}c>sC66U1lr;tBSt|udDff1boJO>JFAc-
z=VxJ4(x9=iCT*Y-1QL`g@nEGAT=J^QB?xN@?qEPJ#TNGNKk+mcnRPduL=oZx6CNyf
z!JqU+T#LZ67M!+k0Tk&D0cKq~XS5QIlj9T8zQ3U6wJ{2j(V;@>e&eX?fB9EeuY($l
z4<*bG;X>C()-W^RSI4J9weC=aD&*{es)6d@_4bEg<z>b=y^C5J3l}69{OR+_h|4z`
zTM+ybz18+*x1HaG%7yaQ&r?Lw;|O7L<sRm*Gw>?Ge%l!+#x|W6Q6F0`369Yu*2JT&
z>QDPgf=kZj6{Y9~9Iw56!8R7d2UgR3JqSiGnDh01`yqaaf>IXw6apgCy^2o^yGX7<
z5f3&{PdG96B&!6(N@?Rlw0L|ew5$OTH!t~s@p)-5sGZAn`o6gCGkE9tC&xq5ykQWT
zUXrLK4aSTy$Zm(3Jt6<v1iQHTvor5s8&a!IhdHu16N4b#<pbD{UMVDNawz(FTa+!C
z=z_HWLJlrc0uk`kE+MMtxo4`9F>^xaDtVh0RFE8ODH!=hxSOa@=gQRjKx6Qy&{Wfs
zNN&J`gIZLB-13_Jt7CxDG&~d+LQt9GCerd)zjsvs-0v&<0vh>MImE5F1g5<E$2e)D
zGf?}X(>|B(bDT+3<b}L#@d*@s`r9l|;D$8_5TSd9M-dw~<I7(k?}s=19MEmC1+W=7
zJ#a^ZD#)cUyR&X?)=WGUFVu3e7U*p!O`bEYwxbWxkdQtpv6(X@LZ2nWugJXyMKAsB
z>hNG9fIh@;a=(Mm*})aL2Yt05H~lw~OK>8Wz*06+0Rx7%*)|2X(%PN?k&WOBY=K$e
znoLZM3dR?-tr<uKM@xCR@7Hr(u**3Rc7TcbLZ+m-H7ljo%TRKapxAF#h9iX)n=Nwr
zt?ozY$JcjA3gseADevzl1XNEMis|;+?nBC7PlQI)u$5EPEgAQ*E{x6%9Ikql)}-JG
z0-v)%K(tvuz3{@)sONYr1UAe`lTMMym93S6fg%ok80M=du3aNse%A1lOiR_OtT#o4
z%!-Hy^tqJW|2$^StXDbYR2Fm&ov(W#iZPYWkbu%6PQ}^Ntq`<}ze!zlkZ;N~8b$tM
z{L^rY3yd~lT&#(rJa2uDl{UUz!o3BY_d62s`wLvWI^<V7qi-dfSNZZ^%Y^XcbYVUs
z@^UIcm0CBvY|8nbQ=S2m^QJi=uq(NeCzI<ZPZJhmdieY^CVj-N;EfyvtU?L=#GUWf
zl!xUwL{l0+vkuD@ZjV+&F4_0F#H}~1m1(^_i8kMINP;Xa!OuNhNyxBV;tzry^7Z`s
znZpM$O|bxQqai9A{JaEkGPe3Qse2LV`G083kEzA&{ON<gA`95?I6k+=b8Lju@?6?A
zO<5@wMb!(Wfe@CJ;YR^{eF*)mkIf$a&2?%iWxT74ZzqFs%AB~BNI94Ud9bC2gtwVz
z1ekI!Zfh^@4%MTDN-&u~{$N~sLa&ma+6CRW$;alyFKe+p6zGA7^6I_<zCFe)%{|&t
z-??*RwIxN10ByiU_HAwdTF4h)CHMp_N~On}-;kGxNZ~Ta1f#AoLopd*kQSxR!U{A=
z;6*kcjKDH}xommpotqX;L%`sqf)q9)Y94t`9S0-W8bv}<Ra7qb=tmAv_%g8^*Q)bE
zZ@yWkP*QAw?*ZG;Y5&0mstGRkCP!2Ay~2GXx@HbIV<h2zv8fWF`3LzbL9WIJi+|H<
z<p#cEsOg)S5KC3~bqFQFR?SicRy^gI+7rdl@{n}x;uT_%=QPo`;x|YwcR?voU;F@9
zMmU+c*J?@zZ+N6?HSLmv!TTuLDY3_|J}O81qF=ouV4dZ3qwp<KpU$xZMV2^$#Zr0#
zqN`1fjya8pQ`}dgE(<|@-X~urRE{L9!--s9@+j+c7N!XqSo5H|1a~EdcQP~z@1@SD
zqh?r(9@N{gDqAns?C*T${dT7^@Y-Tq#f;tv&@s6*xBNwpU0FPM(ksu~p;ku+g;aLc
z;p_M25g&d{RD}-m4!FZ`4?K?CRbzagmuJ(qux2sy%cXqIyZQ21{0CK)+k|7u&D7Q8
zXqF;+EcRWIRZSmBcWW;qgvUk`{=N%NW>->s*>Zx8NFWt<q(~M@liBH6$4%)3rQ%l~
zbFqiY{SK7ja{9a))bfH~cbX$d7wzILth~9SUE$B9{IUDq{Zr(QTC@5Wp~3_nb+o?K
zwDL~$2~$KV;NP<w_;>)P5I0->yx3(#Xq7#fv#F0+*_EIOy~B||kR3YM1GhR6)G%Y0
zTZBuTFHV<5Cer3ojk`saBhJ7cj9d;eG%xs7XPX3e;_*1vDmskWz`ez{aG&qSp9+rY
zp3fx~t3QGt>wY&@45=>uYxqNu*_(QmsS7h@zT97H|D`ys3^R1I7dW}o6)z90ikb6C
z9QF*ZJ*T#Qj~}QS<KqKZ`~#(eBM&-+R$7nh>ZaeTrqjLg#u?->DtZ$&3dj#T#hNm>
z7@lcCmFU_Q-!SIb?H~*#jQmcfAdd2yXX;nqIoZ|wfl=x<SwsO4@Fhw>`35}Af206+
zs*{%C2G8}M4q>}(Zp5+ze%qgPO5v~kN{CA*@<xmHGUSN!-XYuS7V_o}Ek{c}4EdmE
ziO2;e0Yp0Ul5W!a5_dA?c>41MUIeFQItshLaiY}9xf3nKVTMhEy!2}I#h>6Ny34`L
z&8hx6xDBN?iXVK0gc848x0qo=@-JSb{Yf$KvD#i^?y9TM{Ojn&^1D=_Vw(G3$eHx)
z3}E9Cb}L`92n!zVm$PdS@OY$5Aek;xS|+lvtq%KESVZ8wA1gX=0rEI2{v_?mfGA7Q
zec2U3wT}v+qG<eb-4TWQH>D*C7=g4fDwv*U+m?kQw@HLW3t$nny4v(G0#Sj43guV9
zPz^K=Fsv+Q_@b#Eo4~lyKHb?cirIbvcSRoJ4*A0yhXu(|Lka4I*EVWnm<I3O{pqFV
zxoqI82$6$1ePB8SLQM8)ByNqj_Y|2B^w#WRR0;K={?UtLUbmdTZ6J1%aIo8pr5>e>
zn3WH}*0ruzEeZ&z1u3_55$ZvveyDLxXg1u$z;Z!ovxdgFysaE3ft-DT)06P~&VjE~
z_5LO-MMUh_E@MP}%c!G>oZ@FIHY=bt5D`wAi@yM!*my4BB#-TWa-#2=kN`GStax={
zz9a2y)~(lbzAnJmhG*68N8&ZMTGBFzhWweXL;gdUS`A$#*<j!Pl5~!^eD>^Z{o|8}
zTr_Z}$!Tg`*?)v3c}iWZ`S^P;o|G=!HmC~-K%l<)MK{Ldw1rjpQX|8~PWQqbk?do4
zDIC1hB8gljKg!QQn-`&sb>KCJ0;B$zH1m40i=LuY;$<j}nWIm+W>cAzu>|iMF&PKL
zLY795lhkHkp+n;tZM|t!&a48z^L%((zt84p?Rls48FI!Z+hhg9brC*BR41I!x*5Vz
zOF32h>+Ww8EWbV=`&mIH&JAR!4hPAPH?OvT?kyk;)dBOqD}(sXrvC89>n4m3_=yBg
zH}L-Fmb+3_*!cVCdFxHFzqnw+#Vjg7CuCOP8v0?Ibri+))Pu4f+0FDsJlD4Dy&u!d
zFncB75xv>1)({&K)#eFG7=<wBamTXP^pHwyt~~~sdp*K4k25|gtJ3yrrFP_nnn!MZ
zU0w$Ow)!}6owwEWd3HzjwZ%-ey@R0OI!y2Twi)*UM~5HWD{H#F-mA~^3GBOdi83L9
zBFl(#Gex~BNq$gU@@f<(eeWV^NV`f=@JdafdZ^s{V$I)Dho{?}{HbZaWi>sudcRu7
zwa}o09RjtLs{1pH{9qdueJh`UelJypG3yJL-R>1SON>w4Ox|1W!~?gmAt-WwPE`I@
z$Wh%y@rD6jQ*~992|8$?ApXR}?V1W5N&g*~F?=~Y5Jnmi<A>5DmTZ-GxxQu1<Doea
z*?9PCrT((PuyO&z&=r7s5Lobcg`HjZY4UW;X?H4bweQ#P`Xa{LwF8AxZv36U@rAom
zZqfk(4n=Imk>kO%yx%E92@U+#Js1#5T7${i|EXGR*VhK9;r1If`hUa_cZ7}J_1wdt
zj`q0zEaAMs!0=^28a57@QNQr?r%8?oBO*A;<EHIe!EY%beV5YB2=07u$}4>V>!vG3
z*inG>8Gj<o)M(dD0yGdPRm^BqX1iw|z<UxFs!2WBbXKXj+;NS^UaRI=Ds4fz$;Y<>
z2FT29TE7=^d?I5_{hWaQ_nugIx)IlT(75Li8nv3rhRy-n5LS`iAXBTaDBvu#sBw2<
zGp~3tRKGchgwW6+Rty7gcmFLkCyiX9gy=518!u#1UDYKL81#zNFJpLluMZG1+PjVL
zqvAC^(y}8q2}9L%piCJMe8G2+GS#=*bZ!EE;P61}Ynyqm*s<xV)bhX_153HR1=nkT
znrD)YS-FM?v_{rBqrJrf;5OEy3rLv)BL7mDeULVB!GvNr7pv*@yvsTfx_2mfB7?5G
z-m(2ykskqE9a<Y?Fpl)LiHRa<zFo4$J*-|yhz;A*V6I8W2jPMD_QF*VPae}S$oa~e
z^N?;M_U{C3U?1fOmOQ&xw%c{-dGO%uRY6tuH3@<F>NnX}_{t?p{x^6HN>klO=!|&F
zc?Lc}HX)J-YjkR!YO@Ab7xCgPG-Z*;{i8g8wJO5&=hlS$lYH2np$^ts6dg2t2!;&~
zNig{Po5BPliCj~FU*>THCB+Ta`-)4gU1fTad0WmnAS;dW=}7k;bSNECjwEu5bcI67
z`6<mex*}r=x;amz^uEa~2GjHN6--4(Hi54!#nq2OB406?%GM-jpX0lB|Ao$$Koi>O
z%+J%s0t;;gCG(OP!~L}KoC)<uomac+(vXupcR)kj@7V&)x3|={U+`7<d(^e!4HG+|
zZZ#PT{B!JwEZMjo0;CM<o2Y?7_etU;#`xlZHsnRv>1*nzejS1;7+RC6GEqg%oIvV<
z5Y;`o8VC4V=URu`6D7NT({1CXYAC??)+kwS*oI#4YAuUw9#S1AG{PEE;<e3;3xHwk
z<QX<diZB)IEId)j4ajcZ8v;}B4N+G;GcDVH3`GC?z$Ap7N2GYM^d{f_idd7o_ekOD
zMi(Z#0zKbI2$jHJCJW7>S(P9>{Kwx3rP`BImKy?<;(!+wCOS01Y8G9^+yttDJMPG9
z*(wT~x(pr7Nklk`mjpi(;OK~C1tu*fU{o|;->!BSttAz1ojyH;iuh7^n`iZHUhD@>
z{0=(c9@`bpJb2|8lsE_gD-F9{WDvjuIq}bRQf33l_rxGf_UbrY5lpy)$P+cFRkE%0
z-N6S7F<|&MtQP=f(kQ{((3aEZ`|Gn-Iz@R1vclUo-3P$%ZjKc&EOJ=##4mdp5-8y0
z{e8AK!wip!R{1+*moZQiPBdGWQ(^~(Ki%)X!7!=^U3zVlg{Yp_XEoUf7@aLuMz*Vl
zS&^YdG3I{ZsS#zEm^R`?<iqXqJLssXOA@dU8nqHC<I)ZP$%~WGQHb+sS7BvGTgqC8
z2{M#f&*%2pKNvV1xT*bT+RYzxxN6islrbhx1wBx4W^FsIr${~)1;Y}Ov(Lr2pGi7u
z|C3_c$|yDE`&JE?i4r5J8HOXQb3XcV2m66xvwHDH&OUGXy(4dsz66&sA?cNZ9jEri
z4Qc9-6+kI+J!za5GUdBQ_3Ob}8gsOixP-HV3N_bGs}A858X&&nY{ecSM|STxNOX+K
z<W9<Ek2PI{mYEqGZ;tgOEyIS7O9@oQB%jZ1j8?nSjuyO1Z7GYh7lOS0sGZP?uxdtV
zU`8@y-vDdXnth7)e+XEuDEWusaH0lk>JU9SC}Z1~eB_A>@EmP<yJL|DjiFq27#Nn7
zmfbw?GxD^+;eCXQjKfVD1ePbICfUnRYBmnj)@D@ag|t3gqwKwJ%uu_+!!bR8b%#ui
ziaCMujzu$vnRu+y5=4<XNT=o}-16W@#`#nv;7A3F`96x9CrZ!UTJ0WFEFzOwRc|v5
zM-9gp=X)b^Kn9^pkc5s3JCQrZqFyDr1^Qh8=^q)gs(EaP?4slUSD-nGJ|+{J?LcKJ
z?WR2Wfq<#}*s;DUF>s0*8Z_?uXJJvRl_-#(m%5{%rw;0|cDX|?7KY-!4%nptFH~q&
zUw`^H3S0}v#fZ_|$^k(7ua@#m<*oy{YnPwTKYi`nd+uDOaK^g8bg!ZaCM^5$G@5Ls
zsaUOHFGA*9lhbMq^}U2U4E5+Fr=iNnu-06CLHH1VyEpvnm+q?7rs;y|!6h`$;1={s
zNqItFGJWeo-uk<juR5~Df8C)xlX?>-xU;%V2nE*bo~!>tcC>EGZJ5=H+72zDJX6K(
zgGPUkKw1pjcfsJ<q8Mk;&Ka?6K948XH_sw%AHzm%>(0q?V>S-DR0~dDtwB3+D!8eP
zw35}TG)%EBV#|g93}f2kgZFRPJYKXW>m8PGuS8vpTCv;cVzD=_SAbGimf!67$Re(u
z!9d_Hu_JhZH(${(bhR{xHdBkKqYj&)#DzVP(zdZ&c)x|IR+W~$$x9qodPL3<IaB<6
z0n#C0H|YMt$ZU$6$DP>tGX>t&ueZ2z+dD*{Gas#DKis9w=iV!wlMF52y*@QlSb1pf
zC*hsL=qMdlOw}<RDYl}aIt8PXfzXX#u*Q$w*lim=a9W!!;m<b6SWOYB@ljD;N|4Y?
zVq&;px$|74gwS2ehV@PzoK9nmd!b*TtZb+xBxGEGSL~(t8p*AVHy>)I>sKk4!VC?b
zVIz1l)$OqFAS_`}i}7L*kl<&Isv66%EWA}@j(9~TC!Mr7P77f?d4CvVJW4Cwa%N=J
zu;`bAWXs$xD&XGWi5f-q;@O&^#`!*#7&5g>0HKHl&tW(V@IJ3x(;NkE>7>wVkkwv3
z=>mNP{v~>Tk8Sk)9x|!^#MGBHtLWnQUi`hLew14*2emNPF{gUuq&5F#Mb5}M$@^CK
z8phC*Rnd@n!r_&uC*%Aq^40^67~52kmVg!TPPpW440U}FsS2+(1NQ<?7EVY}g_4pJ
zZ3d<)$=2c=&1<cmaPu8GaYEw+oUS38=unpTa}|s23-R%14^7sWKe|UUWM1(so-qin
zlnw;aj8RTeTXAc~j6{p_Jn?779r;`=0qg>6c)g*trRLxTvjwS0u(DZi$@(#AJV}=N
zl!1A8w&(v90>M%h8t9p%r&Htdckb8bLU^&OX+^!kd<cb?WT%}`J2hil?cV6Dts~1b
z%uNe5ha0Ob$@=dD$bJfIx6Wm=$>-Drl^8?qx6*?tjB}AXj*&6!EZ(Ty^w0SdERh;K
zwy%YIXWDq&uY)y1wEblfEaw^ym?J^mIp#KOX4<%^^NdNpqr3FhM%Im<vcAlF^_h@R
zrmL8t$uws{?8x{|J9VC$zUX|1XiqQP{h%>WRMzfv9SVw}3UvB8Z0L~5;)zu2#g(;G
z^G1~-mFoaG)X#^Z0$^?P&#RF*Yf0Ngl!-9hG4bhTm9>eXB%q<b59A{{VPCfBZ`hkP
z6KBWIN2`xJ@TaWS8(8*o>f2wds{rnUClQGA9iuE&6PUrF$Xq&r9<u;l^o^Zbh6HO@
z(VP3q;3=tm=XRj7*7vm~Grs(IgNdRah}5!;k(Smk`p>*yk%{`ZE~smX!}_N;6}~fY
zGIq>{xCl#vjdOr3X+SFYE2PFBGZz?C0qJu=!d?6oL-re{-M*Xdhc6VR?tg*uT`)k&
z@!lbKsrrOL5rI>j`C2`UVb`cP0=jfSeX9`EJZq`3F2Wn->qa$M^0A)2@dxge0sX<B
zc(#Q#t<wY~q-@Jk9tYOE!;+Ps#o0#-7*0L-*8gWN+UPj7;5P8|M>cU@7EZ6U?ps-P
z^uGBCse#Q`4G^H&owl8ZGwD7dmXh=jcFX~DR)?E9JA;zKfujI4uD<$W+-a|_Q@m5k
z?XUAE0ADIA1)|j64NS*LwW9c|<eJKO5~=&D&eGiHTxut23Im*kIfc!pp)`|ut-|Ip
z0*8GGrNqmW<-4{b??SZQ^a;*aTbdWmm{ICI5;ciwaE5#8m3Kp{Z8=^Jf+ZsN$6xxg
zaL!1x-^)=+vrj92<{9LMR!@$-M;yjcKJm#y-DItwB_#V(EW=ud!&mklZ1>$bOAzPx
zzC$ixhcRYTkpp5gs=GMgS4I_|NZQi#<6tUI-(kz6{e}O<)o>jA?$UKp|H3hlv0&d8
z$*lZ(-vyu`i_IXU2x^I9!}z$Dn~tIK#RB>6gJ*!yGbAu$sA1O)>4P9(j4c4wpOksr
z;&Z_rB2jFwNBRF0Jgm+pf@Itf<QiKLWy$CA=Yds>8b*ZSAn0;&K3K35m}>QEX*q0@
zt1YvIJI2~R1B}M?dq!s=1P>02OAu;q!?{xp;0hnY_O>t)nf(5uEZdGce0K$8qd2*0
z(=qHC*dqu$gs8i#qevn?_@g^aC@c2kl4d%@5?O~S+#_pO)<a_zxSV283OX#+6&pFM
zUF_{2n8;;+rqjqfIz37r3x6ghU~t5>Ni;CW8>cJrCUwVfWpYK7uvPRbC_fVoHPPxb
z<iY`hALkf7D%C72p;2m&%RY22$63fcbElPjo9sLtHL!A`z!cT_WJ&c&hDOe%h*q2z
zE=JIYTFTkp+4H}CD4W&IGTYFN6I5ak*o}f?VNR?u-Cs;^BdS594<>j>){tvHkKzD>
zRna0*O=a@T4+p{DSQXw;A-@3WSx#Exp#41f6ZR-b9(+ivFDb<L0db{#&8;TM1gwmK
zByRk#U40T`bnc76nT1l!9sk~qPN>q|r27K_y~asr!rV5nSPs&ypr0aLFiVKy1sL1h
zzRtd$-=_?DG`HnSvp=!@1~vfub5zEyL21DuUgB^VkqKi{BI`)84u}``7cE~{uxZ~L
z<{qQc(5s#kfs*4l<z%Qre~R_(AH0*>6@h~}XJ-GubA_8gLT7|@N_!~>U5}X($M(|B
z^cwVWo5)*4B-AE{%NuK$z_yD+MK%wprCj9$P8OE*<g;8;kszQniSYfPK0HT?XY9}2
zrOHu9R1I%Ip$KWpON!?6a!U89sx<y*L3cQli8g8X%RAm7-`%1rM$GeHy%~1aSB<2T
zu>C1H;T8Tb$CXInwUqSGfpdX)lZcyCD4f&%dp-RvILMj;RoJ57ZyZDbxqKkRo}o5+
zSmc^1#iFx)uo9Yw+3Rj(Kl?jUEVQJ#d)ieYb3&rX)ueSQo>h;T6dyX=pzYEA1!j<4
zzBb^R;<@pMQi=p5BqQwnpqrXE@g||&+`C-kQr)l1Ik^C(FcLK{(inF&x*mx|O&t@7
z=%7%HY)cw2rtjtWlnQ{+-aR7;!Ns&@O2oWy=CO*b;vNl4RD>M0iP$TmW*YvdCYb^8
zOi?z>SrgS~Uzh0piVj-Pct@D?UGk?WLO-s-yYd6~sEOrf@jE4@)2003KaHRWZn@Md
zyv)Th%Q==3JAXW`YQgXblNbG}yBI$qEto$q+<{itpn$+Hb`##+)paL-Cd5!8IuY1#
z4AC&F>^d|avUo$Qm2TV9mWD2AiI=lZRUM#Fo&Hi2P#hu5P|R?Pt?KLcNTt9=`z^2U
zG4md75fqrwQL_m3@3X;Mqw_h$ud|4S8E&UTJ$idHVO&r5Wt$)-HqvV;PBlYI$B5N=
zC=S@N!lFqGCOz9)PODQqvI|R~yk;aI%!Yd~%_p9=3#bb=B{u_ZVN4Z1xkNa^w0%lj
zM+no_ABdjd3P}Ku$pn|2W-)SrhLob&7Dy@^?5aa&KVK_f&dUP4M@qdH@o8PP2RQuk
z&%~o^WG2Iy{#AB%wQJd5&Alv=S6>YGQ{;l$Gt@0KKKi1h?&O2JuHUI?Y;wWOJ+sVt
zlwyq=HB9_v^DebRc7#{=>`@Be)()o};f*YqmBK6T@9}1fR0MSO#ljO!P8or+yPPS>
z`5cPk^L#@AIkUld7eg1HMMyQd7v7yH4_nERjQERwf2JKGWYn@j8||o1H-5#OjHs5Z
zhJ-Q^FM^MiZ^#!`X9YKI$8Y{@+_W3$_yE53v1Nyg2t9*gPe#isCaXhiZm2&(Qy6nJ
zMmLQgqNoKKQ~g&bA8~b*J)Eja*|XN$EL>{3d?B}YySW$D#DkGSrTJ6IkkP$NtqC$c
zY_Gpsv&@E%`=VPi(dHUoxr3}k=*^7!sPRJP+5;KCMW5QeELqvw%uW%+F_$JNq~;H)
zj4g2auysrSS5^jO^4%=nA5;8Y$<72L>(XQps2JC>9sh~{OoxSIXC;vAw3QHBJde0Y
z&^I$MCwmlK7mr&T>aA^~(t%Vv%6C-g$9=K$=m7U+t(2uydKk*A8*O$^DO!EzcmHDu
z!g4gdQtP`Da5r0|6yn*`tr4G~Zms_xXBM=&@g|ZFzcFg0i5R-DfW!#4o$g<wk9Oz~
zWW!YLSFfU0m2o32VCNOW4yIe42%==F_DW6AI7ute)-3#!`<%rApp+5T$S}<|k!17F
znwlHv2LZ%9KcNv4^;*|2QuaH9h6ixovusB$f5Ej@uWf#FJksmHU%czU4z@lQ6;mb!
zRP34L<Ft=u^rOqjds;E183!uArc0Djtp>O_b2HsU?ZZb_HX)B??oUnfE-6s|b_sau
zjC~6*_MWQd!=N_nr#yNAzgXO(gFA^m@lbNGY{*z`HR?1QbX{Wo6uod*`-qS8`~Qcg
zr(-_8Q+prVSGlS5+3Buy5SctEvz91HV_NW<q0|L4R0rgkvx=zex_T4id2b}*qapkw
z#gGy?N@6Kuy{EoKRp-yNfwRw(F5KlGWaH7h_#>~^7&)o?D!Ua06Y=Y4w=SXVSY*Ks
z7Yx@e_TK049=;wU95G!H%hsKMH*va4b%~16DYwx~OL)^qytUJY{Pg|o#iie2+m7_a
zH?v-8$oxgesc;PH1$3b=d2acUodYA|hLq|qvv|xCqr4^ut4w!&F%?+^K897?#Ueo3
zM2$?J1tZpeWd!8*zM;M@^gB*`<FgQ058-2I$De^Q;R$Op60{Fm#zO_|j?i2hSAxWQ
z*PueX#-12?(x1UEQMutI*5tD>pR9xlR_G7rB$WO#D<`0tT`0^BhUo>qIW4p06F7q<
zg5pJKqzT$N4-M8hEeuXF_Y$E42#HIzIRR?kAz3ls!c_3h_Fu#&q06edm-4p5tvH{M
z$Yq@VB{{TqB+Z*Xg<;lm5O1fVr<+81+u+B8OzlKaM$Y+{ig;6}okc$UurAAJ4f?*_
z-Wz$tDwMN4ehsJ_6Q4Ci43;<eN<9y2H=5E;ykJc8XJX;wpOn*J&B;Vm`{l{pyQ{wk
z!W`QCuf3x?K*>K50ZU;TYwEq|nAQR(D@-toHZ9As-MyDQWTf?@=wxIW%=4Sygv045
zJ_d*D3KT{z!@-+fZJpfS_G5iYBPfEU#)|5sBpagO*Kr!nXqN4UCu4?ASRi(@&!!bL
z&ta_JLc077W*B4Y+-aT0>S|<8fN$wDL8DI7dMi5=NjR&f?Dz*%ilQG}D?ae#ZHmxb
z@JH#Lotv)rd7>YW`9&Zxu`Fv9Vc-vkcPI<82nSZ1G#TOok%b^ZdPqqFU+AL}mJUso
zKD;&eeP$`6I~1`m3{jUDnoL>6K0Sbp%cr>0$7TJO19imn3pm!b-DI{OuO3nnUO=tq
P{_>|Sj058IDS}CoZ8fAB

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.ocp b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.ocp
new file mode 100644
index 0000000000000000000000000000000000000000..265c8a914ff9ed0af1177be33ac8ba8cf6a374b3
GIT binary patch
literal 1672
zcmV;326y?36Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?NyYi^eqrA1vw$!f3}E-M;|>
z+hok8v_xrP$FQrJy<VCIS@@&ZPtkZO=2&+h%btJNC4+wNpgj6sdQKwi&Z|SSbG6;5
zc-AoA3yC&oT}8s6<j`d>j`*i~YQ0A{F!^)nl#bfL55Skqm`aH6To6%)pUt9FLZ{>0
zeFpHs=7kb3-yFUaH{Oq-qGi+_H)G(f8X){0Z&)XAo!QTVy?T{uCZfF&>%FHbTVun%
zOaL0sj81gy#U(I6EI1$E=q^!0{yDr`pEkvjix6O$<ob6!<<()Z3_!(qRvnT6q3NmK
z21B3z)MPt_g_qhDVQ=eEW)PV8<y{gouk<+@S<N!OC*9-&{YPCQ+wg%00{1U|d1*M_
zgqwpW8ASm}9(0Lv&`6YAI6FCcsk2*W5J`iYov}~HHsND66_v^}q~tQotx)B5%5j^I
z9#|-UyZjt}*lf2$Sjtt`^t4|0N%=V!L;&d)h#9LX{9mFumJ&(DTDL-+GbjpA+HcZP
z!$fl${ksgENG!Io7F<iyOPO^spgGu<ZU1jd9n$1y4a@iPpl)G-(rE1$>8TrMtmbT8
z1NqE2-;%nNU_ZYUlwx^#I3HI}8+OR{&z2Bddp2CAI`}9#6PTLRc%34&1-drHksWBA
zZKsDqBBR8c)8}f`A2cZsYz{qRlkt%xRKe|Q+u)b=ZW`S2HJ(x`aqL3{d>IA{umvtd
z`eH>GAFMJ^%&GW9t1_ntG_Z{V75%=a5O?DjtrB)>)BEnJA;<*cJkb8Xv0M*YMhe+`
zS^@^jMW`eUxEKEu=Q;D2WAynjio>CP=X$7<_5Ou+41H8B6*B>|&6bhl2YMk2D&&}K
z&gY~8?oV&>f0NroZ9_+87Imh=r2;yS)l#T$6ov#mJ1xEu+Or^|8Q@{4t8F9PnQ2u!
zL2kVe*Us6-vcXjamU75Ols<H6RF+5z!>e$q_HEYnX_rA)JN*Fd>h62vCmJlu+q9K4
zsUCGy8-u@>U?qw&a}^?8koCn7mlRZyLJ99fTT!reLeTL~bOPj^T(Ob6F-s!AM$8S3
zC^yQVgM$m3mFwT5jMTWx`w$-jW5FRn0uIqMEA=6Ed<~NlAI5VpWLBR)mFnu<cDpH|
z@cI%k6PfA!x2f$A0@p`21Z*;;>lYz{QsCz*ISGC@4}4b~%^3WiJ(NTJN2~hYLu{KR
zdJ&&Fmpw~Bchc1H`hb&HrtpcML)hp@n0A*KUr?9ER00r<{CT0R^9$bmoQ5+osPsR;
z^r?1(_bZg<oU?HUv3<RnAK;Rpyw!2SQ1@ySI(Mnvk)^UC?(2GKH~wx4lPNTye)gj&
zRWj8H@lxOV2(fTzEklY=_uYPG(M04HJC}pD%1jW5>rxB~Zx%Z(?u|FkZcJESv@U<Z
zx)q*z51WL);o~_h9oI372J%NaTx%D}%%p!<YiZZWlOir2g}Hpe2;v7ev60<?{#zUQ
zXCI{=1@wX@;tmDd!(ORab5Zr|3dR0Lg*tm;{5U=`Uy;LP2}P1M>E042?<}*1#$yR+
zo>ZeGRPp%%RW?(AHio13|Js3-&8MkR%%_cGa;oeY<z8*`e+mW$$5aa5$ANaI_neL$
zEKq*Zb<|m#>5ULa!oU#T5Q(y(QS-7iwZ&~Yx`vig0<jGWe17kSE!!_<&q@`x17IHj
z>BT(tQ{4F)1w=UJUO@g;aG<cx{-eGd6jmcfST|$8*RY(Ya72nu+mUEh^{vf<@8>Dz
zwuz6dPKsjX2R(9&e_i=Bh>H`sR|o~3)?y5Dd!zaSvzhV7HfI3i>!7UW4<m4sRB{tv
zaFHq0nMdH>oglvAN3g=})VP0@fYdi<(h(Fl1vO@ZI!F-~Zl1bT!F5Ro0~cQKvuy>H
zO3gBzKNONQ`I_(LyAu6$6vbY8%QvQD;F!vUTssB+w9-q!nM9g|DNa*A#7RyjebT~?
S_T$H^AA_Z*6&6><SO*+=E;We&

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_gen_host.v
new file mode 100644
index 0000000000000000000000000000000000000000..a993d587732d36e748313ea8b6a8c1ec5967bc12
GIT binary patch
literal 63128
zcmV(#K;*xR6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T5IfB*v%~48C
zao9Ny8-bqL@%TrHbI#YDFaVemQmHgAdA)on7<X&WO1kXS)83>qB-jv6TL26?56$_#
z?$S><qC66TNc=1_{!7MNwNyJcuLadd2CY{sRgo?UGu@nK7qz06IJ7N>)9uYlJoxmQ
z5MNF{=pT3f)~9LxwlZ_{;|bRmy<}|^oG79~;!LD<lsIDMSitYpn;71=r|~4IN&nCp
z%`MirYiGM`g-KPlaq}u3Hmq1@`cwKK{b9f%mE^m}$MO&wQP(DKIWCIW7I3S!scZOC
zl{yJCyd5l!udg<iOE^U(_8U_Ps*zHm25%FG<|iGx+I2K7s9sGu3gVtoT9c2-_Mbd~
z2&je(FA+4FOtg7}aeVhfa|r;J=|7bhtIF@4_;i<y688Zqc6I!<`DCVH8CY?HguCPC
zt2^xL`$@rD!dU9~4CHVlbtT1U1h3WbOORuYg#u&h$^g3gEy&~MatD{5k-2D0nty-h
z68J9K`EoRV7^UAg3manC@#HZt^ULc)Y9jhG@W>~$X4q};$#Mm!1a0~}a1S%Qu2f6x
z0g^bdb4ke5mKD?+HIZ|z*3>Zn#b&+gYh<My`_g>dB%~il{I%a-AuAKw>kQaNfH3ib
z@(uwsTqL)p)O{6PN~nN5A5+H_IgsIl)^8IW_*AP*(QSG;RU<V6(>MS@LM7Ao03<T`
zyR4gwm0G}??9=CsXg}|Gz}<Z*ED)(S5yVr=;6LC1Mfe!Ymr|`80af}cht)3{U`y76
zF-XdEqE!1d4Rz_Pk}K2Qf3t_d`)FaPs-X^`)}6ZcV*3o3Uc(QP$<m*&yfWNn0n?6z
zu6=x>vUipBw@_UiBw>IWXJZ#7Qib(pYC3-*h2da{_B1Oy#KTx#6`bJz#g`kQTh3~t
zn)|<9hp~NOALlTEOKWT^Zq{1Yz}`QzJ6}R%W!Q*qPAE=sr>kTriXD{xvprSiw>M&$
zJGfqnd8r?kSww)7=ET+na%ijLGFSwMIQO=Vf(X320<fDO!_m+0>q^POhyqdRt+J78
z3~AK)VA*DB{#Lq!dFp#dL&jZTL?o}Ad{xj@t`}M*t!G@*!~}WL!GU7<T_Iz>90`k^
z`ntp)sOD_DO+SL*eVRWT?ZDV#Yy!PB(p|ygHX`liqHnz%px9;V)<n(}efD9+<#@vy
zUzwv?kC^Q0nb`g6h^G^ASO!IxyFCBRte%8Fu^c3`T!-3T&N5MI`?_bd0kNE+`s@Y7
zZ}wE_Lk)H5y2)kHy%>WwnIv^&LmSnuN7nyCY!oD5d~~F5#?$1fy~!n}AVdtttRIjU
zn;Dj9alsNTH6w}J-eAJ>tQb*3cVmisAC&_y>eA73@IjFzxFeHa_dx0KInF$~{17->
z4IvcJgP#t~Hqc|9#MO;f%m;nPCx)V5Gpk*tnQ4bm2lLSs^TZn)aaELii_u2XZx^wV
zBccvo^9It`aRmeV#b_eeUSfuCsnax=rV*Iv?M<k8rG~X@-X0MoW}07=vl}9!p>6&Y
z>=2)CcqV{)`H{0C;5pta9^g03tRqaa4b`Z{^&@m4%?ueXGyUKeFwr2OgmnUL;|@?8
z2E@5&@3Lz;O1Q#{i#ag${F$qFDknr0oUKRl(d|sM7CX+KR=%>^G=!{U`v3n)iL;te
ztnQ1-6nUisjg-A__I)Ejn#4|9_NXjy&fa*rL0OW`$q-Dq7NB;aCO``q3Vapc8MTD}
z)rfY6oPad^qf)nCfftTsYm#LAAdRN)N?hx`)siyUCU#uS<P^Mj0R>~L7}fU;E9wFY
zKx=BvXkC3n$CE7r&M9Tu(y^`<j9ZLfC(M|c9Yvw&Dw(Eoq8ORIocc#v4F|#1kF|KS
zrJ3pw?@5?aCFQJNhPt57LpL<3;*LD@$^rcM>ls_s9FoTzdX&<jg~%Xd5zIkO4ZoN;
z00NCXZai@dA<Lz#>8E`AXKofv>WL$5)f9<&@F^@8&89R=_`~+kz{<<^tJ2GVDGLZ9
znJhjO?3F4d$z_Gz4q_{@f#M7wcfyskv_jDz6=ENVT;iSFvI?Z&3Abpk2sj8Ij69k(
z`C$O|8m>%zhDED;nT2PSkZ+y(Y=>#(5)x6kDo-oqQSi!S@iU4u*;;A4%jZ&~pqhcX
zQLVcBoXn}&L_7)jvwefZXI*c26?iYXcy}Y3u!y`##;wn30X?e;3#Lm!XfLYXJ_TuD
zar21Q{Am4vCZ9i`6#7<bAX<qH-YPtb{23(+D#-=y`}0wxaeoOagA9wBuD{{kGCs);
z-PeG!3Je-B9{L7+r(WRA!A$k1P~^Uu@&op@U&VAaY2sblw6#omd%mfMN|FEwZbX~U
z-c;a)Veh$&!ip4n+xaLl00yklF<_HAB8o1=?Gqd=MJ9JO=BXBcK8c_v2PnX_jU$}a
z=L!3k7$g}Azvbcb`FmWlgDBLGZ<YBRdzyp9ld)bjbZyd5^8P-%3$F<cN*2whgl=vP
zQMLkf@kFM#A$s(~GuRxQ@zP_jiPMyUDf?-34+@8~HFRrO<6oAgBos85hm$AYF2bW4
zgXL)#^Gv;U%UZ{|=4p~Y6&&I%A*!=I(CCCmgkKd|VnZetVV+RBm*UIobqJ?>zT1}@
zbcU|;U8dmzL{31oIX)3@{DapMx$^^{Q9QQ=kf52?QcM+QdM?xWXk*o{_{jVeAOXWo
z?sh8lDGUH9o327Cm}yo}m>EDHc|d5YeUtDVIityR#b`}z8@#mK?7cVN5GRrHc+duA
z5&9S5uD$_gSra5@2YB;B%dlsYp7BxIi6!gHAr}7jA|tdfqVPHT1Q>niriIb^t4w^u
z-ype^cL#_L(gWG_di41=IV)0I9^PAD6HUuVT0g;9GT=a1^u_n5BE;)2$07tj#oCd7
zZb{6Ay_l8TXvTs9&g9r#_Y9G?bqqo{+;A^s%DC*aKIi6IUFdo4J|rHCaXd^`n5LQf
zJt0Agm7bIis_I$UYTU(ge?p4H&%;PH(;Azkhin<m5gW_ZF7wKx7*X^y9F4~3Ey~=2
zTNL3e-v5@T!(w72d!FNBy2uzg>8C1?!c{`qav-nC`{W6kC+h9^*@&CHanz8WJ2t!e
zs#VPn4&<N8g*WzA8P6{Fqsyrds*F8VU%}O5pOp^J$|dFHV7ld3>c%<{3*>sR4KFa`
z_IVy&CA*}^wf2)VHLaY2Nt!ERcDWCPm9eseDC98?p)xX)g9mp<-d95k7fjE*0@HEy
z-Z9n|e6931;a^&d#z~~BJ-ZRiXWW<&k#sf~5ECUi_xoQcDpE|8-=$e~sHfy{b5pmB
zC{ph0P+*a+=vX6uDjaM9dz$jb-d!vaPG|+tD~t|tvqI%{rXadS4v|fvTBZ@r5xrt0
z--+q*d}38>mr=6&oZkWjauRfnop7iK75bBfZ;2r$guy_^z~JQ7DTUnhL}|`{YuB&u
z?l#rz>Iwc+xaeN>q?{OJ(R<~*aLz5v#{?<>_eTyJLWFCBV`-$pOd}@%*U;&%pNHfG
zIpd?=6%<~)5;HF60DD5G3~it9ZwNbmV-XoMzGN#(G5Q`v>CGyD+En5Hr#&(g04X$O
zTmCpR4*$v%r;za%`BU&mi2^?(#5NSp=3o5)d297P{0Nm=+kgKx!O-8cH#9<I)R3M7
z;|TET+1Wx}%*0!@a(2r4`&8z6h5}xvGdTD~Bj5bMrVhbdW+x176DkTxrh9yR__>eF
zT1>p;9dltVi~8RV%F-5(y$~{TvjB<-bREm-HcmXkgZta8P+(XaFO3a>6a{r0dAB{|
z6taPDXq&<vuPg;cLSVd*452ia9LflhC&M~;$Zxlm#Q$?0|9owl2`rF%x6dc<u_M51
z4A`C@2?X{KFVGQ~%BQbkiD1<a?MBKvi|K($bhU}kD*I*5)qM}Jeka}yqw`1X3}_Ir
zAP*-~_{Acrh-Tt6yckeow1-0lHCq)U8HvOaP&vz40Zr^4&+T(cnK^OZYqng4Jgdad
zkxO0@sFO~7&hVSP%#$6g5Jhiz2F31YZPrnr4GG!uAaAf?eZDoU?<_s}NhLYlH*Jp)
z1|!F!rivl1ta8A^+Da@&BBndgG<O_BJ-AM|TX=I7Jq5K;1%r7cE%`V5!3C&j)N1-V
zx#ft#BzX(BF!6ULX7Jazn9P~oGMFBC{gw@cvJ94*an3r;)J@&9#Iltpp4Vyu=z;AO
zi+JLzeWyqsGEk+|Sk4!lQRbm60-CJ07XDAyG6V2Cm$DqN8L;87DA;;`s}sOLfrJ{`
z^mh4j7xVNgvB)FUXSmq_v`}{-%(;#Q854CJ@iQbaYi}zp8XUy^Crji(3W&jNR*AXh
zp@dddJt@eGtqHeOabzMGZ&jE6$lGn-xDAuA^mf+}QoVP%RVJMG4k<-~0(|sHwC(Ym
zMxVLYA_CHZRe>gST+m!(tUtl*Ss`3ObsTtxu=Eo(po@rY(!DHv-{GBmKa2;?#~T7v
zZ-;5s<VUgaMIF=5l%YW}LB^maJ;XwVJ?AT{ti-02ZtR(Ws9N(O0^Ra|4k)7kUFn^<
zr#CqcQ7My(`US#4KDgWIsnbkeA6+!L(fo9iebm{-IQ5YJf5}{Oo28i!!&MEGW)bSj
z*w9DJZFMMt%h>s*1u1R)8jm5=Og1?i;P-1gtA3{=nYONzm9(csn$5_B;(!L}Isr7L
z&DIO2>w%0R_M*gp0JBkp{O9RgrMWD-eYWoQqU9dP9JdfB(GPanl^KDZY!!8wd;X4G
z`;YKem#nIssDhA>AKhasDtT8uB&^#v)fHfq+u~;xFmY}rMAub63@%2s7!w>O1m!VM
zW%=J%lQR*l`-(hhg8nI{E)rc^k#bkx^mf6f@MA2u5``3h^&7B%e_u5cLD&gJf2y|4
zXf|_zn7Ptq7!v@Eq_k{{EAP@{jySl^=hxW<w9#k^p;+XojgYzbHqIVSPPVxRMow?%
zV3^&D@BG!>vDw6qPd2H90jzjPH=|jJT!RqnM?EfLIiS+_$Tk3#r59^fZjVY1;_CoI
zv2Pr?LB8?m&Gz>M8<^#QX^nwT@oB>yp`Ulcj_QmFH&5lWu4<Z_5{e#FTL-3`yuS#`
zB&v5at@;Pe#b0;1(7Sn?pZBvnNuMD6IBJ3ArYV{<Ms~P<+_9wKR~T}V<TrPMoUT+*
z5G{3Cx7vZqa2EM?8G~)0f#aSe@d?sc=aS>LLMkU=%_F7y)U<kUA;27$PZNDrix^~w
z)vk{<A*Dca+gwjUbU|<Mt;pRHPxSiJ_v;b1xgDR+!jnwwpLpHWN`&k`cB5^-IsZ=h
z0rq=m9a(*!loBF?=uZx3*dvx;IiWo0GmV#Eb6-lt&`277^AuHt%zM9;wlB@#qA9BE
zDoqb-%&5ja4vwwG97JeW)r#MjXg{70*O3f*Z^^+v71b$B;%5By!qjVAiDWPSE#}P%
zZ1hd<FgUy(aq$OIaCiteMd9^qFUR=2L2BGSltP<DwXvsq9&30sb3kXD;^p=^6DEj{
zNfJ4n_I$7y6WB!ZdB~1p94YUwO`@<&sA^W{&oG1lyE@@m)rxoJHTW=)E(80*hU_sP
z`rbsDKN3W`jlC;QE{<d4d^3`b%pEK;nq{MDD)YO!mIJZCi-VHQ!XNjWufmPLWp3^Z
zrjpgOu+7JELm8?M#fJv;SjwyEV>19#z4JLDf&d@aK2jc>DVWf4>}{aySl~Qr`m@65
zoXB5rn+SAc_Yy2Lv6XOyYRn00oD|mNg-tdWo}C6{<Pfb^v6Oat%iSo$kzEHWX%ozq
zzO#Z1`4l-ISnrfG3-<FQjgJ9NCv}vFD@xAZ0&Hkqac*@ToaDI#;P<L$*iufiT6z~q
zKt|FUVDgAb(e$E<9(00`HRDr^JHttrLlU}Hy$C<dT>QSnr?IkGU*M522_wiuwx#(#
z$~RJo*5c*#L#nim0Mu+O)B=CKPi&n!Y-H8;%OhNDs}K#~z?&V?{p?HK7NK^@oO|s5
zH}4^|jL%$%XNybmRtObR6-mza0T@9nG2>i)+~u;<wCaP&Bchn9)RaLa*-?(RMeh-e
zR4^pn%ED=niWE=KFs_jwZ<hBrV<COS`4ZT1!WHnrzfOORKAA?P#I+i^Gy)gw3Mw3{
zMzKxnH(yMK)1WT}Izcai-l7YEOwg^s**&)Yr~(JQmTpPkrX;I<N^}O5`oU-JuR0ZR
zL-MQw9ofhQG)1~wyA?N2U2-!VIJC-~KCBiM{j|1B$d$i%mq;(h8K=+0*3eUyAwE|r
zx`*2<x=>?Fe^HUVlKm<hP{Oe_M4y$e57x<%*ltfdVCjA_9Mveeem&VR804o^yGlq5
zGyp3Bc*Ee3u39~lMz8^a>*Wx-5L$|fJ*I<!9ok-wGZX%S9<kZYS^gHJRKH`%ozK<v
zI7gvU-Ly=2ZJrTr<y+&@E%XLfv#=cWaA+mJl-k6$Hmn7`4m1yvz6b^Ge0z9}nOD=6
z0J5rdI^I^z@AU5h5gr<^h9vVdjFHe~FVGelIN9_YLJ^dfm=&O5H{h8zu`|F%v`FF6
zVzp=%+L?A#sJ07nDwY6S+8UmPi(mm2J5K^SB`hAqlm7W@{d_Z2WvuB2!OOd|S8Rk|
zoIP9_;xQjc#K_^wsg3`mp3N8wbzzMXRmE{N6!ing8vudAgHYE%>aR>>wLgK>@xUUd
z2b<HJy`spbg_neOmt5jMC6eR;*=7KTQj08erQ6Dss4j{IeO?9SYHVG6Mc_M~@&|NO
za*GdbdN(4`gGgJ?Nl~l(tvSH3g=t#)CJl$;;Bqg6B3xYR|8o};L}eu<bg5np*cf^2
z{{Y8jF(5y~@KPp`FZ}(+3v45D{tzVSw0gF#&|6s=#gmX?iO$~#&8P5j&3+jitftMW
z!#H0Ey+<TperTO@d(O_DizfwMkla!vk_>wF2*$C6SZR2o?I_sl$k;PeDO_dvl8y+8
zcMlFOEa8636(|l51IlCSoa8vT;A#Hc;28JF8<ky+8}AKJvuHOF?Q;$Rlt`r{ZRdU%
z#DEeEO56dNe?j17AKhcK*xr2>2Cjn182l<^(>7|4D+p;OyBBtzaQd7Fo2I2#%rD2Y
zXq!;64c5!c=l_PpLyZg@HZ{RltmJq4ow2VJS~8~Ecb(L0(ja^U9wF#(1s-D8K7bj(
zAI)KJ8KxdjT3uK3=VK&+TrB{WM_9#R2t46zpoU|s!NFiR!l$j}!9gVYNq^^GzCp6v
z9k1pDC`@|dHbA5xtw0IPYKPId7RdgBBOBUgBZmBZmqM66+6{ys3IA`5l?E7gbM;5d
zf?DyLRDp0ZSsBlB;BU}b(@TErC7?4#4W>k5W&SGph)89~Z6U*JOo!%^N91scSQ71H
zBc{kR)4(HO;-X<|RE|wPou%v6jR;306UETjfT;0Vd4ia~&CYR(0XUwIuOtC3^UC+f
zU|#tN$*|$I?oCW-=W)CW6$FwF>om7iEA9iIPfj2^HhtGfK|jVO#D9fV^o4V1b(rzM
zHPw{?k+3m6e#e|MJ)1{hAxekjTuFM+8#Aq-sBvm(N{XNAZsw9)fwFNlX^QWXw#Vhs
zFFH5Rf-D@&bVW)wBw{DpA0nFm!7c$c;LLMBSv1$d0^ZjY-ceavDIZ4Bup8R~ZOCf(
zg!aY#QC)PYNY9Po_FnuPw*CVspTA0qx67N<q}|2%jZ1z|0U-p7H$M-)X{Ty5Xb;d;
zLpEg0QuPrP`81PyhhlxU(L>8eKUe6U`eFF7O2bC1$NBb1QgHvJQ||oGeB@zR3J^(B
zmymzNR|OL~J}cBNGyV!`<N4nYVw%Un+SQM65L~u?OCryK8uFmBgn^QedNM;3{bFd?
zRBs$^Oy89YbUVsTyYk1Bs_7KLU-U*cFDpn2F%+2_9n;-TPNnJb_JhB$RzjPH?SvG$
z<9$X5knK^b_1ViC4IBlzPDU8ai*U>S6B?uuEE3n|ob$(r3ku89k{p1eqRYwoMsh7$
z>*v2e!+vc`wXxe{up#f7QG5V6r7c@f_|Y(&QGv+{_gR$>bI#$al0<_pxtmwgi3rk;
zN>OBTj}U&HkHft@h1#t(!%T|4p<4ah??Kw2d8tN9vPgrNaO9^4{X7D;?<wh_`!)6E
zs}M&kjOYtx)+&Mz-cI^%3*lnjZ?~EJ>6|`(RQMx!4P@}4cM|-lx4%DVZmZ^>mlp`6
z2Zqd4#%JIfNI4)mLoT1tukZhO-S$a~)S5}PHJ^-VbdR=io|d7jab%PZ-1eV0l!S1w
zV{m3^*T%tC9DfFJv&4a{sQaG8tD;ff!L9v@nPCjxVq`NagbeG+jmYCq9E*1ZTv|;m
zp~#OEMKr>l;~zcbQ>T-Sz-lsI$YwT>eLFyLmcMY;JbmG|cN=H-UHOYth#<=86`_4F
zh_Nj*Geqft6ep2yK*ozf5?zGdym$m$I06f(s}?a2cTFlJfB^eAKr$X~sR35R2FbBH
z_5+ixj;3w>7%t4oJPAWg9xe;FrQc6n3)dDj!DGfuoTLHPwd^RELHf;eNK!R<9sXMB
z-Dcu^89B;ko&k#y3(*lP1Qu~&sO+Z+6ns0A*Q}mNw}=<uZ!B^6#X<;@B2Zm5A^Lo=
zee;F02&M}?1M4;a9T|d}6V>48c{((hr%0QBeGD>3x7~=7Q*e?Mo5Q7B95J3`m^4Or
z85?OmKahNf+dg`q_ClxkRe9`xUo`JnrVjgXc1OksM@_9BW2nXvof$9=5U(s8@14@p
z$Y(nsYd10Y#_y7+4DCvOZtl3c%a|zzmc2t`fF3J2d1z3#li;w1_@hdGnML^nkx`UK
zgT=+CbF0Vy?GQW6311Cbk4Qd><8yk)e_x0K`4@-%WV4M^Ah}r8`?Xv8)ZfXI=1}cH
zZ{|ByIZ@K<+l#7E9mawx`rqn+(~|`xn68V)vcr+v)NZQ4TO&y+5ql%RUXe&s2KXq5
zty*nbpP5grcM{}DY7Q!KLj20PX{M>9ln8MiaMYZQPVp5TPHGq^m!pXF><~uR%AO9a
z(kO{LfPYL4zK32#e%GJUpz{O55zB_m<6S!VZRBW2HDnWnZr6EIX<#iYr8BDqFH@H(
zrY{WKS77%KWmGv8o&)Q1cY(s6g?zLpS6&bnvrFBn6k&U;`uL+|xB?|6d1P@y>{Yi(
ztY*iP3cmp;jK6}v&_0)kP2oY_tlqJ@07s~N$u_#1458=es3wbaTzFlfu)>TfW%Khk
zI$Qk?;m06mBtJaoA<tk=$@()2w{ZEeF<up%VrZG$yQxjn(KwJ-l4NeI@W^PN9}2-M
zQ5YBqOOo?o7G~Ixf{+ny%?YCK`b=;!v#zMaM_tu1W=6rhz&;Q6%h|#ZCk?L;gNFnV
zp>i>4=%AN1mC9uG8qaU{3n}M0{u=p<70goMQsGO7`S{=57fM3rAmVL$7I9*gUi;lh
z<l9<eoZ6<zT~-{Ky(r>McY9pvvd@h&4%=$R>>LKkS(9+q1GzSRKFu<D!P}K(b_NAU
zHM~2b`>L+3|F^3KU=|`-9GfLWdtM=4{x|_{X$&~FdKKU(GhGY`Za_I*BkvfpH<+C&
zqkm`b2GGjYMym2o6fI6k+v#ZZn?tYQREx|VP;k$-C@(D51=Y5X-IO5on`S#HDV!1f
zZ&p5TA1)Q4Wx-5Enbmsyo(T1bV85u$yPe`lL|73~AfnEQ93V&4ul8finEomZo8nmm
zfxqgSdJsw)Ae1i3VsVThHP^Lh=VvP%I0g*%X*E<`<9+1<YWEa9b+)wVDnX-0`2oY4
zUfld0-0Yiy7mfaC&ZD`D&586GfK>EyEExeFYvqcQI+xKxhF|%Zad^>Qr@@pTv{4fy
ze=}0;Yzv%O(q68n;ZxtV6;T@W=8<sp>qtmxgDsM46?q_G0QhsZL0*OBc`b=-uy|7o
zcds>%5}^krg>crm7rx_`9Q5u~+%P|_SS5d;fhiRSc@JH3KGX(Vj^G~M^IZvIbt%9)
zDa`}yI1AIAJM-~SSE*gFi4U*xq(#*_t%x{zf;97*E4K!HXtlf-fY0kSHf{ULVVd-?
z+J75z_e5R!Psf%TiC|8B`P!clb?oBW;ogk)K@?%0S91i2@VAG0Yr1G9r_{?C4L9-z
z83Ml`6Sa8v0h6QsS78xxG)?+m{b{OKuVz*V!5!w_ZAT4Sb*tp7+JR(^z8v?vfZ&R!
zfrXSpZZ{-$pM}j-oP}2;BgpFlYP)=Eb~x=x*lhcr!u!6>=Ls?$rGf13laqJWJzg2o
z><{LOo=b7==ev_J*KtvXy~*4o5;C!psuUI%-?%6GPX?m#DR?Uh0Q;moB$67+;mqNF
z@Op6&h6&g9p<{$2|H(VO8joAPX`s(bM;PKRvOzth*>mno+V>Qvi$ouDbW%WZ4e}Lp
zG}5v*33*Fy$qgx}xCnUXn}|O%W13=s%4dtFF6e5@R#amyfJ#}kxa!5g_z;gd3MECU
z6X}Z-vL+*HoBJS*mFD{(A?-H^NkT@I1y>LX1fTPmgHP5ADA#2qY*UT>y5X0Rs1%qv
zwu*1Ty1yu3o2SNY2{`~kg^cz%wrP*W`tZP*4eEQ9h+jIa%l+{MhA5Zgkd*e+qa=s?
ztDJcjfNNm|29;@}bp{X#4l|G~H?S78EL=Nj=<9R)Fq4<7?N1-=-F?#2#Oa;;s-neX
z7`u%962i_4o!x~Dyv!+*LXvgGyiI~RzNk017+?uxVo)Kn5_TuoW*JO0|2AC|5-@tT
zkxxu)72B;012z883jfhi6yk2&pxx<VJ@uheL^K1Edjt?nF^H>PKa6TvL=SpHGH_L|
zg*mQl{`54O6X}z08)5xv0*XxA1j-UsSf{TT9EPEkO`{mHo~8qR3$WG%G}(k23D}7a
z(xwUY_!KG@jxVN2&q0K9l(pMua;phE=A&@tVNG-NHqETnIg_`fBK%t~Y69oJD3bHm
zby54am<xs3<G~3M--Loplcc1hO!S^aL|Ww+xlnNQ$F^$VE3PQ`Hb#K_fv;QwsK1i(
zTXkHCwqVVIzr=rdRpWlJwMM;JS)LtUi#C?O+jlUU&S{`Pd+Aj|jbw5|O(W3|lCIt1
z&2urgJ=URKbyW^6CRWlmP*&t?nWFwNF<J+@oOpd!r%;Dg2~gh0HKzE>AfJxr3F7~N
z<`|WonYhnL9}1vNv=f{ydNA%uD0Bo!A^ANn7mY)-9LsI{y2>)ICm}Im;sXtROZobY
z>?CYvQBRP^?Slrga1!*B>1aE`E@6*M+-(I;YPQ`Y2d{5(Ph>j!;4_LbJNeR{I%bcL
zp7xz(x`3jR8m7wQ_T}rnV)>x*H<{4lEd58Y>O2hK+(xN{i0N%KQhQo~$gzxq0-6Jy
zUzO`&6PVj@RNbDGw6f1=qt|*&*v;^h!W=PsFrlW2&JG3QU<;XGOw9j%Kyz6HcmM#Y
z$8~HLP!CJveQPWsIpyIT8^wXN(ynm-=vQVMeu=~DZCkB6z~`MPbK8abrZ=xF@d52&
z-I$@MBgflWv=o09f&>0tFonbuxW(lO-B4p2))1l&_+33175#3%zmWPihN~)3WN-b`
zxzH&2y%hf(Nvh~fjb)cxfAddDcm%5+Rztf_kS{@T$;bn#RzKqfsTZUdGALQ1kv7Xo
zze8>U`7<nkYjxycX;%&k9R5AZ@KRpG4{vOfhEW`$N4(L?MMTS9m1U8!^ykE_-PJK%
zQ(X6+Y}dS#2%8AwbcZx(3_#Ab@@b;8`9Ww)&xlio$V(XmzwG?i8pfv0p^0X?hVsTb
zQPM`Nm@4S)h9zkpp}Hf@R0pz}s<47chfdo313ZNI#ECPayAt&};lxQf6**(8p)nL2
zt9!-8#0a7Jh<v^pq6F`95GILL%s7c)Oax!+^K?X|SBP%k!gkhV?4(pyy&?xXy5dN>
zSy0CbaPQ^Egp0_H{NfTa!g%2fOdWCVFri=#AmI)8(SHut&(`$G4_-)6dEgc_N`Kun
zdwuXlNQU0Y017TuG^lbjmh1{*mUi%1hY&=ye?7u|Dew^r2V*kgfg>C!Dl`~W0{NsW
zV7T0>2#u1NcIu%LtY}>qF>4RJRnw2@lKess7bibUWkND6Tl42YbEJA$6_Rt{b{2DE
zU(hgnW;`UqXrwcj>xo{n37pHn{b*&N#v-Dm--wu*LgZoK6IRbB1wiIgsjadA(Vn=v
zU*-Jh==Fp})~>m0cOYlyI(Ps9K<(#PF*&aQm^rC<?_o*cV%J@5P`x7qt?YmDaw_9V
z!2DnLjv=r&vT@j{W3%zP(9Kfb^h1I-X}Ot78*ehgFwRiB4MVbNjC$6dA7&<zMsN5D
z4TAaR7#HTsWGUPtK{FD2uh?@*eKV2joay4I)P^f6mYb}&kB+okpcR7dC|;*}*R<zz
zkPd)%=LUGnPdiB8)i_Llw-SuqK<zVmoUyi%jh<{w{P+l@S*}C9ZpDRaya$ag(mr*!
zm>yImsR?JbOa1F0k5kn4d+cVzdT{{UUP|%llPXX@fQ!ZRuAp{=2K4iPGKR7z5QJ(>
z$f?s&N4JV8i-ob9@AF6Oj-~u`YuC*tBa|yMlp%#}CIX%2p@0q^9rU+nK+nn0;sABn
znD&r-@&v?aorkGPrAYC+22XOdnWbBMzk*y0teFHnH!+UfBdGc{rsmaf@W3e#h#R7_
zD&g{N5TIK$MGZ_$T^5`&*;{^hRtj@e`LjywB|FbhuMS{m-Na;}SX2*wNZECUKG{es
zFj#65_}agM@k;A6NO60Z%Z1wY?JleFT~}-LW=&leCTpkA$^i$p?!sc~k>_4!i2~H}
zwq^d>az5yi`yMpj5|*z)MMM9?>*6{nA(kMe9y8vkBhKK!R307<ZVA%OmmIC++4rUf
zwy2vFKW`B%hSmG2xM6H)^Zpw<P@CK)9iVw`-MasAVy(E+CPJZ&j7(JetdU$CJcTjI
z9Ldt1@4;0CG^pdT5HrZG%z0ZPmH0<((oD=zsTEHyY!4aFN>~oH9ee-oo}B9G!W&(e
z0cfBBdsUS-3b)aZSZ?!=h4Y*TZM(9lmb&M{Bd`#cTSW4J6@J_J_U<B%4c0yLE3CJk
z6=^*&Al2XjydPP76YWhkB1EqVDOKuy>_WI7brb?YWUOTu)RH5zXIBWgl82%k!0<bf
z4d3P5wAH)pprgc(qC>a{2%sWIB)c2Z`%flY^-(Xm0Q)ggL^@|y$MWT{tS)D~&CPZ?
zG<#pvO1)u#X^_OoH)e3)kC06;(tF{qy6s^55TpX1L1#t!5$Vh<E}jy{HEyl+pY`+*
zIUPhw1jX(_X44c^4Q5*0Ng24$NZH-|#4-aojak_QQ;TvzQ{X*<J8&u8HLd;3^X$O`
z+l^KQ*aMlB57H}c6WFoLtKgp|A@xf$aGVC<C-WMj5Fzx(mwEM}Z@;}{*cU&@KZ+Xi
zPK6Zx(e6<1w%gZ@|5<N&j(vgHOR}XReBN>R1mgUWKK=uv;+XdAg<u>ZiSS_e1+`by
z43hWqXtBkY>|06z`fKp2EX)wD5hO<v`ruxP;fW(qb)=*B0zBUtmUfv+wyN8h>U&Km
z8)%VO0So9H9MtB{iVHYL<<2VUO1Q8&RMGsWS`*YnNM8Y~m41rlF8fn(k%NixrIT>t
ze}deoLelm>BRpK%<w0;(l5acf1w>1m+|fI1V$L8eNw(yV0mNDN_*QM%gF%IL#w!C<
z%qg{cLd)~Tgm@HvFcS|)BJ0|FuLY+Ozs;9wvd7Wid3wC;8g-oTxYee)L{vk6&+7p!
z0WZG&$c_9f%J|BuqGM>&DNMlF=rL<$=?^_#lcO<Cum(5il2TsLCC|${1yON0M1Rrg
zV4kZ<FCf`t<!#nsq`+@kIDwDFs$2EuGiMtlBC*8MLb4)MY$h*P&}GY>4w$69g<q}8
z%bk8-xbv^-4AVD-VIpGz{~1CnblI&T9$6e|Kh=t26{x<cqNCd{f(@JdVg8$npvOZL
z=6MdfQbro^Y6Oho+Xk^KSkkMEAe$Da!QI=&J!iLw32c<33d8MZdB)4E^DbVOF?Fp0
zg4>(Pu#AQ&8V8^8<87;!)3{tb+=#uBPiJcRw?#jCkX%7r(Z>B9i9}`9L<NEN=uO~b
z-YApgz+S#?O?0L<;7Vk*nZMeUt{M&IzG3j1&Rkoa29mZ6fikoin$n~@5*za}!SU$J
zZMD~QbmW~SrI46Fvr>cPdRHFNuU4#o1dG-sGP4!{241z}S65p*|1xCuC*HqmXy`S8
z^JbT-O&KE|7o9P6e#ma7?`cOUPLij_i~<X!p*-Jl46z#!2e9+|xdG~M!kwan_p}A3
z<>&W)&GVvJqe*5JDFhNRo2&;l(IMfee&Vfe+<}G<-1M(N2#aenm5_!EtUr0bmS7#C
zo%Lv5EeE@P`*8RVNdHHr!*pc1c}1i@>qsGv7EJhAf%;?*5!hAZal^aGZnqH(6-_lo
zRa>aBu{jCJ`&+1(vd;r;rVZB%d*_YM!T(n>g1Nzz=)WkQV+wGDJ%#ff<U>CwT4%Qh
zG|MB@7=$1zh}!%+5@eBZz${uX;U-=Kw}ppCU6I(Rt$dVQwMj)}>HKl*pYm+QTWvB3
z=Mj+Rxd87%Z0z)D@vDr%n=S&6Bn_s=f;$Us+DRilQCIsu!Tb>20nHuMrhEGYHO1IR
z=;sG6FKeFqsl-hDpw-6zx$Wnu8$i;$;b?WiHK9nlAcoka9;?4Os+>w8Y?B~dO5YH_
zOBJ`vO&k3G<k$UKHMkSIp>LTORpOb+!eDU-<LBzbT{?f<5LXaxHx-|_WTn7bq9_G@
zP_&}`Lx_^g?=Vs4Bs!9@oAm-NsQc($>Ao(Y6v5$kWS!VyH&WAJFZt5OC0vyU?ICsK
z>y@&TE&<y+ways0<D$VAfaH>NKPo@xf<FQ|Hg~rJ0&&PSHv7~GG;1G^6ddd5#tc&x
zd9W?MLqN>RcK(^Xi0BleQT@>*rqnG4DvThPyH+ucbVP*QZ*oc9Ft*xq+-g4)*8|pu
zfl|7(L*NiQL#ruh4ZsMGTA#aiebDkJ9STQa#dczv7CrX`#xUyf58~t+dRX*+h2FmJ
z$JZ#KI|glQBad|E4gmH4VM?G%(>osf-w2#eM}LQ2<1Y#O!Vrm*pNG(bplE^Xbv1~V
zt$Ue<WW7mM{CWxEBLFE^T{vR1Y>`)OW1o>5&Xygjq)uhb2?QDFPB^h@(7sj?4i~Z9
zy+#O2-6-$Q9u2ec-PcxQDjbliTnD`yc8>IEun}(q^Bsqsp9AJ7gktf(0@I;TPtLt{
zCxWslh9P5muOnZC^kM#|c}c3<bSahU*H%l&oy5dvzO+jC|H9+YC5ddz&KH)L+@nBR
zQdBjn?9~154E}>r6jVs?3|2|X9dLd>MlAG+RODKhzk_kV3<^?Yxy;-BJLm~>JDG6_
zL8T$JN_+E;nB(Y<w?N{PTpzfT%W)vJIpSGV%Mw1k6A)+u>MG<Mwk#i`kn%8(_yn1K
zFI|CMqn_acOrVnL)zOnFhv|)rM&V#Q((B<b_Fp_ZVFR4m0jqwXwD@yq5~LHK;70r`
zbr_H?K-r+}2Di@aGHVX`h`WJtx@?t$yd9*tU}PkFpn$|J@9J=QApfluorNaEPINa!
zr%rySB-bHOYwC(dE|`#HC`p$0N{jZ602-l*abUrr{0<kmM5DdCe5TV+|2?XgjAIhu
zifFRT>gZyu5fy0LZztJw3=kfn*xx++y$rGnwwTgF1`4uyR<hPT-aZlgp)0F!;A)BK
z<=^}ss*OwKcEfPPI*Asv!T+Cz$xv{j^1rQCI#iPVJ&Omx-SVTPFqYONU2W4MHW`z6
zRF+cjuvD{=Z+`F17lUG;n)q>JjgqFLvOZh2Zir>5i&--tB4ybBZ!MR9RJ`CZwxml9
z+H=bi6(r3vX9Q9#Pb2bsO!KBOl)|^?c=zRNRyXcFj|$y5YiliiImT`c31A6~%Zxr}
zLR+*edg08<qAEFS2suS0t>!khvMQ+%)#{B7q!o%z3<FXpZItLl0)!%~mi+jPI?V$A
zyHF=D)%Q2ICUv*z`(B_v)p`>+4WNCEWQ6y{MTqwV3UPETeSDBRnR~D$ay=xZQ5MU$
zI}e}#Tr~1xmogJ;FyF|jDI>nge69*h4VTq<q@mqJV|xJ@3;Xogb-T6Fz#j6gGy-rM
zlIHVtB__4iSWEv$S#M})0vl5-)I+u(Hj7-i{#GQxlG)Q@WLTD*jjRk9eQG<3)Svv;
zk+KQ0{>rA0kc;hgfEHin8`r{}Ep07d*;wzB=>HG_%?Coi9Lq<wj8<hopq0Aa6Nz%f
zx?}!*ZqUjBSDd8`Pcu04;vB_-jfF+7Eb_}1Fo1}1u4|&jdh><V0EqNp9-Mq6#NRuv
z>)orGRhT>9HIJUHt(EXp*Mtv=3`drB9W-ls@Gi>ikMgX35}nl0$dg}Bc)#rqI!uQ<
z;Yx;`f#(_4J%+;oggSWeKP{xonfRpz@}{exk+Z7T)(Scv)BaJdeKs{e0x0&b?|PHl
zP8eN0o&?gwvtFhG0JTg&IxV+@b)DNooL5*9a~x{w``8aQe*zuja17&)j$f)Fvu*&O
zMDOEa{8vLh2K$E?{#Tl!FaruikQ%;rx6lu>iovZ7t(x1+Yeo;ZLIZ#8D0RqiBuoNX
zTP?>I$j2VxTY2JSlt{YLt^MV1Tj#4_UOOl!*B{z7uvBPo0GC{35A;#0GAf8{uQ4Ky
zQh6Yg_Hdh6@oH!u`Who#vOa|f>tQ|_WGI}28zsv7;Q=vMD(IauuoRmi$i|87Z3kWK
zhCaF!h(cbt77Y6m?c<dsbfei%ms|dT01-H{4jVY6PkBrVKRTLrq?(=7g7vO?`7@Vw
zHB2!DL}_S17XW(h@`QMSgr}Nq7IU79Qag&elZ;$z0OrsQq504ekG{zKZXDlGQ7X04
zhiM<P0rw;2!~n#q)k*%wQIlc}vx>M*0p-+wdhsWejh+ah5CmW%&^{MsPqrms&PL=I
ztue1!{wf;=eJ*ljCtv|m6zFuHq|jVIlolp0Wws=~3ZMUcN5h(2yT@4<^Ih;lMOD-@
z&Z@abc;}=XfRq!9ON`lPldiP)H^pjklyokk>@|eWmXKE9$%O-BV}Zc59U&GS>`!{%
z)BjkF?8HLN(}q+2pt}MVynTRH=QdP}QxU|^Jy!A?`%&6X#BfgeQZY*X8?W<HfNSxz
zm(EE(q4(;VVDrv7qEl%ieFoAmmq;G&6h;zkg!Z>ch{?E!oybfHSK2WJW(SSW2GmP5
zw=kL*I(q{60ue0rNO?O*ao@ep+KJMrP|X~6HmiU}7-UZ5HW_IzGz5^hEDCb31hAq~
z3Rv5iZ@S1pPUJ!YG^pJLp_GlUdIWC%bXqmTs;H4j%!#Fy4;^LymPfSFOBnNhIq8H~
z-tkd2h|FPUiUJP0S!CK3Um-y$c6iF1L|;hunS>8Sk<B1CtiF!TSuO)~)r^T%!<1|O
zsWs}i8ltUqvqz#kjG@BUWG@XF)EzsB7#uQNj0v=8_->8vL;U~66BHcAv~h1iaIgzb
zpcyHl(#*BUx#dh*K*QZ2PvnJ7enkVv$Sat+z|c1gO;S98Wj9;$PvI#!?*sP70c{Ac
zxD`{ZaiWu*pxHxwe?Uj+B~s<gj1k4{OC|6#r!kBQu)c)ow@pdcRAa}^f0k#P%Y!Xw
z1u2DCkM77dk$w>H*1TEBmBlqFT7S$G$#Q1&PPyafd=6?^X1C|{koRC?;Ry%%9z8zR
zdBzp&O913RAR=l?!Q(#%QlpCW+=xJe-O)PBld<|WT8YG4Q`s>=owW#~{}sh|eXd*y
zwGDFEF1dhB-+i_#Au$!V7aH+%3Kpk_ms!jW;{aFf=PGQ3O#UWZ3#1{R`G48#R7s11
z0HZxGuO;o~CLfMN4!?;6D*5f4kW4ob2zQ+lav_kfVVlOclI6b2^oZV=1kI?Ag4xso
zbiw#&=UITbaOX6CN!841(&2aoR@QFkyT?4-KT^Bv8eklw=`kJnpqg8L{{v9B%w}M6
zU&}c9YiKJ$A6c17mabd?fmpD#7vs?@o$e=LW52~Hif_k(H6|X^Zb!4I^8t(&f$~x{
zRFELPKKE`0&{C7PK;P-bWeI^KOSutn7HVArk)Em{!v?kO(cn!tX(rL*><lN~J<%F0
z7ODmET6yvKP~#4Dl376C_LUNz@NdNKEckw}+yWDxSZEw8r#TMMtIX|2N4Aifp@C(3
zL%MaEi#LNGIj8dsG3X*t@CZvcY5T1o;`LClsZ%5M0kwH8I!@=^%v6fx5=G^{Y^qJ8
zaGlCTmidTZa_UFgWlKVoD24B?;uCQMJY@$O4)aSBi#LYahO}giLC$8g70Ga6?`4re
zjVBu!=6Cn;oSB$S7zO5M;d?<bGv=-O(!0FomWhH*vsa4-2EiG>0p3GiOOhq|{F{X;
z4SM}ifhys<djm)}v=sn~4`ZU5e>>a7Xpj1}RRFCDGHy{#+uU{FVqzp`s2)z#dRab?
z5GA=ZMj_ojZe}D`8hbz27jL9ooD?H40ixoCBbq+|dG+GY^Wn_PjhH7h%}^D}FoH^O
zhi9NtYJB7{b{uInDH#m!zvHV|Y+yyI&3{NqKo8U^h$adNbJQj@SJtRPL(X2wFl1_Y
zDMipk^sJs$jUXqiIKnG)l-}_LQR2P|Zid!pDTn7^<gp;v1%aB0O8({MrzfwZi1T06
zoLIFWRllS_pYo|rf!wYWPO?1h_X`pj%aP&Tg)0X7wX}#7fLxsAoC)B<-0M2>-Govp
zssdVpAKub1^b;K}f9tM}-Lv1eO!~Q_RW?nY;RR*IyliJ2G8IJTvS(o*nl-9Jn}wfl
zik;~si*JttjDXe1g7Hi2OUqH{qvc#J1H9^XLg(OOT?gTd44J|xFj##wq<cu?4|$?S
z9a;*{OFcRqc1rfUnFk#-nK%$ilD=-z2BNit&+gm`>7oqSlUV9Sz$oI%K?2LcqzTn+
zZ=`-nHa=gl|MJ$4f3|c3u5z4&_=Y20&csiF<Nn+gwG8VR5c+zr#2OmlW(yyz@V>dQ
z{gj<_8Nrq2oJ}kcZAXiQQO_bQS^N%0XFk7<Mp^2DB+a2p62GwdTi>0;E*&x^?8qO1
zVY)_=wKolB^bF#0h_?F9LiZnTdswTD+U%0J(Z`D^48RQ<1IH&_bGg?@xXr-%{@hfT
z+w~U)y2<><mt~r#){sv8fXJ{wdg?V}@^5?<j4L>~)atyu75Y{UUX)F#vqvogUiI;H
z4@C$Xa5Wqb*pRE>nGxF}>QW?p36cz<9NUhu;S_SqvYqV#aGa^}Y6AA(6JaoT_5Qtt
z9f@EK0AbC$iKsBvYEo&&`6qy=p33_13z&IDnG|qrMKVc3uL)|l#D83<E}~b0A=Czr
z(WY@yN;1Flg6S{X2SOqOk|@?SaD{KFLBqAJ#_X&)P;4mSKH?4UAzCzcHEGD!DNty8
zDy->$cyHO1)V6d3!Xr-8hVueM{CkY%^hX+x9AuKuU#~3wh0BO0E&8mM+Acf?*Zf8q
zjHe08>7uLARM>*wv7^IB)p5NLot}{yKdKWDnUnhhcJo+9O<KIqV|bKpU)RwG1cSTR
zbnYixIHVd*{r}vR5`~7Qd<zOK@c@ek)I`clDe*bM5)IFfT66Pwjd^y;%Ypuan!J~)
zP*bp}_@Yr7d1BH=A<g=zP<fp;;39X5lW5{M4dTE_X+E$UGZoVn5K%e;#UL+RG|c)y
zAnxn}=Ef-LA}?@M0K>0X&NbYYXOq&mbO^eqaPJD%H(up195j@$dKG{Fwp1h}VUgX_
z%=^&U%M1(3rm7%lEhANH^};5BM;S2PQH+RlETUtw)j8kpN%^jvksdknaKc@+4&&9~
z=J)ERzRLXp;pIOWU9XMm6^r+W@DBfo?Ww3lpb>MCx3bgoY~7&Y{q;5`I$&c7dTNNu
zfbf<!OQtW55fOsokMd`g589nxiGgl!)4rdj082K^TiP7V8yODorpdZ4Y1(U2S-nA}
zIfYlO(ds%*6Z7F6w+_S!P$%csv(DSQ=O6kd76n4~M})IP#TDBpuVFJf-(lF&fn=T*
zL;2~%G7aj>@nf!?Z7B}?<)!Kd;qxEAH!MMdm@z%XGE#RE4J%^H(09`9<KkuGF$?<{
z5~g0`c;9>fta(JEya+5U-u3Zwa9wsE#6dIEMJz<gytp<#SxPM~WZndT1a2%cox<M%
z?RX7w5pD|xPqtB0=UVO-m!{M0Ft2GRHesV?fpEe}i#rHa3%@`aTK}(ex%)ACsYkc#
z<rEMl=|e2CoZl=5d$1M~fOi41OC=`E_4)$)0eB+F-~h*2$Mua~D5~NF>Hfv(6x$lF
zYvrt!(3P03l3W``8b8fAjC(G)vS(b<nBQd+-0)k!1#EGLydBF6Y=d3_@B_ZMUB=|H
z+MJt@F(3V!c3iOiJ^>`4uN0HE$G*T8n;|@??_|-sCU+jMv{U0LYv6T}g+`-MhekHQ
z=xlc{=739}e|<>Sd-_|~b=KjM%h{x;4T(T2uD}ch>Sh@7g6*P5x9C!OWc7E72nnZ-
zwk^zlHq{(p9bA2l(M$kXensdce%<Q$0cPJmX2t2u$&Jb!{kjyAe48Bb!l#hHyZuq_
zjnuOeUN*YKmniVb5<U)aRts!#{y0pkc&aE#H=AmVv_41FG!VYxQ1nEx_}XTpX6}M`
zg_dpT8MxO~$jN@f_9f_Xq48rhvHu(Kt<pL$FSvDUgE8O}vjuPqK-8N~DfpwG`}51_
zzQcfkhEsAQkP&*}6!$*tq&3L#FrWRv8(c6dH!Uc~^mYi$&+11Ih!5%!_XT9GLF7*C
zoUHJYQ)~MEtZ&OJZX@``dYMU(*mA-ni%5+!wSiC}#B**9imz|HyR9Mkb%W}s9abyd
z7(w3^!;$_XWAe}s%oHBrVjc@ZC0XUwem%QH#t*-pI$s=^uV+lhOj7g7DVCb8Cfu31
z$$$$*lDa)S=&f&YIFb&r0+0a<lpmF12>OxxDiuHuW()d;FpT44l|v4YBzl@yP_g<~
z+#TEvK=0hwguB8H&(U(e_QaKAn|R6Il<6G$%jJGvLbC8DQ3*QY(AjDX^HcXr>1jID
zh1jG%X*f4XB%1|uYPY$V{AkrfMCx>Jd^y>islU9^ivM3s5Yhl6K-|BD{t&~Yl@!!~
z-)Y0U&SN;rijjA{>VY;=uDeAR^w;7HOl8hI34^aNj>r^HsLi7hRxzKj6-~0WPfY;E
z`>H=&MO~J|&mpFO!-^AMys18dLz3i9bbLVH&uJn%1{Z;=6E#NDM8m5XE&Y8G8Pt!R
zFy-i?UI7`OOXJ_{pewJ2?z|=l0}YA#uTF5F;Dgn7&QM(pM91P;@i)vXh2z&emL!!T
z9qQwu9{$e>P12*%H3Z{`ZodG9xBu>1xe>$jcu5(Bv#FM5847+Pt5+RpaOmndr>MR!
zSpJ(ta>s6t?xuTez+j7)bM5WPZ_F4<DQ9EjF#2x`jpszW4!d4?&1ABEvJGoH*-NE~
z6P7<5bIV)IY8S5^N^+EVqn{Nsr{TtG{~cs%xu2Bo)Y_;<(8GB7_zEFvUq9czhKjU{
z3FZZwuR-AOtxCdFt;sJC@0*oT)*wt@0qdC|)f%%mXH9_jS<;n3VpAbW_Ac2s@JFVL
zL=6Gijg8@-P*_@&@(&mBDo!~K7rpULGY3exml^wTo>JETg7H=!q<xf_T0~PRIl3S=
z<EcsIVOrLTZ-N76z2P|>?1((ra1Mot>-W2QtUNz3)8)<@R<IH8D5SgVNvca+Z`}a*
zN@mz**C+0%B+%;7gX!OtZ2GWDI$HGO<`XkIE;plXEo*f^f43TY*CoUVK(zNY*Xzct
zElLxk!g$%x028+R1^?K<Mgcw@N#6_h^;+Omb{MmWi4_soZCJG9AuDV`+Sy8?_L@gZ
zONTlv(+U|hKYap|6xUDfynq0Cv@i-;{^zrf^j~tYg@#*WPfaz)-;+HT-1_{dM1%7~
zrd1m}%de+NVJ1uS!5X8bA<i`GYKcSoG(1v&@*#f2c6WcKMHf9Dx+eSa;l|u9OO1(9
z)eTZ&{r6Yg=7}5|UEn?D0=UBDg~B#DXIw0IZmdr>Qux&eJ|yv?{>Ho$>NfEnT9!x!
zJh2_pFjB+|d&W#)lj_F7QY@)-LM3R`OhI0`-`^~<%&(`*$*6lD9olJJWqH$Oq$qa-
zjdoaJt$qA9(=zsh3qyBT%yw)@F{V+**L!FuaHFAoy-N~lQ@n{gM&iAtpDGcjBJ~`6
zK8%41eX7$P-_{YZW>}9!c*B<M-&44$-sKo57bqh9g4vYUK+dL#`iPGek3m|P{E8ho
z7YXPfnK$cJ??gbHu1yOTbL{5WS{KT4LsiVuy0XoBfC!KI2|j}dlSUZxOjAgv!Fii8
zL~Cm_{WNY_UG919u^52ZnGK{vn1DwrT2th$)4#7|dN4fE<B}1B6{{<6+*iY8)=)G&
zD7aY8GlLPK9OM}&DxBLTl?qMpb$>v~!@NF3m34!H$}wp(1?VWr1iK;?_h0UfV4=lA
zYo`E<C#?F;DvDVm6MpL0%n8-a`LxmInQ*P1*9bUO9$*-&JVJH2@q<-0mZO(D#TI$Q
zd8&IS2%U8*Jmv1a1B}yXwUZt|xf=(MOEZW5yC-QBzIcC5FR)a20y*vi-7BVs=Fes^
zZwT4FJ}Gg<U%wiz<BLsioBn<1uH?~&kDJnB3dB$<x*#`X-uZ;~s&6U)z!jEbY(-Zp
z41PLb@c*hz4O9~8y$fdHfB~sf!Cdo!0V9^@yPTs5A9qLcaoRnke)g*0c`p#JeK^i_
zNM&K5U|v#A9TXPpGR2U`JszLai0IPNP!A}k?kd&jeYsZ>EwyDxIM&46Ctb?hPDM^{
z&Yf`#6zjb*pzbW_jM@P{{fIcb&9GN+zV|1)(XBo<yOr{L;dH~>TkPum0Z}sNoU&E+
z0^*qvWI()sw3?Rf{23)ObDE|bA~BK2(S{LHwcY@Nl3qSx%nN|Ta$xh!m)MR)+PS@5
zBC>t^N1XHXB)S3ed+sr-+67JOiq@5;m=gzAy+TF)jm#*P?K_;u*y`Q3g*EB|zAAh`
z8|m*#N|k0~44nw_H}E%VMZvD;@kf=B4UNRhvl+&%m6(s*+jx`nzqG^WKp7)ZKX;C5
zY--&t{tGiPZ2*ZVS>QBT+GW0tTXzE^;Eikb&=OLrm^5qjpyc=OXo~$l9!EbUQ@xXe
z^<`I1+Wp*dM$fK+BcrW>C3L|c`w6}?^M8Uk{+`z_HraXg5bl)I2-8x(FhHbbp)`xT
zG&LN0C04Tg^y)rD|B6G*WDJqhZR#uhtK6j8vX7mum6DSq+omX;c-b9$&JrzR)qQKY
zHY*ti3Q6e+D=AgVht@vR#?nB_tAKEeD9cbVrFORhbxmFGE=seFcIr9##S81qXw=B5
zl|nOHe186(<>uWLRS6^}ZnN-jORlaNqq`vGuoN8lzWpWQIMSEM`x?A)TRM6@wnJhR
zC*c~3qPE2=@kT%K9HI3lL-C3mnGcFWT@dSRSU4;nV?llu1Y#<j=GemWJJLv1-am5|
z*p$6Ik>$PrEkdGEvw8-X>oMlVvPp>?c#4j4+2%38!kvu+wFt*F-~jc!cD4s0Nss6~
zUfzr>KF@xZL*v{YR>&`r!iMEb5G1>fF1qzko^M2_>hjz%5_LB1HndNl14<8zsgv^T
z`M7R2jGXQsGTY~#y=0sS^;FnvF-ajzDtscm9UJYO=JL&L7(LheXb_hrTLbc+2k?2a
zAl{%~s6bfTR-~5e#6v{#*Jfoq&+^;WxA2oXKoR=D3CQv1yTat{$EpYQjm`v`0YYi+
z>I63~MWINoiLr@;d8z`2={xNo?`*K7r?p_Rs0+Xm*MI}hQwY<vq*{lg3fI3UouTKF
zPQxN{8a%sluqs8O#)bk>&s?HC?8ing#xURGTU{eIU9*F%)+RczU>+6+k7gOC+B>I^
z_vx>QQk7{gAN_GJ0i4Zm?wX~AJg5I$g6AOC&SxB2$VPCZ!txUha?9F8ui^N`Icr5l
zZr}lN6PS+n{kMJ@*j7fV>cr^$Q~^ScY3ksETeE8j9Ba^)dbVGw%CAokEqR36`-&B?
zx1mowtgIy}RuKw~iNM7SXJw)bS)1S}H?A<UkF??Ouam0>e7W3ojef=NWvQL^RZvVq
zR{O;IJw=4&b|bF`cCtBsb8Cs^*;etr{*JjM%ztD(p+#;xLJW|+Da%DbvWRV@H-l=Q
zGJsnOd9-t#X0MrnetLWU`~Ng<o>D`VD5$qDw3A$&vCqdS*txW9ZrLnNsCR52rz)7R
zk=tsFhC_s9c7OcU!fs|4i1Z?sQm3Xph%wwkg181V<aw<LTF@9Z6H!SP`D=BjG26Nh
zrE|-yyw-m+(6V0|DHI;aNkehKNLz>>9^Mn59JrogFKhB(UmG&1ZI4qhU-$BV{4Y%4
ztA7!Jz){x`LvcRQ5x#*ya6<(0?uI1hhFEl8V{ynpy}B0p{sQgv+oc0UDOV;$g=LSu
zNF^Ozubd-itFjz82Dxy|U}1|2558&+eElCzlkOR#j(J<sA#Oe(ik2ByGS_KVC8eWh
zEp(q8sM;E223P_JAk%sep`2W9T9WI9OxVQCZgljb47qOK7Pi$g4PE<$b^*2+G1JOd
zU$e0pLItyb<pS!+Rt8-qr2RXlM{r>tK8e<K85Q{e(WAD!ODA7)v4tGg8P<{KCGhRg
zaR#<Ph!wb#|4_;%Icc6N*N51q(;B}o@Zwon5!U%Da>f)4Voo@%AHLJ%E-;ag!~W?j
zpP7@Vpm$y+9!*<b)PA>zKQ{!UkmieP*FPV-2Eo>V^E}WSQB&TId?2^Hs)$zQFWDZ|
ztSsHg8=s)*vVYQZQOdRntFQf_&yVnk5f)tOvI*i?M>J0Czo8`2E@}>xcuN%>Q%O-c
zMm24au}Y=&O5sL2vuT!wdJoRLhK!W*V~9a7s@IvhkF*o(@6i!|E3VW027|yQ-iMmL
zRIdk3dFj=U4?l~ZJOb4Jk$q<e#qgu~#8<txR9bKi7XZPt&yw70Nj)1{-z{Pit9bAF
zuU)m@@qe+x=7101)fa5T2q0Mhgm4%u12~LX*2jIfaaP8B!dug$3btw}DrRXeevp7|
z@`C=6X4PI*7m><*7|D@;td~hH=sneUvj;GKOWSTKe!j3&6Z<E?vgy*=82I*oDWt9u
zl_=p6EVa_(-uQkLGlg!|H%*a4;KLn52YO1jd*YTBTIEY1Yi}1{a?>PYUqvjqYeU-q
zN!Y1iiofOP-Dac3o?x~FjF7`1kM^)rDW!hf5u%GRwy(<IwCQJ7hJ4;I2BdoB1tAJn
z0>~rxu=<4xfVQGNk2kH~ZTl*A=sb-~DnQ-Ti<JucKI=S!pqi`u=gNxx{u*#O;OIgE
zDtVW2;$~l!p&kmY>`L#?$VA7&;|wPH@gJCn_3&7XiZt%%u7#X)<)hO~G!4F{B&6vn
z9VVc`^!y#$n7i+tM7@oI{DSHURgQGJ=|pPKcYx&RswUbFdwgmP4xu%ZpTgpbV^z`a
zhgdp$Rcj^Z9LmSyLBh}m3D)nAlujY-?^nx>6Ih|4Zp#6$IX-|_Y|GN$3o!=)w{7tY
z?7k6VbGzUif0#|<n;uKHhx$o{e6+OJqw{^30Kz5-N0L@(2IRfCm7~H7KfSh&gl#)Q
z+%?wvDqZCWX2eqn-vqlqIC)3OD;Sk6n8fOEIO4)bws*UZu*_2v5ADzK-$p{;A4Unt
zB=;~uS75B_&6y-}!29?DGF1{s(lH4j$yj}Tmk4zA#&WGhZwtg>O;p)nB4}Jb7srte
z+RFB^Ih~lozm-0aUbL)aZ3%)@QMe(*eafYIYh@6W8FU|yF@xt2ODK8!XNN2^eY*%9
zH{fqQzHx|g1WEfhH8-6To%&Hh#F~LLGno~IyA?089kav|A@obsx+a$0EqYMyo~dUG
z>}geQ)z>Y!Of_Wdot9B!14BXIudyz4N`ojSzq7^p+w@f6<UD}s?cR1!EX4}?Dh&BJ
zIDjkDvLnyw`6M+3MS8J@TRdcwDR6cwxT?WmyFOSyOY8(b!^@#^2td>`S#7!)yp`4`
z9-Wx<?}{Rg_KmI1TbD@g1a}mGY>on9?GBzP;(E%+lTxQ|0<84)oPhQ*3qMYamHT<#
zM_4z(=7Nk9B7jB{z>7|HlfGc_y;Rbs_|#5>ZLOr4eeBu_un%5sl!qDgGj@aFPZTWV
zd-Q}^>qZI2Q}3eN%em;u{KT-I$G@s-96F_Fla~tws>(Eo+^Aj}2(4uLiAyq6E{m86
zw_YxA^RU-ReAH`4<!mVs{v%C)8c#Qy4h@02m7y;pYxA*42EUMR<wen4DtF{l&3_=D
zpFJw*JcEn{!U-MoA_;@HJ+y^&@RlhmEO>62KocOsvFn|c0p=|De?lwva+-YV%mL(~
z0e7QwFWmbarx0+r^*VE^&=)hlJ>jWToe{&i&;dw)G5<%2EDECA28G+OUf#)v%u+0O
zC0qd2ru-(L22yjkL}BAjH%tZ-wmU^KjAIx@15Y%T^Xe#W9Lj0AM)$le1MPdz01iKP
zTlSaXoOA|VS*u>qK|X15{GQTFz0mixNlP8ee+@W*YP$8Wo<IZO_{;X2rW?=qyY3Be
zLMh8?!(A;Qjm(EE*t+{5QD-xlaw@`vIZK041gEQa=N3q~<K&NzvoT=;w}|pp#~L@>
ze#&mr_7w6!b~OWai_E$ZsqJ*OXNOj&yJ-aN$Gkrjr5I@JA02DF#Jb|{Qpla^;3I{f
zu`mnhJVQzx)B!O!(>W(WU+Nt8@6TV5y1WA5hFec78Emc}hRiH@)Obo?U2hhO6*y@O
znri`V%r($sww0#_kG7ydg{z+H6X}3~V5xonPHr0#|H@{8Q|Ko~Lv)!tUP;Me5z%~h
zoTGtO-6EpW=fw_fguV5p#!I&F{MYxF`|*FI(P|TVzF$9L8dL<b?A$VY#q+XEJhgdN
z6=z>+jIYHk^r)+Kdf{GXT1<cNg^;MdW9}iDHb}`!qw-&WMSgdk+!cbpPrFLvC!{Ry
zs8WnlVXjV&+?>1?7&B}Ri6E(G$2SSEJvk5xlmm_7{ueY^#BfaJ$nz{xVaXcjpw-48
z%KOSkuzKn20Iq?qX5(7`PYfBFNLHOAXAm#?s%`~DF#ylNQ&21ZS4}n1EBvswRGT4#
z+8F)AWURZz&3!lR<zVHKR9&vrik9tOe8+eO_Q|rKH#cqjDy{Mcj(n=;=$a-3F*@H^
zt}c4BJZMGx`|-@Y#jH3qRlDjtc?|V}AtE;Hp9?hBKlgm)#HXTgUiye3hX5-d`8%I5
z8+_0`@-DS-=2JV$-8olz#qI+Z_<!hv%`Uq*eLWIEIgX=fdFDp1*CbQDhKw%1u4GT$
z{u$2{Pz>`j#M%hTC?N)cnrTj_lnc?!ym<OxGRSE)OyL{n1n-zjui_|B=}n^SD7IDd
z>z}rq6=+fk4uSLoq?Zw5grxQAEcW$c9zZBh;FOJVMkDJ@XDyJEGxFVBwn*={<&aau
z7Mv=Ep!oiX&l!jhJuT5F?W)m9k!mKs2N#XS-Q5LbPbBz*Uk}{2uQr1dI*4RrL-uu|
zH_wgKd!)VyWr3wNcveYc1fnYC+Z=}GCz=@+#Aw)^s*>v`FOSmOK+yIW9pJ=f9^2|m
z#Xi2IRAPDxp(AAl`oG;p)d?Ava>~fI@0~1?oS-J6gXvd>o@C`5A;a+w!=_r|g?7c5
z4CaS~ds(EK?@f3=inP@Ty;z`Ks|?o4h%TlZcIT5w>r=($tW3`6Oqdw=JT8*m4bQ*H
zd>^MiJzcw}A)D1=8}<N*rK$rTSCzM}>lVzeBW!G)A<gl0?c8L8%^vFsS>g~LIp+bV
zGA`c$&r1<%)iw=;IPYUEg{-yIK*DUZ(K%7Zuqq$Ew-_g(lj8PB{mkr1*1s8`Jp&Uz
zK8!>w;@ekk53KmTBzNxlRe<GWj%j@KD2`v(rND9*0y@G|nseAIz^aZ!a~|VIN+Qru
zfyvpe_Y1kv(+-09mF=f91)VpLAl_60pmcr@yXW7Q_>N;q6Py1f4F!s{PJPzYVI|R7
zXv8|jpYgZh6i20|4K`>ofgI=kF<>UW>I|gxpOa1_1+YmaYmLZ*sq3YK88d`azeJ&+
zy?Ag;y%ZK4Rvp!Pr)+47HGq1O0S=2O*euJiND|i{#S%*KD2S5l=>JH$kNR=T;epi?
z!d<2-$+d$da-Xy{7fN5dKm-@*@n({ht57t%r!n`crgJP|To&d+o`}YnjEX};FU%-V
zR@BF-1Iff+n~12AY}rCR(A<d$^}&jgIjhnCm^A<_wb@kywXzfgOC`zKb|ZCeHaPN{
zO-+P&VUzOenk&iMwr6fPa39M28wX1)-V>G<9S5eW=H_@6Z7i|bYc;m~bU93LNE7bs
z%pAZkt-mO2r3QQZ8|%<;04}J4+?6haMs#w)TP4fZfYq4nwy@A?`Y}*^L@a?gby@{i
zNMlOxYxzZvg-$*h-Ub9wP!12U<9hWE5HXG6v|lpPO}m**7X-a(gO&fYSqb}WVXF{s
zHCk~(3<Al2#=<^<l3{`gIH<IePGmqhCm{R+1kLi)`h%CV4bgS1LE;dj@#8?RLl8M5
z*PVTEfy)8x@2w2`iGIYain?T^zq>0#8iF@Es;^$|hSSBb7TAk?%pQD5N~~89mx+tb
zLj7K3X^fitVsnTVIqI&J0FWxi3xxl(KI(x=p+mH++eyN%(&GO}?w`mN|4KwHTvj6*
zvWw(*3J5eU;-qXtX+Iw|p;j-oSky4d8^J+LZ(7cp_`*?Lyt<&toH~<78DcZd-CoJG
zx`ZPlOX_Y4qk@o|8Zt#~JW=9mw`cBxjEghd5f*Hg{g5`{YNRDwt8LGf#L#d?hf#A@
zp|OBghi3CzY>G@-9yI)}OzkSTdP}dY97vY!^sbI36_L8JNpn--W}q8uvt-q_ck$<d
zzewaB+yS|vi|g5hY39bJ@ZZ$ocqFF=8g4#uzDX#<R?N{@B<*um%lt0l2Kwlio*1T9
z-YJe+*IOnnMwgECJAJ1i`YY6A(IFNJ-P(=ZSlw^LxIg31i7z$Ir@}q7YTgGLW@+99
z(!K0%RZ8MTxy{TyqU`C6<;S2NAnBv|W4xW3e3VBRh!{Czt&h-+upYzYnSqHOFeGy#
z8=z1Wsi;ER0iOwdQyTHKa1B-1GJj6Y&Vs|ZTP4$<Ji^>_*w|(59)OfXAJ}%{nUyyy
z=kM=ketB!Po+#56q;RBU531Y7(P0wQ(gK8Ym8@Wes)<Z;+Nb7YGSCU*o!{&GrEN$K
zvYEh><y>{$__P`%B{#1Db9uUV32wJH%bz8_cZwxJ7R!=}AwW~RqnDQ6+Jr0sZ&%X7
z?W9nC8PDkz<`&KR*FF%YW|f_Sc`LVKsJdSYPau9zuDNC-?fgXok?NeWJ62PD{uFsv
z!Z&Ahtwzbca)Pa=XH#+Rcj@4+zVXkg7SC=^8b_UaV;w|9ZLJjf_~T>>*;m^ScaB%E
zq$lvfXe!!R!b9QOR*42)0=J}*$wuP#Sa7E2ZusR2-rIcq;gk{dgtaSYbyS+sNr!sa
z=#8gf53dd>?~#tvJ;TSkh#WJ_vcIH}UetMLw4I!k6*^fJe_r`FZ>@Bp9D)u@5t{cE
zPH)QW#@KhR7<5UX@+)C&F3QnIB^g6^_uX2sypKf(Ms_Gd*B4ogN8*sg6jK9FfFsH+
zinCyXM0$+rlerM<H%_$Vkzos}y2buD1YJimaYj_+-Rk?9$mG3!66_n)-D@fpm*Cb)
zCcR2oB=)(Ok529Z44*}-z0PDroqN*MQ&NCND`ollIN|oXzYeJtAOWmtWG}!437z?P
zY43%y8dl2$_#EE0<n&<{`gM#))0wxHjT48RCnpZ?4;=YGmA#%}+|ec&pnkN2QGO`I
zk<H{EOL%a7Kj=0`q`a%2@yr2Wxv6yg>xr}p*l*WaZ2+jKaL{Fw`z*uwy$c)!96bYG
zDK?ViaaOaC3bw@8SoayUjt`0;e8h;0Cu5Sj$$Fk~-24IMJZo-5I;!}(%;pKf^pR*L
z2t*)yJQAhsrsA+7<o&7$CUDQaVMpP2hI(7=&9F0JqW%pMmQ@n8aoyfBWjkxXCT^Bh
z$;LQUWR;aVma59Dc|xb3?Y7mIPOSEO-!Uu`qPZuAr}r0Vp8u+jvU^s1qG^`XEXvQR
z{}y)@ppfX^(9<UAAWG<3GGi^W87!amsZSXBdX*1`)o0L9$1TWi$)Vvk<%jJ^!K7OI
zI5ketL+Mbv&U>T?L<kTU<M6@eXLT`ytTE;3JFY3EZ(9(9bd-d_Wa?3_2Ay2RJwNTb
zZO$^<Nnbb-pjiW~UH82z-oW(i4a?nOMYjg@m)9+m?>k%n7)$=@z%=ayzRJoQQ{rew
zE8Q)%%N@+-O-`7kL^$a}pch2rB{XC}$|bKg|4#)Too1_RdbsRX7(}8j#_k~z;ngqT
z;Nm~_h$4NA<a_;a!~|*Apq7R%axGXcR}f~4)=OJA7p<vjqKlbSZVTdrw6-EW`k^!4
zauLhqjw<jkO(KjZFVRr}KXJ+ji-WNk@}&nsb&g80_-V)Xa#uO{o)YpGNWD$Q4a^+A
zPw5)nfAe=dtj?UMOkuU<9zf3wn^@5rZ+eqC>y9JY@qx#z9ZAj1g@qokaD%yzL=+n?
zW30tI(8L)}Ukw>Y*%sqHF>1#6<3&VMR*AI=XJ>xk5F(K2F*s0CKmW~}h4NMXl=8;t
zpv|ESP1m<-ZwC<b=-M3@@d<Pby@FrF4|5n8W=j2}b6V>#=(0Rrka&;^osy%>ZmM4t
zZ8(!8hb=hTlhbu(lUIAydz?Ylr9F~l{bd=d?EHQ=P8mp-WsHZ0n{YZLGs%ud?ck3X
zJKg>zJHE6XYX?tLUrM%btpK;ve4jFL!%lLx-50I0Ri7k3P#q#WDI288kRYdLjG6#*
z+~m83#^Xgjda#<KaD#(`<i&l$ud%@eFRHZ*-(fcTT+keX;B!7vgU=~kU(%QB%MVS)
zAf!4pbh2Z6+=|B_GCZgw0O7sQeSC4sf^f$bf}ANg3TH3q$#WL#^W)VmEk=N4bJehI
z>P#isV7p{ML-h?dgV4W)9BP4#{Ft7dSdbjN!i^ry7)@6YAUo<aXvOx%H2X5`qTPY^
z-AZTY=KvOL2wvm2;^W2UN$)L7$?$#2{3E_2yxP&G|L>jEvm4Ev<}^F?Ov<#DEvyfV
zdbUju97*(g8w-Owwwjvstp=<TU>IUh9N!2-g(8Q|jd+^6n|NYTpHTiQ#ybht_DTEE
zcb}FM{phzZ=UT6#{PKer+On~cJOTD5$v3JYN=uJMrJfbXD;?f<KW~lR_8wi<AiWMA
zT_(O?rE!xM?5@d$GgtRVY<J}>6W1kf#t%YGAppwfuQrZ1+Vw_~Jpn3}ha2=}OB97_
z*sNNw7zV2{*Z$=Wt~mr)`+zc^M%|7!Yf%k&I*?5fZaSjn`1pN`^a)?Gw5-;XwNWWB
z4+^mKGc=8}d_&Z3erEgFdSgI$5|t=m_Q;+=D0eI9o55y57&kTSJ^+Br?z26=l|b49
zwM|guMx`9OY5K$$(YO&KxwX1FpH@%92U5$U{PW0HO5MwPc$gs6*+AcbdaJ~3C7mXZ
zPkv;%dj=_8kQRL*_Z9*zSSEk#y8dL>=$SbgYWuODxey$g)YVUorf%j-yaSyb@;c-S
zmM7pnD8r7R@ynGA{ngn6;s{Ri4ZkJ2YGNEdj|X@YaamSa9rM3wqySkb95C?@g`NGt
zMsskW9p;jXjf=EI9r9KDxq#5Ns=KT%?qr_?0dwITn&k+ce?5+8<QREQsH#tz;3mWL
zxmjBfSHMvyUF&6nB#gXSYKj$6FQ&w#SETkXbaxzni~07Fo{SEniH}Pf9vr7@A=s_n
z#}fs&snwhX_)^E-b&9YZdp$*gDLufZi-YQ&%IYOv{_Ta=Vh-P$aD{#;+s$h%@yoco
zPX)^yE}UVlwx0luktbbK4?3j3Sas|?0p!E2>zPx2j0}&b6XEwnH=6kiw(weFm{BH8
z_tK5Sqi>d-cZUYg&7XI-oz?>UlDZZT!U>A5jArum(ugTF9Q6%bg*{<N(v64*j8K+F
zBxEFiR>u!;h)^-;1M)s*>>V;b^)!?Dr&*pNb))nwnb!rYWbSaLNhTLp^pG*$5D>PK
zEI=U-*TWsnggDR}0Ic7+z8*IT`FsrR?2J^Ud8kK*UntiC@N;)?r{|@!2CssNJ4<F`
zVWE5KILo@z6uM6XRd6J1xG%-1_ZE;9j-0;rRZu?5eek!>Dv^aTjj?7(2#!uDNmst%
zv~DJzf9q}nnL=tB-D8iEP9-6C6>%grN7{SHMbJ54TNBqpY`TL_?YauXxbyGmTzKTp
zH^p3gXN{7@wZlAc%3YGt^qm3SH)Tw70}LI@KsRJ=Dl%}l%n6Z%)we7;CnwZ)z*y|9
z&rzeW_vx#XiAUr4WD$}V0gZ<^dwCb>6$xYSc^)1d*$w)x=l(sPnr$RiAphMO1xcVJ
z9JtknDr}fItNfw7Att|@9|22Dqi#IY!(M#F%Hd&uTQsH^0lEepXwSWLZ?**$L1Opf
zC`D}z$t~jsFc;epu>E8pe`vIfVE*1oR$z@MNBAs%5b%D;pk+V~;jFK?sH-7r7TUfP
z>1_)QQ%CMn4sLQ>0ek0m)GW}uHz}IxYyG=5(`y?gdaHo~V*u&6=F<w~V4!EGGBIY+
z*6G9jOwBClj}WA-4#u&dTOGV88GOSj^Zc3S;%%IR<}&(HdJs49*wemb+rEQYQtyv$
z_YpiGU8x0o04net*hZ|gqtRqT>G+N;qK)|h+t?gPT9qNoJ!?rGVU&z+heeTUB5^%w
zjSMQQ%^Y~-2d36!lDFim3F#*SdWDxZ!UrvUVz3QtVu`Px^9tL6rv(|l53?2!u>aVV
zrpTrjM)T{<OZbS*>KgxJ38##at4*Q|w1!ZoAE(|&3qdn5Ui|gm!HR9^44jJOT9S2P
z=<SzMT2guLt=b%>+2zE?-DGtqkUV)m^!`^;C%L|kXA=icv*oQm-x`=vC0y#ib+SY#
z&q2by44uwALVXeTV@wm51J+yn4LP!(t}@-TC{l6Q_<;{QCY4$e_l}woNB8WL{Js88
zcv;X~v`IS%QGm81cQtM8uor_a({%vlLOAyLh5JzvKH8}u&VP6{^8d<4iu5%y5t4vc
z)Jmy>86nM5q`^ygHRgD3V)x{#@C@53{6v~TFujfm6Gla=o~ade0CGqm3rn`K_D?9A
z^Mvn@mojZkGdul@(*lg?p6FHEOvC37i!VlY`@Ctl7{~n5010YFeTruy7)0)0tFa!u
z<&;HZP;I=!OT4F)LiiAP&D*Y1-^np{t6i?DvpyR!8>ADFWf_hZUaYk?H%zH}_k|qP
zr=azV$l>e9alBMJ(H@l1a7ui<=pjh`S7g4~kF5wZ8#uH#MfN9ugaHXgE5uCliLi2h
z5FUel02!NbAwA(6L|h!*p&z<C?258KZyz=|z9?c6vwsf7i(bk~;D>VZKWO8ux=H>)
z(pyw=s&IM;fCb~pa&>l@JyR~Hvp;x_z;&}beWs^n^VV}x@ORuz#^Y^s!>|1|`urok
zdUvdUB4wrICymc8f|AURVNj{89uM%ao`5$qQeHu!io(>{I_K}DVkCNJrfiDg%8}#I
z8h%<n+f71^oN<8}*!PP37<=SGo`SD$d&@dv#6FX4OQx4QP(gsi;*QDPL@Wap8R8`!
z<`ZBVf1%=$sQ^4omfVI(c1navEGlDk!`Nn1%rGt{nUY=wbkq{_gK(R(VEEs3%+H@d
ztm0KCa;8MBoEcf<lV6OaOQdN*9i9xhVf#~z+WbyGE`!&?wh}6jIgxt!IPQ!;qWCQ;
z^TdSGq<)6-nmyw6WW=s4wBC)8S#0615E(_LwcIKnv=lj%kPXN&d;+M&J-*HF_2N^O
z6usy|^0hu(x|@=1_f9MB8!>3nqc&{rSOkdTz>+EuzKO{{r1G4nCE80Lyl#u5(Y1M7
zX#!7RyrRphB0W6*ZltXyv-~4wF|<*#NY1Kg9M<tmQ%wwi3?JS2Jt74dFc66|>H(uq
z8{J4;29pBR>%)cn&suk}k|Ni$RIky^WPI&?L>p-`?wS*s5m<Zz?O{+b7}>H^V$jcx
zGjFsigkBxmzV(Wx+gq84sWDI|prsL=%fn7^1CrBB$wc;2E$%NYFo^bG{%G9n47#jw
z6Q!t~+#$odY$3g3d1grRyo*Eux&o|Qg<#r(`dT9=!cDz&Z4C%{l4Fr_rAd!@$Sx_W
z9i?Jy+|xhFpby;ax}iqoIKD_7sp-Vb741qTYbQlfxFT}od$y{>kNf5>=S^+{M<A?g
zIF6${w7-O4g}32v>e5z0BDNz@Yvi%Fjce&yQo&N#d37t}fxD*_$~fX`xOeVg6FVlY
z7@qmx3{B;W=ELBXj<0qqbg6Wu0z84I4`NAfg1ld-sc=$X^fsWkaBG<4I3W-YI8y8e
zjL~;{r3mSUYI36y95y=7z|WuMRh|Rk@>q<7Z^-H=UKAe|A=gNJ7`SiX1TF5y+FTxj
zY+rW}hSHTDTzMB6B82Aqe3n=_2~xw75mlpCdZcZ*4~w~qyYk6mWq0Don{>InE5B@i
zkTaGU=w(>pYJhRimczV^&oSEh-LP+F?f01%fGT;Y1){F`SXwK={M)d{yC1h^$_?1l
z)gqOjBA+MQCE+>OOu*aOVvW{nc^J=KSTX_1ov3#iAmI&`Yq^}+VeU;Q(IMs@8_ttC
z)a8Gjtr+T1Ipo-MxGC5C0=M2Myz>V1ig(87#X82e$@{&}bQaePaHuE}6=6Tv!!WlN
zN5i8^58ZA`YnGSKF|I)?dWKNYf@IuHV!Zf5$8@@34o3l$We^@K$|~et(&Yp11V~7*
z4$_liM|&uV%*n2G&kqUPJi>ecD*wCGTXvGCo$?5Lcx}m~5#MilL<9Po5#Bv?b8w!5
zB!!Tlfjj2~Hj-cLJJv|pQN8qbDftR8W>%hqp;{3aG>~CJl^cm)rKxE6KKQj0K~s}+
zYuMZyXq-r5$$+~S>1R2Mb!{(l){WoxLJMl29BPWcuekhjAT$1+;k?Fs;OUSrt+Qr=
zD>I+0rr_i37Xj=TjsHi{RJ?r>Tl_El);cHil65+6@cY&SHi@@|4_p*|qQb4a035qa
z{XU>74^8tw*}F^Fzw{KjGjxR;>q;;m=2!dGD>6B^6Q`wemS2VwVe8sySp5=oHh1qo
zyqcE;Gr8~!oF2c{FA;oIBlN@}72;gqko=ek#oZN(1<3>1$OlM%06Q2@3d$9C_IR?D
zgzOh4<)ey$C%ZRDtys=Kx{=FXw@nrc-QW{LY=N%k^&T0O8c0-BO=}MP$1R~Og}QVe
zNY1iS(&@1&5!B#3puiQ7;N!p?fzaGI<gOU-nwgTNLzObW^Tv)nhbCdVf5@O<;88?p
zTo}JJW<2WzFQvldN4w30*W_>o7r1%C+6FnXxfley8Ael4HwIo{qIiBNJ%FI(EWnk|
z(i`-<>3_-pglHdd*-Z@cN{uMyO!g9>PboNR(p;ywuST;_IYjn&K5{G`_=`d35h<}_
zyUv$gpQ`}VN!yt#XXTXCp18o27`zWEpjK1D6u)#>SdN4bq%GwIUc*M|52mfmGBiPQ
zPu7!O8Cj7s=s|<ak+3R^jG}KQ;edx70CSfx>E74)epeBCL!X7n;k*v+SHb1;Xgwk|
z8rZWONbLVB&eFtwRXPn(phouq`wF=}4&8w&$<Ne)`11FTw+2;7beC~UU#cD!r1nB4
z+1tp{nZny~z#l|=g7_@7@Sb3)7(!eB<`?QQbCWay|10|O=`ubFqWf#7;nnKNkq$dA
z`+!VNqRqH5-uRO2vbsbAoq(_sgXBJROGX-xt-G>ZR;nzhGVUe$xOm<1au~rIRGd&G
z^|s`t+Naf7r{WJx{1$KpiHxjZ_)r8UdC|o>=k9<;Su3xSC6J?lNHpF;zdh?`RT}v9
zOnuOGpn%#1xQ-&3E>*QuOlRKgnZ(n;5PHxiZP-=K)pxStzr>q^!d<rbi#vcmtDIa{
zs(tzTp|s9)g%3^+rMSzR2P)`W-$7sl8eWWH5n`GZh0=%9Jzsv09MiKLCD`=XsfVbV
zU}P9`@<eI5+N2e`(w4bb`bCTT{t-eDRN(xUxpvfEBh{*CyzN&TyLx)}vQm<MTBAu_
z<002Otzhm0D2gra2dYcklqc}Pq+ofku^#wMaQIb}*v%5&CFX!J@K@3o(0KJ7{g3tQ
zaiPG}Lu|`MmS7>H!r;D!WWGr}Wy_S7s6)u_J*vrC-?)2-kR=2IjU*zQYuLv*PvxA)
zDCgclQ04ONVGLHypEn;E&}$R=KE9vA4?A;^%A=64qWy4z;!^Y3s4klPUC=RFe`{xX
z#;?#ZxXIc*WN8|{0n=OJ%qiI5eD(NtFkT&Lyp%;e?mLe_U$a~lmZZc(e{6}j_1rO{
zS#jvOj<!;(jg5d*Q5|U1cda>F{iFFum;OSPqeXF_MdaXqqC;-e^(=vDSO&Bbowxen
z50ec?ave|Vl4Nxc`F-M5+6A^`C*rBVZI?)V(TDOw4ybfUsx1karF-3}A4fUwhu?u2
z#{<Cwe>Y!X-!7!S*lCj8cckaHp!>&ZQfh>6x=n&ss`edIK{0&5y6q1zc+;0@yY-9k
zzp{G;b@I`wa9#~sx3vd3h+g0)EN%>v9mLYYv37mO4^1Hf_$LF=aAI%`MZz}ULM1UQ
z$w3*oM&5b$C=92zosVafr$M5u3_r@3hoj<n?T!*2wjv0BUZhT4n>p`w#jv-FyGRyo
zRnwdK5nk-?uh{%(C{rYt?o0M1;&PCFP$WGt2as#+N^Ep|UJ3qOcJn7ZSq0I#C;q3j
zMzNlK1$UCNqPqn~&js^|jZ*(~r|iCHIohLE$)oFxk2M<po2oc`J&X-spW<ss;^#g2
z>!w)Iy3alomy+|;5-j%G5-nU|!Nv56V_#>-ACe4r3)^@bV8cxy0S=`DBljS%YSLle
zg|JHr_mUaGgpYfUhD#<!femOSL`Y7JR(XCUaOjZp`WcE@Z!H}v=K4SWA5Ic}qM$b!
z+Zyns`3yzz={409lMq5L@cblk`G}e{ATc#`z)j2jzxnm)dZs7@<7a*2exXyp8eGT>
z<{@54VJ>t)n&2rt&J<x{^>W^QKTJCfuCN@aDvKk?Lyf^;7`a7#J~GstS1!){zOz_M
z#wS?}(R|?hM(6gu6S5g}Kyo+QQ|*7sViz=0o3W<vZmw>Fo9T0?`iIbvB8_Uj3RzZy
zk6rRsNFZB_x-;}T<uRu>@86el0)gcr1F5eXfuV-AQM9efSiPv%q;(iWdH5oorY34y
z>#1J=2O}tV5{s}8tkcT~p;nwbsg;t^X1i&9dv99nr^Q={7jXSyqn#_LgIH8;9kT((
zr(J8PELTe1CUT|^?)Aue!m$*(=9G$T`OfW6YlBakiIy{f&qRL(qH@2pP3tNF1b0)=
zqt2a|Q{rJXW3GY;<F|Df&K7$cn11(AIe%f0klfy$zDQwA^IpaV)N(~N9&nnY61xUa
z2oMqtGny$JLKn7a@KAp|cZ;7y_gdyj8!@F=&BmBm1qpVF0?dkZ=Rw48SsEC@R)0)H
z#_&@$47btO%l$3*39lT3B<)Y^5KMIW;962`<v-K1xPF;G&!Lc0Zf6zbS6ci-Ul^n)
zt)}%8MPd6$`7V(vxZPXr;K&rq&1$Pa#^Cd0MI~jVQ?n;-%g4pj1FOsjs?A+hh@Ioc
z*C-qBMB-U1DZic5U+(GKi=U{B2bKBSd0UFsxk+_xKhr0CnR&Vxuze;uJwO*19ZRc3
zJ4AgYJ<yyHce8txkt3$^1lc*KA#aj4?wEIpP(ASdprGEF3$DXTjdy_My=0>;hwl-P
zY}`N@GzZZdB8Q>7WyHmAgjic?YT}W12%tzU8`d$?ROHqzOuhEU8Fc#4nTXx6qAh=e
zaUla8f8Eu2Vt1Zphj>1#GFoY|5o-G=5WlOqx^7LcAUST|GLllsDvTTEe1);>0{FNL
zV~m1P3|s6a-Z%62e#uY<TU8xXQ857OBOV_f3&iT2x(+JXMa)f8#f1Fkzjrng4})&>
zZ_H;dol1#V5p9<#-GfyGCTIlxMEnNhq0;c`Y2_f=tg>!qk=;4`e;UZ5ygn;W<B@$h
z(*>}lyk@o<nS*QH&Z$?BHR;0oF6ZWk7DVEyKezyNz_$(kGqyHA-z<DV_ez6FOl*DB
z65IaKq6+i538RAOfK9R`;ShR2LCM~tA1q&wqXei;xeXbPXN&I9NfT14NN%;Gm?u~K
zVJGnDWfRkj5Fwu)$46ABk#`@`iKVJw6^MyeF%?mi>nFb3{(8i3lbcqo)&3iX7sZ*^
zs>3oP2l{0Ld6BkxNy{>L(Fk0Elj2)V|NkGTDbe4CU?V4c2tFCw+9p7WFcbfD|D!0^
zi3fmaOxaELTBOAw!_&gl*S-3l-tZW;;l*Y;#|)&06Lay~0oJxZNu;cGSxOYIPUh2e
zwqFOt@npo&e+&`m$>aw2&{SgEfQDkZ{Ya`P9L&f}DS(D@PmC9_MtX5^J~L4MG5hq6
z#^Mhm!MyC#RcfWVTNc3h6_|1g$aazm2t(QEz60Y8L@${-hDu9}B#cPowVR7BP#LMp
z`sQ-yj3haEMf)?*1;1KEIH<3Dnb=30=p`HoTrZ2*&wR8TFUmvc>BCV&)1HO-Vk`ZZ
zyygW->TUlX+sZ;pWP<!8@JK#akP;?$$Jt_eWuJQ_$y%l2NBvX;9hDvyHfyB8)hBr1
z;-?Fip)Y<*+(Pu!hf<c{cvSdGm$@m6SO%JreZ;p=a+O0_q;<)I6`qErzgccLldEkB
z<Ya%HH1pd~A`vUni6eNL6b2Js`--N?{td%VIJqX)7;tCP$Hd|ws+>G&<dhmV<19=P
zQ>{thxNNWry~zNM$N+--{t2JbyCbgLiA+c~tDF^|wMuG0Sawu%yyr}`sA!Xdm$4%H
zSio27RA@%l#yYh^D-+Y^)FCWYlZCQE<*K(f;h>9H&J#gHd1*~|bg*(|aQx00XV8{G
z6+dfD)?a@;B)WOgS(Y7*Y<pXG$gzYx3z8vSY><d$(ABkccwaqgTazLJ0+V{9J$BU8
zc_lMsq=}b0xKMfH(e*#&*1*L_%wPRAq2s9`8?>f?^dTwW4VY!&d1yjx)nF*;3dR)x
z2ybk&WTVCSw(zsIwM&%Nh}<S0EO=X3W&Mw9plw(7Xpi77tBhmnCv|pi@EXdrIkA-9
zbq7%ZN(dvTY;GYSr_qyqQWuI|Eyi!XE&tJ$Ia}n-dBDQnwh209LjyjSfe6lV=<YN^
z@-fS&%m|Slps<6K=4AnRjZh!*p5v1O=+XP}S(Y>gq6a?kT&19pfSSI^5Xg>1NMOKy
zTsTLE9zYwT4t!M-c@beq#|6QPsrV>)jgh>~D0Pjt#*sAW`)*BGlaEJD`B3y)>4p(x
z=!KK%Sgw~BZG=|~Xl?)!*w`Ev*z^HYINF@=Y2{Q@P^LT_uiYp1<?pR$EB{vX6VcP~
z`kSDu(7`&}W~Mpa@3)UaD@-pnC*qDLyh;qhdSY^PW(EqliBC@hj>!WYDY6zZ+gw^=
z-O2k!L`ZMhcS+b54h)6y<IGmcq)(XMQ>MSnVsJ&%9#pTTj{1$?u50e=l+Tz@4|?zg
zIS~oDGG}YR+@tPK;}^Ac<=D!IDWg-*d)sTVrU{T=4T$^qHF?WQkb87{rrP{%K7y&5
z%v<%jh{Qq)7(Sv}03k6xeQYUxjyXmjh<8{-U`(ljpXX2Y1x*_$;};!Ab_T;d&AXUL
zsvFHjsMUO0$<FuiHV!R40xkQv2b{=fte>K8#S;&hPvv`RLM!R%TC>-WxAHem&MXN!
z$PgZAIHJEz1aE#BdR}eea^wVkJhpUB*v&KH#SUZxFy><kI;mhkWj0SdsV{x9mtyYO
z&E2VbZa8Vax3tcX32)-p+{}o#T={HYHl!09K6ftU^GTD7mgkP>L|C5ZR%XB}_1j3_
zm%hU#edpjv)%Up2eR|+>z?^wPFUq1^RvhsQ7Gv<afuT#uPj3ZTeN=$FKAQbq;fLpy
zNU57!8(RZUK=3t4iME&dq;U^<{m&NWds@d8l?B9uZa3%}rn@p-`;TA=$*`<av-Oz~
z<zztxjhj6RG}yv~3#H>u0WN4=*{5sE2k?6baWU!>^xU1#3pu$M+Gya@{0<mSiO;hv
z#Gags@%5kU6m=kA@--9~2u<iu70?dwIN{1Xq~J>C_8(F5+W)b6O6=7JS&bP*Rm>UL
z=HZ+$4J;D;owWK%o>I9`Og{D%@Cf5VIveHN!UcMy6qg+&<6guN?jRFWi7v#@P93M$
z!X$k>Grv<CcB)AU712~`KLu~q+l>YnWuNTFN7YNTudXOy)MsC})pTJ1j-Q=JVp8;~
zrDI}^d_=VTV)gDDJx^&><ZLi+X&CW=zYm3tc4?5616$O@(I|U{GIkN&nF|qg3TG$H
zv{U?b0g{bQJ&mN6y;a)zhV#Te8b?B6ho;B2%{@du(oYAiELOGS5I-hOxSVlUL-FC*
z6D+x+mgQ#s!z0KZ(5Zf)C5*8&sK7mL;DxqmoBI(KC@~EvFZXZj?M(*Yy4uo5zOols
zQ#z%G-x6Ls&|}FG6Hq;}0hR74(y|Rl+A_|+s^}6U=q+j%`eowlr-b6PbzgUf>W{lv
zdyZ~ZbWF;0>ZcP7aYoPmG5%x>5QDJ-AaQqPYQv=zTn}eGa+=-O0LLYQFhH%ekIonH
z4>(3HGmTv5hY&lhF&w#gp`$f(?}1|9?CHXQ$!L9i63On!kf;JA0^0T=%9m1@Bfh_$
zD*?U_ASk<yP;bBxLoME$4F@YJjkhwxf;~#@cw1J=$3x?E;f-J+DdW<GK^3dcgQ;_@
zwmfRC#FIk-iZdZy*G0ufdSU|fXdw)iX|IWEXn??#TJ|3#uWW-4t+r_>tz})4myiBX
zvscwcd;u#LZNOAiU6BVfGREl1&AA_fM*FRmj7+I7w|%VDv)TdjTJ0&FW(9ONS~`q)
zp!tA$7JC-=r$tr%O3(y-*Ds35hf+-`NfX23tO~P;6c_@eS`Y!}Zfv=ZDtJye8`42O
zh>jHyT{AdpU?wj?`V7jG_&uMw;jD6+;F}c88QpK-q2X0{o3PCpL^LBdo0DeCtvx9<
z%V)Ld$x$|woS|XpJi%EVq4~5sE`nz-uHq1arBH9G24pP;!PHqE7l?7=4tM;3E9_b~
z{>wwYJasK9nl=J__c{0S|A^7($cew9_0@Yzo}F@Z;C@^E9Y?S0!XGG522+g*Yy<Zi
zoL`xc8Uw64yvr{9HeIuY1~)|dmnzh7kx*o{R;L|+xSC{{;jP!Kjd{or5ez}w^RXfO
zqL<1wn|9z47055m!8U(@Ms;;fk_EZ|bnprhS1WC{ZUM8Ml>oFXL6TDJcgf8@(zLrc
zaXNb)3^G^84wW2IZWjvdB~SW14eJay4yT3@Rk=LZ^0``Diz&h!EE@vl_TnPt<()aV
zT*-<5T9IuUog_c3RuzYC>ibhRyXe+Oj4fZ^agJAzJRY4Iz*j_0W;7Z+gyz9t30kVj
zsTC;yumsSi%bT~II&rUQ4ge{DnUq@MtUc7j{vL}u=gL%_!a<ZGgCbSY66AvDaF^@E
z{#pzo-Qp#a!tkm~wx9~<&Gs3%$J(k!6KU~UR-Xs0Af6yBr-d~97L#={6p_2@i*}qe
z%MmC|{}gr9u<x4bXdryV_@8l)NR6wkOihmWwuLi7yG)tSyX+c<6Uoz+>U<lDVTEmf
zBAE03_R84d#A7IWZwYoj?pZ>~hNm5ug~(#iMwAD~h;^AHP2JvPvNAkcEHXnG>yX`i
zi0H5SRBKm484c?v5@2knhvJ@JH%CtjiTcHG#b=W%&yu%8%c0)&yYRxSzW0~#X*cYb
z4(<`!7EmRPHEiK>x^0x5wi=uI$)`azZ^d#pqp&7B4ZFP!!=n+EViDrgHNnxxwNvEO
z3k|RD(0VYCi>lxnoeuP{P{DSrGHqjKz<T^0ivYkn5z_dQCqjht-ree=TyA(a(RdIV
zCHh$hBVsSOdXZmsA%ZX^ij+%%$4LHL-+UuD*HSPK_blQor?a*Ksu{@Lo{^(cR<Pn+
z(ZAX>L8=D^*QCHr<dyL?<ze7euL+N4@;UEwJp1?EwoJ&k93+3`n?q|cdw^3n$0JeC
zZG9m^&?Hz{L2>h7z0u1%Slg&{X|``(W=dW{@7WMJd>}LM|6?1-Lms@tLvA*+0@ma1
z6XdR{AKic-XGea`#w<nVTR~#D*3V`M_bTzD|0j^0h8<b}7coc6ntS(Ajsr^t(880y
z<*6@Z7Oq*tcO=6_Se}9Q07W(!R$w#&@}cL>LIaa6!WbJz_VQ)CEif+iBNQupZEFP@
z=~>+%S9@MFq62Yz>$4S%F66vHQSTxcKVirgMMH_bTEUlEJGFEc?Mxf2@GeEX0gT=v
z(USpjN}^;FTcA{xDe4Ei`#&x=)iY@mG0eY$JF>K{+R3QEQcOZA@EFdLw2NgZO<rfU
zZ2OGl%~LBJ>n_VMUxyx|f5}L$5BI7F$gB$Ek=>$lHBfFUz*wKiUV6p$Hi&E)dIX^*
zv9dy`-J#n$RUezQ^EAo|Ji_wapuERxip4eiLY%JqrbxlbQ<vLL=cEKnsv;{vR|4JQ
z5WF{Q3o)(~Mz?Eoqm6%s_+u*hY#xy-E9Dbj?8g8_K)S#2@)h!ppP=rsC~NYEx`oTs
zY$~=$1@U)4?URC;UK6eCO;T-)HfaeTP~w+a82T`VozkdND+L`VkGG;W_oUOQ%HJxn
z<ICBnrk|~*?Z8~`)MSm0_<qxpu`f93(q&+8Pn|KQ-Wx_4s)_W=#~?IL+md!631#CD
zCmv_Nj`Ey+B`cvzfsbB1Re&S<TNuJN&z#&rgX7pqK95mPcCLnWQ3iA{;OnBIPm7WO
z<DfKB0t9W;xffMqh%G&toKmet!JYiGW1F6r!`11)k)h+Lk81_GfFkgfUV32=(3^0S
zmtyeQ7K(z>_u4*J@^AYx=RO{u)i-<6V&2Q-WQSEgE7p)3RLaUVzn7oMpXw9(IN|{B
z%k1SSebt_?(2PfCE9*{QU!b;9hYJ^u;ZH=ZR()xx(RL9o5Bed~V0TR6K>hiqR8|y#
z{6ON~pYy~bk-F~?HWL1`aa-+0RcW@plr?jZ>-7M4=$z5c`mK=b)0|OC>eb5za=_}A
zrz6|9QJVV82hk#AkdvHDeQ<Y}1Z4ewb2XxaBcO}7sg=^E8W5(s2W09#odV!LbwILm
z8qHNX=OEzn_%sqT8t!?822GM^r)!}}t<(8tb?Kg?NS+5U4T-8W71)aS@jWNSEu{04
zCeNWrswt5ihEwp01h24dI#=Z7_}74^8|S}bn;VGt#fD;K{d>~yNis*A94|Zdd+n)a
zEN(q3=l`3c45PNQ$(x8!km)!(Ap34Rk~|PQgx<|jtgKl2CTQkn(%yT?=vJU@XIWkT
zroi)OcG_`?Eq-(2qmw_ZUaQqk414E+N}6R=6<2^e*KpiXK|Ha(tDe;{_oRX8N!;rD
zc#QWXP@BgqoveDUMnDI)hk2MHMbVff_5&wW8rTye+m2Y?Ezv@dz}ngv=T7KaG*f-l
zwf*x&%Fot@nz6lT?V1D*jAJHoZiA;5Vk_hV4s7DPO#`8$>c6s_aq9betsm0212ap`
zY`~Dib1mb^F-=y}FJ*ev-EF-y1DjArkWM~?Y><DjMM{jEte@I&8pBm@o5QabJQo)}
zZnHs927U2yd6aaraOw_&=Rq$S3^u6*;}#4{ljfArtEPeZJ|GRLYW@>QrQJ0d`5ThI
z_W43AT7A4_WsQExM0<d*39t|XY_^M3pmpNyHL#Gv*8q!lUEt*cxlW!Qv$`h?1R7-w
ztSk$c_74wSEoklex3r<mKMSxKCq~sf%{}lCmvv4iH;=z+@E5qJIui;oz>Yzv+57_s
z?-PJIz%gZGD*205i`S;8={}KJ7EDl#6@S{dyiI$QNPih`(1d#;Nqf&?0%gy+UZD9I
z>iYE<rD>TG0=K9W|ES8{GJTf+5K8>6{@;3I-Sz(V%=tqB0SU3<OP$6sT7hUC*Bx(K
zgm5R|Gt$RH;q*-umZ~!~^gf}57DFR_(_}E@D_I_h)w`vEX`}O@NW-o!x}yn%<_0qm
zANZ1YN!&tMq@D5No2(RB@U<Adj#a4`<qQPWy{RhALy&_hV*B-1N){;6vkZtGs7gK%
z=PLn=(8~RSuq}$`I~Q|nkVaajne1xGYa$TF&^l&o0>aF0t6R1|FZxb8+1Q;I2d*39
z+qG{EF;*N<5r>j`uz+|~r_}GA9@PK%KnD%}cRQ**{>UHpDLB>LBc`Yx?=K&9LKl**
zHCem*IM@wK247!U(`t%EAYue)MsG#w!>NV&ItVo2uQ2+sDzGVOX)!xA4cT*}z#d0$
zOl^&RI^;$`1pw&S?XCilWKsax!yMqH+TH%!^$MBT0QCgP>eTccEfu{utR+`gl%6ef
z#3*34{OjU*qtMrm!^lR=r(9LX$P7hqou8Zcy7co)V7!APKls>S$+nlbyH*0#{OVsf
z0KoQVpwjiWNJEDee8Jpxr@5|B?5A5(md_UlTi8@ib_oZLMTbaad@@4j8!3ZJbb_M6
zTDl&{#2JWUs8yCK(a(n8DuO@EWKG8)3)lxDEOJ;-QPZkGED@_wX7DtqJ1iJdtr7G}
z&<ZgZ))HB16AblLh?EshNyW~X0+vbr7M{!MqqGhmRuP5?!oU_SG^(A;j1+K1`<D=b
zUK9B(B_BV_R&!C9F&rH#@nQ5bT?C_~gX36U_joo9V$~u8qNU+QyO8*M(lT8B@whk5
zX5)6;Gr!ZGe0sQ>PcFT8zL9d6?yKp7)QGB)Eg|X4=ax}Rh5z>8#f3p=K1Y<%k2H7T
zpb@6YXz(06#w5n54V?w480f6;J7e;p$vju$=2QuvkSImb&;M+>^9WwAqvH`fZ56?c
z6R&|`xQ1WQE@tRs)GG6&%a0pPzAXSk2mlL__ABCq(Q2Dngqm>aEwYWr)9`Y#8nVOh
zx8ALgD}>%tU#`cFA#$l!d+yw?+y!~6Yifac|Fm82s5Of2QG6PtzlrfsQh0iZfFt=U
zMv2TRs~4=ee_oaTQL?3CX}DI7*hvFyF_>luET35t4^(3599VuUi5+oTu}iyjcsAtw
z1LG{w(q$;~aZLX|{>)zlMu#)$6eZ>C7JL7449)k0^@hmE;RC!{zC)^{i(82Zb#Kgb
z73v23LD@-GfELoe!xe$R>9|9P$tq`~hefAz$m#*++98>mL*)!xcvK~fO7H}Uir^l>
zaz4&P<AxHZ4QVPGtkXw?pIR5oH_O<`&8I(|JXhoPR<k6!zF{X&XJpI0BVa0XlV>o{
zw}28{UfgkX2ApK;`=MuWW;M?)8(LBLQaKQ^-(_^lj9lm^h|_TP;XC%I2yM6&s)H3#
zX^v|WpsORN9HZ%f@sMUNacrnHm3<ziE`#3fi+JdXnDwvK3f(KQO4(FS9Bhpv9Y8A#
zd0&co^<pQKwf1$4j@mS+GOBukg-9Y*zWy8e<2#(g%57~fZfLEVgg_U8OE!%mko|`t
zyS}u@?PdM1^zL#jo6rE3^hSdse{04O_D-$V5K`2j{B|V~d+aqK>MzM=Bd5W3si?ho
zL6-^c&#gDnFtf;Ssi``WNwp5rc;Wxq?m9tZqwim6T^Ro>X_JX=ZZW^7gn=fcgN~(O
z=^pBhPi4)OkgsFOYr;xUy;cXqpa&E7c{%-StGg*fS&(vzV-apb9;|H1u_e;QajU5h
zA>ys=MYAQ&mJGRo+n&E&aX4_S(@mhQri}Db0*9c0fuS;_cVUvajc`v?cr|=tQ3Pi5
zO=!t=U|a9E0EgDGZy~I-MpdJyoG5#=Kx)1yp04W=$=E}s##&s!Jb?_Mi*0B7Q7&f_
zTK;|6bhgj^Z%%yws`2u}^vdpxkJhGNu#bX`%Ma@5r)bIQ^hab*tF>?va0Yei!*yzh
z>Ax;_aR=W29uw|27PDTQU$FQBrd0-kq`k#TLqR{x_(;!w15ThygXg9s%32(V-Jh&J
zv>A0m=P)q6PAsqVaBh8?|Kj{Sk%B@rz5f}!nDnqEC->7X^|L|kr7nq+K(clLBHX>`
zpq*!n8n1x#Tt*^=T<T;<2`(}0O@c9k^5NyYG~bnJXInOf5;&w>XTp+W+AC(GQ@}aX
z{Mnbn-85AD@29-@Zb1eUvz4*U!7_>edX#(~IadeROGE-SODGLy5Ke#a1UN>h6)2|L
zP+hYUZtxpB7O3Aw&T2YiEMS}H#$7ns8EpIP9$r1A@cV^OG`}{u8rBdHLx6L$KM_Pb
z)4x$(JtS3XbhH8q1-fxe?u+7>$XL-Rhi;kpzq~{&M9IWLkfoo;qrayO4sbK);1<F2
z?ApPtg=XwQdMB!mD<|up(bhWN4=S<o$3e+XsaV7uRIx_Y(~7m`#k1!C?)}3`pHhMO
zMc!Wj3Ieh{d*l29*Y}h_)DkK~<gqsFT9~qP42&ToB1#2}wcN*zVSUU;6}d1~?{psr
zPessH+<^*I00?M47T+)6MBbO!lYqL=%Eg~DODde*Kd-$eB_4POZ8#WCfWyAyk(d=+
z<$f4b9W)?n06Jc~EpH#KGdrs*E^gD7^M1Noc2zu@W?&+dKCFxNx;Cf<hCua4DPTaB
zxG<=oD#<Eg=Qvo}Go#mHOi#nbO{CqL{3}wqZng?7J&}JRb9;l<<ME1k*P*>5jJmT!
z7elPWpwnvrY`&>^dum_e7WLgze<B)y9t;hykBTKj<X(^p{aTz0_xp3aQ8hmMH_D>}
zF{=zlX^oVXVJ`ccUYngA`rkwDGrQq#GtqThY9eH&nmKH0WRNqd5HPWpz_g{he16jw
zL|<aq1`Qws?#-sLGN~*pSeON98ite_M7!O&iuzns=!eD|CL#ppyzjz+528tT9rz*X
zVm(5<IRgj?vL^6lp>XpY^@D2)HSxs-Y%10QF12FW?jV_$>^N`YQ}PlNMco8tD4>fb
zHSUK^&dxJmpJC9P);gEYhCMr7MRH)<2)5zpV5ig8lH6cZ!EWOsMgH3iVA+)&(05<d
zy`yh4V~8A1z3i#3yrRw!R?u#^3=BU_5&I1_Cs-nxba~98bE29V>!$H%kX5AN4Y(D$
zrS2dc7((w;Seu_e!Eu;;Mkez;X&F_qz8w0d6iX?_8>j$mIXrs8gO|Dl?y?~XnN}vh
z=fSftOtBgy*`Z7DeUtJ}kXKr1J<7*@jQ#A5WDT#$M1nT?GC~{FUhq}tFQA(F$D#N<
zqVy7qlz0fi6RJeD-^y9bbt`Bma}on{ZaRG1k>Hz@P=6vm4w)g;)Ch6d=EZP^WV+wF
z*v?4<-yYkrPoJ@OW6>7dAej0kQL7I9sx5`O!}m1FUz6||miT>;SU*WbL}7N9G*YtV
zSkoYs{1kWeN4X0A1393wFiio}T?T3_Q7uy=HC$U~BC2>v1fwpq0Y(c#$&^?Lz~O%T
zX!POsF5@Cz%VN}?CZ2_a)r+{l6LZ}a5N9<f{L%zva<09vXj@+Wzc;sC-Hf3TYBOZX
z0lM3N*_e(P9#N=jQzV$@xg31qnx<4TTlazvA}qB%8(S-wInY6Ma1bTaTr2#T!k2Y^
z4i(YhJeFcQyP(H)G4i8TSBK|hO7g?+R{@}IH$Wmvk{nOi3YTZXe_K%*4PI1AHL+R1
z>!A4;_<cLd$(U#BwVH_1m`Yz~O5-LSy|?*16P(CDE}g@WI!1T{C4sbg;VeW$0&ebz
zp>bDVOnM`oz-c~RC)H@*20Ay{k<_sWk>9=f$+Zv2>trm0jR`ki1{MY}1(?3}$s_3o
z9jkSv7Ni%ZV`h7Q*|37t@JwL{T5dEG-7fzGaMbV3Tz9dzWBZh9<#MHwB<;}N@Gv;O
zOV+6PYT5A+Nb4!qOJ%ffk2CYB<Onm`WedPxgTb_&KDMRK`cdukqf&%P22!E6_(yq3
zbA4r_(+P#cj_{ucM5_gGlGrD%5;`j$3K=iOk`^AWQ^kMOHMdNtA``eyTTD#lIwLL~
zKcR3`cO1fffO}}QY^S_0*+yd_&s9x<wwNgS7R6S55rle7)j#LNve9{x_^)b*+RXMH
z&limX;}|smO!r!>S)?;d#&L!<vZbDqi|;yk>ev%C^2t(|#W+j&RddNpMe%gqq@s?D
zN*MfGy5zyJ@>xB#C-3u$mO17ubO^)tU*@*dJIbw6VkQ3<^vy?YzomUoTNY!{mSRND
zL$4J{Vf^}BRa(=}2p6gA&R!+rkhy7ua(wOTCP+)Evx$?rm6VOV6_@H4ff4}R1L4^&
zY%gk+Q{XK8kJgTcNI^69^<Cegno^j{FJcI%>F@6SA>FfM*^%(~5s;rQ0cZkEBhE@0
z(LkiqgKyCm^be_rBRZC{BJ$hgV4T8fo2Mq1Y%Hz;;t~5lgL<N;Y4VlKQ-CvXu<NNB
z0kPQ<h56GnubNLp#FEUwAAgQwQ1G*tsFw#g73kSc{rl&)4W|7uHCS7b>)V2^eS1Q3
zqgU^#Dg!Je4Hb7{Q*aW_ozB9*iM<_5PJiFp9=ezZSZzoi9DCUTbcky8$w@pqr3%Z+
zpR;m}xh(16+&L!P5WJ<?Xw7V41&Z^GG+$7f=Otxl1GIrTP>=A~fS4RmARi`;#0frq
z?=CqjCEMd?`u}Jti(E5r_Lu^fr^7+B`LMhA(>zAIyubCuYGR8hrayv{F~!=5oq9V}
zuhOv(>A_?<<){rA8IIfz)u`1AQuLb*(6EB|$NRbi(col4RHF%#jDz8U!NrY|=*q=a
zUg;7|*6&RQ=EX3$d2FLOy}*A~i^lV+c{$91Y<O2bL<4zzovFcC;$|K={0|n?{Y4D9
zU_LMZ@N)rWtU{_iC+Ov8&$#TZLVvHP+`cY(v%HIKvKM&g1NgEPu;ym3-(2qnkzZTO
z+-4sR91CxHol(9-40KWC*lG9*#SNf#gR-hH$QyPwyCYv&_jj=L!v_|yb(y~He0{RT
zk1N2>1$66+*gs=2H=R<6|JlLPNZH}Bp2z{=h>5j5c(CkXjRl9o*-*ayt<$C#g&93R
zBh`@TbF9C0M0yn*l$ZA;{m<qQ{P5?v?tlrY#i?*+O>X2%eZ5_}xYA|ip5Z?j?J+fq
zmZGZ+>4JZsW?OO^$8IP!8E^WtR6fnEs!?YIf4>UFxWIA7kqS_v4L68%eQ}0v9_2@!
z9+(r|h0(=l)8A2Dp+HzCRkF_Vp<IkbDl(&eI7kaXeO0H4nvKmuI;_QZvP(SXy3ms%
zf;d5#tmdCd9LmYYpS|^K)D$99Nlh@;q+31zyw3o}oKsWkRtKY`YtUC2PU0zVzBc9W
zM9LoWEpiwT9f7cEuM|$-WXL;K*=SWuK8wFppH>a468S!(sHv@+h(!FoXquGRdM~0M
zn{xNXW8`Qr7r}nZ%WX`d;{${=acF@etwJzL@S?!*ql6+~Htwf@F2Wqfwh?YIKwI%m
zC=@#a2;~N(dQ%0Zi5%op`dt=a+8eHz_7jG-adE06JP$C1RuxamjaDyGUu>)BK{(sP
zGzj5T-`KDJi=p(8tS&xcJFUfMiq8PY=hfYXdG+8#Xi**jYx!bGf%NjX88(9Fjb<KT
z*mg-Bnz#f9(b+WM1X<dwGM{T@w<^JtSn8Tferw8-zycd*(7geLMPf2O!VIhAaS=XX
zJ<GRrjzgzx6SK`}Yd@z3JHVA&!>CGcnIm2Bp8u&t$yqK2J6hX9vVQ2Wqh0a!_Lywu
z+>ThcIY1@1aQOl9D$@gFfqH@l8|!inIDb6sJN`2``=713@}D56G`OM^3p(=ME(3TB
zN7PSUts1=A>Z~9?)SRtV9HZedl4<H5`>7E0@w(G+JCPuC7*=CYxeD79x|`+1{vo?0
z2aY9riw})9L%zn}hckajaA!FYf%83YxQ5T<LyHv#4PUYbsJ32n2N4M(|A1qk^0cDc
zeq|4e7m-I`55vKSsAzvJ!A+ER<5=nGWweW^05yI({0l51G7I@xwIjS_zvlp+uo0O}
z;3N)~w&+b9c4VpRmn#!ZpOv|hiv0J9wbZ2=;eMv>LmO`H(>ajXi=$F1ujN=sWWoR7
z0Ob6wUV5lT6?ZTR%Bzge&S9o!8qbkEJ90#$cN?JIJ9|9&%4WOkwGvHeYgS?N_7zIX
z4Ol#;&5)(lq8DeFTFe6C#Z2~Mi8fC|XQ?0gwWOo-%irRgK!2wruTCA<4XR8yC8==6
zQCAyAkpryz%c#$5odz>LI4Go=oqIt;fo6dEObX*lS?p<Dgr>`r(PtI<grGIF*&niS
z1*xjxH87-t$abJ5?CD=}I;SB(K?znA{;mWFP|8f5um^r4lul~`miMe~By};yNCng*
z-wW&%&YzS|=~ac0Rcqepq%y8bhnapmyB+mkHul>FeyWBaZ`pNBhcd0xM`pO&&a)(D
zfdKYg(yhgH8!iOW$|XX9xm1jNcmtOT0kMv4ap$%IFVM=S-u{|?>AIugprhm4)CDY!
zsv{jXG9bc6%*Vj1m(RZp>aR+jYC>_OVnR0yw;}P;nvq;1vqXfmcj+fGrRihFV#U^$
zrwN?%3#1dJkou3?J(w2?yw*qJuhUTNga-M{94XD6C+5a~A^r;jgoo+jn@DsV8{Rhp
zEd-QBd%_mD)*I!=s^E`cX9s=*2s7EWCjt72tY%cuX*dDfz`Z#P(h?eo{qqdqY9+WZ
zvkZsjp<YtHZR@}aj|qd$>b+17H)9k**u@1N7+-#3?LJWi`HyBmf##m40(JY|mPyN0
zsX+%RpUFquvA0ZMamBtFOKmP?@}}cJ$#=obLqBTup*6bWo$ZfQ`@05Nsr$E}i~>ka
zzyXxdB*glHHh!Nr6g1NVBrQmfO?Irv0fr#~eUGsA>YfbZS_)`ZSPvJ5P|(~(t&9+M
zOM>6po8?$6rhT=E_bv!g_(vvveyaN}qq$YLmcXvDfx+j7Ex8YV(YbkX23As9UP$6p
ziDK*O%YO5I%Bj)rcI~^xW&2C%Bu<(`MS{jLVqunEFt@PpR%FAF!DIw}NA7Z>R4-|q
zc)q1+eniP~Z3@N<5twJrgO3V^CRCd3)xOo$(1DFQReGra?ZL+2z>*J`ht1R=Av~oR
z-Hm@-)IzJQraz$x24Cv_D?MahjV$&UR@2esZ`N)jldo{*Gn(jwt>5OVxxt^0P#C+f
zC4jGoh(jiXp}G@F{iZ-!<K_|RsZ!tm9Sdev@neAj9ysA244ok|e;92AolbQM+o$%&
zVSutVO*#iY;CbU=5sUPSTC!mkp*~jV;{YKI&RR_hN&nr47xiab2@%_0lPq>t$7j*y
zjXJkqqZ*sM+O@CYiigyQtssi{F$@H-wKjSFJ%T%%9Q62N?{v*hXu3Wef-s`b(O)(8
z-bH9&^OJ%y(g`=I{Yu`I#(Qxa>^1x}UTq+lE<}Lkml&ZS#&Q>kO5~YQ+OdE7tRpBO
zVL0t~NB5Pp(nX%iM=L^l817!-+GFDlhTJV0+c_rswqJkMJ**>as=GC6`92^puql)S
zeP;VxbB;h4mxI5U<3W8l6Q2Xkg3n%H#SIIxklq89PB$hsg~lUAelte8z%zn7hSVZs
z#x-J^6K3lC!=7a2)D*sy_teMM!bUKCV7~ABwJzNq)m+VYH&7=@zq(xHlA6+~<haHn
zGMgJ`tZNN|1!eFp`H`i0vxdqA5f!~NzowRn9GU5lkTf!W!<{tq!5RtEe%VNB;XZ#Q
z*lDiAUVAPCcQT0CxC=3`TmfbqEpWPur@(2C7!b|15bka_a8+z*#OZ(|%w-l{dD(#I
z*T&^G=-{OmfAA@R?k~0lt;_bL0LaUOdsp__(WQ>u0H3}Y$SsaNN0z-1y51%tJ{ut1
zWr9A(d<m<n8;rVJVKDEr_crK(g!915b$^Qxy%WYu>@eiks2_p%6v8}U)?6dVx7x7x
z{_ptDefF9d(@z%q3R&HtYi%}Ds{;|Oxw4}(QFv!pRTGgH+G{})3K0vmQ$<#fSQuCG
z=jFYtfkp7Lo&=LPW1u;tBRcAM6m2zbaSUhzYxoL0viFDGt*oc2x;QHv!}5itWRqvF
z+&gh(K$eD)GlV`;0N`gK%x3Glz^*Z-UP)R>sZyOk=+^Qp?|SbNbUwEVrS3d|EZUF;
z($SNr)kSa8z7UIGyOT#2r$IH3`Qc&95AQ9W!vB#WZqJo732k^Lp5%8aNG@8KY#o2j
z3@rZ7uWVCXEs>gIJwnz}xVI8FfYttYbHeU>UkH9HfOhCSqx@z_ddg!D&_w)Ybf@wF
zX(<RXH=z&$Ko7)keNENTx1YA!1=Ne%mwC;g!2r>f<-bwf1j_x_=$K2#>9;sv*g^MR
z7#t?4Q0$>sD1G-#08T#Yy$oN8Fw-mH(w!d&z{^WDo8#Z)M}P)6yWx0n7<|wj^-?z#
zBt151zXahwzjW6A!{^rDVir_W@`!42U?G}GbY%4D<MFcWR8~i0N*S6vr1L#D31TKT
zp^V#<XT~@N0C+JhY2uB<?!J^0FLiI2KRb|OYlzX(Hw4E<XbX`W(<=S;NwF!d;DuVE
z+r2KMUHT*s8%2qZBM^g+E^dtR7KIVRQ13lh-elQ-yFA_$OR;8=g|go7H}MlT`}TX+
zV++{e64rdPP8qvSBff#j&xZOrDE16sON@Pvho1YcjI;=1=usPJH<{bT$>Oj@25;7{
zE<p@NBr^Np)@ol<Y&lIZ-<keIHBLDz89r?#A*EGa>l+$(F^Vyk^eKrwcXW2Yuq^$y
z3@sdT!-+g1dUc&l@tt=W6EY@P5}C|@Evgg#)1g|pK&PEPg*`lSmRK?4K{Ryya#C@4
z1`+zIl&T#x>C3**0GgXPG!<2uvzz{C@&mJ0k)?WSc(;(jdF0ETgfd>9JgU|M`4h+B
zc1bk=+;pYQvXVE?rmtV&aMQP8!R8)Eb-P<xnkbkug09z`r!fP_vrA*N%JekUWa&#N
zBH>59$4_EI7O@zb<^O*l%CGFUc_8ARQ)DmH@J}On6z|0PGLOXA28(SfQ<0+ND`(w_
z6;2)(h^O_>QGKY*LZT*~pL*Yix(bRhH1xR1ew*U=ddlR6CDct2$?&Fzc<f>-JfFXA
zsTJLfsIusr5}0G@KnMJF9#sSZ))_nW$JW4GP|nEL_1!VQDAN@#%yb&9HqA#N_u*i{
zpR0O;8q=cBI-RcVFArjk+9V9jXm&?NOVhyggQ>R<2|0EdV&(3Y51_rL$oH*dJCdR6
z8h0bbIO62wR`S%_HDW;I5dc#dIQ8!EjXxnAB88u0&yJ`Kzk`xJj0^@@%3yX6U`Z=l
zf~qWF5h6K=y-9T9V(eRS78HEd!6?9WVhA-t1u6b_bW3&wJYOX+h#R+g0q6e#6{jjE
znuPdn$N3PaKXGXfC9frzQqvA+amy75k<(0NPV=M08+!#1k=b7@X7Q-W(>d@5SBJ@)
zCKM2YS6DhUbBbvd+0QYtYN3l5lZAG@dEr@e<V>`F_)^WPbDW{Tq#|X(6hF$~dtG~{
zlwCqVO%8583MqVo3h_33@6b<q41@Nd)&8<fMDz`F%wdi?ntl`dW<Q+vQBL&W$2Qdz
zalKRTjGz=@AoHfOVS=L$_H>^<?ZD5@y<Z2HXf5@YhW^A_spBb(^qoiF?nHU@#mmeM
zhJNqLq-55<cHsfDLK7j7`xD%ZF1xh4#en*dp<rAjzvxX(YRA>jNIdLX0sq2>)`W50
zoV+h)EGha?Yd7SMVWgf4$pH!cYx3e6_JZ>L_{Gr4G^wdor2ag=Nh)PqG)_h2Kj*L1
z3??H1e?LE`1b48ckmV>eO6|G&Q8#-XV-(9$nZ)i<-2J5Jw}}uWTOv+EodOFHz`b&9
z7XtA+ASO%^*&{{HPWLb_jN*p43)iF(z4_1@jtB5MyXbsKp0Sp>-?uY()Lfj~ijPn`
z#Q$&x#Qf}xd|-+z^%?6e1e!+yt2ka^-tE-TszC{o0cPMsj-FF9NsH5ew!LrP?p_1g
zpJkJdN`>0PtIE?T(aeL-$%e9etJ^Ftg*S*2{?whC$$ju?>Ks${z}-K=T+3V?4@t5p
z&=(cY0yH#xhcfOfAX{wEC3+Pf>fzvi{+xBA97@L3&>(WP-k#crZiMw=27S4&gu>0p
zu$y@8=uNWR&Hg7<Gmg<f1Zj>dhCKO-0p({M5VcS<-CfyqWRLY1lpP7=OQ*q-Pq^i2
z9Gck#BVC*wSk)x{`cas>dhZBt{BrcfbP#*emtpa!$p!rzsQmPYMql{tZ?^2u2SlN3
zP~tt%L~>Kj#aa?j$oBBV5A^fEF-ueaYp4o&SxmGx&Wi}P&`}?twE%b%m%HNL(Ap~n
z{gW+ym_T#5c{;jK*k*^EsMIjyviJeD34|6-*-zQo1f8tVELy_xBLGi}XH-L`qSu8t
zCedgKG$c5ZaZlTf6!bx6V;f-E)qQ%!mr_$6+~ZuRy?Nxo3Ja%KYxEDwfS8kMnG|jl
z_}amDQvAK}B%^M~t2k2V^z(}BRFgs(Ai&y))9F7<5-QKiIzI*h8&-JC0}g+hSws?W
z#*>SCGp}B0^1-ax4GM;e?x#&$(rU_%U5vJaDZ~-(tHQ9h-^ET%<|}%09M+qc`{91l
zd#zD5)CdLY*(g*Bshre-{R2H5<@2M(sUi1HFkB$XZ>2^r%QWLn?b_ATho>N~xutPg
z8U`B=Fo<#}TIRrlk{kP~56;_FTm|9ZLy#`kAZ8=Q049WtI&LWZxDE5P0NFC$1IfW5
zaF!SJiM=9`DjbtOB6>9wO2x=4I7bYU_1xQi1f;pqAfs<Nm42*G6p2>B?pqa@a?$gp
zyT8?dRva;{n@FFDHQSPPKzb3ck-p?Nwb_HnhlL)5yzojb(lp)VQ^?uEEO1e^7UBuM
z2EcxB-p*MjKBK`NX?IZPQ*oUM{}z)HE;+E6(nqgT@yxZ5ziBZuwr>uDMe_RyeAwqT
ze2K1S$LGy37=ZGXR0f$*=U6j@VPFab8$FISG&Cy%MNo^Hw5E<e(dZN^@z5Y$tM&}1
zAsF1bfrfv5`1_a*@K?+>?Xu=}FmB#-;*`I|W<Kjn-kbN&#+ej%vG82^VGwRwAMfBe
zFr%m$Hh(<wvCG;Y&=m)__vcWN?DZNA^60##B2|T))l&dZjVsPR19!eIUlrUiOj!X;
zv=Ky(+r>1&5)4NpMZ(u1Tl^zUMfmGh5H!lBhx4H#OP*B;$r;3xyOpw;5Z$6%bjE!{
zy+j2{Aq$gi2{zVgJ5fe?T+QDrzi+Dp=n<~2I>sZ$0Iqg@@^J~3x(2P7%)Su4;nL_d
z5|h@P|1$JWi!w4e;w2IeQ-jF6G^2qc4TwM`-#$oxuiz!$^3lj+qfFT=s`jTY?mcK;
zGy)bbf(fn@5*Ogmt9hE2Vydv+V1z;%y;vR&_hUKp9N#ck(xhb+V~zF8c=V~4nO$m}
z%l#jCptFImwWfLEEDP|;Kr;elxuZ9Jhm@UJg2A+McJGNHbXU45o$q~)#P-(!msXu^
zXoc8F4T5cztl{!<S$S^S`W9)IG|<WOleAzSFrphB`Eyri)Ziy4jDx=fi7FH5bQfW_
z=dIT+c;A~+XL{kvfbRSFE!))wU)dRb!9jqHiQg8Wm$M8FjgyzwZqJ1Q$ka_b_^2w!
z!{%g%?%U0}7uq4h$i-YC!whW`(TF8FKBMQ!)BEwvnrr2|Y$n_iXS9IK<79xSE@J$A
zFH5hQSWAjqxjY}ik_+)GU8!^zfJ3dx6P&_Mn&9g#WkQ1@=bg*`3?}G%!dZn=7BAE#
zjE0%b8=T9UUoWlA%ba0Q(FxIvN!9Nkp?L|?&H*p4;ehmS2N|Dl`$Bj9W)&@uz$CPK
ziYI&@71Bt7x%g7V#ZBOB&PmKMk6wdg|2n6XP=Q)C*TR+H*u5tHWbgxoM*GVOF-yR|
z7Bp4)V2$VJnZ3ug*JJBXzFf3}n~2PeqD6hVdBlE~w9WGbp0S*%&@Zqjnc>`<IKD9q
zI6O9-Y)aS5zpdP)m!q~`nF0OA@DT{?wHzsnwvAxAU@1Zi1kR>2`XQ2TGsDT{&330K
ztlb{d5Kw3H3-P>d#49O$IPs1K?$!Uk@#W+NM$ocs6P2{14SKy~f1NOE#Po$VsKnB6
z%A>+4u8U?Nx}rVc51OTCz%pK{A0mwk3$K&GclojakI#Rj(c-pu0~trQ!4e4vTF0bf
zw6YBN+HEvYIPlO3wf_al?<|vS(>RIFt#CU)EQu}fASlmLtw??b5dlNl=o`!zWvO|R
zH)n|50(t6qfpzp*qIJtHrs)_i4Z_(O#R4DTe5pTHZtke8u?^P+R{IDOw5bUCdj^I;
z@oTKUO(Au{2Ru2u0G;AcejOcP6P5=m7bg)UEu%GdS_`Ej(1Jsv&)E`Esm4()pljZ!
z)gdQZqo)BC9<f8lDQak+^7rkZw`dM+h$jf_W*0!Nb<rqciI5xuM_}<77dX%d$q`;k
z%Uf^l7ujM!QEML@QHEwrrMhO*aW_TF1V08Ni0zy@tTBwOae)^lU-wk)X?J)C#J2#T
zcSvy|b`0Y<F%}QeMJ^lbsSRpxGO&gms~UGEyM#3bZzI-iKR?qv^rw)+vO0Mb`LhsK
zmWD0X4?o$5Ys<(p0d-mwPHWJP^h+V48V}M!5vs6zK#}1lF4(00!7s8-+&IUUkAXxV
zQ{16raRcrbOHHzjE9p04X;x+N*Z8G?+fqlfLu=J+c`8@0Tz)SPgFEYU?Wk}X0viDu
zJM0hdfqSWN3bXK}@V?fUDGkSRHz%SaZ*jeSzeO{Ls>KT3uzC?bD-vc&vIZST29g)e
z9U!rEJDRJ8m8q)WXm4OKUJ-2+x>vmD-7!c&A*2f|^FG1LlN98wH{`1ElCZa!{n(X~
zi>$2`6jXf{dLQLSR{g)=U0g+J!5JqWBNV$eJVo1rjUnTfudzA>16LslBW3WHMyIZs
zd@qn=8}>u&jx^oC{UeU=NYXf%N!EAnk9}^wFVUXY2jxLa#&3+J^*UobI85aR-}v~v
z75@GHIh%JQtCI8hAqd7SKYE`KpRl>rFVk|ynw7^zmDpGcCCIBox#g<$E-e500pl)R
zp5-V6WbLvB;2~|-$Mj^m*VBHm36}&(HGGK~uZ)gH&-wLoE4|Ly=<<{+FXkGz4or0f
zCyY}(T;3LbCvE?poHm0MXr~yPJt!cTpUd*w@#^QVd@eCwo@zM%M10WujJpcN?e4D>
zz5ieiZ%(}Y>)ebsa2LR469x=6d%XP*#nD8Zk<aPa{NZ9d#wm+XRp!w{spc?htCm;8
zEOK&VQ?W)Xi#fi?yCA412)M)^7yN}El>&;8yRid6q&Y{|?+{!X$+KFnsJ(9v9WZVo
z&Q3z6CZpo3XNS4QC#Rl>`@}*Ye|!1NnBBSr$<i?Moz*8_7hKU<a%0DwORgqfCCPCz
z<A%h`TUE$f2%gFClF_}8easM-Y+BoR+WScetUP~Q2HaOOSJKy|{0JeiR^(qK9_xIL
zBvY)cJH#6l%7GsH1N)-W)gL5N`HC?LDZAmVI{4ivk0KqUhuNLy-U#u<6-hkonR<w<
zjtT1j*2$Z3-M)ri?=x9Pk{U^DB_m^3Y%FhEToV@o8q>3eiQEFu%w$7%!}NpRQYESI
z{F0<Nw3EWAKgo*8$UWxXQ!$u@odw%_K`26>3g8o2FdBhKitp(g6jd^$Ma?)q%rmbQ
zjaP)r&C+TMC-Id}i-yF)935Y3K|a1fnuPlj(LPocR4MGp+<7wCUM@V3v~n<^rnu8?
zpZdBTr`gM+z~Y6uk$&1J@~pQLsey>@$e%=}D6)2o+)wPnC(Ktt)-8B!2)FpPXf35;
z_kXZ7F0;Y@e98hesyl@4p(Rwjr1AP4X`Fc(qn^4za}Nb_z7Z5+v=i)gxoigALV&5f
z`FuQ$jY`G`MFy%s<)B~?PAy!_&exUeFNz$*$mCRyHfs++jK2SZ@DnMewia}r`#x3>
zR&k!NJbFMs+=xvP#S3G*BgNsuX6>!PAAF%vDn8eJuw9z5&vgpPkB+L?f&FPD-zdCg
z2jpSisgmZ09ytqCt;vPO`{hC=8!|(-ogzVs^Dpcc`D|{^|A~+>;UubYHWsgf|7%V8
zaHepc&!%tsH1m6mQkN^jKw?`5DNEm1yewv@kzT3x70%w29y#qnN1m1leOi^Ks}yhJ
z)w(Lz-Ao1lWp|rF*9tGB`l(klekypV`o@M0SUIbF_rH%Au7V-+?gXjrw>wl<OtRZd
z#Ugl*Q7$5154q?TYqWXxs9xX9sPOjSm<lpjPAl~G+SW^~L)kKtHEvKzm9rqsEw*L@
z-N(g6Uv;h6!etQR&3}j$tF<Rts#h+n@&|7>R4axLU-206fQm@vBG=xCovd)XPilhE
zXHg3Cq!2n3VjP~pu+CxHb!CzbnVgvqgG>BFo=f~dxeirHIpdu9Xtwg0L>8X*@CoI_
zg#7lqojYfDrvfKtMRyC9xjmcud4}Iaje(eRwu;&&(5enmn!t}kowpMSwg1?4&WMf(
z2?itE?~77RKc(Bz>-(MnR`s{E2*JOvpcM7B&C<cOp_B(5OcbYWXgd6yBd}Knh`5gH
zfC1T`9-cey6<~?<-93PHhUhdYP{XHM4o^Da?`evgwy=JPN>!;bWlz!*(|iirJ;SiD
zBaBoh7ki`BriMZ3<U?ZDMSQ}Ir1A@DYTust=u%XgRWzvKrv_^E!(3L2hXZLm5lwnE
z^3ZUfVa8t7gi%EoaV0WgR(_(eFEJEW(W^5?ykJTXgO2<#N_v|TCVKDCW)Hd?b8}so
zA9TrRDb{>InXV~eYpk7Zqm8n^c5aUVpB=uWuj5v8#qFB0knc66!()Ww{QKV=PBwl-
zs7T;d`eYQtD6_A7$R$<gJdcIMxXc~A1(R$QGKjx1dn|?#-AVy}7q)E6PVAi98gDfX
z2`#jlyD6Je-F**Fwk)ABTDt`ZH?G<fN5RVa=k?9P5G14@<Khk(_K9K0o{wvLr$^(g
z3sg#;xkAi;Wir8wYSXnTRH`xNZbT-eL&I;h7FCTgroOc#NWbMl%)rHef%Z6b1Zb5_
znF5n`HS}G^6l@)9U9nl4D4nbL+$O}txBa7oLZOCMW4~Sf90VfEjnciR#^oGWS_$b1
z7`ho&AU-l{w;nMyx%AOe^@*BI;@2ri>z=`gwW1M(iHiYW+Sh2~AOJeu?>HjGOjJCD
zC{qBQl^NS2sGU@$9~h82tbOjoO{ar+kT!YU2X#rfq{%o_dY$KJ9e3FhNF+5Nib2J7
zl|W;SBetiNbDrvcx3uqbWmAO6)Jq@qGPkhH7CSv@iSidq7o554rqO&c-`xK5`yGo{
zHKeJ9jz<zVdFOa+hr0sqh`73rcdPQJOpHaH^}S_m^@WzmyB3!UBGsJp#p)H56QYv)
zb3^km$XYGhRF-6LJQP=X`gJPsyR*HB0g6Npg>9y1nnfZbbw^G>UQ`Y@f<Nn=++pcz
zgx$LqRvO-jz(kG9GQd;18_x=5Q$7^DlbE6CL${QT^-0t9QDz&JBk`Evlgk*$-3B+f
zW(I<_70sAlO6|?LrBV<9vTUOh)Ob-DrF)(JYFGS)SL;4aXj(ngOePO_0coD=JBe=-
z6lolnfO-=aF}?olr<maLWG`)2(7uX1G^-uf?)wIVJV50#ZX==A1!1~{H?)+5S-AyF
zNe(_9#gzR)dZ?^;>;ffMn}*5Pbnhs^10kypeaQWl8g{4uXaxvd%q9jcaw!=p(IFpM
z%C=N(G{~5W1IGyv33tK6mdE)H1@Q+R`7=I9U+XW1NSD0cQU?I~xLqSLN-uf9?+YSl
zOTC|s{sZeOq_bl%{^cQYoN5zjo+iu~CUm@jK+NxNl8Myj<$%z_yu$_}A2iSoD5Vm(
zcKxjbQ31OL3u+k+?s)x>F?e(fe+>;YB{2bQU%GOxw7@~7hG|#RebKBPjU<KGVhb5)
zJvH}XmG(jgCP(z=!IH5RU8Jm&$%h3Q-~w=C4;u(FP=7$W07lGQwl1^n=PbmkgcEx8
zi25YYG<(=H54iXH2rV5Zl7N`YHLeN#D5cBG;Fqx@%3B*XZ$q?KZAg32(`PH?V_q<A
z<*<6U=4q-Cvzqz`Gc19FLMj!3P60tr;0l7QvszSeexQKA1Ty>skr`38DaEbAveYG?
zyfr_2;(%k|SOW)T@+(gsMWdA<o92~Sad>0rYI%vRHR>Pk^M^`9WULUd5i5!CA$#dm
zs4g*M6sYwk(bfLLb;hz>&G9CyQB3Hm8qSA?gway3aEZ!~dMVl@%l&^9xR|paZWjm@
z{T~4yKqaB6_PWcXkBe=xSJ=(k${}FrE~2w5mD|TX5~>>xGQv(!6Ud#N&TeF?v7{aq
z4s@uWC^$YhtrWY)&*-Ma+us`<j*R_3e$nd=_}dJ4bj@dKvlscPK~R1xm=8`*DJD?l
z$o)=1ZG$1xZ%^0D*?_k5G|;rrq|Ua!)@Lypb^W5@&^?2Yr4<4WzOtX<2#kX68SX36
z%PUrZC#QEyoHP4@iDT^>?aLWXBGTEiZV)Qh(c09(CKE5wa<x4x$O)E2qer7W9V;BV
zC=ii<z`GSX@peoo_M*js(>z8Z*URA{S4NPm0@uNhb`Q+Rp@1RQuO=LU+%>-vo`abt
zKxZJ8ycc&gxssg<n&S@TIvHa*acEmvSb2sc`lqIL1f$F$lm{8n-Www{;nJSsaM#|3
zJauumIkkKJQMw60-34UAw;DJbt$81FXSm3gxeS<?Fqxc%`*wPme0at&qo%am_y(27
zuPO3O;u-B*-O2b#@$XY<#|5^&FqpVqcL|&DN5gbHKNy*VstQtw8jVbqT5N*H{aGRE
zjA?@=;WIfL`z&!V2cMjkh>ITxL&kvpx`_R^y=$|PsQK=wot4_b+d2mQQ`Vb*P;7eF
z{=LU&^XUP-rLuwWcs}et-BRdKSNxhL3R(xgjmpI}S|1G6AJOpd-e=y#Y#Mu*zYN?#
z^$=5~^7t%1-Z)cdzimT`x69QbK}Pa{`-Jc|>4I9Z{~y*hzV!)fA1TO9Qu(aHb&ST`
z1^q4S*UYgifgttzenxx<IV1rqb7id9Hj_ulZN8rw45|ee5!Ov+I`4vvQ&dp7scSw|
z04q^G&>TX`X?Ain>PbX2F@eI9zK9xe;;BgR63bWCdk?neoEJ!Kn;wO}SR#r^pWyE-
z$tM~e{B6S?^PF7*^FX6(1@e8vaGxnl2cx(YhL`~uNH?9;n?9!rUIzUR`d@BC&ViDl
zYvhlf_BOP#l-SX1_~*#nNwU3&{J+!`%bGs7^R#1P5WqLq*v0sI#?Gn89et5-?D7kF
zLPD{cO|JEe&<l8oiRqFza_CVM=NXeTXFCoLbU)UE(HTSF!2SbewwT3Nn5rRW(1B#c
zk(9bxNnyJK2k<pk#3@bR+8_p}o2kiZZkQC8Oq_c?7x;kJhDe%_k&15EX(Aau;7CX2
zVK4Gm6`sVmRPt96C|WH>%rt<WdEl^E>!f-v<FLQ74h8+4_2t8#7<mko)-CNIzGun3
z?7K?<&>R2T3?g6R#pgvl-YW)b-NJfpLv6DF^;yw;WM-n(Iuq$HIj;Tblz8^=O5-O@
zqB<h$;Lgn@-j!T@h*ksJ2yM;V*&)6(4hOSR--Rf5iB<6F>I=TBm-<D$^_H8LovlZc
zm%intKWSizM9*{tT!dmh#f+<3)%MnrDI3bEGa6i<mJx7!KAqOeAMm-9w=wTdCr~6=
zSr`+hZgXO?h2l~8B(l!vdSm^k^VfHb$u_Kx{RoOlp?m^+#NucSp(nCU%N#Uz6&KAc
z9E{sEl(G2T=<ja+i%KD39GTflQX9He66BoN)HL2fe9gMHeV!r->VOv=wD&K@r6?7=
zz=U-)QwOrk4PE8)`J6f=<%$ux>?q!dA`Dm+6WOP)@NQNcl?w%UJq{W(pqs=J*f9Vc
zF%irkvT*f#ShudOtwVv}VTC_XOAq^X-bZLg;V}>hh!-l|KB$MyJlN?<xC*{5#>F1b
zc>yv-*;R@&Y~j(7YBqx|L#8}D^csX77V^lspLm#jLzCo;ZIjSEXe)l4w!NHqouWLz
z;lcO7!|@~mcDN5GUEeo0OR~AKDdM~?c*4Q;^k9o9a~=xtBNu^z9WDKrdM1j?m}b9b
zyuj^ZaX=l{FucLTDDM$p@@eG}UBN!6`k8y<YFUiKwu-xD`9q<y>u<$8)8r--U|`YU
zXZJO9^&lmu+lYqgxtU@EVO_&!o_~L=g#&lGrZqMdnP_0Zw<>;`4Ep-lPTRYU6cu1O
z_nVM*`p8CYxS^U#%5Z`Bx-E;UAwS7$LqFnJ!_jef+t?<ed}UYz7|j=psB6XcQQJkv
zHat)^RW(kPJZyvN^9m$FpFl=Qd%PBMX$G4o!xd$*HPG%#oWLT;1mY)yL&$+92t6wG
zP>>IB&uv#xhw>C{Tg=?MVi%=;G$V1AYxweA^viCU?A7F=;`>mpVR6k|HQ{N&+~Cxk
zr}SR&;3EI4qzn6)Y*;*3WXQ??sziW_7U*-l=mA=zFb-M<%T3o@ui8LFH+2~__Vj!u
zwI|o9d`en){cp0tECzv!5QE!J#X%haZX#pafUEI#4Mm$n*V}D@a8Netc(_If%R1Y|
z_iOw-%K|Ci+Q5pSFT%0MY4r@#{l=vZ&H`AMv|0B`?uAd~uPD42;^hHcso=o*HXS|Q
z{;KE8Hb@rgxsMriF)xYRv=6wc4$;*iPw*qWZP<B;G?Wf(86IYy*=#u9GD8HMg&Hmz
zbs=x#@D-hP_w+-q?*~@2%OXQGJ(6f_hsDC-@nL3{&N%e-J;(3mFGDqnN)Rra<nKyE
zI2&yR$BO=jX45GQNZ6LaNZ6*b#V$n1m8vkR@Qp3O?3yn4V#pzB*wM;rSoRw8^&o1%
zpmQpPeALslnOU#_J<Mhdu+f-ZupKCm?oDmviz;5orb8F%dgHtFlDm07IUE*SCksy?
z>6BgR|E7yx3Kotw-dP>TR?<ayr0DtOKJPKoycG%iP4ts9htp)!3J~1*C;G0~whl0k
zg!CEdD>G+Rt7fYczrqLGs+-3H1U#qK_^;z0FtyR2NS;@fe~bac3sW9Phr$VKS2K+G
z7oQ|p_a2O=0JD8Z+pB#ym42n?qUQ_oIH4eePW1E142j@=InMZMO^8r47ab<VL9TT`
znRnBNZ!-+v^5<Z4m*bg($n?t2gYKedjpi`iP_-K*M<ZT%VO``M4sqT1mDd-E+CycX
zivn#2qbQ@Vy8%j-!GA8F+kFKd7(P|YyIbf@(`g;`p+@LEmykT`0F(oG8;d{#rJgFv
zw1q2$bMstMa;;~S+|ncJ*5YdSi(_7}XTclYLdA)y)4GKfTkV{fduv8UlfCn_GGn)D
z1XCEaS0>Bn3ndMMl5iaFCxhY`4K<=fZwaJVA(65yc}6nIokG<GM8*_l+R!E&0VJFU
z{@?UiFwiv=r^XuL(^AlYIvX)Ot5ALMYyb<5Eqy&`ZPm4wc#B;G<=}6<Fx+%I#MP$%
zfIqgyw5<1PNyg@o_wR~JjTMX088deI{KZi{SOrl_AOw4yuAeUYPIqA@hKaKg^tn+?
zl+xgz7uSM9e&$n<?|v3Y%wc9cM84C|r6%!%X%ylF5bckKIihMyor-1=+H~MASRpk}
z03L(ErlL)yTQ*d)6*%7p$w;C?S@>nSVl_Ec`7I>!9Y8L&%PqY$t3Yxa#_JThfc5M^
zb2XACE>W}oyrdjd;2VisJeHcnhVv3*QM9h?Ti&D9>0AEijeHK7op*JNADb<M{2o_o
zmc58mB6hOL^^>{0LxpZo7&#owPK}a^&^XK?+x)LKf|k}_VNPQ`oahJDMq2|1T;E`g
zd_@Po0q>o^lW*9oj=tqB$wG61)yH?&lU;POFl29M2eu@cUZ^9$mvV8-$hjW}MFqZ#
z^@tE@!+Nv7v8C&WRs<L<uohsi6^Av!^66$d%qGP8iRYO&761>fP;(#<v@*+OH~#HK
z3lL^t)lq8dv+wu4D>$&(N-N)ZfV9U^OXD;2>}D%xFJ6e<kQ1GEp9zoEHk$VpI-+x!
zw2w=WBT*mHZc5muy+|)KebrNNC=d?jACJ-(G9&mYaL}bMNaW}|P_>^-snL$^T|H{4
zAD>LUVM(=~RB^NqUY1Lwwyn?|4ovJd;{_TPW8OBd1&5I0jeP(*lUVPpnqP<RauWoo
zT!%@s)`37Eqc+mSQhchijE1$rRJQhW=1zfQ{!iBiy@&2KcX{HP0uxw<Db7*<0=(E{
z99_BOnIvl4r^Mn|gg$M;2oeADlE1kXRPD{mc$*}5TqcKZPTS;Jth(dFQqwTXxl!1}
zM(<^60Tnjt$qsvUw4w=q3!Qr0@DR8cp_8r^Dc8WWDs#z$uUA$QWlR6b@l;4AJg>jp
z1hk+y38S8n9ZA7Mt0buHHp2L`)T-A1-=`un*1~ommJ8G(>L>ds+rhrUW;cM=noUVs
z6s&PS9Ef&wZBxL-(Kq{w?<48C>g66B&y&J8G$(L1#Y5oBKPqgu5~=bK1l!63Kyw1I
zU}L6dIVlBvcvGFPTU7M#RSEXOMLf*Y!3|KQkFpZo=HXL3?)tJ*^ZnwGcFCEUTfAWX
zDK?ZdL|5)qP#jKSqcZ(?1Ys{kSNb~C-?ct<Zu7s8legeHZw8XVb}<yzmgyAEkp!`2
z{ZjyBmqaFq=mpy=A;_agnq-EIfP-3{zyz<g3NddY&+T9*w*imcls29GS(5`<fX?QS
z5UFD+#wV^u=Zj$3g~;is&u-(Ph0$Gro*EQnYdI-Yu<)|7LMFN=)5Lq`v%DYARilT^
z*RGGb{m`j4JD)>w?-h<qS(z}i==0ZXS^4m0v&y5&Rr&lPfTaWOxoD3-8NLF|BFs92
zFw~!@L*r`-9wAbO9iE$qAmp$#Iccx|WmN|Bu>QyD^ebk-U*@gC=-d$Fx_q76Z$3hS
zSI5J93{sJaLq3X>gM*`_k_wTI;ZX-K4t0sMD%-S38TgL6)0jl=G|PbG=!yoK41Pvo
zLEE?NEzX>ZQn5Obf~KFP&k4Sz29FAosv95MwZof$s3=3+!Kl-X0^vqb##=+pY>aQ>
zq738wX;iX4KU=h`^1AhgZ+O_b?+Bsy?0+bj5JWIM7fT9u_!I@o7AC$r)}0#)EST8m
zCLPH4t6G2-vx<==4OWpJKFkS!*v$A9xaxOrhWPYbLGi6242Iu;L?GW{5J!3dA2jLP
zMZxv^Sxo{~b$<suyHuOyBZ|t#oYglnjAV^K_9!n{)E7Jx`jJ$S(6yW;$Vy(ytu}J~
zr}AWmif%ACt&K*%Vk)x~tm3&;<af0nbB5z>vs9w&fX`PEqw|x&`YY*mi9Pusf5-YO
zrIY+M<0IIsY%>#ib4=ROH(qe+t<krql-**#WM{&4Gx?MTWPu>-m@ojyREm|e0ur3d
zW&$))B=}tUrzIuM;izB|<m`mSphg3Q<If0qA)^>GHuKRbsX0ER=ev>IyI9yPh!}cw
zJk<}yN;`(tDxNc7qZz8@_y*(J%urbPUk0}@tJT#|aY{xE9ta<)TfZ@*tX_{?kXpqt
zdp1G<9xXcThE^2>0Bn)|y%Q3T<V?4asSq6ZXzhQ6`z7!St)h44isP;ADQGnL5f1PY
z5?9S;sBL&DJBh;jQM#b)NT`=4lJ&V@)T3dh*;-eFlb&|H(gGsw2>%)k`S@EQ$1R?A
zkpP~-4zih(w`3qTS^_2S(TRxnfh;rh{5IXLqU8QoC&vw{u#SDUMRKuZjv2&IZgkIP
zq^8t}Vi~bR_5$rva+*+8NWP!&*q#H{8VwLk;9)E^92iOqf~yuyjV6NguOBX(y|?;m
zA|dWX^vf*nopt<pB-Z4vf!K#&?Hvrgm2&g;K$vcqp&WM}W#R*G1IY6m60&Dw&A&y)
z_E<+U$<iH#^AMXC0^FA(mHg%(9#4ppk*wg91y~PBHr_85cF*QTc=W;C@lKhVvxB7x
z7yVFY-)T55KndJBfcF@OJy<;_cG8QPkpj21Lo9?7`Vpm-_hBI7#GZ8oLZ`!rUH^p9
zbZ)zD$+`;@Ccww;gtw8`>7ea_HD1Psd^~5XO8!rLCt`S!)U)!OK-;7ewJ=-qFeL)q
z#tY!wmgaP%jQ|ziOzovG5vxO--<YAhZW^0u@46IOjRA@mu#JL-iO}B-3jCKpgaUC!
zS2qkjJi63u)<=S0oEr>92>ZsWkFU3TQ;ayi*w6RIXspreONh`_7^AVla=C+;t911I
zhG8_pdBB?!7{c9eq!6utLt1u3Z>`@~k-1Aw{=y^xz2rk16pgd$*4rYnjK0(&gv_Ls
zEP3UPa?RG4#Wh>*h<x00ziLo~MYSuu@w#(W;7+ZHmjfw0+VtN>*>tKB%MJ=3wRbI-
z@mOBG7YTDx%+Qis@(JQ---F@AmA(46H^7OiH_`G+oRn?(kmwYB*=$Lsz6Vw?32^Xl
zA&K3-<g0i&j9p~f3DH}5BANI$rRF{}HWwA<ER||;m4<66a529mv743NYpvLi!aY46
z8WG0Q0A-1KkKlW{gp@}ge7Fv(QR|uw7rcQqD$k@CC0VrVW<tM$2S?VaH;0bQ=H+5`
z?HjE=dSUo}g=o6znb%&#7}x|N084ZEqQ!)u$_Ms1wdJya-sM?7M}u7WWpyH?N_f|3
z(_xd@^IPaRGA3%C0UjyOodw|oM!84rhSY1d6x{r`w*mP<ycnyLu!S9R+|#|K`0R)i
zxS-3Acl_!%*}qPDq*nt23}xdI?617SZPA9f!4~{U+OtE1Si5i8#md;K$=|<&Ub+sd
z<IQ+3JA6oQ3JNFcIAyR=tk-}KDxoQcWRMeDhg0DE-FrHwDvVq=vtY0-X>-jyqfCe=
zcw#~vPf;kelv(>iKnDqo{pc&dvR9(#KrDe;7%ZK|yq8;Z1O_T4K!MzLRxn(^%`WaT
z_nReQ$Iq187NCf?*Hd!+oM?!JP1!rApIRlMQwBwa(o1B6Q4BfrZSwTmTmr6ERmuz1
z6ACla3q?aD@9jnwUN#s!P&DHGF$9|$v8TwB5A!4^toNS99q(en&2=f`!YRo_pa9Ik
zS7XXiM)UzSZX?@e+@j1y7OrXKb(LDpt1<;mUG`vUxA3twmI3T}dT2vSxRrQ`;95qJ
zBEGR+d7ZY~84N|d1GyFW>1rNw4pgEqv^5!Pz)}CRwyzksDlpaHNm<(kCbD_4!&8){
zj~a$D3vV?I(cy5}<5BU5j&Ot=iM+LobwIQ+2jUfem09u#qWc9_r#GrtmhZe>SS4=p
zoE*)MM8p{W<=M7|RX@#5uDL|Rg&<`6l3Xo#_#J7JCTr#?2}R|M8xIvXZV~$P=@D;f
z@X1)|7gVsW4)d`oarl&>(tW57I%jea9~?s>S_V*Ss6dANM&%q5Aj1%4ulX~?LNx2I
z8MSmy*s4&tEft|R7xI?~NhyFH+Dl-$UKM&hBaX^fI^uI8uy^Cy=j$#^cMB!Qk!tk?
zj+4L;h|o=8o}URf0R$H#94|!b$XNA+?EL{jY@zdy%bbbG<fi6j=<wYTYMF$)f^p-H
zTn?(LDyj?5fTlO>Gm=bcuvZSG@*YVu?+jOpD1!kQOq_z3=;;{cyE)m=`s-m~yWf;m
z6GxI$W~q-Hxsa`W5`*&AJ}J9Be{SiSpR}@e1$2c!tr9~*oGfhGV0atoe#j$%einN-
zNwvV#M*n#LSqBk<Ce*+HXS0T=ldFFR!`7)^-3Pil&T^;T2eGe@VT3vx`l;q$U*0XQ
zh9509AAdksGj1KBcl%T|L=MSIvi!poA#83N)Yy@~sXT2U`4dp0qp-#FV$t~6`;uox
zJ@3;@uH}l*@seUmaOjNhj*LQDTO|*JIBA_8ULPupXDw3FZ`>U+H7l8(R9u?TTMpJ@
zejeU43@fpxslwjCoO>IzR=6R7Z`;2oL2<zY%%VQQka@$B`WHzhH8CRDVUpBC9O}HC
zcbVeg5J-cOtgw(8EKiQ=R@0ys28xEeX|2m_#P(BnA*DY2I$Vm!CVg7tlrNRG)US!a
zd~4<!2uyPpSC({<k{KyncMm!Uy2i!Ft(U_o1O@S~tR1n<yEX7<%9t=pSlHL%a2=25
z5niJ_XSo^t{#M47`=agUP1iyis7mjYS4wh_TGFj#;hiUs1#nR2ap&Rps-8MH=FIB@
zyBhNadyze}KWH#>UP$VV`5>sv#mRsO?^IT1M?NOQRDW~#R?(~UL(hLX9st9>`#7WZ
zw~5CK%Q8miIMmt~U|fa3@@Pss%IWAF;Ew?h<zPbvp9l|OwyC#NmM*us{e6=s@S=zp
zIp6xvW}wa_-V6Ffm(NG9+DYED_JNFmBhBYu6hHqrj)%~d`8kve<GR;r{W}N^gyV`J
zo;&&fsaiDxB|IbhsF2{*GC}09W|BK3buuBXxGGRU&*cB5qSbkH*2qL=kG|r+tv1>6
zZCsLP@~EP0UeWMb%%(4~g&Ja26|g5bW$1kO5avxMJnmSKqeS`OHdX7l7S4+H*(!16
zq<0<wDN?Iie<oeOS+$v`>YN>MzZi=2+Gx>c6Q51xY2BHvU14}uoo?X3B7i$nN_oNH
zsyOkLiK`D|2Dy#!*^Hp1ekZA+p5~T)f#R4Sv~fm`Yi5V^{^AeIrB%6!Cy@H--7|k-
zNTqO)Byoz&-Rva{vrwALGoLs|e1NBn9~=<E$VP#J)E`qEq(RU&Rz<@G2w`}MBfY$x
zI9$?+w+`gBhSjRBaLvt9>oDLv_P%1NTgt+V@@hO8oIc~)@2639Ifz=={tNLc3)@Gm
z3^%CJdgfU=c0~v)N?!ec*Iwi8-r!mGPV`UPdrOAFr^WLuv{RwpMA9#gFpxLExIs&;
z=@bg^(yoU9sZaj8x-Q*lG6JGT9R_-I$*g`3F4cuJ`yoDZmov#XuIU7V_Q6$eYET99
zoX93n9Z>_Rwi?t3U-kop)G1qt&JMM2cR$YfB4U8hT75(@3y(*V`KDj7ATaannFP8E
zAdHYW<+aCp`F{F2G88_;3+AVYL^`4e=55s@SuMqkfPkVgoq|HuSDjLM(3Wv^EoeH=
zUSxBVBF0>+<LM=0FJhocl%@K2%%&7C#OW&M?_9u8yZ_D^c330Y>-lyF&oT@w8nj6Y
zoH(4V85G6T>~E?Jb!oCBA{w^(R;H8iHe9PDvKj9PG=pg;2HH$XZuMys&GQ)gDp~b5
zSCJ{hhKDzgtedp}Og`zf%*Jq6sVaU#<mio<GB#HA2XiCQhAQw#<^?qbm~kF{NdF5=
zYWJLC_HY~@7(5Kk+|UCUE|em>*yj*yV;@<MqlW^RxBf8Mgzyi!EXD$dq%mI{z}sZQ
z%i;YS79cOXt(E7Id}f?krP&GW<SJl`dX#S)x#;t!9_Zq!>4ICCKus;7oyV)LBsqAk
zdz`Fo6gY^mGPR>_WO_LYHNe~2zDR)k5neE`6&r{BIy};sl=tku0Kd(wn^^XMfDfQ-
z*b`bfMffKI1P$4lZESiY7xe%3Tjj56z8|Hf7LN1^?3Gh0?qCm)&HLk!VDR7_d7Hkn
z3(9Wl8V8O|<x%jd1&TUFsoCL^wb|?K5UmSQ_-9vlmC`^M^EwGQOGr(eT-+o~q#<yj
zbWvcG9sRlEFQ_!t=?M<Q_`KuTa{e2|l4EMJqG@OR4@sGSd9r*TK$#fJxSHH?Ik+V+
z#SUSl^U9BxBQ_w_O_$qzEXq4@z5m_>^<WU4dQ5fxuQVAv6GybW>z+&N+?PLsjHneM
zADz%rNH>i^J#pTB6TG1lGyet8pn~+3AHtn`IEeo4ZdCm`_sI_b1#WqhBZEt;y-BE1
z*sdwT^gdH5(nQE*v0uRcuKNbeq{Vud#>twq?r=@431BoO@3Lf$%8sYH<fi#sV=;Nf
z^3}FILsoHQkpdApB!`Vw$0~%3Z&I~<VHev%)HtgIBH&B@hu+~q#*xIaV*4(@&^jL8
zC5%-!;j?KQFfBO6e8Z;huYjE}0V~4fL_A=fq{|P!fl6dIMc-8e%-W^pHPFj)Ga-r`
z8%Jg$htFNpsYyPy3P#r{SqGS@2w|A$1ITGSR)P>3Gyp`{>#tJH9b@0Z8scu&stYfi
zYpT4d{-Pi|p!&1j=Bf~9UqwK2B&MQGm49i2M($URVp5e4z~PhYPL6KN0+p6r5tc6D
z#%!y4w(y*ymr9<&3e#}(jl+jYtojG9S}4LPl*|WV@xz2)<!DpwzXTrUL9Ny*z7c*@
z&z+|!HUe{)ivRLBB!~BNs=IDljr7i6!I+RK|24fN9>zG#_?nu)|K|*&^y7Y|HCp2t
zo`$FC1E>Ka?=d0%VSBg5vxZ*?o^eR#33#fQ#J%edJLTM>tU@=<Dcy6@dLKGv(rFGx
z-m%<6&Gnkh&|%pZl-P-@nqxXLw2t2&ebAbFm?kJm3v}IKY8<AIcz%Y&ZS}6o7p&*m
z)$yMB9idBh!#~*fTmyDc+=*N^BN|pD2}8Dg!YD^$H~D{)l`e7lW4l!7$wqRO17ae`
zX^T{()W{*oL-UzaqDg*1;ERqPIM<)pQ9tR(97O`2@NtxSr)1gwQaKb&A)kq0&zOo7
z6R%UdWV+?pD3;5%4{qnob;~P7qP|)81e#c?H-lY^WV&ksrGaRxd6H5LqnJw+Vh8qY
zbCR<0(=?V#Ju%R=6){sN<3CraftB+S^b;;DcTY9@5$(XDCn4<bjRV};Lr_j44*>#u
zpEaUMj>Q-`P=PF0xARI)(b_IvS8<2e`}X=2DOXs!#CvB>@3baZ21TDo3AJ@-ys*4+
z3xZ*UtTYugNsBOvD*fKL5RHc$spY)lm?@U;b-P>417~b0+sSI_=?1P4W}t(Bo=E^^
z>iznb0j!2H)~Glzbagpi1bSRwP$6%nustEq5PtcVSaxtEHWgxOjXCSQ?ABW1AoUn*
z(}H}=7FkmBMIhsgHnWu1g`3CHBu!t1O#JPOP}wZm7)0OWoK?ate3G<q;c!4*!}8!G
zRw2Y@CiDW-M>^$BMFJE#AE4GR$jq1x^U@rS=-TS$3IQCp|4}fu8&I1JO_!n{r+ft%
z14oF1wYmvg_nPt_EROomrmzZP>|+!JwJT<RM%fN5xsuK9Vp+I?C&HUsY(zuPaT@Ej
z_ffxJ{=(2sV3W?IN*xXSMWSyLHY3?36(l5WMp0b78b5p{-U%T)nAyG5BL}t1MihJT
zsO*U9AMaLUCpi)qZ(u|hw~hc?^8WAwVf^au`G(~;tQx?YtuRz8bQ(H_LtRQ{#wHP}
z{GSVjIz?As=>MAG0g6+X@x1Y@ckL=6vI3e_BO{OxbK<0x!0O1h>P;*Aqp>T4YrB)O
zDCg@6j))!2&ld2D?KO*sYSJ?6Y9x9V?T=fK1?&%%SJ-JHgtH1cJkwS=&fFZRHx=@n
zDcKK)us-<#9qCC#uvqI{@1Fac5PA}85tit(TegSXd)f%eoxZfOVCljkYK|IjMSx=1
z{af7ugM$!3C?)vWdW;xSBoMli^6?aWj5_*N7ve!+8omH8{U0P$Heo;9G#UXgZcS!J
z;F$8~^D58*MZrvat%l3Wp$}tpvpU?V{+wseNyA3+CdXQhu`F~coHERmaUv0Qxir62
z{jh}wk1c~Y=URB<ol{9++iag3_8fy8f>9vFp0&wWa;#fzBn&Z@ho`<Vm*WW+_{DXs
z(`1`?yACfM0r1i(rA{r`HF;vKk4(j<u7e~hLR!O;@Jx$?+80x)h=gd8-lL=Gt_6oN
z#qL1{nlVK=&LR#McJ+4=on8REwCYRCiVb&~X84ISf+UO+V1k;ycJUCHayN{b#YSpQ
z*%_q4HwJuH92Kok(F2db8fP1!<rNQasgk>Uq;j3CE=OZ6eNGmv)k%kmTrbZ+r!iXN
z?U@I0FpSgiyf>-Y&fo^;u!}HkkxPe{5Bpua1|7Zti9dE^@hSkE2YIpKHQyIFmu|od
z^>p%+Rpi}jSByF=X6s~pX*ZF#3ClXr$x~C8b@I{#kf8Xo*7i){J(&^nBTZ>~KB<Ye
z^msj!u(i)+UEb#>Vouy1tkClyyqqjpyd15?SKSOxr}YG)s0&DN{ylSq*ZJsE7$#Qv
z_;NS3c{k;8Uj{P(wTsEpZG^@KMS2TroWGsIFK{y;oJ*nLy#P;vb!d=Xv>#LQon?;C
zJ{BDJyA9>Zh$^{2>oDOt>TrAJwnLFxJtTg3Z^gWc*rP1&bO1GwvIX#gro{0)JO<cv
zHQvtb+mFh;4piksgF9E76vdsSD3|9Gj;~n4gY(#k5(0dp9)TVb3Oz|9NmSQ5-=}!z
zP~GKU46kAPGZ&o<I9c4nC7jzjsG~BZI1aDW+Cp2sv<97G>3gtrFa(#kr%{^^cM!fy
z#v0IMiJ8%@0t>fMv7Sk2&g~H*q9IjtHO1-j!IkB#KN|x~=hFyp`@=bD8EuTTyvb$H
z2^T}<*SO#M=K6adHRkPSs1ku8YbQ`#7mZUHQa9Xx^>_2Z$=okYMn&!|O%0yBb`12o
z&$F$ID|RVDU=!`mYVt?&kkoS?<Ea=o(9!#pTe)xS?LT`O9esg!$_jpCJ%K@PlZa}#
zaU|z<P_%Wp9tCeRQ;?!Luy>JJYWC#IRYSgl5<frXjJ5PJSddljSTk&G?__xL*3V%!
z+Em({Ms31-sl)m-VZu_4v0PX_W6jboim(7YTbu4l*!^qY_<rdw`Zx~c5?J;xRx*p_
z*+;o=ohq#b(rzb-Pp6$;9jxc$*@(Zuz$n0V^5xA`Xv>(Hv*S2&zNlXP6F4gyhIFr5
zd$_MCIZz<nA)$J#hSYg-*D^^516hh(WUSI#1{VZx5qOWH9v?YCYenIrn)tSd;9#(Q
z&D93j1cayUW^vc~mF&%kG#=}D`<d`D&nQqo-9h~sDBuE25Q&byL!hzVvT}l}f*IrE
ztb2ZVogADJ@a9Eocst{eKtH&~%YDjZnFD6j^#(v(uUI{jE;i6LH|KM77SfY!F0}3x
z$GpnkcQ%{1MdM*k|J@sfO758tSXL03hR;gXEmOy{ZY?*vMw*u6l;-5&Mx|A17G+x7
z!OKazX0*t;ZUdASPeqW(95Hq($!wqzdA??r0vbge5c4R#$k!|H63oTo+w(jGJJ!e+
z^e4ut!D&LjrHds1*=}X<kQI#}6lJ+oCpR%8ZAa%>E@H8i;uesa?fLGrlyp9eje+hD
zyapbiy;hNs*aBj@*cQTc$L26K3!MJ=AXk;xV1B`zm@o;x=Kt5)eZcGPH5e^8Ew@|0
zvmAx%ABO`~_q5bUy`!napkUu0L+tE|bitGQV3RB{wB8r6E%|e+^TR4Ae)Ma&G$925
z2))lSzZ|djIrRF0<>0gM3`hEKbVgNd($u-P6v`CtS?PxBA{+*$GrA6Il%UUxmr{W^
zYmoD<a%3zY@6$L&7j$>V<1fSXeK>K<;@@Pw;P%e$rg~HXz-rf@&`HhLiFwHq3y_^S
z3-8Dl6Rjzi-q;(|vMG0MLugc+*tD3U{;t=e2pBngDA})h7D&gUfZ-V0;ga?fbpZRS
z>ziKFp#^9t{;j(RCU_X%D_BdNcEx9`*oLt1{vFemTwR!@w6cqUkf*l7!FO!LrptX7
z)(0}}^O}6lT}Y-rn4%v(n06E|{!kj5+irV3-N%figLMQ-8+XCybs+8D7DJOK&DLcY
zAr*@9Hz-k(ei+MH;lOl?`_|DKs2o}b#E2dprM7z^{(948oB@X4^TlO26!)O2ALEc&
z1APa@lcu6a*Nh>$&JV<fWDXXD@<K6b{UrgQYbPdVrsJ$B*dukGY3{ytZ#)+Q6$E>8
zwmr}883K(yB=b@hxM#6*Q;y0eUl|UAmlHsuc)3!YM8<M!Wf*00K7D|XNAlosy>Hn<
z`b&QvH$cl;E1Q}b$hCpm&y=Mxd7Auu7@`{<Q?#fb?=^jANq~wh@8}D=-jNE4GQii|
zy4}ZVn??{;Rv2MXMMA#P-n#CBG}xBnRbDNHeBZ~_o@IBqGi!}kBD-DrH56G=&yW3c
z<|fP`Rd*`BjZ~D;+xcjUdPu(5xIl5K6PVTw50yi~4rXFLy#1Y0`Ptdl8E!C63IS)C
zgTQ$UA&N%nX}{|>tzd67mELPVn8#!MSCd|V4+R#MSzCB|>lqaVEK&pd0SwR+xVe<8
z8wsXR`wV&qhfDqe4{O<r-ZDLr*j_zh;*wE_>Ot#<v-u|SX!)0+EqAqu6=%7UF@D{-
z??reF<xOyYo?ukk_Zo5)vJbhOinL&Ct}@e7ckT!oa2>UK!~)N9Fc*RyM>Dg7uCK7;
zas~o4$5XgE68?KvdFcJKBD>W<K$cNLt0nRDB46B@Q2y#t{DL=SPAq_0BESPLILudI
zPI1>Wpm%f#OB<MwVU1lem3`tRYPB_XiZ`Uh+oTltBxggDpX?6JYz*1rH&L_EuNs8b
zFR6u{9lZ5Ry9?@Xb-g=U6Id{<hXW>Brp?liY3?YLi6Hz(ih~W}UnFf1hv!8dT4CkH
z&H=xY*?*7swzaw?2jvja%EIZ^SQSTeQFIUp)}bd;dQS6@&q#1cN6Xb&N1wF*f>QZ0
z;OHa^LggIO#~?$d18j#d+Gf^tG7FBj^4Fw(*$j@(6Ix5s7;k=D%)wRKktwM9|1p@M
zH%)72!X^dV5b3Rf_K0_a7-JUknGPG203tK0T`Gx5|0w4*eq96~Q=y3WW>;&8zPYSj
zXu|dc0W)jFV>$@!Q|82AjWM=~J<8^BI&8{iwoK~B8}VFZufT8q@k^D$N>8APe!3(p
zbF9T!$_j<bAg&q_9r`6mGO?Oq{AHsa#Ud|Tk+|_Ki+F){Hc3)@0s~Y*LSNyYQ*^*^
zyS1OvDd`}tF9tZ;hYlvZdfN7qSO#NglWR{-XlmFCTI@yjwPG9;3o%3sr$YAqF7@tG
zFF$<jE1Qbv@ts{uA=IY&D~SqHJ2`mcft&i5(&JnK4dZs(3DfEw3dTF}xn|@9VGF!=
zdbFIc*L24{)Uh0NSa6dlnupKxT$mXl>@P@%i}O<Xev-S77Oo};&M%W8HK}xkgEL=q
zzh9x`Nh|g*R@WYOnHA9%Yx=Y3g_ZSnT<q9xe5cWLKZ_WlRXEyIt-fEu^c-}ocJHP2
z>dIX=L^AJl=awse_5*4N8@Waa{C?iG)Wey1iRP$hCi;L?L9)3w2qwJRz-TfaqzrCi
zseplJ<!{{%d9?H0Wj7q2SU`tpuNF#erbuDYaH6|#;Tt>DWhmU6xv-)W(7Bn9?1P!L
z{HywnZa7eS@=oT|22y@Avf4A&jW^tKab%Q=mvyJ)i)5-&oM5RZ>bXJ`x?T7ny5!~x
zySMZY8gttMVvb>O&?Gcz`X^Ue<*C@i0Oz>l85S|W-k4eXJB-uA=iJSjN^M<}ply3i
z#qwBela$#J&x@!In||$=ZX!lOMY>yvs_Z30ss0n@bt<sZjdbz+OsB+XP-`@1?80eT
z)(QAdnGVFT=;I7eI{=xFD;2b-v=~%j;JI`=2NOi;W`fc?=4H8Yy%L0eR7j1_dp8m6
zl0QD$P~Bd!@za6_D7_d%QkTiu^P6F9xCBbc>reb#YK23HX&zHc`0g59T#GzBNFFBD
zAL6#p)-^NajZ&(Q6af=wv}be6MRhh{lng<1{qU@+25_|^aJWeK=t-Lt4iVo%hOtWW
z@-B_#mM6}_lF3}l?<~Zhefwp}DVn%^lG*qQbM^_dP0gH4mlyIIQJS)cU95eZwGqUY
zQbnSl8Yc0bA>d#cHb2BSyDSdJ30H*LtYM?ofNS8d5Dj=U?`4&BjtmnF25xq>R5{YI
z6L>-~*OUJ2lgNf)7Cf8&Xv1icm6J`)JO5#(z{SyKs800CaA4|_Ko8Zl<n<|v=Mh5$
zMu&?XG)74IOz6|g0#iVOv3U1v8o@2g!Sst?8uX9mHgO#C@0~6`Pln*e@GB$+z?aNp
zFxi!w{a?X-?LGLp86j=%GCaqvTjcd}rR~2hp}KJNyb;6p%(-9kKGla@e=OZeiE!Zp
z-%E;%1LcMjd>HH&1o_94t!^rXvq+sxJEtIskY2Nxc6JV;(S;**Cy#Fo{K~B(i>TK=
zXjc2T#Ekf5a{@oW#Aw>l(C+T9@Q?{hP@iuOHqLeF`5382pNx_9>sq}<Zhf_}39umf
z%=CqQ(T(tdxrS+UXBa-Ck3v4dLx*VMu!}S}lU*|;Xokh~>Dbk5`fF=6dX#47-6J3C
zBCLud!INUKpz$<33cZY-_I33API2f*xs_UqRtc1vW&&4oZw&M5(wk;AmGPIFHlr?^
zUBFhBc|!`NieopmNhzt@sYGRqJZ7ocezxBBv~41Y{QkkEogZeI(HSirg3(0aZ_~rP
zQLd5ZG4pZt+Gr|AhRNHjO`L9Kg$xSB@RvWO;JjGJGg&Ss6B>}&U)#DncG+7QrxX_~
z@-yb7`DLw}dAw1|X>KPSl6ipK*2ffCfzlUCQ)vHfK(0Sh;C*4?wUP1l{eN22edMXC
z(t2Pf3D;Q>5<qYfpheJGI}M(mFhXE%`;pg<>D+;5Z)+E!;5YQnyml$DGL^63pc#xn
zeAVkNI&ObtUHSjJ(uZ41b^+mXCLxjAh^p+2Zz2DUAh3l)x#a=MjFJSdD{SD|Z@c_{
z6mbS+&K*VBss93R?r=dgDjaLGs3cFf(<CI+o}{Xyne&#F&n;^7cJxrR0<0i3&Mt@0
zqsbBOT|&5W{CdM1+sQL2xl}XepIE-w^R|%*0p@Og0H*J;#DEC7ipLih$TjTWd1Tfh
zrRz)b%S2zOPIx)6QolgFuGI$kM&)EZ?%OTMj9s$TrSh7uyZFd$Y*+A4sTP8{MfGyG
zV7g!gJ!H3*E-4jX1a6w7tdi+F)#i8LbtZ!3a=K=AkCVMzSbjPhhfQWQi*O&!vY*kT
zUHR~3zn(ePO`*myj97R4bD+x0u0NJ;7fCz*)bX#^)DzNPvAyN`%?dyVUEci~8%gDu
zJ>zabCjg#Uu{xe}$Gt}OD8l+cRuvh+^W%FbSauQFof#!LiLE9tiyJ59^>;!H=Q!UV
zjT9$D=;QE%_zNaMwv?7#;T7rY%j6YgU(JvsuP+Meo#h6lQg@@+8W6kuIW|VX+LO?T
z*^V6iKvq*msbr<J$M<Mpt<-hw)slfF`z=`%%b3vXDS~*{y8tlhcuhP@#d8@{R}*mi
z?1|6PBv-PP$E+;~?p4X1>H_q^o5%=eXji$U$;Tc<@LR5bvY|#S#QVB#a^)9vr5EcK
zLSLv@YhTovXv#4-3&<gp^c2q-Nyh|fms837C7@5Ocgj#h<NK9AbNIqZh$zDx8^P#*
z2X>dXVeef@ghwT``Cinx*iF5|S8x-wp7q!GT5<}_sO^j$2Ctv<^8zO;pCjT7l8~Z*
z3byC%ZAGzqLG$B+y^HjWHs2jUp~}3gmwVkj4U8aR%oV}=NkIrE6uT=#RtCFd!)FCs
zt1iK+H@S1|R6x|7zkks3Tx?~Q;KWSKtz1KRS4fi#Sq__L<5uSlH~gcw_zKT&eC9!z
z!(2#qQSkjT{q!+n85R}5lo@2?s0ru{YB)JP6tOzl${dqUCrkB2d()L#5JprF3MPpB
zXkruv1hP%+P9$M?-v40;y4%ObbL-u#E1J-=PmJ_%w=$`}^M=bST5E3rFOP_Pej_Rb
z1+t-8zLB@<`(dLMM%xLpue%fCa^5rvQtaNRI%ahmA7a(aV$&Srlx@R?=yTxy&LAta
zQ*58|N}vJO^pP=DjQ;k`d=CBSiZrieIq~qcWHPQ6P5D_nan+qm@oRk-eqVd?BhTU4
zJk2gT5*B|ZGc7+#<NsX&$!uO7<u3~w_IU|cl#$(g7EIx^gnuIqbtgV<>juSCGq_fB
zekiPWt`83q+kk=^=<bT%!2HQW>7@dkJB4VPS;{!Kx8KRb6Bi3Aa7z9&S_;22ENBek
zxsuygT!fnzX})QGdF;@KTdTH}4tNQpR_q0KuH>kMFj>m#?TZ83V6@@LJ2Obt%aSsM
zYTX58q$XCKb51MOi1=v*aRs&i(4mO6F}a|<X{;wG@=dowy@rG|VLw|g;BQC%Bqcz|
z3}Ec$Ddx7#h-gy`*FSHuS|&E!uTfN!Tr#4&5F@Ky@LjnBF~(}y0ZpxDJM6^J8Xq%|
zHbLzSi*|&48N&e(3a-BzW0nG#W9$M#mo9*nFRD?S&RX{ZO{oVS!9o^*VF`(&l*WPV
z&<Ygg%JXZn!hX8YS)<0k{_nyMl`_m0nOMXQ%yj;>!Xk(SQu0!Y#a>&MpX#IX1B2g;
zuvuQ$>C!+WFYq!@B>7A7Deb}(GI+r5zofsH4B~lE{j{c6AOTm-(GgNwEW?AIJ<clm
zwkkSxw-r*+PtgMLz#Hh#?$@M{ZB%jpaYR^7J(~y;;j;7!9AiJXui7H&mIQ`lSRIA7
z4n5zM^6KOMn^&pOhr(qUS@frWC$=Y#yivX$XOg1%-r$b9)hfS1K=eJ#7U$mp<-_$E
zp_CbwVTEgcM1gp}Zd<CWjJK6#d-4-%0y}fvi(Pk(8bl@l%RcQ32*XaI;&(zpf!}U%
z?_))_g1B2cPcr?iq$!qRnWig{Cr`^M33RdOv=(6kLD@piLug$oZW?_(wdFzlpdxdf
zY5?jwBq6mw+b_ULok^eWcJWw~wZ)^rhTqr2SbMg6o1pRLneZwiCg+xD`^rc7Tx+J(
zSCngxGK#)x^TclP61}X^#0|BQ{TPo2><nmGVveC>_6w?t7GJ@uZOce0>7Wzm={5~V
zq!6KF%X~5>9~xaNdZ5yzo*x8h$7{Zbm-<o$JrzK3u+yzH8GX4D9E$3cmLh^>tUo&*
z!8Bvs__55w$0?2x*RXke@F>Agm3sNF^sw~#U=<Y&9E%Z3MJJRix3sP!C?oTfBIpH%
zG#w>xQXG%!gJoh8EaI*tTMTD!a;m?WQ?wsUk|#Hx=pmxM{|ars)~r|1#7!Khfx!sZ
znzzpHQ~j$_qRZ8b@@LEj7pz$RRSB@1BBqb_({0~;SNVn%0rKP*pqWs86wxM{<m#6T
zv(jurnanisy0;b4%MzuO2m+fZKKv#QUJa5WHi8z7R{JGBd;(C9$oiCZn2XQ0?Sm_2
zoIka}WC8S7o!ObOZFW+Q><WjAS<P>!S_3bI0U3@)QAKzE<t5;;?bj^Dko<Oev<xP1
zF=;aK!G=Z@A!ucR94cmlvjQE~V5Qt6Z!tERkHQ4cMkBQ<o)r`u1f_tG6SUXwOc^}g
zJ>+yXOiZ@5YA}DPIf*X1orzA;ZK}*fg+N^J`!R)^_N)B#A-QOp`PxR&qV|*8UlNQ|
zvqHDPDP3rBs@V=sC4UL&Q8mA<j5qVEwOWMH;APT_iZ8!UTttm-bIee#`EQu!ju9K&
zmYf|y43mGLI$G6?U2L`oR<>?Qpk24wCD8<jH{w|#)tG>iBn-MZ1A*h!vfQj0`Y$?y
z6M&EVfMVpOZR4axDEXU1fIqLrL~e>HPQ~X(y~eK^O#_4Fjm#i)4law6N08NASbK2Z
zNYJ5i=&6mUN!zO1%He(Lx4Mb$ynA^lq&bs90f;f#;?YQpgebX>NOxDzrtUrl@J{!m
zZto|09Rd$E<`A!46i>z%5B$(!(0tW^30bFgbRIlwWw!T<W>{CJZJEjGi1$+i!nbr@
z0y{_W%g`B@D>oN{<FV?L46^7Q%4SrteG#u2eA{fc7uDH2{-9;(64l<SP#gYyZ!AfT
z2Yj)A@d<gFK2I3oQU9IUB?=gaU$ZfXwOdO@!N|d%2OHWU|Bcm>wUd1ASDB2tUtAoC
zIw2{D5OBu)qAZb~LMGDLzFWFdBr-SGpJF49QD<r%Tnq+*{ll|hVES*eQ?_;M+W{g~
z-_ew?cg-bTJlV+r3;oSHlrf9<dDenG%yGLRp69FkGA6%C>X4nS?c)Z1D^*#&f_w7k
z=HrivytYSj<o@9^Cl-|>@av%VvCMXbm5-|%+c5$`v)0)_t3(xKx@x&HAu>?pBHb4x
z1!o$s<Kl_+QA=K=!(A97XYt5iGmK8x1$f6(y`K3#1r)tdz)xpDEZ5Fmoouk2xs6N!
z^AiLDWfjHK@n$25fs$au*^t`nZ!UM_qU~Tm;b+p0GO(lUk8F6U4|cRuivqc-%tcjP
zPoXJ3?MEx0PckaD?JwpYrD3<KAVeVIl|;9#*+z6baB`2LMoL3)t-qLio16xO^Y{~`
zawA?<^0c5h^nM(mA5SgPkO~;ou+Q75=)^V-6x!vljQWyaJ{&w)#o(=VjbdNjdyjai
z@bITK+~nqy5b~$83$vMhe^4jM2OqyyU?C^TcMDX!chsXCdp&#G9)ol2;yaD|VI@_@
zJlKe_<H0wNXGMm=aH@#jxy4;4=&84uJusB@V&VEbW7SKYa1xTp@ZrT++0@YgD>|OA
z?xJkVwM5>JNVGu7XBHNKyc&)i%AkN6Y^0`tQn~K7$+40rn{$2(r%*h|C~6nDin`k~
z8bD!SQ<PZ!iNM*+S1rr`e6oPMU=kY+hJMmvSht#wYD-&<R&Ws($~e?qY+OQ>Zk4j9
zZJX;EKuVr{W<dplo}1SPEeF}b+@ZX&<?03K>4QNSMJF0#?dS%4|3Yz&Na;f9@P`@g
z^ty)VL2zSr9pS@x0XAy4?I+21me|G{Un1G**`G^{Z_|%;h!q9~`Ro&}7b(_02N9R@
zE%W9erC7UTt4Kl#Gl#}SE0~A8L3N4%;`GZ1L+eN)xXo?4A#_1^wC{dXfc8K%&d?RE
zxrfXpq`MaKurN!cvlRrqq&S`<xo=M!&-aeq;R>V{u5MWrItT=NGze~T1e#}<_R#j8
zG4kB*cd<7qh!`41GhjVr5gD=W!Q8m+2MWnHvhG!7Uiru>#uZMSD&i!c@}n~s!p!9K
z!y3eq3sFC{D>zE)YbL2f&7!N_bi~-1fN&{^ZDRv-Or-dJC~?07x6vt<gulV{_ecD)
zGIrzz=^<YQk~cQ@c+|p6qss8H7gP;e6rA1&mKwzx{!C3~foFDp@J>vT9C$tkfS2-c
zKkQI{8K1AebSHr$F{fdxMw~%Z)+RSY{?pt4Y4QHb(uiqn12rGsHi6>6Qo#biB*J>S
z1yGqe^>G=ECj*5G4=(`Cq@9T|v%ZREk~K+Z)sqyAS=wk*sYN?<C+RxeAY^8=OfQgH
z`S#ax4G#7fO@G`hE=6%S@CpzhsbWe9pz14hVv6Q=S+5T&WCRa5v5(T3A4P<-m?i}N
z^i6#5mX9>>aCm#kWx*-Byd#g}rvB#?fq|4Mv7AEHs$lt%=hW4$ua_4YBIS=+DE`s@
zuU1~@AP7j=LPO{l`vlLvi`jkClM^^Pwpvln4WKRHNoU7DCbk$<2ZYZF^bUVPZ*%fM
z>kPEnh>t!aJ{lc^!_ISSAShxR&Hnv0d00;GxpXeei?PVFI0(>Hjk@??F4PgIXa)OW
zF+N@WJAcJ+V=Pk<@4#BvST1?%hXurWFr`!VKzZtmO)YmxXe6x1x*&31zAWFa#B3v*
zx0>MnR_19XsiaHL2g|jbe`mX*x=;DSV5tgliUv<z`Gl2nDVeFT*EyDwLM&JMlWH2Z
z3R#~vu^0ndxERJu>=w;NKJ<=$F;V9g0Z|bm>^KUo1#Dq17d!Btao^L{`wHGyr^8Tx
zzbG;n2+Xq_8);>xXXp;azal{MXI|9S7TDl!RZVMCG#uX!LfkECnxsj^bw^W-j%umk
z6PWf21RW71Cl%p7xf2!<nA&<2exHrU)(cGOu}1?t84Sn2I3<=6J3>s_P0cS2wtWE%
zSI8T4W<e@~Hnlq6WH%LLM5c!vH65HkQ3iZUWUdnnls$iD=M}VN+saJL@MWrZ#Tm8e
zS}d?(jk#d}74q_yNs0V@VR)}6?__Te6273D9U~LCSuNG#==9J@C2dq9ijy;c+Rqzv
zz_mTJtvuk5mT~AATb(|SjN^#rFTSZsvBs;`|I@Ntb~ft34L&SU^!$Yg$OzL@Pd!Od
zqTY~g-)b7pW4H4qorQG?+%z-AV(rf$g#_takZ7x<l`pljYr=k$s)wT6G+s_b%(#D5
zG`fl|r2Z*s`i(>_6f+R@@<8^JL-`a+60^{<l;L1wY86U35vK+P)`(|LiI7Z;-i^gZ
zwxXoa{~0GR{lpec_$dgv!#csVALd-zCA$oQDzaJE?5Kj$OB0Z<@1!SU*v`!&-uC_m
z30-x816sF)%g&aJZ`oaa&=TxPVG!k{DaX4}i{5bc4x=u1Jn$h9QnO~BwY5%IELWIw
zdRcJgcUrPh^Si!R1=F8i67C1PC}t|)ZOxefLKQkU^09L7Gkw$bBQ`MZSUWzDn;|z3
zw3-y3zad_ElqV&Vt4G^6cIJvr^@kh}m=Td9asjabL^B|qW;zF!?jLgmM*{KHOM%)A
zF`{%a3ZQWR1Cv`PzKoV7aD0w5cc|2HsHrbQC`pj$0My7JDK?uCv$Va2y+7fX3ZoBc
zBi!Qk)?R_RRhf*#u(~0@^+ZH;LD2b&5yivW3A?I9|11oz)jpARIkrF0(Y3Ro&RL`g
zYKpG_xNaUEMZ^RK&c&lo9HEwC&AhIa?r9ac1BZ%Cs<moNp(?JLI78w2Q=r|Tx)B|B
ZZ2R@b_J80O5{iZ5PQw91`{{Pc&k6KG-I@RZ

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_mdio.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_mdio.v
new file mode 100644
index 0000000000000000000000000000000000000000..3fffbb94844ca7a4b97fca76ab7c72d9ce554cba
GIT binary patch
literal 6280
zcmV;37<cE36Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?-g#n{fb_0n)0Cf|4Q?MQ_;
z5WnjS7{+33@Jl@u*2(d12XyeIr8jK1K-tmIHiV=$k^YBcea}WByap8=)hnIu<_uF>
z;=1}&r#iYnHUsG{Lrce*pt!Fe*>3jKJakDgdtz_nhD_@Xv3|0WUEU5;CXD8>JWa7}
zb%N0%>(-h_GIyZE6oTNp@<PD8-HKQAamIIDWN2<JG_+r*%hEBQSkuLAlBG#`cg-)s
z#5PXuNhsGNuJYhUBc_Ux<_pZ>cnfb#&<oxXXUrMF7C60|bm|0*@f?!19#OYQssf5C
zq4Y~TUXOa~)<l=P9Y7E6(~{h}!o@BI<?6x>4AFJ(n&q2}j0(Ix{t)`d)CX?(^1|KV
zp;iVW<vR1#xgeLj?2NK3b1$(E5rEM!2p|#%HYkGId&ysFO`Uc_OAnrjOPBk03)(k7
zCHgq&C_o|tUTVR3UX{tcu0NZrD<jIUD3DUq;kh$aFC~Fw;2US~)y1tz?C6;R)rX>O
zF1z<l5l!Im^B+=@Z4x-tv|;xP$?B^PYIm(18<O*!WUq{tRx2a1Q0^|WB!f1rp5jK_
zY{?Jqi>X~#PgRwr<#ixI^r76}O6dBSRM>=pg5<orhYN^EO1GU8si0b+A~bgG0z6tt
zQ*5i;_N*ek#~}9ic%3Fyn!l9DSyvQ@G2|$`7)e;*#Fun&Pv8g&=<O-O1eUi(zC`oe
zhg2*xI}nP_O4Zkmb#SczDMK@0a@?<VTUvX-goQMOtK_i%D*0n)8KK=DsdJ}rOD74*
zc2JEKB8GG63G0V4KI#^C;M+JEPO4P>WXE;tcXt+^Uy-ca%LZ>*l7NCzr73C)Tn6Iy
z!65gnq-_4sT_ct>Gjx1=nq&K&v?#;=Mn8FeDCUYn{+B|bQ<b^!K9b@;=g6h|IL191
z3@f$Dn^aS$h==sLow?K}pwtRmzLVE$cN0vYpqm%b=L)mzJSg(ObuW>`%CJKi{^M_S
zUti?PMurM>UCvke2fXMxQstWt{%z<Oc8#LpsD=YD7j1$DUQ^RAYb{Jj{%@vD<!GY~
zu(`(zl*O)VBpFMCJr?Q1_bxqTF=gmHH~)0MP|Ve2;lF0Lg<zYSzh@v3EJ4d55UU2X
z<AWov^DSFhY^w)|8t3c$rClC6ALIpdUn}JvGXg`=xe;uuk6NvdBUtb^0-bs~r}z?v
zBze3-aa`QoqY-OJPwi;{o}hP$)*Wx(?0?PP40Eq|Y9^?$AQ1f&{H=c(wKPOM$U@9Y
zH2~S*XYDCybX)$JLf1uLuX%_AVDYBw%EQCz44}-8WSb<pEC?KM595bGDyeGuOMd%&
z!xe#L*M!iXT{~WKZn^!!j=PBFhzPS{BMM^jW!3ui50UAfmm`;<L|XRU&7?b~yKxP$
zGPtF`MhG_xlId84xcYg7HDWOg&g`+IPTzuC`a>`SY2$qhwrfTO9O}FvMF@8&;|VFE
z)#Lw^tsl(D`2c0JIg((#Q=d9SV%m}uKY__7;<Hx$HX6+8I(DmHp@2ZF8gMg$8+twS
z4eo36IxPfU21Ye}!ry=Xb0PaVmAMwL0ZLfeyh^~+v17L|MoyxJ;`&zb_(iLvEtIhh
z=;2q_)ki=_rt<J`y>=&+cJ<;KZF9$X2(ZNGmx1)jGYiIz5gj$qUJap1=tuMv^8ow?
z=Q)Yzzh_c*F;{rvDwHUDkMOy==h0`3#~{9cq2Zuhq?!!80}Ol}?sK}62v!%>;1XzQ
zI^p+cH+!Ng1_X4A`%P$QoP!6+OA`>b7P){<Q>7ZNhY<T6`)f=@1o<8uaZ6tbtfZyB
zn;n{=zk68rI1ToO3zq|ZhU@xpL;~Pae@|#?vEfi52_SF1<wj9v&FOlZ7_m#u8H4<4
zQnEX`*I;{QE={S<m|e*HXUe~Joo{TUJoyGlVvO$rnM&pBY~a$8oHnxc!Q4uN)CfmU
z7t#FDB28EHP^bBEk(*Zd>Dl>S0b(NcyhY<(%l=FW3<vnzx}||Av*8^2K}K*dmXq@S
z4_7b;f5}Bs9CyMwcP`Ae7MAX2)vjB>4s&y+@ll0k;>GXAv^B^%+QBmvv4&zbBN~xA
ze`MyGT;0!$qm6PG)Z0{{0q6hl7!%*)V=IiCe(<r`K#zNqfm4J~fi~iuJPwl2NoO9y
z$gRBvAKK#`Q;Mc`0fJ6~X9vW)UIRiu%$Oe>1m}Wwx-IS%+1IxNxhODOYSKo%fd^&t
z^kFf*j39h7K=yApR>LXQ^U1@O`q-1|^_xFZPdGXPlO>8{zc&w>J-WfsuPEl-Gnb`|
z$c#{5?`!^h_>8hA79*0n!w`t0)v_Ud;e@L$7ge*N<NdTn)czG`VwU%EMBx{Q?*4Qc
z+Tx@yJgRBU^G#sOJIo#YkDe6{NkWYvH^3!z8DPbUjkdu^w{`^SF#u%_s-BN{QOxm6
z)mzof#~CONGFs5u6LW%g0=<}KbH5`Dyy3<g!wssu&v?0~D)1L00yn@WuGKQ-In7*4
zd?C=Fy*&cIQoX^IF&1k6pfXN#F1d#Zim-k)jb9sxE=JqeZG5`RJt!ycn+rhxnH_U+
zNr0%wK^#C;5U1xe;AN@4$D~PXv!)~!OdBf726wUx(My6sx8~L`FmewxPg4lunq}^7
zhJH8=wKZU+UJw9vIi^rd2+=xH^|ggbB?g>!_gSO6S+Q5;pFwofjap#5ji)^i*G^*A
z?mfJb>cD<|luT_mjMS4GriA)t6q4Pez&clKRU(^J$W=FduQ8@L)6#=f&Zz&R7@4BH
zaXSlGVb>t~fUB&P7sbq7cU=sIKife|<qbZ7=nom{!u_Z3E_I3%RW9IPgSz1aAHdE-
zx>cB9-0R22k1u86vHp*-8Ds`%U#7p4Ab`g=0CXZ{x1%%I&`B_>D|c4?&8`#>VR*Pl
z`!wY*r-FSwKJOY_`ES4BZ5qeUPM32&pYT*gZQIkzP%uho$r0x9@%=@g=(aw5DGA~L
zAk7$u*d36eg1kXeaqDi$-ADVdYk`-kS?CaxEwVZC#XbAE<ghS;gJ|J)xga1?ndd(w
z6D#Jl)ryFlsh>XJ^zeOH?)S2XUSw-IO5`*n7(Jqk%^_e`(d;3{L{fa(9;V0!Tx1Y{
z6vHno8>t@QLtb`Oc(F?Dg(Sj)h&G3`SGwj-&7|Fol_ZlHugdT%$MX5&jwZYD=!k~`
zW2zqpGEPJ^3)@+%mmMTZNfH4`TJfxsf)C`oD(GBM5l=@n;=Qi-?_dMsGJl5jY~^E~
zQ985iw{$=Y5rRH36@}9Q0cjJ}-4o939s7NK+t069#%xl^Dd$2Nz3q#%uv?XTP~y(S
zQ|tz|eAon??7fjEixV-G356!E%eWroBa^E@;QKjURAf7zh9jAAHl{nu2ox-|f7830
zTBl|Vj?P;W6zQ1fPj$}YhT!JlJnd&J)f9$p9$$MPV!3?`{T9ma{Z<94^fXH*=`2a5
zv6PGUB>+`RyoAnRKfw&`i1Cq|hG@K9JVyX5MTB;zlywCmmI6|iu%}2jN(rZYqF@Do
z=3z98Lllm}kK)xS)M`r++oj!^Ty0*3$y!Qe&>#)tqS>?756<RfBRc+6Qxh&JFFSNp
zg+&6G?9p@{6~hQ^P+a|2f=Q1WHlQ<6`U3vqgd#^_o<2yjha*4~W;nhB?v0;&;(NF+
zus*0>CBc2`KNmEz0ocQ!<?USmYn|BBh5N~WE=T7ke+eZsdTZI@_L&8}jOyV2jt*Ud
zcIdGc@fTD%{jWyakPapoXOk*_#Pfgkww~qU0)oQoIIxtuC?ZQ1BD5SNqySJM%n#qN
zZbEfgKVrXv9p1AWUT{q)>n2p=J;)EXnPA=FpC?k_F|aG0Y@v~Y7iU3hYX`{2nt3XK
zZ1N<1s0KDDuzY1Tz&p!Q=(9Z_?Rf3j<tSSX^>o-044)Fm(JH%)Yu*^e3@oNjnNlyP
zy0T#Vj44aB!KPJ2%{(ze#7K*gr8=$9D2buwMD;%|DxF$Sc2yinR&GcEF1l&DgeTcY
zbwBHYRA_PzJos_GGF4OtL+Ta28SvNR&0<1+1K+Mw;%bTU1KFeW;*d%0jK<z6x|^Tb
z^1mL&94c{G*i0?oG0svTH%Q;I?w6ijgHS(Or$mxDCJDYS3wq^ujC#=5OvV$Kfx*Bi
zbL#ZM?ZEIJ+}qpF^2n5v{2U}O_F^8iRfAWs6FrXCm6mNSRZ(q!(e3+kuhC(>fOgEG
zh!oj$Nio3hmJ{Xfwv&s&j~ogn6;qlsso=-NX?x6fBkGTitv9RDpH<p=yHKg~nX9^A
zWox4qRcAq)V%{5{pJrL5laM927ewDLrd2oJ2ZsT=YTSdG?rOtpyaQh%RK^c1z;PqO
zy1zgh#t|+x^@z6n8}}SClC(wCJ}qUh`!6(e*R@wZXIY(9;-7Ft3YIxn1>A_~QEN=)
z*IXnOTaSC|k>1<^kab`_1V+JC(u54S^QkMJ$**w~xEdeZJU`a-pd+|Ombmiy0zMpH
zF+?mdpal({1r0W|9lX;L+4Dn328}HSHi&b*?jJP#Elem2YTEi(j25Ky-6wPiJ~CXL
zwmxkibg+uEJQjCV^A;mQt(Oo9$UJsov|BErTXl8#eI8_RT)*{+H{ezd@H5XU<58|K
z0_9Fa$$qe}dPXiCrGP~3!jLVH+4u$F<zm+HV|Nt5L@%5%Z$ld{4fxydx+;O+fZkny
z*9Fp9R4m@_1XuQh&F}!XK_>G-3aceaYAWo!^C3$Vyf5lq=`3M3pxQ_6pW3uSO1-KD
z*gw+iUOqB;h^JWh>HWrn>^b+e_66XfLlF|mO~r5AfOVH-!}zTCJKkx9JMfeiAAGAL
z(*U-CTLS-1RFS&Z1r{=~%m+t-?$3=4p<bP5(w?TUZV19ETS=+-2}@kgR7u4gg9{s&
z@Hdo-UtYYB&(9ZUnH^$E<;UgZ)D9)V%6(SX6pjKRCA8BZR40=~OX<ER4J}81;T;Y9
zyJTlFg}8EeQ#y^%#pk>SP-R9qt*GmxTSr#6&zDRu@}l}{(T>T6rGruiD)_nknj*2=
zM8wIGI!C;*S}ZeM7{5uvMUKwQOaUfR?|m%Jx0x{Qy|G}C71)~djT3=9E0P%$v*Hro
ziH=oXVUVm^C9T|mQc{BhAxc9YPKCIbzAAXDe&SYIpEq|TI=hW_uiFM$EUfVhJfne-
zISV?Suo@93Rg8MW!#m~`(`!8TvlbwlRLfPv(l4g)yAo&!{n?bqneuef)NzDaaXu7X
zx*%8I{xEtO1L;7BIS}oWQ#wne6#ZY)=6U14k$;?ld@f85Xqhgd>Lt_l<<acj`ks@N
z?=7c?g<mEoPl|~+%ldX@Px8@_d>!|FDsAnF(7@XPR}aWD$r2cJ|7JH8r;^|TH|tJp
zh|E3Dnm}_}_a0QPjtKhq<(}>a0Q?PfYTM%al2{LH4)z`=I3fc6;Z%jyx0bydWBzab
zOsMiTsb4%P_@}aVa1$ncZwWyhXt_ps!WH7PlgUVkxg647TsnRNv90%tSic}`n*iTu
zcCrxbK4ZvDT9C;o4eSp&!G%sgSSF#>`)tW;Zi+bE(Z7aLR8o?wp^!M#4eC|)Dkc3m
zFF~KUzGmy0Cl7$}^Kli4&?<-tw@^i+?h~CU+Yne7mL2Sy0#UI-s<r=?L6Mw!t>GCL
zh=E3fe!(3aupfRWibrVGM|FZZV(xG>gT8}O7exsUm}vA>1RADio7|&z5*?;>1gNv5
zr~|teWXaf`q5cYfAk^DG^<*GbyIPe6uf)6%d=$Q+Qt}{qEwI#X6)bhX8z6?j!C;6L
zSGL=P#s~TRVxr^EOO67AlptREmV+fk%kOQFZTbjM<xc3Zh1>>2RxiXZzWP8=K3;d6
zJswKjvma%vLk_ZfSN3lg9oe(f2Q=q4BSj0u1*Wq|$t><q$SmP6fM@pBzMIg1ZUrE*
zL|T4Wuv!!1Lhr)kKQn^+wa1#iF0u`_z#3@)5|n<dB@LCWDJXY{z&F)x8JTH)J8{#$
z@6hY-<is7q{KpF$DPnVx5Ghp^t2SH@d~Pipe5fiYz%(hR09{fRIgIhzUfctq{PY3<
z$eZQ@S)DwTX4-ndNNIF1XIKK;zI=G_<=tn1#&20iQ=1rZL6fVf78W5}38#A;P?Tdf
z0fPF}vyWMtCb|gzX$tmTi+g5C@agIa3=KtCkD5ewN35QI$xEYDxP>Gck!?Tw7jCh`
zF}ORySR2w-t0=IaE#k{47cPm}i`s$Col|E!2A(VBGIZH`DH{W;ujO^Ftq&!dEbmsY
z7wH7n@lOO=ACo<ShQUAh!CDJxW+5GiDqZ}-Al6(0faptXEhZBx;BpHqMviUM(}=z+
zA`35mw_L%j<j&YpgA()BRjQny^)H?=lViHWI#A=MxYenA@Bx0w<0&?<*l<$P>6j!W
zHQB0yx^ar6eF6zJFE|$fca%TiPMKdS%C~q&p{QG_UNmv7F4(WXKxEV|!Sda>*A?M#
zA??0iYJHn42D93Z6C4}{+6w%T6OB{k(TrwQF4+$6Sx~`a2;L8DI><RhEhxuGM-f5O
zcHcFQlWat5HX=6{lJ8@~wW;N4l147Qj)CEYubp32zIdO@E+IpSt*2y|)A4gsyu29x
zc~PNR@n@_Lw3Hnaoo$fkf+|(^cNqHbxf!)vF>JBT*!ZJi^@V+N2uH#=4owpwE8b3u
zH@JGZ1X_uS5&6<AVs#0A)XS<WZ$f<_Naf1pvl?hU@o(CEQx+8%-BW(ZIKE|l$w8bU
zD*wK$OgX_JghmSOnmZFcR|@;oI8>(28)@#Mcz52CL0Z>%cMm-@Id_Nn_VX40qu2vR
z%RdlD_xhH91Rew(06WnABy_K%)&V+b!nLNwYtr$v$wVUN5pHTQl?UJCHs_{3)G{FQ
zv;BUjYS3Irbv}>J1>~Hy63Vp>mN0asvYIb7{0!vyE_FyN4V2_c{ryR)bXq(;1H-{E
zh}%CEn6DHElgxY36{6fJi_e`A<So`Xr%lSPyD6JU!m*ja@e?)QePcuunL^<qkHs2<
z;-F1Lw1c_6lt5ve?_i{2!{*XUf$k53$Y`Ifl8j-%xb=4fBD4K`nzhjV@UBO-Y=u(h
z+q^`TI`Dh>owa>u&V(zxPRn24y?LPp%(ddX5M#*VFHJTnN~k0x%KBvNnz}MKxWNl#
zWWpw#zlwNPyb;Gyq#D;u<bVYPsSp=#2n@8mWv!i&11~P+NsIEz25oBoE?AcbNl}>h
zSvUQz$SQ`A`YC5&V+2DMG=ccD;Zut70nXLrpuFBc4yzS3bUY@Fpy$PwUObHwx8y1i
z!E0UEzU-|!*UV&Ezq7E|VD-vvu(#`Q?FqQY?vG0T4<vIF*|pYYAMoh@W-fEZX_UcI
z%W=JtD*5&WH3hPYvB7gns`7C747}p~Lx>BWbd`5w3YEnH8;eY{$;RWRbLnmP_<k$>
z*Ch%-@y8pKLp|y*31dRA&FgJ_<j_oTQzF?GQnt;y7g-*yr2y7WcPeabcm|cGA0@<-
z@B}?Lh<^Ss2Ow+RMhxJKvziP3Du^n`%m20{_Ose<&`QB>ddsjf(>~?P@rxg1D@(?X
zRz;i5?dp6kOVcEB07l*$oHh?LN%3yvu&wOwmJg(WJSmEa+Uz)t8j&vQ^!xsGp8BGL
ztM&9~p(aCQf$sFak-M?J&Xvl7sf0y-2k$k1emndUDD)zq`0KhLjf)iBgr8wToG2K&
yG&YP7oBEbwjqwPW32L^Uc|HC&3kZ&c2K_F7BUPomyn4xbZ<$gC7tapPr^Zz}yeAp}

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac.v
new file mode 100644
index 0000000000000000000000000000000000000000..981e57574bc4171c75df66f0506fc3ded4d7acab
GIT binary patch
literal 271376
zcmV(lK=i+h6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}S~Iff-ig>$j}
zbTJ+rN|?5~HzPy(=9=A037TvNS3M%m7Vj+h4f`gj72Er<c+EWzBFJ(!mX!Ew;eSVP
zfBL{2a5|FvijSy~n`k~|g0+&zq*N{+%th<pRIW}31oVA|bl~KVsJ6sE=&?shew0pS
zB0B_IkA%|L>?k$XkMabDmpi@*PPeZVeJ4Ju=(7F(7!tGU?$bX`@Y8|}P@{mik%}B+
zXQj~#z<J1CJ0zkA9e^K>6*BDY8D4<hNaV8xDO^u2sg+`yH#+9Lg+OFn`bd1;FW0Os
z-xX5JweEhU8y6a*D!9W%O}uh4ZbYW4EYTNe0Lz@F4}oC!o~t_`EUs!tq>EFRF+ym+
z`NFn;9Nqt3QH2*Fmr+((d4p)Y#2;d4M{}7P7A9|Yua*L=O?$TM#ax~23OB-^074|M
zD^l}p6%csoN3=~`W!;^B2P>#1X3+FfYkC23_@&~zhH!=_f!wd+<v0)wpe(xkPAtnZ
z2JzAX@TM7e%KERUre>BGwC{)}&k2uYn%R_YNQcqaWE555_-5QNGmgsA6&Z?@Qka-P
zGdo6$jw(<&Gt<0|Hc972O>jJrU;T!N9IUo1wNY#DdR%s1G=Osv%zgQDnvg^IXTmD?
zXk3>8=o;eV;MX$<7w-O!&WBOYKM|jOre<4(De#f>;~lQ?!}LJ%f?b~<WIsgc9(y?~
zDf#Xf?_5B1`}g=bS<uF2I{eI3(*rEs3cWnP9HXaJzE!%j*FoZ0^{@&~cbdIcFmN6N
zh6lQ$ZbR;wdbr1+Z)Tm^WFG@zMCqGQeR{033aRiz&!fL0Z`LEN#1Tfxvhvy&M|VgO
zQ~Erh{6EoWA?qo9jYUF<s(r_D%ejTq%++TG)Vvr*lUSsXUwUXZ%+%55V}26$F^|FA
zqtOH)7wB|Z{MtKlteUDYSH_l5PQKH1`z?zgI-hNFP|+14AlEeFAF<OzDG>DZX>N0Q
zkJFqa_F^R(l8Lu94OnW@1JV)}R3F(R#+Tk@hI^`KcW_h?R?Qgq?NM?Bg}J1lHEk8k
zu__BW?4R=lrBIXc6Ab&co*JL>8zDD18rHOmpGiDvOn$sLTXYHeu}Y&EW>%JVIc}<b
z0-x-op8>4<|A?~As!dFwBTr#JTTsdE8ihlY>@AuMv2l`nn0k`(C7>X5c=Y5rVzr|c
zYKyM7#3yf`H4Dpgysb&0PlDfI&<GMKnF^X#HKXzvEkhfwXBLFY;ez>g&@0bjAsj8u
zUldvB5z?u#PY=@D$l#vs{j>H4I;U*I4FQE^=B&L@$l4~N*wFga%-0TfDG6ip!sx9R
z%>^W48-?NqkpA~rs6hV#<%5+F)ZE`KMyCL9qNx_`&f=4$9-k~=?rM9O40np3iyEQI
zEd4ZZt$gqt!Ro>FQ0DX6_Q8_r#)xIg6*t-SHQ91-z_XWC&zlnom4Y@@WvcGp{p%V4
z#giaxKC#iwGW?MP$orIo_>u-4W)*Ya(|CP4d?6dXbf4{7HKQ^~PC@ku36BVD@xoWU
zNL!zy6R<Xj=~G3DAS8FF0=alDJYjJP7j(7V1=Bh>66m}lIQH^FIvBt)k~sGvj7kPl
zJbB7-ivi!2^!JFco6i@&k<3=!=`Z2nb~*1h>h3DsDK0|$r{f+Msa5Ka2_>F5Hph35
zqW8|^&CZ(Ih(yNLfVO{YTXL?m#pZ3JBmDR%vn%Tjgo6}vV%VqMO5&6U+0&8!LP15D
z6)ntMp7M8|#iJ37;B@>Sa!LNhHnvT(nxYHk_%1%S)K;F5CBKM`-`xgAw~=7~YkKMk
zPC#t?rAuh2FL#v**uhU{H%_=EEArG?rT>Qw+`iNDP*nxz>&}FCF7hB%Ioq}G7vj*#
zy7e(~AB}#NA5n6|BpSqXK*<0NGtI}U#|%ZJyQ1fQ3;@}GmI6IfS8aP~Z=p}a=06rN
zs8h(6b!H!hUzH{iWs{GYO@`6@lGI5ls{-tkiOGmR=lFhZ!s1TV2+BXRozld~F~d#M
zHq3)qvaA?t{2&gzbtD@Svdbxw8hXgF^^!?5tZ^9aG)0n~Ohjs7LTn(Svt_ae>7(Q1
zztY~1=o5PvwprgWF8BJWtC{NN5MS>0Vp6H?<d`K#-#y1(iTw>2nK~EZDA>UvL}X3%
zxJvCS^|7FgV5_;$X&-BV-XR8ACTOHrVBRi%e0AYFSk%Cm=o~)BzO~!$BUGF*3Rt|4
ziq2+I98Do>-;e5X2sg^4lSpeH!At_h#JLyuIP`Kb2OrN1=Rq##YCkbn3sWc<Ek_4o
zREoW+`R0X@Hwi9eqSX{OT4uNUP{%R86{i9Skmj_kq#0aJQjihGnb*GRh?~lGtonmj
z7&KZwv-2ty+iZWAJ)wZ=Ca_v-NTN8`at;(h6G@x=Ou!EQ0AYN&S(~nWpl)-9%x;sZ
zYt0ygj;gt{Fd-09%2Vv>1|v>YXV@JtHz&nTpJKkSrS{Y12hF-TmqAm#Lc|Wm&{l)*
zr=tH_PeQcAcA5m#)=?iVac|T7+wu2OZ&rk5+C~cIcJ$Em{WrLMvEaJeKl4%x{Q?!%
z%_Dwi!JRs1DjjFwI~W{X;rept#LipALXtKL73f&npZ=|B>~Jk3eAdW`fV`3q5g-U{
zMhP>vyoCg7Djr$0VhCl&Vm7gBL747<E9KJnzErwx@k#9mVNhJXDv}lL`J@rJ49|UJ
z9OBX@^IpDFgb*3&@o2SvTO*tJTsrTK!SjWSak@zg<^w0jH3+4h0%nYZ!)h!{Ks*V%
zN~sb<mvjPr!D$%F@s0MQCgdFj4IAMBd)s`?8ba8LXy2`szC?P_f;Pg{?v+A$YVla1
zlb>`Z7aE;73!IJ#IbrrD`HB`9*}yaD#PH?YEuX&YQhF)tarvymt!D7;Tr<z;4uRzi
zA;p@!(^?#z^C_q68UaxsNz5?bTB*MYrK%2m&xhePABEHy6o<on1a!mrJI3cc4$W&y
zX>aHKB!GHutn8Ju_BpmHr-H&{Nk2Nni-wE%v)#{t>K_<@-AL0QSxt3Pi_b=@e#qO0
zy4=&{4H;8aOv93<r4V>jBLIb+NjtDf?$HoG{N%j;y+R~&=OVO;=PDKO^Y2?KqFkFv
z$!Yi|*IKaQA`Ji6_P`7=jV))yE}eHGnOfUtWUke68{KmH8xc`To3}o}xwuOH9Cy-o
zm_JODNdx`daZ(-aA-(Lppk^Ro-J>52**izgW=y@59A^&bb<$5pY5`9M3<ovAR8Bgp
z&G?Rf-j?1u;RT%9pnXUc%?5i;yX{KdrZ6kG9>N3)Ve}W3s!_6mCf!ie@<ts}GPvvR
z@Y>^yi{(Z8vZz!g)BX3)iD>n48K)=Yq?(E2ajEuY5|K4MtDk-{2i8n;_*ZfD>roe&
z&AArbqz0XY=j#39_{>6jQgU#l=*Cao$P6wSLNl8evWa+m0P(8KTzDfnHZeDP$H`%L
zAjK9w(=&KhZ+en)*tkWVi`*P*{43_oNKn|PS%B!?iUCbQPO(kN%{Sw#5`08U!So(7
z^*<+M3(mS<e3<7(egimJmcy+k6--|(s$(s;82;ymdNK=ITkMRD2S?*tJctwsG%J=Q
zGpsr8BNtzUP~j!Dpq0d3fgfYZ2hH(KY4Lwab{h(j+9dT9lv>KL#Eu8~c59@sw*I1c
z_L6l{u*!aU%~h%ZFmg#o90=>N{0V!;gBD-&P4D$IV2UC|s(kj)g|Ms;cEjO(k&;_r
zrSur=c%tfqd(%aoxWL98{3mKk<CY{cF#6YrFX7{zNV|!&B2gYy{(6UJ#0Xh;yaBUX
zTYA5jR75=o?N^T%r|5-28F4M$C(@fCgVJC9-LEnuVkb`H>v4}02#%c0FY5WEY8?vq
z4VMn*Q%c%Ptu8>R<EQyjE1ba<Z;65jJ|h5vP`}D?S<L2FkOCRr*_`*6S!9e#oKuz5
zBXBSqTPj|(1t5PD(St`4p_w9+OK+nGnW5}CSq_cQc5|XrR2_nF_490t^+CM54G58-
zXGM(4ksVD^T{LQVZv;3#qdE>t%eUO|mksAg*%y5%{}206c3jv+^yr>1(&X#wTyeYC
z%)7q3Zj|mYK8xH~-y=4?th;6L2J;CG-e6R5S#F!a_RYX0!LIBsp?qYAG@L3}u>r@&
zC?Ov`Pj?&(Oc2Z0tiWg>a`Th{$qC`Lv6=A%Weg+2kMAqlmG;eA7J97^g+goE=D+5g
zfP=vhfmPLa0AIWnfsP2$qIO~J<k`|Qm#)OAAC=Y<Fg-e(sZ=wbD`LSZf4G1J*Szlf
zu4YkZFcht;swTj`EbCf^lwuv`%nA*Ly9Y?Vc=a1xFFcekxm(8#g;4LhfD|Ji(G@t<
z8<h-%i+8FFD#>NJsn~#X5WOD0S>?tTD_YiPfLxs>G#FjBHyQWADj-mDu#F-Cm6YG$
zsR^sA(&dTj-sIRlV!RkWIp79<|3fmZy37H9?cYZ%5;yQwJS3l^a-Ir{s9dep-X=TY
zgL|;`)&RacKcmLjQF1Zxa+lzPkA8KM&<M16+}9luI|p{-;nZ4LDu1(LqJYM6942Yy
zyha)<_Y9aIax@qeGnyH`kSaFIXz`#WrO#QBDX#}`wavGf<Mp^{51xT%+SIxqe4D9*
z?6MX8XnFg`9`&w+&0!TO_#{;PU4_G&phHAXPJ>KpkL&-2Eny;q>(j!EuJkxKB59dR
z+7N(r#_r6J$!(d$+=@lTfo&4J9AIwmsr?Fp7J4Lah$0fA{;R<Xa5^OOE7<b!LdQ!S
zPJakG0BV?{xzhvtHjApj5K4D|qffV^bUy@?qivEfe?hfR52GJLbA<CthmwOn<|738
zw(E{Z!cpb{+@Nvt^!*iLnfs&qy(Y$Te_D-XofBn%Iz#~$B#JemQ2>EJ?(8)-<Mec(
z8bMx&c`B{TF$!U4s|@ade+qVvyCApUii1jxUM(zPI1cu8|Acz&!;g{~*y=waD`R5^
zHq>8H8WlgMAm?zU*F9V^>d3&Hisd{(bTsa2_(!(MY!>7r3pMXhSHki%N_Wqrv3Wpj
zC-&z&W1G11(UDJnUAR2xTh<)g+GWQTvfR1|_6VBA1rJ&si716x@nBP>wAUV}u&-J~
z>L3ApBS`!P`iaL*q!P2+A)-E<!C)+3z{cl)WPQ_&Em(hnd^r_D18gmSo-!dDRol4n
zI%fa7s=se0zpL5LANn@{@S&)tDcUJ!JllO3`_mik@e0!JuN(W+3xE=Ong6a#pD0)t
zLW1nvUv0vt{dnhUNB3s4e%v1UC}&M=pXj6aX*<{m<gbX$lgDQVKD3ztMVA_!{>|8F
zfvEY1w+2LIJOh`cm;~jjvJ_T84KM!trU>pkz&{Eb{Ap1)8rgjDhyyl4cu&>gUvA7?
z8sE&RoNLSlQsaei$>G1>xjDupQx@rU#;>Q4$^Zhq;4cb=%v|!o0#&>=ycZhw`*;cj
zW9o<6rUnhpzaOePIM`W+oIF1gmeW9XZdl|9w<KCJK(A`;g5r{!Gu?83g3lsp8pPs!
zqY4_K_>G^Ab&&m}9Vrp?Pv?xf9{n$j$dw<fJx-{#T#BsZ-%bN4lT-fQI0hiC01`|0
z2Ae|Bra<P?_A@**hkrUvJqnAm$?)5`G+Wmm=rWN3HwvjMfUQL-cPn0kcr@CPlTP?+
zGfO`)jL2-m?aAUIl~#c}cqZ2BpHGzEB_5f8WdYBqJJ=S6!_-w#la1YehF8b1#LmKt
z`e3g>8LQ+q8}A|v<t*LFo{r4-apzQ_D|Y0qU#9Ej*!xYxTQTaizbKsJS|mp=X1?Uk
zjvo(5$7*Um|N4-1!(9Vu5mfy`2f-j_(l1^F>uz{Ku+be@LN+3J4ERnlNN<iT2Jo#3
zWz9Uf4K+=r5-BlG|FizS0+6lSR+R3B*TnN+v1aoHFL_=&llx+LiGBxl+44ca=9CY=
zDoBhX0k%H+ZSpA@l>V+93UsPaXLE$16IbLtG=h^+*yzYY2lbG+{e{n9bzZ}hxl<^F
zCk~3tW}ET1<3}I`t7^h(6YpFJN+b6PZpF8ec*<m-)*krgRgk00_Y(2>ol429xJOC!
z0wY2>GP;4@5f^{sV?YR-pzq>`(^7NFCml359RdnAPVChB+a9zaW~z7KD`;;k7y6td
zX@?2CrA7S`?per_GQjo>G-Cq;UQn`$v#Uz^>-t30sZd$vjoV9Os?IK{(!iSwJIZY!
z`ghd`Mj%wKUnOS>Ezj<!PSXW|kslNX0NOsYUQA_pev(arJFKJR0eOdMD5pOZ+m<<#
zcnr+YW${-CY0L&b|FpczRe$C@0^H8xCtx9uL4Dbirf^0CY~XvMt4PXY8>I;TeTVm)
znI;;tW@ZtgyvCTt^;1VXsRDYg0u(LJ52orKC%F|QhPC^YJ|1cLdXmwyf#@vn&S`Ny
z${X6Sr2k{_+7fU-S^4Qyn*c*5D<Z|?@M-@1(;BZd8&=)x_u*)rIl-CUvT*SQ97B0T
z?`)<4nh+qpS&wFqKFv|cj<Zapo5<C7;o50lJROqKusVtSqgr)Y=GV96BA135`xbS_
z;Ii{BeE%`;J+%goiktv=B@jb&{Kj#V2m<LDsk1XQeOLp!Czaug)`pRq%Wv&eM5D`p
z9Fu5_Tz+CDXyX=uXg>Yuw|sgnpjjpq8fFy^NLw!$pQ!q$2jQoMI3qK`$*`K?19;e8
z(a7irKMk<0lzOCB-!`G9?k*KyO$_Uy)d@Vr{A&9e%vU+<Hub++l$hzPNZT`w7W+~Z
z-tSZ{I`J%e4*^`82^As4(yFv`GDs7BJkqy((zM0_nb!g#BnBAdm{C7Sn2}aPg~RDo
zNsu$Ih%`le@-hlYhh<uvOD215@fyz7zbwC7_eq4rVLk#rxRCUwO>G~C9cS&7y#-$v
zXao8t;;n=McS?$<TNVP#s3+NKaxpzm?kcYrB-!lx!}GL>du%&=BShEcx_8gT{M4JP
zQGqX*g3GoW-02iRtcJ3j5~fD*Hxs7IFioH63poSmWKNd)q>%wE|CI`XP#&nMIN^tK
zK&0l*lz2zFp#uD9L*^xJv}00%B+Z9jp*&BO2spLc0v!NZbMm9p-;|SdWiUzsTs*v-
z!|k00ZOt;b{%a#QF+{)^oZw9~W_g7%r;rX7G|^^Yr?@5C18nZE^^Sf+NZel6u#)k;
zCVO?ylEWQVBB?sil11PoNwvc&L^63N0-GyppIVBf(a2t6gNrE%#Lp3#j{^JirqLvo
z90Q{A@Yn1C;hMk!UV2lr<1$3}1ztK@8(7h~+oCWdy&TP{xiO=NK13xMTG8ad1k4U<
zj;TBx;0T&mk&LJ5N!oR;aBGtMBOxHfM;1MxzJA_6Bp>#_n8I)zy-8_<%p!q1w{!hz
z7JRh#qqTC@RI4{sl}6K<3m2_F?C3lBI3CV*8a@s95A`!ob(rtDM>h?1lEGs8K()O_
zz%~!tNEBi84oX0tT<c8NLLu0H*%8l#!7ALFzlsk2>V3AzvS#8g=C$FCVxpg)>%cv&
zpVN%_WNTf}ZG)-kl6bNL<xpmvna=DiC7CZuaWqMxpDj;70P;^)_@nhEooMWru_u#y
zX0cs1Dr-(i@x32^CiR_16A)wXhWS^qhs|=13o-f&jBZs00NMLS`oavxsqx+z3z&Or
zWb0M6IihG4mDFf?Ha@+}BMPkc1nuD)RM6gX5X#%XGXbei3($}eWbs%vo9x~H>dL=v
zwuleL<>fF3gQ$}9s#-yAykfES^wwWkKPuYQUHLUEEe8;7`*M|ejVx9d!dam1OYJd+
z_9@)xBIQxGUiRAi6kGRjjen>K@t55R(4&`HBIPVVLpk~AI5dl|3a-h*m<|g_56(X(
zsL9~XHtoZuIpN%d@cT?4wbJV_VFjo$jniSZl!sJ~z<_|?iM^r|xx*V1#tw75fOR^i
z9JQZd!SaDhoABuDF0I~<+9#qZhBHnfmrEJZPjU0$h-1sV5nf7P6ar*4;2aOTYHAeH
ziGg1A)08BJ<a|_oAqAQp25<`fGWrYBXu$wL6mCmCOY(Xoo;oP(e7;l7@)>qv?w_3w
zsNV_=&^HMQ%3-{?0p%twTUke{>ycx@;P!NuUx^4?rw5%g=nNGE?;U(koe_NgZxs$-
z+uQJ|hBCrnF{9GXI0t_nwl-gRrs`PEs%%^}9}D-(&*raZdz8U(r<HIo_Z)x;{7TwG
z+C8ZxZJ8IxLd`f6?@e>{oAadyfJ|o_m((Dt`rd(wp=6{R?7zM!Yo_`%;Xu9~4r#ZE
z3q0&;0OfbYX}9LI)w6~J+z#Oj>Bv9zt7CGga}Fer)HLw&Js@w{`}8d!<!sN3paasp
zOQ5#DFUlbI_N3_-?E@#?@@(rrW`)TQDpFf2md%(NEdKd(+wHyfgq<kr=T7pif2nOa
zqkRHQVCiV&T+LDsr-6=<y9GF@3o65>*v~mns|Du4Ma(h7xodn3(-ZSJj7MUMlABMK
zDx;((L}fMqUOvYh6TFhQ6AVG5)hux|r`fu~;#@v3!tFL!YxPIEicHf&iqdqOCFjq;
zj<4r2z3Du$jc8N`tc`tDOTF)>I(Fd8o3o;m1FxeajBWZ~Kzq^|nOhH<e53wsfQz+K
z<1qjcDkhP6k-@Y>q=c*yzS=zU40j-fWD{S0wj~%JoK9zt?9!7@?(xA7$e6j#yQBJ9
z4ulIjifILSTd`*dJo^5EAXuvyveauMWi-iVf8Pz64NYd`q|P0yIe`3>LTk;QD?{7E
zTD&*=yVasqJ_7KGZR|JR{RJnfV#Mvd;q=!d!b!7-*JC{Vx&&o(>KO-<?n?x>H9iM}
zzH+p6_B05)tc2&&g>8Eu?$>(_RGd!@^o-{SGa#XUnfaf3vDA=%R@N0c*j84j3)$E2
zC$r*45n=X5=0e&y^bfy?UTU$6#akERx|T}%F!TJOKTr(w>TEf+Qo+R`yY~J@duM8v
zguQP`p6RgS$uax<n^)(1O?ln+a=i8F3`iJ?PnG)&z{XGNZ&+3cvN2UwccTPlAngbJ
z13u&g@QKXkKiCmir1?t@?B?8CY=C83&wEG<t#G3(ao>((elg{Iu?Rc0!CvhPb-F}2
zhyhPjKeo7{;W>;gd#5P*?C;~%E9{6<;9$d`g);7UY<!_Slg*Qj7Q)ZqZeShN4uR;V
zK}BC$Gy0AI6d%;NP2$|(tOhEgpLa^$gB=aNxe7ykk*`xur}y^{D)QYWR~w%3C>uR|
zc$MJB=nNm~5TM#K@lS!C?>GMm;gw{8Pa&PXSo7dEqKo-LWEIQKQRpA|{)L^3hzWWQ
zY;Pl*xp7xQoC{L(v{zl|z!q0=k0GGc4%@q6+hUpr7H$;(_?OBKHDP$rNLUfqCbhOe
z#-k^7ONNJVmu&gC63D+`*-$W4gy>d#KTCMj!?)A!5&RO{>MvjloWcIhb}=p>Jeg_B
zz=o8=+n49q9e6xFWVmeW1iTh~1Au+{Anhz8hB=8NdS0dC0me5{UwS0>Q95wb*iIXf
zewZ&glDk4lO!5MQFf~5c>^H=5^luJD<-hCL-%888h-qxL3YwBlWj90EF%vvLm@FLS
zTM1H<DuH7`sD}R9lGnDQ-7;yXK_OkZI+98}B1VG{Rt8R!HpL!$dlekGCX*0Qqrceb
z;-*0DM*spi+CB+uMI;%1ssG^f+I51}>~hy97fL!4u0s;<Z0vM$pL{FWA-A!pdhF|8
zJJ2d(EF)q+9Fkc|P#xFU8}6WB;EY#_Z|Py`9G-?#hpZeXxK5*rVYSQo%=VFDZN72x
zqzhnk)Q7V?FlRRY7`ay+1qChMpkO+_FaK6^sG6%)(&3Dtf3$BUDA84ZZL->}>-QjT
zJW{>c6mWKv$ypPNTgdGpfRX^#2lluJFulcjoBD8ssQ1!%t@dcL;0o#`&a{1w>h8YO
z4<GQ5S5)tT0lqK$A(Rp0@FS;e8gxG%2MLUT-lhlIQq7#1r_nQa^37ziuzddmYV0uM
zGK+9CYM;yJeI3biuVR|<A%2W;9Nf@W{0Th`dJsn-Qozv)kQs-Qa6wP<r(?q_k~075
zwWaTKjns>8fo}-E=CiHeTkcY5#CJCwm`SWbh7$CYt3Q#q%c*ONvF8PzUmGPXQ*EyD
zAkiGJ_e|EDGR1Ft$<4~BnY*%8T1P>n235FpkD>{56o*bBYTABjf$opK4iFd>#;$&)
zd}l!SR4Mi}IHX<tr~ZWG*Joh4Ykxsn-YnmVZc;05V0dAUFD8^m&nD<5!_M$hk_&0l
zaCPPmau-<is8unRixrg97yZruY%{k~s(@7lI!Jkm8ebXf)hB{=A<KsjFU#<+u0x`U
zBSDVE?w5Vs5Mst<3LHwf?A~Et)dCzXw>8$-1r;hP+6E|=FKZ*ujM0TEvp2=M^FIl(
z(+zb)AP2Y&gB<#vFvL;0b8h_1N#}o;4Kb=~^2pgV_*bZ7EhKUHC=h{nTc}l!>ZT0@
z8&!c=@6OoHF8HFsZJO_UfC6u+o$WH;)7!8OUDI>Q@Ft4^bjajl#$&@iUDh`xo?mNh
z`NDL8q~Z+#q{cOpVBWNp>7({+{;33qL3hq0Cg%F#w?Zr{Sks8Qshd{c%yu=~_x(hf
zS<^C?&N+Xw9o8;!MJAqC!%4ZPPOjTRnP()6S6?=FF@U!eLqdXTP+OTjdz(n;dOTo!
z8uiT#nwG<u@NGnS)4K}mR1X=JUU07}Q>3x<#)rgo>8tu-!Gc^coEK3CQzQ3X=K&vf
z1vx^UjOToE&tm}5X>|Pdr{=eDC`;BG=o8E)Lmsd?%kngWV7h~G%fW$Six-e2ZV6yd
z*nFh;+a2VmDd1O2gtao{eVm7p2g<>q8Ev{#-3?8^XjzCCII)C=A(F#GZ6yhY&I_=}
z7py8AoyxnR1AFA|H7)R|21LBLQv5>?%1hCCJJ+29G-xy%q_eXidUw+G7~JQT9ye$T
zy(;U(U^t&1IP->()KMTHfM2Ff#<DLyyC@7+2x5~N3hF>jfbKemsgm!JP%KejmB!&o
z$bX!GZ6F|+a9d<f5MY?h1=!d+tl@cclv51?#XUmQ7kQygL{tCUBad#`^w)4#6U59R
z0?TyT1T0GwsDY+{GGgjdTU!}0npzvPFhf4ACDgE{389NFdW(qj0Y{#Ekn(WD0Awff
zCX*R@>w6n7E5nZe?Hmohq1GM<FWZJDzweKR>6!csA%)hBF4gwC%_r_ba9T^O`N{MB
z?I*_zL_kef=IMBH)4_Pf*QXS-QN=l>GoD3#{V0c4Cw|i-7}r7y;sNB1CvvR}KfxN{
zz=E<$a%fhxH5!19`xrLpVvzx@i_NQ=Cu7PTD8movMY6eViqs^o!(2pIvoO9S)ex^}
z!ThT<EJx0OqIsoX;UYVDV3T}6uA}m=T6=h{>XIM0k%8IG2tzHccO7t(8H|(o8lvPM
zP5zsx^fzpW6_l-Bczq+z*YN@aw}%xd9<qT8>0eVSGix_NCfGIAjUHtEn|HF?Vl0LO
zY>?z|#j=gE%(>*ga0p~N`k6*BySkjt>F$o;5kjdsZo-(1`dtMB;qZxN=%)s5Pkequ
zl2)L^Q_b+H4)g~b1yH42)k!7LD7hAA(KlTh7S0UR((5R&HO7v<uFL|9uCsy#1~i@P
zCV_)zpdWDfBCO6#z_NG08Ti%*kAf388}g)Z^Rj%dK9I*LGWn}u-=w;$VFk$29f;mV
zFcBdZwf*_fvq{2S){3*{#^K5iGGG?4HIN_8#UA=QOwOqTG^!D)MLZALtT`B1E$&uX
z?KH>8zkP-m8O$K4$|BshATf^R@3jS2Fm30;GI>0WsyrY6m6g`UE&S|GBr!6SD7xDl
zw7$CoQw`dWVBk*7%@R0gu#fZ)KYSwNPmJh$_kbHC94mRU4<dI!v7DC?BOYgB;_V1y
z?Wop^;SYBLCDhI04eD}R@BqzaI3(Koj1SScP?S010JAsF0rkSn#>x7phdg<*w$m&7
z3+HgMycaiA&!}F`RX#M8pB%-<P>;7Iqf&ebal6KZfUk5hCpRzh-(y!&nRiuaRME=X
zdB42^nhhIS+4GDlgZ?F~^jO8Mgja;Q`)gVUY@)<=cLpWIthao}P#fhP-=+i&UZ&im
zG?j0CKeiE1^`j^9OO?~L+{D;`U-oYJx7igQ`P>w)-3p6-B;SLd>8;QZWPwyBcjfnC
zFoygM=1>i3@YH`mT2~SlT#B&7y=e#aLVT*Kjl}n7y4|D4`%&k_Ej97i@w*pNqcoBp
z0!B+her=8o`Hqg_9P9Iy)Wc|5BY$>}lXYL>?Yt)K_tY<-w&ax`(fTyV=WC67$Ijhs
zHyc4q!6NsY=pdqClnC78MnfqaSsUv4Ann8=Ij`_q5Ar%I6z1WbRgc3WPZ5ZW#8Jl{
zxg}s_&{Wqe%F0Aodx--LJ9Dnmo4u3*MF~{UKB|>gkb<59IxsJ8hJAt`4Rw}TX1?aP
zZ}x~#k;p?`6-PL2p?IK95tWvD-#QQKEY7Vub&>rV#ull+bc|O2h;V+Q_oE$sRKw{U
zpvF>nl4VsTjpx`H=jF+j?`Oo`$m1$FHpFn|XQFRVjr?O_-)ZbC5j0!u-jx}mCS#F^
zatpMX6|j%a!w5<0b*_scu^pB<U!=~<wg8kuKBFdMYk3<jgrQP}-NKM2WU!Vm;}eO^
zNx*qNw6gIS-j|%Gsex}G^8N%wa{eImU>q|BHQCf30tJkIK7V2>|5i125s4`7j)>`C
zRlh&iu0F)lCI2ExiSc~|5D(3}ygQpf<vyW7P{*`rGYXgc;gMxnTN|YmLPmhEcC7=t
z<;aPLCvC}Kz&y6o&PN^C8M`Lr*(rNgDWr2<>jE8n>hBfTSnv4wQmhP5S+SST{pt&s
z*1(+VZ<#w3(sTai+r4LUP&S4()T_m~LMH%uC*+5I|IA<P0=Zx?f)}l~GNK@4AN?#+
zHV;=O5=8<0vsmc*U!~&X25V9tR@cD+yayiRMh$2{39Hyg_v1GbbdTeFa(FFVE16d+
zgsS;s#s+k?r8ma~dz_KF1b9fFe)wxCr&%lW7%rp58o)tl0ji%9P(J6|$`%Kx`+S)N
zct|pyE3<6}V*$>dh!q8Vgv*_g{49y5LN-R>t*4IzUR#Mv6YGzDbj=|g4zY8Amsj6}
z_NO{3TQva2*m)UbJoq|vGmc+mk`FSBglq<N5^#GinB)leN@fl`M$*G`SGeIT!YkJ#
zTteZgCJP_k0=$A!<-RKUL*;@^mylq-2tUFFZiDt5RL?<GhTpMmR%+!kA;V{iX^X%W
zQUjPDkGs<aOgIOWFLd%D{nrHB2z}=8y~~JW@^Ef8l@N4(a9v4bBWSAL@+mg$1fF=R
z7_6<R1PQ=m#^pG#&6>f28Etz+4>0g)3`;e|bSB20CXeWm@U?S#Lm^8XGgx3%+n?7y
zOBT<hu2RuTa+++C4d$+&W00*Qwx0948Co<YYd!qNYwMK6iSpNj{1cskd`L)W&+~G8
z`qmB4c3##3vZnuw$<rEzWt5Jz=i6kma(Kpa%mGcl4aLDNHXp5x%9QFOIYk^!@$GW>
z6p1fgSp7n2&g=z_i&xsWbUdstoAW;#3dwwVdIkB>*o{Pb-eM43&eO)^a=bFEOTD|0
zpkXjWU3~N4Y5;-twn2di*xI;_o9+EdvLSu6*#UMbDji;?6(YeV#S8sLKe^QGNF0Y)
zm4?@f`oo#F&_;IK!e<h}a^Ya0lWtB?i;Ny#v}rE3bmyyz7z{zY9-v0MQV7hzSh{U2
zA%_hRV7jNxKb??nUr6D&c|gb2k~Anq7qtltSo@up6E4B)?^I#bY>nSr;HkxBKsQ}G
zuL0v8OxT(Ff;Y^Oq~p?Z-Hu)Q_i1zs1NJog7L1>%AS~h0&6h)%_kS*|Zo0u=IJ`>3
zN2c;^Ex2C<)Ufzgf2Lq<Vrw8|hES@wI;lMyt^>es_y+8=@fJM=z-2NsBUYrWB*poS
zqHRwqFM4xF>@L~D_<+Ws*0(UpWLRdM2g+sn7v;B7sAb-oAgNQ_G6oR%V1U^F!ZF-D
zkIj+JeSVy>s`)5zfvwS3MzjZ_;rEej>xWoJm0^)W9R))1UMd$P9K7xh$_G400Gf`#
z5?A%tgJP3nzrgbkb5g0TBmBtMvsF;iY<&Q1TLon=PfcV=C~OF2nw>FpNv2X!|4FhE
zn1e+tC_e3o%FFdTF8$(86qv$&G(WcPx&r}z`hkvPcwv3zkzfi2G#S(+s)R3~i@H%r
z+uB!o2;gsK4E0uaC`YC{E3#uDgy)Lc6*eLrGW-d1y;)2IwOMUiU^W$oaBVT4K2;Hx
zG(E4O(wkJrH7Cp}C}K!+n^kb;2yUlA^TvavX@9qhL+NXxvqRH&2n%&ze8LNa&lSKp
zhAS3v5lZ?l>+F?hW@k<{Q#pXC7Q-lG-idG59n*wlXy}_Rt(Y4PFadWr{*lwhs`0T^
zty!C+@r=|yERV7L8z`iU_Ym*r><plzL@fC)+cJytvKiFn)&47(1SAc-YowW{&q%{w
zKS4LEK|(m@xH%c^j3yzbKFko|CsM9jE~1sw{B6#;?^~HFB1hTs!m^KON7z0wJ`-mg
z@%xS-oT{Y8?%{HwUw}=z4MDUHXW%^Rg%c6Y;oR=EjIm2&7bO0nz*PAQGJ;!MGzy{)
zAL$4&-o}}vzaDBvGJ;rZ5$T}QsBSU40cjp4GeTW<l5Y=n7i&wORaK5%VXVPRCFTu)
ze!h!h!T72~E+J&Nw)U#hp0UjS<^jn?D9bF>>A?d`Vej_$fImh8jy8(s!z52|+rfD?
zk}YsgY$20Wgn;aC7MbtjNNdPj)6lWrqZ|+LOOG*Y@5${nI3M4c<uLwNQBYx8Q(6WS
zU=<5jD+H#1Rx$>|`>rlSmA#aGaiU4{CFEPqeE4hG?(Y+D2l9kIXnd*B3h9d>2Z2>4
z4H-Vw^|lzV7n~9Yownox(qY*o@LeX?9~X6X;DoMt;s}9072k&(bqWxk&+aP{*txzQ
zZUhub=)H6Gun46e2~EI?@foQ#ro*##wLw%FAP$vSC+A95C!w2;<0|HKMUFyim*JgN
zgpYvrmcvUZ-n1?1T_120u6aMql9>cJ(E4QP(CE*eaLP!uyxgTFS6ezHgb)%z!iQ1{
z1??xbINNEutB$vJ++NJW6?^-xE3d+g%=5UYrJoiFWL<7O(A})0O{)E8Irj1Zz;L25
zhMJYwtp{Hcv>^wSnJj#qi%%;uU;ND{%^0*!n|jhb7%rs?uioJUShiS-r|bMCDrt9|
zEew^_0E55<v0Zh|4*h#~iQhbcsVmOD@%!*^V?k!zb#oORo*IuKo30-=i;=#xr0~Pv
zaKd68t-h2LE22resHfFcY%Pvl%MBK1nAGo-td--W8Uug-Y9B|YT<McVv19@f6%g!?
zTRJ-R!%o6|I|wP@EKAaUD>Owq|4wfxVP>cAH0{&%3OdgaQS|qk%m6`Jc-l4l$vu1U
zceuMzkxo_L8zDXB;z<Hwgrv{qh|)Ww^tLYjPQk(rQ!F9paUtlo(PtJ!^3g>oRz>oV
zey{%^!kv3PJ$G@G_YA0htr+X~57h8+LFF&m0j#n|tullNhZ{IUlhzY2Ob6y1PizIa
zksNw;+j|!G4eyQfThPxDl;W)c-4YpP!*uPK4;q<t`plg4z&QfvF-Y7B)D@>hbcJ?d
z`f<eYHI)j9jamF`mpN6+DQblG)B17_rZZo}Grc#GBj2OjWFq5Vm#;d2pvk2PfIclv
z<2I?ejagj52R4aFn~EZF9PR}54x<^CHU++>xh0LmTXsFPv^ALkoKVBU+@#~sPSSk!
zDBeB1TI}sVnOlZ`S@B5niq9Vs#3Z|EKptgA0yaY>_lL{Vsfz@+-wY^zt{n(F-Bokk
z4OO)<K*PX0t%g>~HJ)%50ZsILCo_o#^e|0J!y<9|ocv#CE!<`3Pb5NM$Z4clR3m~H
zWexex$40<ihOL;Y2>;A@`;sT>UKs2Ma9k~@zKrtZ*0oD#T8)z=E-8LHa;{pFO5Rar
zSJnfJ5Y~ogl|zR40Mpjj3eAzE`@ty5=JVM&yO_4u*OCyrMD!MsIKn1~igb|AmYmy8
zm_42bOTHMd2bHdZ1*lUnLkPkOnMHRgK0nWyX1j=j&K|K@0g8=cUSmdC2qpuRj-|gO
zQjACb4(^$+Z7LW{F$n6$<4mq+YO%Syb{i@y;H|t;g2^0_p0fJ!hHw8A{V_;w_F8?;
ze!YK@m@S9CC5sk6%d{^BAvN6562*BoZqL2U4u0^HpZjoCj;t_cH5)g<wTE=?Z3G!b
z#GOU1oPPS};!rOeH6f(<9*JvV*XbE%BJ25XdWuq@iZWa7d^oihnP;t+P#CGGUHzL3
zrtEcv-#N`_9h<67i>2fZo;3Sx%!DmSv!A<;1^(-r6|}Ue-Q^Qy+xQxIW?p&4BfyMq
zaPM!PrQU?J3`z9sK=~`-&^1EJ5{=iMV`x3lv^~=yGpG^1B!S(J!<`inJZ617EMJ@_
zt6{qIB?{r+377{b+fXjj2X#QeC^*8IbZgq$2zPj}n&f{<>cN5oB-pA#PQ}xxB%fh&
z_o`JPrd{6z==_z~lWe05SCe5^s@2wQDKxa2H*$O!>JVx*w^-HH_g1zF)ml`D7!-LK
zyT%ePkWt#GkmLgY89FgG72!-(+G|_u3XbJYYs+;RL}Q5S|3*h7fy2K6H0;4$^U=QW
zp)Ay9MEHieEcTgzjUNwYv=90KTK5|heK%MPx`C9T@iwHoUo~)=9@ry9ta4|AA`B8!
z;6`T<iF$};EdSIbK%68$3cfC{G-zP|@%k?yhI=h?{Mj1Fc;*v0t|SN#|FG_$>J<1<
z-taR9m}p6Tm=ih&4ddX6Ajf0YpKe;E8z_P<P`&6RWr=%YyzgzVqq<2(bqtUkxR5Hl
z4qCRGTyQqkRsx=kUfg$uO#w6;GtlUk27=8*qIygScZNF~u)`QCAO`IBtpf$yR=*>h
zqg7pHvnwS({kQdUJ4f{lxQ9<kY-m`gGb1746_hLZLpKHKfyu%gfVgfRGEA%vh4Rd1
z?UpDtY)Z4i&xUox)`E4huh<vz6pcspT!e0H4pye?9da~p&(*g*o(+=ts-(ICxet3s
zTwkkIes8Qo4Uo|v&nsfCN41W7UypA*4oUnbYdQV-g8=vDudNGi;M*GU#7nZXaXAlY
zpN5DcAa<JgZk~<|tKpQ&)58?&Wg2tizb2^(FjlkSbNQ_*rUCSuBGEUu%$;E!7hB6Q
z$tK|QHag$j;oK=H-jD1eP`j9aFlH-G@A|J&4szJdD>c^B)D)IfWlCG0I!^}lvdd=k
z*K?%eTtN1|M8@pqL^JEZOhcSiUUe+dHTx_e@%6zg9GfT^Uvf=b+(j^b_t^^G+n|ZK
zM|9eE#dsu3X{tr@#mifoDi)%Dl3K#JHXQucAf%J$s(tpALes+1p_dkv>;^ryo1u7{
zCy^JG)+4#ET%ry0B7@Y@Ucd0WW`uGK7jlio-)lS8&Q|IFVx#2IlGOSdt8;jT4vMqj
zLO<CU#QeDxZ1&+f`}TUb!AGz!h5gTZZJV|Gj<k88HX-QL&&b{V^^^e?JqnlQ+Q->D
z8%;FFtFoW8b&uj`buD-p7?plJgBOhVJ}y)PcWN=sdL->MZ~}u%TO+2J&C^~xIQfL`
zDuAH+>}QRap9F+jR$2IoZ8W$yn#Ct8uVu<(-$Js9rh36bwcFywW&EaT+gV=f%n&Cw
zWxj@O;&pD1WDXk$tD1n}p3PM?Bw!|TS_L!)cnT2ONxzw7N*cs(1lxl#@kLOwE3Fzv
z#uY0PoLm|Da$iOG>Lpnz{W%`;XP#I%%tI?X+kv#~kVgY3l2<hxZO%Fppk!r1U&)?~
zF@#eb_RlTi4CXmDjvRYjG?z9hoO!<o|4BukV*^|w)|G)wEWr5b-e51d?pnv$1E8Y2
zvXFo3?2zzU=v+&_O)jv|JTtU+{PbPJ5u~l&<faVpNb4EAvm2fYAQUcbrhzDU+)MGT
z@Oi(eYjuGSpzIp@qYEso87710x(y9l&%Ys1-=A%#_~;7&eOV=KKw@S--))6S*B$hM
zh1|tme1n+ju00@!Irtp$doHT{9u0wE$t70M0&_k&5a&vtI}-e+`cZR+%iS%l*cL%P
z$~}|+W-@(CSed%`56Bx%P0El4s&r@9G=f_<I+S9dXx;x40mUWN+de;jzbELk0e#Hp
zrgY?7DTiKXB(zIvaLE$pmb|`z?Vf-?J%vZvCJ^NX<eI2g_k<mnT4Hz}4dSh=tz#Ik
zM*LKXc?iYW`lR`jJI#CB(q+YcZ}tOK@{#-kx%QZ2y_?u~KF}k9B&7-Z7!nDl$Z>@K
z0^R<`(kO+)9rWgyajmLRFl0qG_zMxZ>s>2%IcJfc#$9SEAx8r|xsnP*7x7t#P&>w?
ztRoCK^R;xJm2<-)_aJ_z=J&@?-3cI&WRK*2zrG=(kqHcVuOk)LSmnd1Q))aGi@8SS
zQNEt}B<MyL4nns@+Zo`eX@4}4?I9)=sV#Aj`|O{Vd}Czc22+;6kBFoB{`!z~yObRw
z$WJp4Z}D92?5ZgE_HcfnBCY2`9n|HN0x5wT2msU5@z3@1)h?*#AIalNHTEJTsDk29
zC8AVMhiQn8=sc%Qxe~qQw4~Ri<CrDFU(CvpxkW585beOyFjiXj{d118KJmWYmohck
zbW{gi*ehN--^3Db48__>>ii_+Jq)rBo7(vNmWhQyi4GsRR97vI4)wdSmY<2llvKn0
zq6;Jx+9$Ry#6JkJ`(}mq!)IW-F?w?Cr5YnI??&<g7|CjcoGz5_rW{|zQWPobQ|yr(
z1B58@$Xsvz2nLhC*O5(DXMu1FW#^D^j${7EvIO1KKk5J5zJfL|+aT3wUx4uH${DR_
zish91W5-ujpAY;WIRz?MkSx?{n?ks)f)=|ifRVLDdyEq#S4ToP9aE<-w4%c82P<p2
zqv;BbGu)|6dV!F|%Vd%B8)YX_1P2lMGXeZ;lDN(Lc5^W_3O9*}PK#o+C)SvcCh`pl
z!$O0~(K!j;Nz-)dVK4rOeT~H6g<ZJ%pz!pF6qL?~07que$YYpa&mRIkrnW30(_dpB
zVT!G2KMN3WE7`+-p><C`UFwnz3P+g9?=x<mB$L0+2`|Xx#X=#@%QSF1BFGXY|F?Z1
z*PG4eBJbq|-@3%oX2V=~Y0@1AUyCkUlEmbu<kNk2>K9Lsm=9~{n<(qtK!unC)yLB?
zr-@po)Zqw^;4u#D18w=Dgsf#ap5vOsq%9Ci&Vkoi&;C>Dy^-4}{K(KQIbC9&ppWcv
z$T!&~Q%1AOt-JOh15g5^U{1=8_77xIIdm!vmJJEcIfn8}#g+8`++GVuBf@m<iLgvB
zNHj<a?kueXz8>A1M0f-5R74w&;H+S-O*f0jm0?y5f}&28*8nu2*H^&)xD1K#Qz#z7
zm)Y@Gx4fWWcwxyVm{o!RubnI_*CG)Bi3wJ?7`yt;PrM}J?He$o&stdY(K6Do5fe47
za!x`LnQjimQvi|Inv;~0^-Z0xl7C(}bFIe|fhf2&eP@Ag1&$DjWh8PQVZUb#!rm5K
zNq~MmB_xjlVq<^!ZLz=BdT<KHTGc1v=C(`Z6r;)X3WDdP3NDWmWXtino6Ddzz5sUP
ztD721D_&i1dLg&Em22j-tZ#OXGd+-SASh<PC@jm&>}ixx|E22@&+*W2rQ|8v$#2pi
z>ORo-YbWLWRH3k(GG<b?#%;(5E!!zlR(k(I!SEz@UZKeAH|z07G6lKAR*(}JhvgbJ
zra^!B&i%WNztA3f|3smM;w^Ww{goF$D`ygbJBHZkG8y<%B)*(K2(d1k#0{>~Bj(uQ
zA8>VPhm$mK8<IlI=@g$Hcz>5|0!Iq2-0ZH4FHy9LCE%C9&1=7RcidbL&L(TGV`K6_
zHwp8Pv6-Pic1%*vZTt20Egni}*bCsuLsYnqd8Xi?5I;~=AAI2-0!)4HgIvDIO9`c9
zsaSs~$TUzw^RyE`={m{&yHN;kK>OKM9w+qti(wY=0+DjyJ6;$iL-KMhWMT|=_afBD
zsrM?czW9K9FhsVbRg3w%19QIj@nsh(Vro+&9HeqIm&-dhix$+zgnXskzkW3P&E`d@
z4#?_7q+;EZ?513MgFKc42Q!Yz>r3ccvb+vHjBs#qR@U;<6%5Rad*Z2(MGp2VW5+OM
z)&BbRV`U1eH6tgQinv4o7SuQOCM3N=q*u$kDTaqRsm{~Gi3wuDSm*haSI;JQw;^0t
z;a{V18~=W0!ykNJ*)SaYMM5=BqDCM_im@N;XLjqCPCQJzH&#PoS<<_9$Xb-zXMMJS
z)U_>(7<gi7j8yuEZ0w}7ohQOKhB+TBv|yaG)O){PQY#$!H3cqQzW5@h7PV#cb4e6m
zU7MJVryxHPP|4oLn)`(xy@&c)c=)Ly1gI5H3g}{#oD?=-%-w`I*RWvi>3Hr~Hh9y8
zNtzX4HuUU!B{sC&f(Ma<Oc-*`0~UDux^PcER?O6hIVo|v(H+pc$?x$r0eLZ<1>>=h
z&b{e0In{NY=V-^^>|o@lP4%OG2Rny1s9rRJ_)KmU_x#q16F$x|b|siOQ2sIZJYI#5
z5fLRRD#g|nLcBBm`1fNEn8iI3>obQ^5mJiJ0$|V(qk6%lDwzFpOvy5bt8y0!DXU-o
z7ZimZTIpj8YGE~#O&-9oiV0sj^4%ihduWY(-;mZq2mUL_U*hQTdsGEafLHO&fUy#@
ztI4R^PiQ%2OCeXqfT4R^8ax@lszc;z&iHZakjBCA!-$a(q3Hu%kHE>pSceBMLsPj6
z0jGJb%6Aj!`8_G3^e=mHts6bHOp9JS>4~PWb)>dj@)}ypv9OSKo)S!D^fp_ubgFaV
zu^lC(ow~}J2^{z{{%|G|>d~bn4`z;o6!2xhWIx_x_CH<%^@?qKH$g3Ff9ZwY#z*rF
z3hdjb8{Ap0Ju`ny*G$g7hOicW>@v(z^EA+e^Q_HrSE+uA<C*(e9;sq#JF7bqYvX9a
zR97z!wivBw6eH?Z&ER`3mb=+mq_CL&a5;!ycMg38I6-|OSjd+q04LEXQEB@m5=g1c
zd?ehBUW~;3Xl*EojE-iXSBGo6B*XwaK*YaG*8~6Oux*PmQ%R=mW^6Vk6EVgLPM!+e
za+XKet^>f*07s5!jqFEee+U80y5BD5yT#XCD*w<Iv8IVMPG$y-?aUNo(iM=kR&b2D
zO`qx6iTfM8d$Gmk@NUu^w+fpDKQ>DfAqs5m>!UFS3HGmu&NhBn5HdkNiv_vW&`D3l
zf^byVcm3){RF-nq%2fFavA6ggUh04yAw;{Z{!0~I`eakV_=tNyjs!^nG5kf}E+I;P
zVAG>P{&dIk1XgN5maAS!zL>p62J>uHyAS#XC4ca);rw{?hKirFL>fwlkYVLYo|qzq
z2HoLp9$WEoVagMy^%+1h7w^{U?sRTG-kp&y@n$G!V3a(1+X|e@Ym5z)w-6_IAcm1F
z*9!}e)i@R?-<HR!<5K^<s?h*X$Evh?hOc(D)u-$!2o3)Zf2f=MQEZegV~l@^W(Ib7
zWFczk24k;|-Zv7LXyBq@i5c5%OX+$klcoP4k}3C?rfz(1iHf_-J&3gM0EPf{oodV>
z4IJyt;*(}S;+*enh7l3qTcP&y&paRRVj5(UZ_b9Jd{%mSCo|J<c57PQ7E6l&2!aVJ
zss^p1QO$W-6CHksuwpvSoVoQ`mQz>6I{j`vd7aKEUF2bL73Mweh-|(R14}IWlC6t%
zukK$ky<9+*af>+G!XAA-HQ44^#`53W?c{mbHHcfTI$5~_642*akq=j!vigj6U`b>$
zd~GUlM<j%Z;DmU{M)?}Z1BeFkdt0|cW&1g5AmMpfmK<uCx}@2SvR*-E7a9<}qlhaJ
zxHM`4ukD4%Q-)^WKNq08TB%vf#W5#mC>_ig%LNBiwfAC9Ui2x9Ix<tC|I|eKe*Fiw
z1f)4=@R6uAsD5#J_9k5P)AtA-<r)c(^(}*WXR6i0yur^Yq-E;_cev3JZ2c>^o}7NE
zp9cz?ChPq2SB$MmM<%2(N*G?QHh`PfKYmNat>9lCQ6qM%tmb9{n82sGFltnOAdhgn
zWj*g<8?DHTE07fHXWkZ-_i8YdI=;PT*^+9?l!CIC1M2$UDB=9HQ?JRNPuPPH*J*lV
z1k)BG)(MV@#<go)%xX~6{Tm>j6V2q$HDD_}AyF;{S(^G}>am4^A;gSsQ~9{-%C+C;
zJS_y4lQA7-o)1-owNE2KBB4<z(TsYc@p2=s<ziREr81Y3#KRr!-m9xD=K~lsU%Gt_
z-^?2G<kEFP<6fN71pk=gcKI?nMr%aDEf??A-e&Y#VpByDirx@T%7e(UQD^pxKqiCa
z@s|JjPX@%46kU3=MBQ(4?1H^`d8mlMk+!kCedq(97`eP<))gJXqMKX9%SvKkag8hg
z`2oWo$al>jCyyNs+MxY=g?gr*W60DK^(xI+%aVXVFLFeFGFDg%jdo4%!EO@9fV*i+
z6_+Jvn}!+07+$ob67|<Fg!f-Ex756+pD~v=czYJP%P(Yj9JAU|<pS`2o_tJo#_(jP
z&x^kf1KiJ^b7t;5UAmJRc-CWV*+~YmjWOE2_G|8OJibT~t&lpho95I&1x;nAgfYja
ztQR}yZy5HxtF}t7XhgiJx{-y=xsX5B*SoM?>me45p<q*ldplDlHbG5a1Ps(Twe_#p
ziz{p}0}@9hM@x2q`orYQU3edt2F1Jj`oPNNz5ZGBkl<%jw_hl=n2Vj-T~OZsp!_2S
z08HK_ZEog~jbjVTS_>Ws%Uk+03(JDZrz#dh^3y@PL-S$0J5)%wHR$#k#>Sa!iT4V*
zw<r{Q`Q3M{vQY-Z6C<+bCK;@u!)%<|VmmEbh*8q)4m4SSTRK<BN0>;Gy<P1%?e!i~
z7H!%=T@A<aguTZKcrns6CZYo~dGI~nj-P#vAeo=h@$9Pj5s!B^F6cy}fi)`MhE|m5
zrE_t5523FTu*Nt_M`NS9S$MAp$Auh^%etQR2Ef}<U`xRBKoOf<4(;5V@Ca3EoCI}_
zIGh@%$(Jsq|Duj|C?&t%mHAE!C@-v3*vV!6axf-zCRA`GO7J@Xn<SQ!*n6B!S-lc?
ze$#!(Rx*u!>iO??rxAMQZh)<50>!7lI26a<Er?kHaH-`CFF3m<F)cJ#`-G+mgn-T1
z#zr*dpIz{-AR6JzdLtI^4epxv^<$V&nv0<v4K*eH>x{wER7<LC52j?#4MPMHDfVd{
zkAecbr&WD8>O5IHSzBlO0&l*1I(}FL2!v*)GrMagrW`fKp7jtWJ0;6n-1C)G+zMDI
zNC~@6OevqYFOc+vNS_|9B;Ez46U4EVRLrO-BRUSP8=EbcA=VICA#OB}Z+r+enU_3v
zLG);Hloe?Tx_0nTrBAikM6hV<2BzB~jtBYIZOm!2aULx9X-08+-^Fg>ga(m*$Mn=n
z!vPgV$A41Q-~s3t)^)D5)v7=ErzylvX|f@mOdc$yI7Vq{Cglr6j><kuBHf=?$Y1uP
z51&;I&CBJnHxz*sx&1a?n~11qKd*$5KXb}Lt~--z%ZQs-Y}7y^)QJ!uu*4>S12B2a
z40*_S({_PfAju<^cb0=$3TT*$vj_(Dt#@9`{d`FSlqiXb$jk3yP9juXNj&LoPjhRd
zQ!Kr!tj0<HMQrwUSiNE&XamTI%4TJw9c~Ug7<|~HR-Hc|`_|OooBmr(Ri2M{!@P{I
zQfXFkn4l4ZNXNv}x`L3+QXW`0AZ@9MrD&&YJwl(`Kdq5*1jz>)su?kSCnG|=hE{m0
z&QhWwr<v6Q-uutTNJi%9L!m?9I0Vu_H7{pxCqT9Lkc;}a?fB0DubN7;9sZXtMbA18
zeiw01!YIh<>pw1&%JoGw-?h*EiAe*Ce)aY61>^{I1kgktg4}q#dUvAPh+ydmwS*@Q
zAB0r-$fm^~%?7Nb7%u)*8u(GBqUH<QLDSoWPTJ=%KQbaKRaWDZ193uas@}hw?3aZ6
zA2JI1y_=DpvhHxU9;n>7Mm-ePn$gyI4qZqu&<7+r0}tSFu^Qb0Tb**w+2jMM4Sx8N
zj>RHb=mz_|6O3_nwknz1k$n<b0D_NKy%*tPD|H5BauoVY6WfN&#d!yqWbwJ?VndyD
zF4du;w+JB~SBAjxpQ7O~!y{PACbLHiq>}B!zR<6j^9?{#!nz&#QSEk7xzOtRW9_DR
zb*G~Sf&GEz{1#M=m8qA7q83|8M31MchK#1YG?fCK2AGl!8NXqo5ckb0`MQq-AGhzq
zd6@~JA?#0~gadc2BO;&S3SlFfLDMy@Jd%eg_A+>3;4F@nMgMN#oF;UI(5zRP2EsnD
z<S9=0u9X~pcTTE-IG2=SjYYUL=Ug^46&Y&Q_NNRgd%>F+pi=$XF49-6eiU@#HXqsJ
zUW_xDE2@^Ce{S-PNSwox#Z23)YeF}7J$8j`P_e-ksi15>g3f{`JMIGG@xcgB0(Vvg
za<qI?II9<qUa-QR$X4k$pdgo`H2R;lS4DNa69xTHKY#k&=$9Bg@^MSxW-9@ncJ7@Z
zjy`)heD3Xvbld+nv#6nbVh<}Bo_^_}3UZ#ej&E*}z+7GUi4uJfoJY4!uk@kv0_ZJf
zACJ%ry#t$>S_;))P(7x~=TgOz$ThGLK}%Qa67fw?)jpP%!3?=ACD7YGyeatJSk{B_
za)BF~!Mi_g#(_spQxi(m*>C3s1&z$Tl9lFYM#u5cbH~Q9lc+0{#)2H%X%~<QeTu{Q
zk|*6*%SO+<)HkeiTFpi0;vSo9p2}^qUqS{pV0+(XzrH5O+9uvtNQ-wb_TyANh>_(L
z%XG-<o=(^2&bA}u0|5w@fT>Be=mzr94)}Y$mMO*a>7_p7dXI3D7ziN_*_dup($S)^
z#s<G-Q)G5-FzN=wmjl2t4DTjDFAEUgnrd~<0FCW!J;DdZ40{*<*oEN~jF)(i!=K^?
zQKY1RQXvKd@t4<y@MxS?(X4<8Eub|V3sxvCAdq;bH}_+#c3{Zd;sVMtT6h@~&mtdz
zOK;p!!m(c0B#pmYeG6fW_^4D%C9k|X%`6rRHk~y2I*|{^A5V@nCNa-l*xQ#tk!mg?
zFP}^mj-<t3wGS311yxt>R4-GuriCUkD0-!ugnvw)lHQ>3rX8`7S4JXvN&H*TqH#l~
z0630^M8KT28zDb`;UTb$RcN9`)l5gFofKq;nIMJrxrn&ec_EO(#X-LSsl_d6v_1&=
zH~A>EIkmE@GOl4^P3XyN4l6peizdtBY745+E{ZMx3^=!@V@h_n70ZH^+YtveWmvCZ
zB0gNQf%`|~kB@daDB0Tnc+|nm+CfY&hi91yX7|M?2Cm(N7PrWgi8Go#)=2mU>~a+4
zRa5Gn1b1|Hn(TLCl2r0zXRem2T6c)Z8OiFf9#^Q%t>nn`L1sZS-yX2%5)z2Xe!?*_
z`?9q*g6GEwV=M2rJEHPRRJv*fXVZg;vIvuzIB5*!7*RNUd<yb|?p^=#q@1nFel>Zd
z=zXz5-GJBy&YRoB`_xp>;zb7Y*AnDHw<`K{i@f8CuI*-Mxlhc$nPkg*8AZ_Qv<;aU
z0MDXhbpy8LJWEf__ii=aXGuRV#7bc&bh@q(>SdW@qnYDJzjj3df|&X+G51=9efeLZ
zMp1Ab`76^w=Yrt)hII@`Jp~5+9dpx-J_7ND?$^YIx<2ixvu-c*VLmNY&q2OhIXd7`
z$*CN3LwoG0{oWETDj=Jf?CS;yM*i25j4C3BErSb1_M6oU&^K{14=3^Xk$2tjo0ej3
z)66jFY)NIdq9Op+4TWtg%Pby9%NCd5s4OZM>Hn_$vM)FlCoN(vyI(L9X^LwURu&X6
zfPu2}w3<mN<8fBwdryUEh?`ClGTo7|KQV1xsZ^{cIGbUpXm*-Ov&`1a)l#gPaQ);1
z45dM7AcuuRhITuoEswsKIgh%pP9Ygsyowy8os6Q6w4=;nJRO8fsu3h^?QuvXWkBW<
z^0;{jqynfyj7Q0v&{IaxIS`pKJfVhBhhrtzB;z{-nya5T4G)dVVTZ%~P^RKeC8piW
zR-g?xI5i^&<fK|8Uy}5Xz|^j058cniVakA>URSo@{Y>NZ*J_AHmH9@JLKW*Si&pPW
zE;vbdbAa9ewDs49;N}VoYNphExIK~awM7pigObxU6tdco=1x2Y2dw1xZtge9DXrJ(
z1kjcS3ytQRg=luvurn-K8*$3Y^o>+rm?N@bK4bd0^_d^nCe}-_cAq1=5CzF4lbyX*
zfTk`{M-vZ;)P=VZo0Xg7R#e@)_Sdpr5{nmQ1+79q;{s9w9jc<OjLuIdE1R2CY|Y9p
z7g7~7n872-V>w=@v~JF*vQOy*MVCUofr|ZdOQ8)skYJ+O0dcX03Q_fjyb;6el$+-C
zBbVOUhfI`jrp?=r>^^)cVm6!khe4r>{_OWC;R;&4ywpx~JT-jVl<Yc?tF0kq2Jjt7
zDTMr&YA7)C+4Ofj0x-oKAvd6D*;+C|BS7k72zTJM60cF(9e<n1CHqzNsDdm7#g^fr
z;EVrmqs3>I))K)k`~$dsL5{#~Fd)cM>SS+CV-1r@rr6jL^VzKkMJ_jo4+^cS0VXp(
zJUH4|F-%~sm1(XbciDtt#Nu2SvbM}x(dCLf*EQeGcMv-_50le=mdiF^&rX)rG71<z
zITB)n{b}*rvCgVq5^69jI)unm>P<W?*v*NSI6q7lLur{uQd^=M$<$z~M_;6I+Ldy+
z1LMYirIUI(fF_n3Wp-Q^Y5f;^Tt|S<aq7>$j@o1AX8^EIN~VGo^~2`gg_yL58`(y$
z`xwee(D?8Q6v1Sn)yY?ApNv;eHfYQq2>LNvHSVBAdpP6QchNn*QkW?u?mxx$V9k%Y
z5b=1>@@qajs-5xai_UmIf2t#xyWGi)T-f}w5KTYtSIRsn5<7LBVlWV)oW^ev7}gD2
z8$k=RcK;jyEDUJgYlDZH%MBf03d5{%m;=$<*bHnv8Z3V@+;kcwrvoWdAvGw>q1prf
zKL&iKA?=!08e!p(uLd&^nShqvY{h-^jLc3LkC?s_VC<ABkR5r}-t(6<0VAT(d4sv+
z2#a5=MN-5K3YgrQ<o#ab>`c=Y3r8Ba7Y<pH6F_Z6MCyj2p5~~-k*Rs4pbPB^)s4RJ
z{WzJ_kBkq-(IFICSvl^q-y`+zoa6s#&%)qIe-KY#`9hK|U!)chlM;*wOPlH|jok6H
zlK`V{&>6awWq^b<*#{Os9e<FYdDA)Y@#kPHNgk8wM4g_M$q|`T71lQz;+KlFw5EkY
z-h90@iI%2W0uj1Jl3<&iK!8DkFtsZv>q5uN9f3PrTV73gYiGH&Z9ODVHdhAYJ<C@3
z4cb}0Y#@+f*Vc~C-ZJ_e-sU+Lv)0})9<Sozvv5g)FWBO-qc_+bp`&TWUZ1nkp))2W
zc&K8x+<5u4a*}Eerc~XZ^3O|b(??@*;)BTw9*@#c-&7j@X-rB*nO^ekv{7a+mPntC
zSj!a`*<7~xYY-fD<;xuCjV1=ver=B*#?=Bt^gL<(kMA~96mH9<xe{Aw2`i;F`#BAt
z2ovov)ri(CS#-Vll?-J~DeJRwvO7uV-+|vYHkdx$R-kW;*ByLs3_VId8w<;DbR&WB
zpj*O5_c@HHbzx6$eTwMpN7PCSMBuDvNE%?IsPoRjg#>v4M|=tOFov8^ceiZO&#Ycn
zQ%BCQ4Ufp=Y`q9-d{PQ>Z{86`jF1nv2c`-YfG`%)kOp*vm|~xFrhnrPeqF!N3<r>^
z7o7J}@Y~WRa=&=`Yt?#Uz+JpZs)0u=YwOILLSw%AAJZoUd@a{~=a%pKYPHEA*#Z_k
zB=M94KV-qM@5OY82~eR7*omGNVk+}vQDJ)j5ATMgx&VIHv#?(uI`8gRqN?cuI2U+p
zPA6-Erem}E)|;k_;sD;6uX<o@?xrkj*i%QpiV>2qQ)Tz7Tb^mh7w~^4%b4~97N2CD
zG}f==+_GvAa1e;Ed0zJ_eG1bS5vGb!-^`1t^FMSSRytenys8BK3w3M(@8?A5^_Yxs
z2)o+svE>Fq91-z+7O#*;Y#uYilaFy5Ii>_Oic!eTPTx0#whw=}l@m!s<+JTfvI>Z|
zvvhx28WTtt*d7dkOb8kpz=1?r2Fif1cq+ke&D85fr6&a&*BtA+_@NOHllyZ3rmq_}
zrq)uiKOyx_eaCaN3ED|9gOw`kT3!6)JD|sVJn>(?XwzuZ_rX$(ms+UHJngJ{mWIz^
zbUY%z?Q%!>B;l17`?g=<6al|_d$kJ<7xL_a#;5rpEYKQ=4FNjcYAnJ}lczmZ8{|IX
zr#wE8Mj=7oXPL6yh8mw`eNH+T48%zsbk!P>RH=x*ifhX#vkOPcxwdl5*o^DDVZkaA
zEmru-4uai|=2Q5AxIBP^5z7q^tXCsI;qk!xabt?2s#<(uus@gPa0pZWxJFAt8~=fz
zT-BVrdwsy)#zq;0%*kMp!MJ!|iOq}!9;8EZKQC3S^H&jbYDi9+l7E1(b)T}5Fg%i`
zVq<VRj_L@5AuSam!gBK@Af&|=uLfdS8$+&$US_Cmp{Uxq4<b925#cYifEjWGhKmsH
zy)J4a3x0#kR1MA7&6DQ!wI&x2oL&fA=Cy<H>IyoKb-9di+*{3ko|+5&SELINR^*|}
zQcL7b(AkWst7Gw7rUejBCjwQxe-ibsB=j_$Vm@&WYS6I3$ac`yKAJ5DTyt*Dql+zv
z>!J{x^a%Wc9Jci+B+0xbx{^#)+Bq-ORou=G#(?sTt0y$7ho%5Oi=rh<2Y!es+vI3~
zqbik3m{dqS{_FuH1o&@10iOPn0?jvrCV4b4uFBhSyxCp)b2lwWVhoLOr`g*>y=z3;
z?TL0v`D366S*eVX?<{)&Q4q}xu;{$^k{k`E;lP%K2Y_8F7w#!C3V8eh0A~p02abH2
zyfHNpX;|WYVi_t%K&g~t#yXT_Ntg-+8xqMBK3cJ(vtTu*UYsXpzvGX+YIh+D*m&?J
zk1_GhJc`YCMkCYr7$;CHH$Qs4WN2N@bF>W7nq+ENn<k9P??w9fwhU$Os&_|qPhggc
zL#(6U31L3KAJ%v>sh&Nspf`)--Z-t1H_F=m>j?&6TI=iOsWeTb(I2ogba2?u#=?z?
zJW<yl8wc5OM<J$cJxVa~FIXrN)4q>&c{NU|{Lz1sh^c=Gx=K7|v`yHX98HS+4^$Ty
z?l6YT&4+#a2_~XV0o4T3h23ypp##tSvaM!gOy`Fm^cRXJ*<mGQQ}sYDhg|B6$QcHG
zL}ces1WUtZY2^M<h|!kE#P?CoR!N)N1P`g<r@1v?>YBn^Zc{Zisk-pO<;rQpeu#%E
zP}I<a%{^1m!=zTcoBm++lu#j1cn_7!VKQ1lE=zMXN-obUOhFW_<t|1$Gh`m<m4U&C
z!XF@q7<!jzj&TFI2I=iQ6O0q<wcs6MQ2k|VX1))2bP^Cmwmi1UE%=~mtwLV6N(+FM
zK4n&oM3$kM@anyRvT!I4y)vTJqf>*x7n@^6cpY(J%c)0A5wf}R`4<o+U0c)|OKzvI
zKP99gm@}?)8IA5VNvx>z@10b%tT&PB=}G8ZwOc;e1%%+k*J!qazsm7>Ak8WAp`38H
zy>%`!$$6Z&%LRX(MSoF=IL$P0ANDM$Z$NPlY41EamE-8^GUeP~!ekHO;_f-n>0nSM
zpPD7LywVY2&v+V}eHF(S#XL?;FiQifXzX4$RHAxsSN<<j)KsBC!w~3i`xO$!oZp7R
z7C%FM6X@jY?hX_KmhY_@%=bMHYLd}Mj)NS)c?JU@`^%jTjL@=s{((|gL8~r}l;+4b
z@Ml^z8l=^MCUtH+aCR`%gg8D|fW9JRN!DUH!#W*ONL;5I*&h}f(I;k(F&YhzuUZT7
zGZWHe0MJBD&2jMO<%WAa?q$rlBuDMX;*UrtPSND|NS78G!cYpZ4Vw4*ANXOy73Bqk
z0grwkt-)TjKHvA2&v_6iV3q(!Ni)C6`-0~F6|r}$2e+(FuO#ti6b22kr_S)zI)*!)
zQSY}?q?m+3qCO{x-+j~Omxu;br3E^T6)R|{{SPGFMLJbO?PbP+28U>J&ltctW-=H8
zL}%5rC}D^tBTlihNJ9ilbO%R5Ns_wtb*e|K@fwNf#GKmseI5zle2AU__wo#BpsnS1
z=%l3q`@qG};<J%1k!iVq@*rYP-Q>tn?((ueZdCPM@b+dPL{<t(5M%;ZO?=t>p@}yN
zMwGLXtjM^3+NqXf3jCz?r^oa$rfWfJ0q9EH-(-P_UyY?{zMyOTt?V5AKo`1mm;r1E
zz%_|k3vX=IhbpN*Zm1;Xi^+fp=X$;7Q&ABJ?E>*&EhI}JJvmzEsF#r{nA;r`K35Uy
zb6z1}jiy+t#ElgkhTw3o_}VxqvS#iX1sBBj*+Mki&ZX!&4KE>;A~6HN6DMxqdw8&x
zW_P^&*)TqK!*)yQt>G-k4uop(*(z#O_8sSvb$nGGohdY3<qA3nP(p#N{@>AU-}Hu>
z+MPXN5p0(BRz>6K@4ggC?Z!oKLv(UzG-3jt`;Xvrt&<K3+P^CyDaMl&VW;2&uD_OX
z@}@iNwUzBAeD)8|+X_$bYa*c*dYxi-$t|&vJzp}yYju8*EWJ@l<)UZlLc7DTv3#^h
zJNd+TM?yJ<t42HH_E(fckO+xW7+WUgwJl;bf_8xDTPHZC&=W^|zfmhqH6b=qI|y;F
z^|rVE6xvX%pIiUf@qn%0yMS22*i~Pgu=ncs=_v0kH?E&q`Z9A)+~%uX^6TpFZXrB(
zaOXF%INBy{^atdCBI-8X?H9R<7a6_EmTh1Z!PmS_XFG<fPqzali!izIU;k(|nH4xx
zApMEw%0Wu=Cvg99nk>Gh=lq1x-}7ZL1&CU87Oow)s)b6ePn#O?x5aqpC!0}7+MVz=
znmD(4r;Qy#y4?Wqn=6z2AR2ww8KZK1U70%Y+ydvI>+Dba7NrKr5rI2UcP#ub(!-$-
z7LBLei?_Ax-6<>%&;WN{3XotcppPsbwqc5qAyTNq)VQDBE)c~U5JGvIdwxfO(MVVL
zjV2d)gUG3B_xp+g>aornF)Sra@s&Y5((Smyo>rpOrFjh4u$&(~=2G&59}Dc|?tgB>
zd=XujZ8xE0{RyaJJsxq5wdHXjd?dmddFw_tC^1_a2f+V!pzsf^BkkLDrIll<KUzGP
zLvlt>XrB@;*!g+OUP3CCXttf@PqkF%(}pOU6egsuyLKul41NztJp6{!NbKr!^wo+d
zkXFsHb}SO{#DUDE>tWSq?5CpUs(q8zCX~{5Ap68e;#m!W>~pU5$mMLhO{G_xOlH*b
zW>zSCCaekR*97x|$SK#-zoN{%tmg)f@Z}o?9Wu*NU|3uMsu0Tt8!Tj|Q{-00=!eUZ
zZndp2BKNNd#~g3{vvVjLjN2+_p6dSc!R>85unlBZ+M|lJnMa%8S?M`d9P)$O>hd%c
zwYa$3H4)?8s#>dY&VU)lZn_9Iz?&Y>Bx8}Qm0iL0c3%4;*^kZXW)leaakd{<VQNWz
zB<Z>xC+G9sFzlJ;QP2FIkz;ebI(5}9%ociF%94)m<UuLL)Y29zD!vryF~h-wtTmhR
zs>&{jP+3#fB@qA$<u5{j7e&YhIEoGaN|()^RiKE5JmE814l#vFa*y<2B-V=x6Y_v#
z*lX6?Wu%8C06MNGsWgt?;<28nYCLwbVi&FxaY<*i*9vBy*7q&P9@&dBZF8KQg&=T%
zN6DG&bTGS6-au>=j{GHj21+};Tm*2hI9$qxxnv~iiA*z?4_QXDuLjeRQ)Tl)^>o-=
z%dEjin{pbj9AAo&t^&iF(1R;Da`SUn{Qn7YKvZR<J^O0cJgQDy3=ml1bc3g4D9X%!
z-1WDJL9Fm~C2V$GWb#Y+__oFeOqTS~{~$&BwTk3X*M!WR0}cnwJEH_dnJAplo+>o?
z^QnN?GFCYG_t5ctFC}sOet8lv@BsuAbw_`H8CF%J>gn8s&bwp1m^jyiTD=R(^GkkO
zsZ{H0sX)D?`$D+hPz#ZtveRM~^Ep3lzl|#qXUzm&fDL1xj6xRvg-x#+><w@@r3uqJ
z1B72qyu<;Sn_0KGf>R+&!-0aO`h$C0nvc53?DxfT!bU>57UJGNmWD#XzGr(6W-{6F
zrRX`J**>UGB;?&Aw8UKggBGw2mx>Emik5iB6wg)wc2E{AMppT}Uhpqn^t8T{8UxM{
z|4Y!x;Hcynr>A6{(%!=6WFr~J9ykWW$ChzfM7>dP8a?ithKvI-=H3NTG)fzqrDkcU
z5|{Zpkmj9A36r1Z<ov4$K{YPBz&*BbAc26v!7%^mWaHuhl=$aOaNF^{eho(+uRnhP
zXVnisqtz~C$O3;2$IA-<AK2*Pe=Z$Fip$OhN3+#%<qX+M=Y<;_n^McofzCd&*jbzn
zfdyik*GIi+M6LLz&n9h8l$r2P{>%H0lQ-Q#i%#R(ffwxLqDx948TMIzwDi{VzJH07
zE*~h{=DR?9ZrvzN@=ep7*L8S@9xIcSC->EL!8klSh4}X%^5$;X>V2<`zTFPgnX8{d
zLmzuEFGZ9VR@p<Lhr3WRkF9t$OosH${|q=6@7<?4&sC-hdR}J4Gefc1rwa;?#I<TC
zyS9QxXKF+pVA<_<(thSm%r%rA{&Quhv{P)l-Tzjxp>A-8_B7J=Tx<g3OMRwsaP&Xf
zgEliDT0kS2vtdaeZb^GKQ5R$8Z=uf7kF4Zy<6HHQ6*nRcJS_T`Rbj!7@Np=AmI3Hv
z2P<U)w5X(VaJkO%WdildR_hv9lF;h6*#4az__ZDDIN*oC#kUVd@?3^W@x<x1?#c<Z
z#zC7Xl<DL|GAek%n<Vf2dzfIQVKt;cekQX#H(a{0T@Q{+Jd0*M9@yj4L_UMP$y&&k
zVj_BM*CetL2$%Hw{YQe->n?yPM!#Ju0cBSwT-#&xLkl$bjxFphjP$`Ur~fmOiKgsN
zX+hhy;_jsQ^uaD9(s32Bm|v2rZSf~Q+Ca%F!-rX$pC8U6rG>6?G=sf5=535eDusB>
z?zeD90t@Y8JN-37@wX9yFN?-~AGrxo1J<jZC^XsZR{}Bc^mrCTpaK&ftGB$+ZH>Ng
z@YKplPa<0MQC?27UX~p;6>hsP7@z#5=gr3obr>4O$le@I33#4&fC*amiuZIhOf`KZ
zM?e7)nKV!Qu9f;$UC${n>VGeVI;98=T52fd<h2$e-q_#b<(h~h1V`%MSinP2KLM=o
zB7Ivh&yMA%G=B@!QBWK9CE<{_57SiWH`}Xdz%|TM84?Q#Ra|UH^=8RyDN!4kE#LwJ
z5)S<7TXT}?De#bJ9`IAGow%<lSMgiP>iO>A2f_?a;k6dl(D`KBJ$v&?@HHWQ79M{*
z0{x<@;mP=h>uWp<Qap^ThlSi)_T9&o5(h(~MXFhLJnq!bedxqQ-A;%9TzTxzyeuC{
zvPQ3oEW|0B!3be*AD!`M9{1Ol$k*l4XT-FLiQBa$7IJoLmO3LZe5#B7zOCJ@=qSmr
z6GYFUIDFYekRVQlS0YfHRj7Pn@|P><UZ$9W%MACzg!K$C+?I<}9bF8qw;66Tu%Y$*
z55>JoR1~J<D1awUMt=9u9&F;Dos;^=Eg1Yq0?N~GZdoKYPa((S%9CI6^Gz^BoWt~e
zFgZs{3@s~xw7;-d2rZ)k^b%$)10cv<U}5f^;<U8eeHj*!lhlyjdc=E<tBByXve7gr
zjG6;GKM>qb?DCtvBG-=hEw@?x%Pu6BH{F1MBe0?z)&OXC@7EF~>~g^Uy%q=EkZVeZ
zd=A!61w26l{2r6~R5sJ=M8p(p=W$Cu#&mMyCP0XS4kV5-#Trv&@5oOTDMh4UxPL}*
zD9G)1?)=y74QsPgpZ}J@@<4giz*y(uG%=xK0JrP6t@Fb~1#0)=cbPeS1_XH5O%l^H
zl^Q=>rSC@_BHN8#?$5Drr@&Mea3KGLbY0qQ>jYOtH?aH@M_;p6@A0;SN@8D0<ers;
zQf{D5Jry9-uXWY>vfRm2iQP&wI6kbmpWK!k;|M8xHGiCaJ<;`ni(2oh`<Z|0ih*Li
z*B*!5OJn;=tC^llRrtseC0rW!TjDBndSRyPtXF(X%JxjB`N3gr1(4<Ykc6}OLp1#I
z8`heJD0M|;c|X=?!{CdC;6iBK;!a*!WQ(QtNz6MYCOYeW@kt(<3NfiTM7rtc0+>to
zytUaBO^0L?-vdTp4O6v{4S(cBSxcV?gj<&u2@<h!zB_ObkdWH>PLR#W4d?XL^R}+U
zt~LK|HnGd2B-P-DX>4UumG==m8Ajy%huyRPih*3#FyU4PeUlq;cQG^{qnjAI8g{#^
zd8xS1A+e!3mgfLyLka@bN$;IM2#t;&2TFm5rWEBZgmx@<2_N<_$Fa=XsG=g#OK8xU
zRVNdrFZkgMjd++#&y##AUcP!(jHS~rLK?o7HKmq=U=yVOJJRjDH#~iN3k55N8PS`q
zZLgx(eN&9#Kun8LJSGzP2x;ylXs9n>_>>=dZ|oEKVZ*}{icZy?dvt`w`}cQz<V=xm
zxR>ED=#P&afK2vE#)@S>x~uh){GVp@wuM1=P@LYj?CLd-2`{W^vIFXg1i_3ohAn1(
zkCpoiq0J&c*c`R8uxfr~S3cIIuY(R9mswr^0B4<L+mEoq(YH3TDEntBMX<2)joae<
zqL$p9t#pH8Xm05n#SK&qG%K6SQ&r7o?2A&}i9=p<>`h6x6Pr$OYmVBY@GihQM*mV%
zg)x@N*v%>_?&!K+iB5RS34Jbq2?lV|gIL$y0gEWlc*YO}2(EBe6GVi_EQT>633_<4
z5(~cX&jbNhGIxz~2s{<jI0bx94cPllgl3H5hJ1VvalMD0W<QYT?@kLHm<*A8#HQ{n
z8TI_{u*=k-Y&w0#OLc2XEnm-5z)ss#bwGy?!GW60*#$<MN=3nOQGuG1<8;9WlH5G4
zX)p&m=OW1T>8=oz+vwnm2U+W5l>7wSVE1{a)!yPyts<HiH*?-2FD<)!Z*M4@z>eQD
z*p7eA6GJk1)>;~GXl_CC)@8Xdz6uv@$rb@WIS*s(4A|32G0s2ctU<Kw_I{{Awc@K=
zgOY=onh+uxE1k|vVul<))LdiR&a;088?NH&oy)aS6Qt1m88mV!+d=(_3aO#UiIzt$
z!4$F8{JweR{kI|G_Rz(K9ov$sLSO|+;{Cl|(|jmQaTkdW`8A>cQtVIOh#F~nm6<Gy
z?=Z03m>L4-7q+_rf4?ab!(h1mVi;dQBTtAhAsu{+7E*Fehke&byWCYndo=H{tNPIO
zaNEGDjX3I;99I!Sy;zMi^fFx_h1nqqeTGrsq`lxTq}V*G;2S(0C%%5mWSbO4i3i#c
zuG?M*p7qg(c>kO_A~5G17Nz4$-lb=nL(Xn%wYfK0$6X#%f^X$nV<8SD<g7kPi<l03
z*d^v8!QEgX`BL{5rJ%myG`*YSyS_KN!zILhl0FD2^g1_mcRU)%9L)rND!ms){x8PI
zCqbySu)0FlGzN5oV#3b!3;Sm<u*~uD7>_?dmBjdrAQ3Fah^;rex%OXjM9~wc1Z#Is
z*+rhGbTd-Hk|8mg*G8;!4CeWuwyLI-8ge4YwP>4t$mA;*C@KPhPuk<yHC8FIWEdIv
zJ4{2(AWtrRaP2RAN!*k<yU7v7XJ~|xGnD8Td#9rxgI2dpw(}zbZFB#zGSN66=B+-?
z_PPNE^h^9AP0kh~j_O0buCT3HqqFd~oV&5$X^6^#Aa&|sH?#Xxw-lElogOYsTt+ii
z^fmb&9?L~Dx_2>08NS~r)>VRUAOiWFmfo(z@rfPc2ghmrs9!A-W9N_(@^-<m!!dW8
zP~|05FZz_DA(T63oSlQ$cBcC#7t?1KiZS!SjgY--t=Z3oDm01QWGM`8>VnH@pZ$I*
zS9q{MwaMCFEn-zn{Gi$cw4S)3`ZU2C#&a#yo<{X<K--}eH84RjD4mV;m?JM@`N6W&
zc^(a_r64c6C}aSi2DPptrCl+YFZ|@(VO`p5@;uU)LO^(#*Wxt%?`T8$fSe7mYTvLX
z(xh{yX>_+7h+dMc|Ht!?sSsL$dL8Q6()&S{UIGOY9J4S-$hX^GO05k&MnG7EN9L;P
z905OX-`#eF(}k@U=JF-z1!lo<k%d}DXkL%LO+<Wv6!kC2kG@v^d-*YoF}Y4m76q#q
zJk&lMQ|QMZex{uthw$9rjkyL+sZNjatNk4VwhEaxgASfJbyDYRj+k4NY*qlx`FPBW
z)!lDIj*DxFyAC!F3rDwd#A0Q34yP-2!(pAa027kyNq$%M=rn_wV1h<jQa%|}a?3Rg
z1xISL684{Va9^?`okRu(2#2ktFis2Ap`g~0@v#{K6g*hAri<`db)4^+J2f*So;g9E
zYAxuAWz8E8`%*)j0p%?uPI(KO=%|6UMm`qV8<yj$E)M8wAXLu=MYxilUGc_k|2BH=
zz!$!IEs`b=;35ks=yh-E7~VnYKw`&-nK>W=HJDXXnDYggBQS()ryxf^y%zqxa-HNh
zJFbAg|6i=GIh(2>6Dt}L({xtM&)gzfZHEeE1oQ8M;SO>M{z~A%*yD6lc!B0)Ihr&#
z^)Gm=x9wMJW>orVox<RAq3rZ;zqP--L#2Z&imMWXcisK_`!}fE!UqXFrYHpMQ#&|%
z5Yuk2(nrgex(5{HJD~9}hUX*>A7t{toa`h(2P{6-G)@m0Q<b)fV#4cQ$|6bt01i$K
z;B@zt)B%(`BzP!5G31icPx7e92H4u9WeMJUtz_Xn2m%ph4f4%sMu$HSl(Q<sIs)8f
z4Sw!ki`%#(kw7Q+PD=e}UA_LTF4hHC`#$&vgKODqnpXdWPs*bn=fb#>nfy>*KCsl@
zyqu{RY(Qoq!66VRIdq@<BKdD3K|@RYX#Wnvjq)BRUu1!SeUB|ktE3OEKJa2g1`Y0=
zoDaBqQKb0z7vWT5c`m(?Gup(1?<-6&bC$_6&1|*a7lrq-KN%(kQxVOv4RV)zqH$cu
zvGeCvt7)5fMta(aJs$x_{iG2lYiOG7ckL8WdcV@()sLBPy!KC|?|Rgy!`O*wP*hwN
z-g1BJQbC428csHdsLdy6TJBJobskCko?yb1L-X-dDs&I-d<8$|v*QQyyCwDKLcDbY
zc599mJ?%elA^S3&)CEKog%A1)Ms5mwe1PSyWq!iW0>`GpH9~_vQvhJ<8*h;zC90L)
zIA-E3g1_8a9}AXCuWqt9?Hqlp^75rDnxI+~#{kzCYQB<<)x5ND(Bi;b<s+0|+B-G)
z6A(f6TPvmh+u)%l7Y%z0R;mXQs_Mu*+0PyH2FSz4(NF&OSM5>Y5f$SZ+__RTma<0`
zZbRC`7Q10#;^!@)ZoG91Z$w^gZ3GWg49B6u8Vv3iT>^Y{^DG~OS8w_tLalidZ*Rmt
zl`*JTyF{?3EtD0e43g|pE*aq1jn>r-UA*iMv-=Z0fN6ujzpJ~}ylCzw6(>TITLA<s
z9EBtETg0X}r<E-JP_y;cVQA?Hd3<LWNk=x2{d5KMSl9`r*>SdapdqcmtBc0hXZ?4q
zX?4}8dT-;j{B3E{z*6<yJdjbLy(A3zO))&Jn2@%)G3FdUo6V5~WmM;Ug!%^TuE%yz
zkN|l@N0DwBOmCm&n}>wcgy73!g;!TEj3_mSZ8)puZqNoY@W~TkdQCFH0PYqwKLWfz
zO=ig2Vz_b#B}R=~^`r>{tFvZ62VlzC7Zp)Vo@2HdQ1ybnBdQOw7*K-7SX1`I2uB3`
z>Ofy9=qx0=U)lSNKj(8o3xnl6U^B~s55jY1DbCs%##2cc8nO9cl9S`8M5W7o7Xb-$
z^E!hpnb5OM#%Es%1FYVVP&Gtr35L{jmdT51i$4DndbZ(^k{4a*sAm%a%OTc(l;K(@
z-6|e23b>gtoqqx8VhBN1rY#H6M?y5n)}2c_C|cA2Z5Bd1H3i$;GCt9_O5U=Be}Nqj
z;|%d6Pp}bL|F>S{yKDGSIMXaN_g{>HUvR}scu>#z|3=WGSKHN-?W%Zpw*{&-{blRa
zKtmWt9VqF|&&;PPZ|7%{NU3Jq>BXN4H8GfUXro;9a}|^A*9*EJy(`(Z+U*xKhda;f
zs_TC1^Qdz>>c@Xv`OJobVFKeC|IYuxX7Ho6r+53oa;v>csyC?;T9YCp5j11m#vnf2
zo~JS#aH2a=r+ag%9*pg@U97)aEJGAvwJssj+>kWnCngAD*_TW5uB|#g=TOU`P)>b+
z^NfJ1S8y(IGL6?LIiAh961%u<Rn1p>W~U&AKVM$$b;l&0tLw6o?@<3d=D<zP2-ghS
zvZw<%5>Q+=wH)YyM5*XvaNu*fs^<+ipJ3~%;yokbT^|`9jd2_O!tjpi?>HuHkpEP3
z-ubxlmF{C1WHWZWp1U)s*j&q+V36nBhj5{E1%62ksXDCxK<<@9CsmSO?c5l%t*#)}
zDGF#vcE(a+P%foABnhvnBWiKH^;|y0%%q$3^TpBkiI6<t|4=lhrEHIftnr9(190zn
z;cJkMLi+W;Ky*vPYazYA6No1&CcJZ@p<qUM2?G_}AcFl>hvNu!BpR{)Pb(z(=x6|9
zsc@nX{}(<ABFEw+IkAY_y*;azExsx5D6`2IUJHevh3cNt+{dH>0bLhuT4h7to!S9v
zjxusbu(v({n15G`Mn@3_H!BDa!DV*f5><m(+T>7E62F|5ScD|?y=ta_Uyf%j=<Y#3
z{MO=}qAC&+@#hA~Wh`5-1O^M}y*(;7+hSvnS{`{CGa`ub>>m<v--XEYtC2(5z!`4R
z_HdS*iS8RL&0^}tLdgXP<-D{koFkW`EWGm?TT0nO;rHrxE)v9ArPwjxyrW|%@}%L)
z;?~_2#U;hj77A?G@REa>3(Wz9(279pd@A{|!1BL9&_=z_M^GjPcb%c@)|+_E>T@mK
zz?1r5_V5eaKb8oGW~LZ}XZciu4Ev<y9~#JLiDPg&UUqaxc=Zh2jsvgM2~x&JUp+rg
z>wq1>Lal8)3iUZ|O+Oq^{la-E+kk0>h;gG6l1SWJB|9sAGPh6j-&rzh$iklvFSrXm
zDqfD>9Nn~Wt5TDOHN6rS%&Gi*RI4uWBFj`0>)c8L%>XpC;uTU^$<RSTH;^f)ajlCA
zFiUErgM>ziQ2oTL!X-7U!>Bvrq6BX>saDcr7xnkmp*&f96(~vC)3zTf^RE6DQCe`1
zHrXW66|1WJ9DCp`ib41g6yf^5c;AA%db`KeF~L4NtLnnpe0qyjx@@VasaUUq?0j@(
zU3Hz|PnmPd`AM?0<S$RI5L{g|`?>oLGAJY7R)7>>gV6VdoCt)OUKod%78GRmik9`b
z566nP!AkWjJIGUnh&`Tv`dg^3$Q1q?36R;Ma*!12H>5pe63qP>XBgNz;Y>}&ocN6F
zOOQTOD4s)>KMp82F-oQ{Nw;EvX10yQ(Z+-YkPId^y51&Gz@HN+K%yjqt<Bez(zjX0
z8jw=2!44!X@~)9E^cZc`0O4M0wiABXT%3m8KD0H}>#SFey_dhDup>D>GR3#P0?rtK
zr6a*Bw&l66-L_umsf-We{CL0<;bk3UBkEvhn(Nbh4wAC4W_@;;k?IrXJuqhao%O#`
zz2L;CX^^LTt9hN(I*q--Gj*aiS~gPI?SX_Y&Wc<Bj}#>IHoXY8deMSgQY)NC&>#|7
zXoo-iz-xa2Fwt2VB=oq~N_nQ1eJm`KLH*MEeD91^)ybjU2ys%Mn+@K3$zGHeO|T69
z+26!=?4nvx2;6ys(6}#h3#flFRG0X5AA*x=U2xwk;Tk6}v;Cxn-|p3)s&>>5T;}|N
znQz_r5KpyrPwLN=n5N_YfDJ%Urln&U8bc1hh&0S;#hyr1?wg&p;j_WrVKVM@gxG9A
zw1d!dY*^|y3%nQKRMWyE&PRGUJ#47hAU48&HM@4!<$U!OVHF(h8#TV&HBCPO1WLrt
zRxSSd{o|>klL%p$$RC;GCQ~{h@W2N1Ks33h3q0fGpaA#c%<gdWEUZf7;fdF``lsri
zihC~tL{N8$JP?Py5VXK1zI3{NZq=&vqFpzN;0Xa~B>OMWlr?F~4zWfbZ$!x2H{DQy
zoiFHLa5R3}9-Ih$rj<Prb2}RwS3kliN|-h$Hj7F*lX7XTKr#P%({#$6|F3r3L}|O|
zhuAi$fTm1bK3LQtbM~=N_mPos%1L)O!?EFf*vjhC>AZZ5s)U2+Pl{GYKtJ`w{bPM9
z4~@gLK2(hjKsE(f0+(;3!zhJ}#P4x7!nWQ^eD|EXC508%J&7jKe^;<&t~JsGo8xXc
zh@)gE<)8(c2JkS5iVx+YcDOJ}9rX1;<pr;vDL~9+`z26;os!-mL1zi_9nE<%1(lqN
zW{6EV4Y#ZNMw(JImHX?;Mdyl2J6wWPsS^LYhvH3ve@a9A&rj{t!4_Taiuy;q7wIii
zTV2yvx)~J~pMd9*r-q-wP!3@%EL%hv1`FWL0b*tAhgCo~o{}5p0f40JOSbF#2uU#v
zT&5%sp%V-M@HU+P@fYlVVbGu4QVJd1o|Ri&(P)ST0W)#}H+a_=_8~XB1CoMY7?z>0
zCORKUAiOaxo^G35)_Xy|AOqb^fTJORz^!}pgvR8<HcK0ox<sq~Zsv;ourzS+aN*F9
zF~j}04J$7!Cu`s(mF|rYtgwx{2h{W%4D`SV0p2<s?~M{!oAtWuJ1w>FEinKYbn(oi
z@lW90kn)K4G#mqpC&DaM*h_*VZnT<b5htWp^cQTa7?{VHD-`b%#Qr*Y5^*He;uDra
zg(qv4&+sG=i9alq&=#-!zlt^5Q%@VNZDT`@o*!0?)8*_!rt=X6)>|q96VgPPsuBgO
z**@H}bWlXr3|iXB>p|*cRkmcqtK~~RF7&^{oHaKonMytp`TFMa5>TA_-oOIO*%S~O
z15`xD`IKqDNSz<Q$QzmA^~Ghrv8zE<o?q}1UE~)R{X&R*OWAd{6tb!gdP4b1BOtD$
z3EURKh~LJpj=G{&G>e!roZ>(d2O(|Qcv0jSG(;v_EbGtdn=Em`_PFKMDpIwI9t`?L
zCdTEP?Vi$Uyud3)AAeQ4XA)}v#UJ<qeW4aI3}57JeR=7FMf~TkKo9fhhVebllpHm5
zayjc6{-#}?&~e9R$@9ze{c{-NID3B+pC9c(REF|R?k=L$c0t;>qK$DNSDYn&xu^sj
z0(~%mKgxe?mBs_2C;e$jy7PbZ+hO7h#F0F@5#z>Z{*KT<hefIeW(RHJ0t(>o{ZzpF
zKhn4I9lR?+3(lr{*qQ#0pei(jM-l0(a4Lt*CIyp~JP{?=lbA<-C*+oq?u9noPei5u
zE{L<-i!rMwX2+<Yr*jc@&K7tn;;{&_kH7!Aobx*0%@Z=V%Tki1F}IEW@**e<L`V=M
zcbaDQLmx^HD`dSh!)0#??kx`&65J(v3f}<YTs4eS?A90L6G@jLT7M3l?3)?bghVLT
zdke$-d^VMdv-E&}nGZrWhW~6cykG%vp?$AEmh{{cPPbxB2wiuIg_V>ie0)w=op*kJ
zzZsO<OD7LKq4$LUSeFQb+&<c_G4k~DFidbL8#jT_j%&U$Kwb9l|DZYMl)P3^WMe4<
z#PMJ_PNnQ=VJ0=O5mmz3+Zsf_`XW?_5P`fl$e$+tcqKZJjQE??E1S{{3Y63*;<+S7
zd5iGI0jXeCEoYdqx4L)E(aVaEe+I?FDd;DZ>sw<F>t>ZjxuYL_OGUM|WAgr2e(g`y
zWUmT}NQ-ES#V%rd%7a;jpop{LdQ@g3INgxkSVF#4N}v!^x(GQG(#mf+XXi+YA9VJU
z6ano0-o^f0ZomXY?E7TuKE|(jVPt}At+jL+?^0d6PscOiudpo3PBt51WBFiN=uM7X
znW(){<<hSW#2}!xPfIr;fu)F^ls51t$|Wb^Q(Xg|+F_k>`-hXR9f{D|Bk}^tqs>g@
z^0fw8wmvpct1v2grJbCpHL5tUOBS(?`F*jxK@eIbc+(J}mId>rL#GK{AXT?<)JC!Z
zCeC&7<BL`aLV{03a(5>5l;}XvfW{wC<CuGEivuYTklfo~`#f7WLc*$)Q|cORL8{D%
zhevX_r@2j(tg;@9$QYvBQMQa$Nh&J52VTZW+O2h&4H_f35)Jw~UyWnAvR`$%?O)N+
z;6CL4mCQ{DUpiZEWSLnWYk<j>9Wx!G=0U)ZnndHxwIaIoy?0RmZT}cp(yuH0gU178
z4`JD`HF-4lyB8|iwkZ6wZ&Evx7Ei-oZbO>zVC>e#$UaotvWuoHCrDB9abVKY{NBS#
z&0t>kuX2RyxdqPFg9j(j?*v3{Dh$-Fe755q^=_7o;iEs`gfji5ziiOt$<R5(UN9GL
zN#?!_|KQUSj)0{Vw~nGXkuA10zEK^8xpd5lNloL-)0LbOtAPR;o?0zJc<^P9jstlB
zmV6wGM9E=5<qP7Lud{38eNT`wP|Qb4@P#;_W7KFEbg66#LaLQPg94!}P5_kL6;$f(
zvY7yoa*J?310pQ5LpVJ>rH7xbXaMlC?$-*n#qVp8J8*SjIy;EpFdpm6ca&z9GQofX
z@q3$jTC7;U$-#k#`G2&k>%lB)zr@7Y=K_<y20DT;`VzS1G#11lpf8y0OrEoCm-y2a
zK5d3vr;`77dKa&^8H~`po>9lToyi=HrG*duEVbuIR<#lNrDUAdBXJNet>r=#1~W_Q
zC&yg`Js%w+2`maDp+&<u#wm0v={al{F&}rOof+H)|1MyYBF+FSK-9la|48;aG<-K!
z!$Zhf&y{Ye*fvjl;6w?8aKM0BX<nv?vBa!WndU0l2qmF^+*%M?L`72kyhIvh%*&+*
zd?KnULkc2nZ7P^r_7%w&skV`KziT@+L8gRG32#`^pfj2uM`OGq%uf>2PTWS_v6e6V
z5Pu9VX;m(k@T})r;q?DDi^cv2X$s2W6HGuzY@C!&k|G9TPeYWbm0t52>l%{NRTnVP
zGD=jsy=%>Io;XVNf21S_PXy3;=}sFYE3j%wp&&9zyR)?NWYchyy^Je;tEQg;QF}?B
zH^r$f{E0)Vzt68N_43Ausn`MLg1le<4IK^^KVudr+BltQK`^)M#QI$T?WH}26$Rfe
zIAO7htn$R4er<M+wz8t#KN7n%X+UzV?{V?<)`qEGjJ&{Z?ob&tM8msr&Gwne6_u%7
z3OJetQSBPai0##Z&Qc0$kkMv#B@*sTzTIJ+PR!^^JmqUm@}#$Y>gVO)M6_t|`>1bF
z4q<nu&Lk(|9ZdTrFlhOvtMP(M9B2i_3FRUsqbmq?=ds`gU?iA(YH5|5w!k11RfVf0
zI;!I8-2+2DApm*aEwz_?%t;Eldm;2lU|>aH7mrV6c6db*(PWA9D~8?Jwrga%OpHD1
zSpg7Ah6cNF`q?6AkS>8j90ke@RC#%9gvv|9lrbEcry^dHrXMS=H4X%H1ja2$f&;Mo
zWd0hHKd@bBuS=Z1o_e?riYl=3+hT1C;~Fvfsw=LbERJWT?(I>F0b)|I-F+Hkd5d;r
z27CpQV%xvI;RFc_HsK%CKfmkU9A8K6@Izh%t8#aRut3?IMgQ85TE#`UM?bTLX&>Uh
zB!xT&qV-n5{Gl+>Ish%?O%9J@b{IOxqQ-A?0)SybCcoF>L0e+E-m-|yS%6#S2#s}W
z25QmDIUnc*Cf6^RJbjBr_xJA=gxuUndffj6nS;&LQY=Uyo{hGVKsQ!)`Bg~`pcVC(
z%5T=M_mLk(@0bnC03)}Lz2t7fEHDFF)td7h9TFe+XLkav?wRW2tq_XySYq?a8}}jj
z$o{W`BQZl)PXu;*t4s;hQ0NClIO}!5j)3d5_Pr(#p~Xy;y)WjILjrZ-VKKCI1!pOQ
zYRR8lK8AzjE%8HGsi@bNIhZ{tUfo0HXppc5YLK@#!;oDkIo(v$U;pSNKWo!ygead^
zEaw~{P4vD<1&LPOhmZ!6JjYbsp47I)L%hZL7=^WvYy@SJ7CH2j;b+>;i&H*PlDfVy
z`0fxkl^ha=q3W6WR9lq@F$R+fCw&#tHxx_QhnJ&R6gc{r2TQ;2)SQN!%<jp-T_&t3
zChg&96dFsT+vq|?R=AmFj2OVL+)1DkHdfx#$g|N;c0ZL4(gK7M99OrVbfg9co@3{j
zx!V2%L2|e1yhLWUEYhQOHF(&Kaoi^}1i!%tpD@A|O%rRv;OhVAX5EfF!xBmPkyqY*
zNEexvvBxu76{RdLTYq}Zl;pR-G8o<zT0K@2ce(=wS5vpV3l2~LFVqa9|Hb-ncw8-1
z6j&c5jEn4dyQKTQf%zE6>mpc11CsdRYn7EkhXYa<26^7}($1HrCnYCFZufopCz`y4
ziDJ)$CmAQa0#jfl2i6VS0SS2N^_ho?PcdAtHUJXdGD@JtGPM%K!O^H_koAx0JJ|dc
z>iOjL#=v|wi}bKZik2(9`bUizO`km5nLon=#Yqg%PsE?aE;kb3RtiNP)@(hUN-Qw9
z(e~+{T~`KPyaEON45+^75pl?ag0GZ74Ef<Js9CzD(LbuUbAKzB6#{R5@hHO!oo4-#
z0G1jMkJ7{dDu{K7gd8yeNFFvp2|fwYMfZHr>4hKCB7>XwF9)GK9_OoFH92W(aUv;D
zXHPcabf&dM@r029F_acS%a49(?MtPl_6a<D#nUC1J=k~1%1C7QTmI;)(pnW^Xku;%
zQf`~{Dk;{ep;gI0eVe<6Z6^ULWQcwyp!Pbi%e?k+aIzFEjhiTUv$yo?p=H6y6UxbG
zv>;NadlVF9J(&joJKtY_?1H`?hI{K)gOUj~h5imLM0H96ONW{E_`@6;hjB*)6B-nF
zEMFB-@o#U>%k1k4xCFe9_~tL=QRId~9%3-?0wfiEX)tWhZKWvViI)qHU3!AQC;F8(
z%Bo!rU?}WmfOOLoh5<a-cc<Jy?HGV+0gI@G2lQ9k5dE_iQ!)#S{OZ`9)$>%$BjYwY
zq!HK{V^O3}!#~$$RIxqtjzz<|D9Di~;CSv3+}65kvzCK;bNc0F$_E2AA;#K+10Nv+
zD!ZQ?RR%NScrA7GYu24&3+~PYeVnXYovL8AmMK?6E!`x94<|cm&DT*=@#Qp8W0pE9
zulBnqWULc|I@N@_LC+){owdydB%r^5G)5qP^h#^(&MK`zXwbhf{2Xa(N>#O~u)uXh
zLTauuxpj*{#sIO>0$BJZb!gq8mKHEoSirp|o7zPH>QxJ;8J&s`R}IQno7}qCcw?Or
z5o(G&_bd?+S>u-rbikDve@ItLFqdytiLQjk*%aa-_L^6z03qgh*vXL3HGf3JhGI^T
zB?r|=4F08+2-}ue6m9S<ZjbL+2CQjO$K$9?k~HMZ_9$7E{3dg4VJr6_i}>O|L0VGM
zYp)j*pjg=npavhz{JJ}h-%2QG!b%%c<D5Tbv<3XM$TN*nS&OVC{Kq3s4a6y2v)#O1
z5fY0dmoN}_t6(kG$y1W|i#|Ws00DTRb>_Td&D^lLap6+^ryUm5yu&ZerqHcTDri&7
zPaF&7{Ct<^DBVR4%FV3?9mB&VoitUV0ubse-Ny|}qtRV42A^`jSAG8LGSbGYV!xw%
zcFmNs(`?*j=@sGo79l2KI<J+sTWyYUU&to5hvrK@BkqymA(xW}nHi6uuWhAcnahq+
zz$U5<Qvij=TgemhrpXgp@G_n;@4F@0VXj}4pj+`P7UOde_w;A#(2?H-_s#`uj9Ha+
z->Qick?X&7)Wet#MDSNUD#$n*Xh$K6_@+i!0+D(zYwL(Uv%H4gRwB5U{l3sHC~~O|
zaE0HQVrPH?1Y~|EStZ)@lJ*iWMQ6YwK8Ax=PD20_uJj}u8Yf|%vf@0^x}NSwVQJDK
z?oZ~fu<Z>0XbvikGs0FVrma16&0oS=D3vQ(`6_gyTr7I9;I~K>+4{1`laI$Q!GpCy
zjrc+AjB-KV<hmJ5RGSMwS$%*ZQ3M_cbuAT08RvAy(cL`(+At^+qi~w05ytm)e=wUk
z*q2|@)KV%RHVcYcVL~e%qb5ZpGY|mqi<Y6cZ^tcsU~6P${Z!vA%9?=addqWG7U0!l
zvzyF>O+VG}f>~PdZhcZzS7JAY08o?HWX$wd3AkjsBvCHm2^Oo(>o33nCHRE+tEMUi
zrF*IdqKYNUx`wd*4J_`n3MC7>k8`G*d?v!7Eu8jdR2kori=^Eqc3Y+cN?bYT|F2yK
zsR!5VcEWd<B?y*`*fl6j4k`w;JD+T2ql1#H<o$#(gc@>wiK+^58xTV?SuM{2Oyua7
z9&#rR_BD-mcCChxs8CXD)i!^|vq{o#iLrjU<4Mj03oIow^J4(0b=Dz8l9Hmev9R5B
z(jPnXxH?}<eMHQ+`l2N6_iZYv4hD$g#Hy#R`E@QdR@p<e?f(M;R+12JpJN$>hifpY
zq2{=PJ{Go97$3g*@9j~pX0Prj8kaKuCOF~v1PpMtOn(ekPh7V06Ad>eXk-OaDaSbM
zr<R+n8h}Ps!X+SB(i-SfK<GXlDGfv`2C==~*a&RWt#k19sGFx*_FK>8&#m^Ies}`)
zmExl%-I2)$TF*it6&}UmL4)rKeXr1M@20>WwM{QGxo7HWR$xQFXxsGH?d0SWm!qWe
z&D(&`i!z#+SdUI)Xt%V6Mr}RrBPO@1)m(QBp!hsoY$Gc}w97x0%J`SlU^{GSgE-kz
z80YtU^RVvV5AT^zcJ>H@{3xIP*Wv`#g0_O2{_1}_OZ@~jx_)P`fA%_#-KyQfL^FkG
z_v3c1Q(u=VF{eom3$xI`vB)=XtapA6W9^!MrtczG83Vn@`9lfr=FK+c3Cm-8C@tbN
z9h`f>VT_Aq+&d)~EauJ0P%fl5bhxJZd4ajpf3*RUr(1P09Z)gZbqkc_);RLGfKx7F
z)Rj;$ydM#6n2g_-Rp<eK!pkn<`42d%aO-mj-=`+7y#$r#I6~E(mq(1;#WW8^bbtuv
zqFUm~+=r~&1<Hu<0iyM_k5XN{N}zHSOaQpA+z!(By354=Uc1eBL1I$Hm#Ax&5LxUx
zK0s$Zpoklgaz*BfaOX5iviv|U=U$wBH4GJt7X#wq@o)MNDN1nph2EQK`Z7SQfWj;`
z261v1<l9EGQyySxR=~%J7M!_J8O??W&{_CSz1>7w^ge9}ciuv^Z<(BSYSGQod*&q)
z9gSdxCNG!@d*Lv@(x&rIv$(9<j?a{JXB!!Kc+%D>6_)$862OdjbQwMBghaLTfF<y+
zZ8oMbEoHi~^q<CZk_D+dcKl|-e-d>o$z}!+&^#lRi7sPH^9BNisfU(1c*w0c$>9Gb
zO^><F56Bh-82mBL>U|pS?@q-}OQi1H&~O93;^2gTRGG$e<44a6r#*bqNd9I~4objy
zhd??nqMBS7gbbAxY+|RuSN1N!XFd^t>d=on0THg+N&Tw_`z&Q(18c<7U~;7<Vy9_I
zYDZ)mMm>b~B~VhtX)?#l`2ew|V`o-oE?g$AXp^ba5U47Uuu<T3gRHu(wp9Y>`gyc8
zrye5T`$eCT%LSlk>tJJTw5knRJ!GUe0~6zOTvs=^{YolS_g3jGh@@A*)1{(zM(!1=
zpp*5EzoK15Ib61htxzA@U@O9Mn@knpj5(*<vWOhsmxy?Q%}2PjZd8{_kB0&oq^{V%
z;i|Bu<ZXgCRoN4NxLO3-*@#q~XI(D+{-!jO)HZrCBWzJ~6_<b(-Gmb#R|KyD8M>d6
z5Dbb#@_Y%it^BTJ`l<a_&O%!5GP|=4C$riups-gUVGt*|Q~$0`@Qa_DQDMTSLm8tL
z0<U6d(i@dSUYQ}D<8(|`U6N<!<|zLy`=3OnhxbX@I`lIs)V;n@;h{E6(Q&sNZ7WNC
z?@gRK2sV$*psM-wAoD}bFmD^&M7s#NQ<kBJoI$K$>x6uAIpy5lb?(gy4AlK=l_0e#
zX!DQigDV@hTywj3YP?jf9UZd1Omv-ae5AfD<09jC+4nz7SY-14rNwp(`8RVhUL*nd
z`ZW3*sb0+XioTlHC)OVTE6sM*uD*JoZ=sENVZ<ilenP9y`#(0O?v?*OXVSKg6+Z}+
zE-r{-y(LxhmqR|%`*IS-7``}k;U<6O5px0r|2PR`ONk(5MI%N|v`;I|`c7QR{NxMD
zugj!RQ)^MjitP)JBQi*G7r3yU_GA%zU_WS%+N}{Jm1IZ@DHS@8Za;kWt1m&?2YjM(
z2yh}<(wFL<M8ywqG%GlJZ(TF=0JCb-jy4uaRk}?Opinf$rG%uJB?Xu)DVKHysegGf
zKrq*xRDREZ*Rz(cA8Y@v6G{=lC9lgrYb3Nhj_%q`Wq3pr{H9YVjcDKYRn^Z+hyg9K
z$XolI@Wg*mpxp5h_)QJMqs$CYj87Kvn+sp3=%x4Vo3eCY=V)E+YJ)8bTlEv<I4~cL
zWu`YpsidPE^u)s<OtyDBQLU?ea+-@RZp#JvsQsmLCsqA|^pn*4Te|DgocA`Imj*Ig
z{hUTw#H(zGGxhW$EQ4D4EG*8Tpe@APEdVBi<yF>C12&%;C)ij9B_tdMIP?)pDVH7O
zUi*|5dpLQQm3=fVg*)_AVl+6jswjutr>-S3c)}2LbY2Z~CgWjY8|`qb?|uebC;Hj1
zO^x`wCA4hQsy+x3vf3f(6S@!z6P|{;*z_*|Q~2`W>vojaU%`(MHWKTt;}Qa=qlFGC
zk~;Js)BGmm+X4*1GkMzT8kJw^<1|Db9~tHlIzVzY*NB&pqo3S9vBJ|vaDBhJh1u-V
zOtS73zcZZf0vF*!=Mg827cP)9zeEh;t@Uq@gb@_az#^+V+VcZ>j6m!OD!k3aa^LdT
zqe#WwNbZ*<JHw+{bu0t2iwnkzC87?cDS9!fA1AbZFeInDJ9qkPtc$550>tIV%rpvq
z!vPdEH%m<ae(@;Mq$qK}ouhy%tDmPPb*|HczGvW2#fWJhxmrar)KMKqEN5573=l%1
zP;kPfG?&Lgnz}_e&(22Al$cLS^fo(2sT4FChEMfxP;VjD({nm&*TDD6%~rgh1k_vn
z>3ZQsfA^AlxBsnrFa$D{3F~nvOqQ!^|E%(3XtU~`Z&7WdDWY7mj#J`8-LS-6Wa0&#
z7N?xfT7Yl(NopU=17xSVh|MaCd`V^e-=s<+1BL6A;8DZb{6sQ4^st6w;8`~POvu7=
z+RM;gWaxZ^wo$0rzJ>u`Sl+~di7=}LEy?1j6~O@ksy@M(cTR%xc>Z^(5^e$ER{zhI
zvDgJMAdban3Jus<7KlKh3I>jI#lIy>wzUo(XVhCsygg6E08w~X!A+6cpGdzoxE#Uy
z*u@8_g7K2<hy*zY!Z${hj(RY-$rJpAC9FiWA%{%(z1YP`KB7p-U%=)^W(u-y=R?NF
z6lQbi{$X1b%v&ipscINo%69z>=p_?+)lJWP9ai%{F8%xdx#yRKnz^G88aYIX61Dq}
zXgcq_<Jl!X0BTi!5^s+PE4H>G`rED+$FzpS#}5_r(x1AGROQdpU}F^DzQu>pqtCg&
zd}E=zixUg_HOTc!#OY*jcPm1oWnKNV97Yt?v6h*5b0w_<iy4YfS@VtmP(cJcRZD-m
zFy)0UnyIxw$2L5$1ME$Tr_6rOBNen>sTe;O-E|Wvj}sKX(waI>8;jH3we4h1dK?{S
z2{E5Mj@rRi`B)I$2DM@aEw@4^(uyvas^GNWqHbBtnu=x3T=@_pU}ZW4NKg4FoSs0a
z-SOA@`YeFn$jFAwQ!%j|@_2~Fum-Snd$gTn^vyo_B27sHQp)i08E+6%(3$-mZHts1
z9>NCo0RP=RXWP7HAX-jIZRjmqdpdYP6MW_NA2P`L#4M%kq#rMSzw@?z$G>~#8&4Ml
z;jq~U3#ZSbzPPlPTip`rql4%_*a0fucGYs@^mk2Q(|f1nV^9989QmC!4AM8}*v`ay
zB#BD>j=}@^j#;1I58PdSZD&Cfdk7^LUPUyQ;e=;3mq{7Mj^p+$nujF1&Wbhhc!gN9
zw#IcFUv%iwUtR&ZUx2@{1DND-z0<YgRSU7|c0UfdP#wWjL>2Jw0Oleh3~#6E=jJDG
z$9&MQr-fl+$Np=i1?db|EMUsguK<s`rFC>#Ls^=<trB8pU-;G#BF1`+tK;TC1X7aJ
zgE%Pe#K0|Gy>YTn)PqExZYvJAv2NQjo-Y4inM&x6ehpK8BSPV_UzaYGcXs;ejR5rn
z`*MJDR0rLDgs{$qvyluNPHLl`j<E3rGuarazX0Uic_Dn&lB#@!P@&HUMil8Xpz-J+
zFM|g-r?2%{qE+L_ZWU0&->YeK8m1U6Y{7QP+-*|J5qE^jz03BEc_Sn380H(O3{fvK
z;SF!L&q{LnoNw!U)oov;EEe1SVa+H{K3k0B2$94?eg8WcWRsbpJl|TB*PC7r3a3&S
z9<2>GNblU+C;fKY?ndiNQKbQ7(~2s4xNc)kN(*8O0WgAsKl|veDFt2NO+C8!_rBh4
z+t~#8uyB%2HmVS$5U$Fa(JSCwkW-N764hTHfIIcC(hp`ktGh)!aCkn?<;@P^Jtrfk
z9Z|J*V0^pZnG>Hc3!EI#q-{NSa7Se)NGe3>8<l!Rznq6*G?TcG*P{&V_DqmuFhuR|
z$-V061#4AM{~0vn1gbaTB_+^vIRGEeu-QMH_13J2crg*yMw+HETM&oZUh;N*DNunQ
z_`0cea9n!XgB9+U^<V9V2~H`VWJgEq2qYJ5%aeq82j?o^cc(uy*@2u4Il+I9l3u<9
zkOXQikUWbqfSEHOP1-^FybF|K<N|ncf<1pPDp)&uxsdu8+F53+cu`S951z3}dOn=P
zIo6I_a(eMi;MbRN+oGg!c~FFLqAa*kdWzev%{X(u2w(aGa;C=_7OH84jmL6nkVWT3
z9x>iV6nAsn(0VjLKbR=!wG(zWeunDd1%_dVsqnmHkXZ&qSrN--UL2WYNzh4_WyZ1t
zj)+DbiP!gvN~T~bl3Dm>SDpEtABETm1__o-yua_&QKm*jR@wtH7F<02=H>{VWTKR|
zIh2~E&&V~xE08Qve%=AK5`+<Em%oH%*Y`3Cw}p2O7S1GY7<h6?CAsNVMD7~bu!<Wa
zI$g|k%AdB2*dQ&u>^*jKeJdOygR$65zombsb(UEdED1WX!I%PLekd&qZ!I{KCZlI3
z1!b-_SA;axhhyj+TCyUelh4YN?qRX!Lwm&hxaj#Kx8yqy+uN4WPpDV`tR6Z>un_;Y
zHGqX_PTj3y3U^s9ENi5DuT8sLS4ese>bDlIjFgn@iQT6YxnxZif|P01g)1}QJm2y9
zf8r-#W`OW58qX12yMo-LtbSW{DtH|jRTXHz5~pF|LoF!u(CnVW%FVcZl2;Cf8<}<)
zfCYG;9hQ6PEfxArnB1It1RT&;%zl5j{+g@aP=DeOqWM)G+hH1Tjl|a)4auhOJPqYQ
z`jZWhb^fFj&C3lYL2MC)$gvPh4Z~HUKz?k2k%9JJYa+WE${O>0!v}=NV?FgFy5rUA
z*7DclIkdPQ?PW(o0;NtoTHqia#kfI-iAB4|(5DeVJ-KSJ;jV(0i(YHdW!w@HVDPep
zd+K`<MqO6{^h2}!{%vqmj6JZ}GEmIOl&i548fsh`{6-*KYDnlLLW=@tbOSUoWp&)@
zsukET=6fWbxb`LgZr+i$k}L2I0Mk^py+4QWm)1>3N=M)N5+?CbHweBVEvG2dm>jFR
zMfZqQ^gfOWbm!4^wK-C;UZlI&jV%F0+&LWQa?*5^D>_C*R@kxb+>Qo`T>d62at8kM
z_q~VS4o~w*`L#c35NZ<P%XQwVXokSdMW)MF5gX@UEo?6H5&vrADC72JBxjq4C_*@Z
zxJ|!#oB~SB^hI~vP3AXYc`@_Zl3u5PvRJadxab&}mh?FGvn75^5vjW861kNdqn16%
zplU;k{?rR7c~3Em2}>X4iL|k6PzLrat+yh$#hq0i&Unyf?b-YFaGF6W(zT@*ty;L$
zWok}Z?g`)SgZnU_JnRu7rs;429JI)!qWw^5`XZ<`Jq;?&$ngA~5-^**A*%5gwtl7w
z*^(49M{R4SMx|Q~bWR}$8v8Ll29U6-Z*J|tH2Nwc1|Oe=hYso5#)=weBkBJz&1(nq
z$q?SdER-^ba7b(awgcM4Go%Jw|0I9<8gW#bH(GT{A$b}=?H<=zE8XQ_;?0GLCWY{$
z;v%VCbfT4Rd=x{?Q4Eb#ADEty2M%E-sykorgooBj3E=epCk|X+M$;*Ah~8`UeD%Li
z6OP0qLEF+OV8)M&>(%Vwzx-P@)h^RC#UX=CKuI<cFqE?Bmr{O)WyN+zLU?Y$_2`2W
zr~{EOO_RhmuGKe&6@s9$i!YG0v(h#?sa@zR1`{K0OMLM)um>!OaOg!DQo{tts~qmi
z%vc1IVN8e$MFa?pybl;?3AQwav?z4Snd&_=qcmFRoVcna<}Ii`y6S;`RCeP3E&RlH
z-Ar8m1pm>yf}7D>K6-(682Vj$pc~9(W-ugeD-4hZPO)SPrcla_k?7OF+T-JWeK?y!
z$zP-<$6`$l+p0~b3;>Z%hNA&F(klnQdS+GuS}(lBItx6#`s1QC*t_~Lxo<DabvmgZ
z(PUjsvy+@p7h}@@sB&7utiIbpe&LC~J1k}w^x&VQ1Al-^0F4$kJ|YeRi|l)Vw>U13
zp%i5BtW$>cl}R;sA`9ZHE>?IjrIu4g&w%_U3~}G(O=yYLq(<lT*-QXkvw64s%@Ec+
z8iczPN4errVL0;z4ovsV9{&zvXh5N7?4s68R(-dEDj;ZOK!Tx!0;FFn8IAE1Dj=Vk
zfmQmWk+fz3daKbJ-nn9MUA`%xBI{JA=V2s*pLl}1=!P)>+yS8PVnGV0-bMFV^{&!h
zWf!XE&wYu;<j-+bveSVH+S#2X2+X8OZQme32bUheT=yq<LZj*mBzz6;92=q6Za@UI
zF-TqPxDSt4xTUXT;{s5-p2Wq2-E+_$J1s2LW_n_OL%d1C(_)}hD#?W)EZ||HTOL7M
zoJY^V^+24!ZZwZ2&rX9X8(KXyaS2H1@HZI-HSl@>=}>f*M-NRQLi0ICk_*Q6Z31dQ
zSxp)lGz5U(oZcBd2O={&V4LeA2FD+jL?%>daHpkxv@{u8PQ~V(>vrl#3;~ZKJBFmk
zgnP37iOk##T=6bEm5!aX5jf#{dyR^STC>iaq*7JmX-X^-zqC1VA~Xo#=^kb~qFr#Z
zKjXD1`gVKXOLpY{c!wIsCs*K?B=X8@6Ri%}d}S+eoaCRW*hexv)AeAIEs6H-d_W{o
z5q|Wl-EmTeUu@vpf)a*+lkHC+Q*?NbKt5Q<LzS7}_EJQ_vQ60S0#qCLO`Sx$J1^eD
zcQ8XYOKY{0|A;?KPoxW|v7xx>n@m;Lj-p5TF+|i0a(T0E&Bs2?OYy3+rFAr0^0WVI
zMS}|ne9~V!tN!BH$`})adj@oO^4x9%oGm7gwAN<IIJReKo$Ep{;`g>4yOA~Y;Zac%
z4mv0LKQhnJI_FiRNx-$D`pI%m{n5m=iZyOwDZJnNEz=d5`o?+fQNDw_89ku<dKJX-
zjcE8j=9$Dt{+f&xl6%jlD|CgOG9D9KS#m{n2@06g&?L+`COI?$Z*qg?kLfceuMS*o
z>0mpz9pb{UTM_noO?@%|9DR6-Ws3ZJC-LrJQ4HZNmw-!k8lx)4$^maX$qiSm-~m@B
z<00e0zl_hKx(mNPTc<e|Mg?-W%Lr;gHW-e9zG=KE^lun2<@Ri|PJHhbsq*i=%rC22
z8SHCDtdsdY-YSBEJ)1TRa^xP+!q$mYD`yxt1E9mcH~JM?Q)IlToo;AjInC54OscMs
zSZ76b%fhGjBA;DN@esPT(Zh*^h7R&Ra_)2_4tQoKQ9&XPlAYbjDfNI?mOrM$Dj*WO
zqz?UgMX|^dOEn`!f{@UQ6^@b#TL-3uLE$LELIRqC9Kj7j&RVPFK>6YNTWe`nP`o1c
zMDaiUBa*)P;3o8ti3z_$WLjT^I}k9^#b+3d%`KOL{yeIH-XvBf?h$3hk)<AVJ2`z<
zZxLkG|0Xv$t0t!{k9dwMCKj~<NwJaBVkpep-ppvkEnr`Mfa9QT5xeJ0P=7kGPZ09}
zKApVcEC%m*(<pJEO<|K+h;6C0=)X~*65{<v76x>3hjiysli82A%)kGa7y$3ywy4WB
z`-DH#OcKnLSa%@zt|A<4Of}$NtCpj8-0Py-0lB5GWq|GDDNjFaDfb5Q0#g_lQd{c>
z%AaxV)4;K%&Se;RPw;DB>iA1|YCw@XuT&sc1IO5cDW>ROAdFvTPO^d(Yjln~uDoeH
z+Ky9ZO_X7wlMD4~S%KynrAn?Y^*b}np{F02_pwYKv-(?e&JE1V#(pP2c$7=&15o?3
zh|xd}pv5w&{ZU>lV^`8WL}YlqU#aH!BV$sj30{FF`A)AfGi+@OD^Cor1|+QEBYWC3
zE|MQD(A(?)Tv=w+<zXM@2Ws07Ku0grN;W5xd2@+^29-wHJH0WPv-!0+4kW@PP+iCl
zgur{toeF4{QF>laLyUpya#932%|1ZGz9k^#Eg^&`o7F}#@9@4^xq#)i4(T)dqS=7=
zUt0>;yO6#NWP@fbxdIUA%lXKoXD_0&0VIjS5zErP0yp19yf*vdgIq9B<>Al?VihA5
z8;qZl#UGAfKXQ2<;8v=|;`P=jZN2wKpSSpEm}#%N&f2jZO;qmuTEJ>p;ftIOnBsA1
z?JQkAJmuxsoTq;rNr##+*xh2Kn$LYVLCH|*XY=d^z(!sx!&LPog{gP|Zajaw(pb02
zEuNV*;3LnfX`nVbcyBZsRf#<#xOoY8d6WXpU8deSvJ4hKYe4&%qz+u?1bky$i}i&h
zUi4iOgg5s)(t4SuzVlz)zj%laM*KB@l-Vh$eh3WgKF3AC$FtI~au}c{c;Be53LXRh
z{khs3_*`2aO9-|xW9oAr$8mpa_<tpuM`HQYIsq1kQCQrQmsXB{?sCiDWcgmAbOp0r
zMSBls!j~NBaUqU#QOQr-`J)>V0#fVQGN1%n>lMqZ=M-p^A+irrP}6nUqNcXTEa8Vy
za(_Z^EN3^vli9mICqDh+Fudz5IiBBv1FI41>F8Y_Q>cD-iuObc7H^A(dwAE$V(A-s
zkhEc^Kp&wN7pK%Z5*=H(p`v)98~#KJzA%ZGp<Jn?uHJ^bf)~x`EtDqYMHv%t+`BMU
zO>K!jZSF4@-!iNnLtB*o#DQ&Dez~$3S@oHf>Fzt)tCR7!3F7yj=r=My(tqMzRS5rX
z;v!wd^9%#yFBcDYiReetC;C;@jyL9l*c$t2MxEbKqg}4fW6?JI!LAf<AH{aUBr>GX
zItaU*GNrnEx|38|Q|E5ABU@c>!yh;v4|6d;{NDCn0N1*_RgSW^Cgk{_n6`QNH<F%;
z-@p`nq#Nc?cXHC~7;1{_@}5aCR6Rja7F9o+9a`bDy@H{XxM(-mTYOl=Yz=V|(jY8p
zxF?rJlZ}1*B3W<Ur&oB{p%doq3FT0vY($j0qch8)u8#*SB<5k6h7FQ6aCk{!H)=5(
z=E&H?U8E@^F2ME$n*Sn=Tf{*#?3f#K^HgbNmjp92mwTa-%NnYNy3HBSm?lCjiX}2^
zP?j$lkliVxx)F3iV!jG3Tx|%T0NqoSZfW%lVwX-;$PSB+M7+faG9Y0hL$<5}lu%Sb
z5aMFqK3RY3bX*d5@c5gO#^Wpi)RK({xZeFpWY5b=KGTgS{4;k&9U^(LYmx~cnmKGv
z4wSfdjwOlVT_S7bI2X71o9J-4LnDP)AMGQhQ>)52dJIS)_?cIpVI|Bmqc~0;0|ZjO
zQfYVb4M-5mUP<KJ#lq96mvcMSIam3ih-kfV2xckuYzDDn7su5-z`0Bd+gz*fQFhz!
zh;v}I8Z7dyDYGTZRzi9%8IQVMlA<tlGy9i?w}YVt0J^t<PCvwh2Xyx#Iww?#OvVa@
z(R;}Y8Ul&LBU8(P3lwTZPA8#?SEO3XLl#rRuOmC4_$44#PSCx5x+cYExevJ}Cuw3#
zvN=aK%YN4=yv~i8RA$}DTIz9zgEd&$)^qT2MxriBAyUccY#^Nv2kB4MmQ}_WZDqo_
z5V+BThhViRYwOyld5YoSQ3`aq+M_oM8=TYtngP>~jwEGdS6oqj-iL3n@1DKDUIPqF
zn@frPB_~_sN;iNh@Si#KvLnAwM|TU(Oes4SNhzlbHeTz64R#Ab$QS?dA6Vr9a%rQW
zd^_}XY1%+n^lw5u_E#nRu<NRP92isdVRhf((9wloG&*#bPjNI>5X0-s)C5v&Kl?nH
z@;&yfrRW1J5D9~}1Amvd;exdO>temwG}U9I+ndtVQ3o8QquzgYhg@FUq5FzIfyyu1
zn&>6<RbSG`8**AMkGh04!Yspim*nz9LoPp4Ib_qMZ9Mc_`%nA;6PV;>OTNmC=yJTw
zwsMxJ{s)O5$!rOnI_Iai1|+~41QjiJ?RRdXjx!@cTo<WfXSli>RV@1bnIJIuK&Gb8
z%d8VWjl=Z|?#+fMrNgZc>`!O~E6~QKRmhmR6#EKyC}2GUI9q8yDvn!s(y;LDXfv<P
zq-{QrCGJkjIU*$kW`<sFQbnjWs#nq}j_!U&m%C-t-5QOeIAo&X%g0fjfp5-;JKPzm
zjki>V$KW5>j&^muk6dP#WMAP3(j^3Ue9^#ApTu1~S<(I(YaNvcjov3*c2^!R7aiA+
zpA#SgG_MoJm@xqg;W%Vu{I1b0e^6M)@yH%!Zg9>>G}8!akbFx|wy|>PE&hDVb<`3&
zw3`YPf|Sd_%)Ef8g1;tcq5$;GdCeBnSDC2YV(P<btb(vBu(#T6bS4!iAnnINq+<P*
z+YDM<+{&7zZ|1Ett0|1c?=9wocUgYwjIO3@Oq_q;0!uyq@r40el&;A8bpO?KQ$}Nl
z_`h3s8W;Cb7adOEY&`EDdps`T&kW}u>AvTGP~qwa<5KCgs<-;aYlHF~8KPLf0~OvU
z4b;R^fM{2piA~G&F8yMr@en>*HY8>Eas1>BefjTTMV03lHksj&7pHm-u0WKipBZOC
zrp8NdR1P1)9fh`K^JI>bexH(r;pYkh6PyPyRkUWG$E}Hot3Nb`A4io6K6UwSfPFGn
zf>{YW=I5yX$a-J|yJ6$yS2q!Wnrc}u*zk(0akk;l%LG`SQ!Kq(YKA%F97iYAw1PV1
z{b*EXFA%VGJVsLf$x!5F;=R?BYRRBvqXaR>iNo)}8LFFHl`654-SG1CZjxGnF^(Uj
z-?8Q{-rN(8L16*D0*Zl}$(1=qEr&P;owl64unhQkb-pL6oB8E~L0Q9B$VZ07`y#2w
zKcN5k`z2*&&dg~)FJo}qLZ#Bw`qxCeG&%pMIc#M$yWiPy^nU;5BJA&UD0E!e{ckne
z^*_g|0<Jh?%C*$b1^Fuey>_W<+2kj9$fk{=_9zA*^AR&;@YsUuR{-OP9rjnwJ#~L)
zT`UmtTpYV^WpTN-vtBs~(SDWP^s#dQ=WBD>Sa#|CS@>qaZWeR+*B+sSU?dSGC=Bx!
zYqW@EJP~hv1|c2v#k+M0CYtm~I3!K?4-hv(PkpB`@HkQw&*!tR%}<zfiXEu-K&jCp
z!fmOBpOop-uXbO@U+t_V?`;y({Q3uzQSg)1bS+l9#~iVI<fnPsEU35CgMqXN(F*w!
zQ{1-aZ$XYJCR;c_54#fwi|dC^bjv}BYT^IUG)8n|7!*XSN}plg^<?Knz^MPnT~w8{
z%}Y=QEPWIVnpQC7JF$I~UCio)<a^cwj}YedQjwX|(0Lex5he@#GTYI?`NKV@4c@9l
zBU))7MXI(g8@)k+={@EL`Uw-rER<`vZ-P{$E3<*OP_i#lhoO3%{TlT3+5&(7v1D7{
zVJ=Np^)Yu=5avMa2XFcDL|c*KoVs8#*cdLKOueLwO|`$$oxo^;j7F*u!d^coZx);6
zI^JG5eCJMgUywJ}hCEw2m39|*GI8eGCQxC*kZBJnwxZZsA|ljnCq1Vp#*S!P;nALB
z459&kl{{bFD64oofMLr#oTM*%JNII4?#sT$=J1xifHm01TbU$77_$)_%_5U*N3&Uq
zq?_SlwJzd2|3vG<*N_SZ<nHr0hk~uN!PxX>yhjt0SVQ6u$*xfx-TrM3jngO*(YgJK
z!xYq%(z0c*wZNlFr+CJ|-3Zil&PUdigp!^xy}0j#d20UbRg8~sEP<viw0FT~XdJoh
zTDPm1tepY@$$w=*=4JF@)mb5G(G^E-c%KX0LhR;u%n>4%fQPi%3^K_#ku&6BiJ>WG
zG(4P0cuWfKna#f6Zx6t@nOBMb1NaS);<59Pyi<EEn29bNMirF32>^IYb(F5HigZu-
zj;NkBlvRMB`B1E|gyP$5M3Nm-n&k^u#7ElTwIqFMXRXUoIf+jsZd%|?NRQA&z?cN6
z1~CnrEp?>iH3_T6xcXgBEi6ALvC~w6l`Rz)%9OWQK=?5Y{8F$OkX#E+TOEf`^+KiC
z&_fOab<|!9=SvxI(kQ<Cdix{&0b}TUI?%85E|eOxEuelY|LJgG9P`04Sqb|!0{|g>
zA<QHRn-x1eN(G=Pr?LPm-EdB6g4tloeZzS%R?T}-e&t)T6%eeUJsP;Tsxob9&4eMZ
zj?K2;5KheO_gAP~zp=Hs`6!Y=8*;a{9Lp^Os&MHL1&0d81WGSu{}91xwkSVWUbB%!
z0Xo!Qx+*^b{TnJ+ingJ0!o6gwvXav&2qz%;DB;nup>3^HU@<a=`97#%HG(aA@Y`oc
z-l^lf2!-qYdAv<uz~8F8fk0dT#D}nGb{!LifDWP4Hw+v<{_avFrbN&yBw_Q10XW<{
z#Phz8#<qe2HH-l|s7%O<ze*Q6;JP<m*0pV$0>BxjC`R{=pM?(6YyPFOR8h!Eo&JaX
zN?j7+)(9tCXz`JVmK2jAN&SsKtjjce>-Ivt@Ab7$JQwz7uHBt$3>uP^TWlr0nLiE_
z`z<l6l`Fqmp}v=^dHJc;xlwfViC{Nj5J+<c>>q4`k7%}43`FckM5I$A$4=WLaQK$@
zR|3l(BeAmo7&8NdsZjv~=IbZ9IqF!?xHVMb#=!Sla)`1BX}o9-^qrH32JKM9oC)yi
z+P}0yZSC^fzdYe}_%V1<CdwK-Nx#Av9J*IoTYghbTR=SyzhZ5qTzB(*_S+f{AoRdn
zRmHB1`g8+fqAf!nuUoZ+f_+)6v4tQE2BIIci}Y<l;sBSfJq%0Ur1|P{2smH--8dT2
zDGq03xHW|hLSk&m2^;ps{HfHnD>Wuk)mCw^F=#?Pp{6=^^0FZvsTrzZooh22r^xFr
z$sP>m+36uLX!j=qVm-jaq+##iSx_YOSsVBAiIjKag{1p_TyeexTNA~XEVThgKlhNI
zG(-Q$w$S94-3$mJ;z1~US3`xrdAO~B@k2m#pOw|>zk!|-w^RxWpnTnXkZ0;0!s0Sq
z@>S~Tu~mu4p1nR+<js_er1tVWyWCFXBh^%lVPQ;bhdk?3iQetV{Bi>#pcLI=tuw@+
z#0OsLn22e1Xt;dNmcUNx<hPjcy^9guXQ}(WVb-Rsx=!}zLUwaxD9bEQu6ST6WwmRt
z4mz|!VDpL<ZDRKBn|rH7B^gst$J!OF-ciU0HUk@lYB!eh{CH}|+=Wm9j*TFYMoi6Y
z_mHIzo)P0K7L`x4aA-)eaqFj;w3aGkQ-I^SqNf{xDlkSQQAmi`=8;V63+{Vc;)^M0
zkZ$p*P?TnKk>C{$LY8%>oUp#kRUG~h#GTnPZ-Vi@jlX58i)iz7bB{I!9HJ+xd1;I{
zd0Zsf)9FVhiLOu1l#%I8@}hz}JV}Cd!era$L48|Cm{(X+Rdg?-_)3?!HtO#F43}D)
zWEviC<=Y4z-Z%9Id^zfZaNLQ@zUOIr8>CZeL!<j=-zO+WBEA|=K`N|qfH#G|K3J_p
z-xg<Jrl{SwBLV9$z6B1I{oV(FB{l}y;&!{7j6enw&!`i(T~|TVftivg_nQHaj9iVl
zjrI$ys($CW68m4=p|?d&9lNj!Ry>T<m6n%$8*R?*(UT*-RAP4Fj0vT>`R5dwgh-}R
zV^$l}V$q4e7hfQ0X{Uk|!gC-aB5Y8-_Vp*`OIbslQCgMLkNy#wWaA493@@QLa=uvI
zVT+n04w3sXK*v+cxZWQt2)Xf9Nyh%)o^VBm`y9Qw07b{|AOF5M?-{<b*R$XD-7Ykv
z7g?mOzKvqBuRV^gAaL{~Bw!Sh{G<bx38b8hIl9haTBq$1;X>yHm|@2C!fMmi;8o+D
znBJ#!S%FedM?V+@rcOPv;md3)k?UU!W{&vDgD`rT^G3hRH%_}7TS{d$qD$mV&9v+}
zyUKHL(toi`^vh65cD^rlWrpr?uQ$$2tIoFO)~pk**d|1P0^J`}J8z7uK{=DZya5JL
z>?pdU*0DKmo?@FQ2cW7tv2r0Mc7F^IhA4$6ZpJQEd)cf5Bf#NcXU&rTrz>5IT>;jc
zDkhF#Rd2}8uVjoN2QFH=>70dRTeipSrV#AxQ#HkxTu+by@%A}^j+)eWZl|ZMHixiV
zc}W2HU76UGake#EMd~EDCU^OXKPgWa%P*Q$ak~(aj0JKT=K7^xhi=x-oerv2<m}}<
zAw)!w?wUFdyb|}|crJ?>{*cKiIw#H+gRPn)ssI7KIv&8gHXN%%7KuG$fX5j_iAS(N
zK;eH;`HQnLLM}Fl$tu_ZFQ*9q`k0q6%y1mH(jTd_2;{>mFr4Scse<B>Ho~&Vg88~J
zl^+}IZ&*1AiEv%Z;3Unqma5R7f-7PtA*_7MAaBc&nW(n!W6=5g<0`@WdXSWHc~aBL
zSqM?)Wmfgw*S(X{scVuSa2@3kQ@144`jpp_PyWPsx8cp(w`m4fbT{6Ycpv8^PvH>r
zY!zUYDfm2~kumMSzfxF0MQ#t&2R#><ztb}ykx1{BEv1N0w-KUf{PYgkJ5Oi?!fQ~m
zsNZ8j%~udX%CpY3N=wWj*d+9!W#=LUz>0cJ)aYKr7QHOOmQ7KB&qc|nJpdJyoWSa*
zBj{%IcZ5su?3S)4YO7;VEO4*{81-CCO|N-T#kSS++GdFx8l;!}QZL~|+RDq{(WV|$
zjQQUVBtQXejNI(IlPMxx5@|?Kgn`DPr>!AbTXI2){<N(hb5yfwt44Bj?IwU*Bm6_*
z-IISH(kp84*C&**ljqX02HfE#RNvAC>qGGl;^6)cAbsn@G)z~kL!+|-vXO@VjL7-@
z<QPACG%W1QjQRn$igC1Ey2ShludeqePUiEFDk!JZVAOAk^>YZ3lS5lg7n5`;MO4MG
z-2CRg)GcwtC7dmnZ9-Oi<U|Kf>Zg_|YqstAUFNnf3|xVcZ1M9qa-R`2vM7<#Ic1eG
zJjkfZvv-#|@;4YcW&~qzEj1p~{D{zu^4>;>Ig_3;c)*%^DYs_Z>r?ClcYjfzxw#}l
zK8KLN#D*);5w>h%m%NH@<=Q47UstwwI06E6x?#o&u_O$3FC8&}j?Qf1@==3Z_{d;H
zqaieo|KDDOzGqDm!hNtic(vTQ^S#g&7iv2Qjg+O}R)8Q1>gZ<b$8s;0r1sFHvD9Js
zpTKUl82E!Rf5_8UNvfPpE3WWEREz;vf~ft|Mq-;ci1r?nYtJo2+)y|*P6sxiZ3uD=
z4e`Wr#aK&96g?MR|4v)c0G{S{r8Ma$#deDeZO6$j6(@32Ug_?57BG1rSWon-W?!7|
z-!+I@s!n!S0Z)fL2SWB>YM9a8Pqk&?Ke#sXp6>IV$gr^esopeuX)DZzAiggcay7Wt
zV7938zJ{t=M0xTE=`YDOQC06Cjl^KFn@~kD?SPJwEGw&B$1@4?t~VO!&@|?+9qC1}
z0uc+*)UM}{or-5GcA?$*LgmLoiHOLbU;i>k#-|I~k|Wy-AAfyeQbG06rd2eqQ&$Jv
z9B$0JbvZn*lv{w1$S-(m!=ZeFC4FMZkYFu2+d4E6CVpvsTJ5)v7bP=QYb;3-P#byO
zFWsTddEU>xOBhG1f(FrsK|ddy&FaHL;7v9OQ{PCa4b_hs)ET%*xc|06qxEj4mSgdE
zR<S(69gbYRnxr}+X5_DHW^pM8*(r2u17$Afx6H!6LMeE7G6jPDexE;{f<LnvUf^WS
z=VE>#=Nw01nz8znD~ei@eqp9I;Z*HcW7~gi6Z|=O?af}+N9)5bz;<g(G>a|(!EeS&
z7;G-iA+*kE%Bh|-;Si(;QJdIWpWp68RFMUh`V;v-ezJzr(*idxjPuyT#p(EH8QQng
z*~wGLk+_HSsE=#&&vNj9hYVje?9|v|XXBG36No{D<EU_T%2M1>{e2V`4EYa=D72a7
z=sO&VIg|YnC_dmT=u3Zvqc_KI7;&gaM>*hbYWUl=Y^&-E4sWKaQs%sU#idkld~R|l
z+l#JaeG|X0BVi8YG<M}bU<&#Aa7{vGX)_KV*t7g1p?j`VKiX6mGuJ4AUv8zyL}Y%i
zyKs!*#t$V(OH~P^xm+NiEo|KyIxesuw5QarL&%o%KZQm>)Dy0{St?s`25X<LB^5WX
zX7kP3iS$#@J#>2oIU&MTx0_66W97Oz>t&%c4uT|0*_MP}zmv?Z`_eEKP^Eq|D<nKf
ztTL2pfa<HX2!|K)GVP15H5l1K&Nd#xX)G%=KOz|d-<}9#$t8Ua0|F5(4UIy{EuU|6
ze)|8m>BodS{>b~7t1P>f?0UuvU7WQ=-kEte!!R35qoUsTFhT?9@M)y&osqZG?SujW
zhDLG;jV<7+IH_=;ly+A=P%dfElEpAz!hlH6x`+?qr{YL0b@*pH!A*WzP9Bk#i?*Y~
zauXtyP-krD7Oe^C2+kGJvk=Q%n+@OclpRw94HOI34i@%13ENE(@T{w&B{ry$u2_28
zd6@d#gWzJYwW}7UQ2OWcBrZh{R!?(NAtjzF1Q<uad|hM7!r?=7?l41r$$`g&9yznd
z^N0?Mpl9ypsIIn4qWaq<O6cfau2XRlPUMm9TrUJP5GfhNUk`xBp2wwt0=rzqPk0)}
zb62L;9H$Wn9bz(}Vkg*g^22IZvW&9IgMYm;e1SHUpzhP9V@4vsDMjxx1Hxgfi#DIE
zg1(A2B(%ryxLvE64t_*i?O5x6YnaYXUGy>$R(+rHg`-ylBYnmx2(~^{$$M=R#jrZ8
z#Jnvd>O|4%#BL5mIzQY0`*XJt*FGj{e1wl?k#v%aN_4odlFqtKjNGA_)j)S<U#a(1
zGf<;gX=H00Zlwb>t<;H|-|~5>4zbTQA~lwqikk6ovmZ)>Yp3|KlgBLAbAVtyt5YFU
zX3W4;35|MsTEPu`dGjTz=E*#jMFbUz4S^WxxD5N&ntkyh3&9uM(y^Wn{A~-8FQf9Z
z49E|Oj{7YkP$ay%W1VCUT7tU8$uf0eKx|x{Y(Cs+gAS!+i!|z53ir>p_XEUjOy8tQ
za6?UyU+UFJj|>M4yy{>8lF1)-jm3$<Hbvc2M!2NC%b{2MkK{8i+I-h2g#IsC<_J)h
z+|MN@`WTHIUWK8rG+z#h{Zg;}R-^Oq`ue*SSYaY2K)P4t=IKNaY(Yq<C&w+wMCkrk
z&?Cfoy1TGL8b2zDfaKz=sz$6G7jHCAq;?Y|ybjW?|BMLNbcHnHw*>Uc%XY7&i?pv5
z9ets%px}(qjK&R>1y=#567G4KW3U8E7^@4l=UWR2C@+_E4Y9SWR|uH#&*?Z)iN@6_
z6W$Dus0HGha;Zz6cEmKp(szP!POZa)9EsCy$p*({FkTi(TV61#W!aSPwtV5K-F<l?
zUa&|JHak;<H(pzA58x|7QVek}`?u_|03lI<_uNonb5OK<i5rOXMZeuhm*XFCF8czf
zOf@GJ_QkncAGOuuQWJLg%i>2z^D1kE-5tA2QHW$lrOvmxY8A*}h$r~BFAj5i>GYaA
zuU&_>EZt3r^hKDsZG?A~e`+yu>FPuym4zR`8|g3O${~={jT37OsM66Cdpm4KLVB(=
zyQZcfty90eLz=tG!8pYEH_jRMXwyftL`l%<Lj|CsSQRdMB(-Jd;EwF-l{Wa<wesQ%
z6UT_8r5A5@uZ3l2akr*k#Jv#G)4BWF%bO$|2JercCWm&<#q~*5RPvc?GD}#%om{7r
zFEjkB{Q_ivZynA;Cr6X%ML6CbQdC@;J4AAB#XDvMZ9UYmhjFE4<(Jd3Bj5>JWm#7o
zYbt|9+jky=G8L(eQ*(dgTo$y)cophR&-m9LwX>qV+$NOHX}cymy&IZyOu5paS9t*w
z2reCmV_6Fp<!t-~t{{dl+0yq<x8}`XUELCx3_?zQqRE_$C02g*Wz$BUe5Q;Ej&=Mc
z%d&3<7%Wjobt9}_Lm+r?tV;8!@ZC*UZqHJQT|DWo6^uvp0w0GdMh>vGsu(|>0EnvG
zi#qQ$3fhfG;3r(J9=HmFt|0r^=+XdVr7mj5vsnP$I_30-eAECuK*PTT9{hW2Kfu?L
zav%Va7OHR1c4|iUJ{*Ai6XI{_#pSf}cjsU_z_3W&fmX|r#+x%r2=wT7aI%tY2a><a
zxq8vo*68aqJjongfx|R#ML|49zRL^8uj1(g->caJRrOW%V)`R@LSv!9EJWVI=nz=Z
zFjWtST;Y=4rh7CElNOI{SsCE(BSZ|VnEJA()Tr+Gtn-3B^_OiJ^8+uB5sdn>j+TJK
z`Ykc%{;$<voIWEp`#V1hM#yENM}gIG(GlJk|68C$!w3jEHz3-3{POx!2n(tO>D+^<
zO}Oil5idD*iiuvEr>I+h<&Jk10uVx%m=B0Xw1wkcfh}dctXm5)V(qS{t|s8_v(YGF
zDB3#|n6MY2cp%J>{%aC*-5J&<;49&>CRZm;PTe)mMCmyBN*Ut~^!^<~_b^!}@K76(
z1fob0rhY>~`b7yTd63}(2RBZD@Uo>6=4t(2gOc9&A4ewdr%%x?O(b<3FK^q@9%r_J
z6`%Q8a${nOIEL;2!KLE)G)mt-#1MFb(=Tg0+<&1Dvq*h@L6x@b97g96O@SeK4WR&=
z$|D4@Ls<Cjjs&ET;qiPU;7_?iHcf)+463<(M1MX*x|Ub7<yexMHppQJ)vlEc|8CZT
z+X_LR!a1+AA@$kIaA-hW19YMX*af=0NsG`gX57n_+fD2q3VSfg$LctI;uIp{mU~Mt
zf`ce-j{zID@m)R80O!VL_j#osoy~ye{Ow1N1%piD@>?p{aY=vuw<0?tAwpY{7!o+Z
zskcZwCfJP>svy{G<J`Ji<jMt^3$1na`4;8tB*eKoFUYXK@J_Nfa-c{5Ua3$O7o3>@
z*aFWb6PHK)95~<mQN%QQ1e^|_%*3m&g=b~L<vBUZZHU*{@Ep-pjV?SuzNL6&q>&MF
zgh6#zg&a%?sak+zNGmBOR6h<Ch<t6Td^D<r!b&K@<b{5n+@B53p=FUWw>PP2Sd26b
zh0Tm->dzDvri+k%xF8~RmH518s9w|N_90dMlwpBL<7;>{)K2?K=i7tc-QB^<H^RgN
zr^1|}hkaC@PX{GMmX!9XSPr;v!-$Tvlfq_S(z9S%`W3XaUf4iw{|vgvCCCTmWD}r<
z;q|P`6|t6`69YC84NxAI@)KpCZj9hQ4}rDLGRys3N3ERFHDO;1hZ}E^SzAf?)GxU)
z&FZDNImtH0t6BF#a)Dm5PVBo>oggA<bLhNJ<tvbQRmaK(S66KFej)GUlIu4qd>y5h
z{kS!wT#G@c>}Xs}2ATH#%_kA00+%sQ!k$-moVnHGMimipfgtAf{$+A6U))lPV*AiI
zy}&C#MtYOO_$wc`Ssda%bM|0n(#6~pTPQt%DDv-QP0g%6Y1vg_^NtE*yc+uZBlmv}
z8TT263>fk;(8#6fIh%h0uFga?1+a(q(TWLq>fQ^oPJhvk!bxvdbvl^al5$A!<;<{~
zs3f}E+W#O_))*~Aj7N=~dCGfE;=%d9(5<3`*vX19X##3*#gS|{BfJhbh)+geVy1)i
z8X~gue#I*YuZMQ`WukR(2zzn&*$q_(yLY{Kuq(LylN-d~h~@?G!Or#TFIjcE^Ps`a
zPc>|=EbyHMzltMZcFDe9o;nxTRO(m|8?AbsOfuWIF6M$fY_Ata3pZoprshH}b8SB*
zztF+mK*J`JR?&hcf_Pb=VEt75+uv!15Z8MN$;J(m_WleV75F5&1mQQCxIhc;MFo~^
zR4tXczd$eBNabHx|C<Q{8?q>B@%#(Mk5?}ZaK6&3jBprk$xSW3I^B6)n#eSZPi(s<
zVs`nSBsF$U-g$ct0AGKHE(`~dNfJLPqXMCs*h?@SITjX%-c!Q|g|=+}cYJ|z1{dkn
zmg+#GNVKTH0(S_^qo;_Pk^Hm46uC^X#@uGcN-ct_>pn99WeyM6@ji*^XBMB!wqCX$
zVHwcNuNe6rp&HH*&?ec(fV?2pIJ|z!h+<!nVOGwZw2<Iw2va?+E#<lD+GoE6ePauW
z7+sl|U4FuPT4d0jz>p65HDLsVBzhB1KRtK8<TDu7aw3Xh3hd!`$z$cc^NRJQ5FVWn
z-UaLa5_#mN;)Bj19&bY4L1cu*X79f`PnY)5m;#;)N7hbIUrt<496f7h73K;i5&DS+
z5NfNdY(K&-<xX1AIT<qS<0Eti0W4aAq{w*7BAmpFyVbNewtKGZCu|DIhn-5_Dskiw
zyE&nhcPaZIo9NeUkwUy%SLmjpACViNNWXMm?-;lP`~^c|$jT#J@bVksw~LzLO)~ts
zU9AR|h!PC*xE*tA1A|+x+R4sdVM@rp6IJJeEifcbFJKWF#&q51xpXi>WDg5z0Fm@y
zaR3ABe-lA;$)D~~OlWqZT~1WcCl`+&hmM{=lp?7uSWh6snX;AgY}d!Td{RgItmOQ9
zP0#qEq4@nFqmW(TzF9XSh>glmXE;h`j%k&Ew!vV@dQso(hTO>8mAyi({K6!MLA^B5
z_6rJq*f{GK<y!~o=&PZPx21!+4qoc*=wv3T+XVOujf$-PqF!PV3bqT?_?0??k0|PA
ztmOhJ+s~r<77edY5am;baoJ0tzLB!!^?6GL=S6*S^#oSyrH9vdCnO8M3FWY8Bo>^W
zm<*v<7RWV2`*TRXoY`U{TW-O;xECphA9?cK5JQXvAd{VQ8kwa+T$DBHI+2J{iuCx+
zn~vPpq-9#&w#-BGvuuCQND@99ZsCP11v)AI5<b5$#Z-mn#qhi^T#2JDP?^wYK#%@k
zTL%XYdqCF@q)9Of(=5^DZeIJ5X(l!#WgLfq1LRQ|Uw#hfpDB8`x;K+0LB&=>zg*)*
ziYWcHk2Dp23G{#lZBXAk(*2Vj_RdVj7UscducKLvNYk1(ZW3_D<q7QN^vxj@)D)eK
z@V167;NnVe&zuMoAeqLp-Eh)q?9K?Y3TKC$m$PYl2I2@fw0Su81L2RlDEKc7xNl+T
zfGj(>H>8_fhNHLXSqj=C41)k}g62esoY8!~FAnc6s>BSS1xY0FSiOvyWtn#PO#;gI
zc}z+afP4z)31Gjq;rn-cTVh~X>hj5WQw+<*8><#%i4D~DMdksehq6uSBH;(QWlGFJ
z(JBW6I?5)SlTa}*X}Lr^LANPVI`d+ahd{|UckgtsMq3uMdK}(<l7W%4B2Y$P#(RQa
z<EHX<r+^FHLRJKwmSgs=IY!`pp2w(a3(8Qu4}pV72-#pf-qo7uSAJ#|K=776A!VF7
zh$FKLd@BC#C{JGylQC$Brkr;_P*rMsi2sP4WJsSJTtJv(%=yOD3>5I(Mi!qN)Yv4w
z!Xzq)r}vmp&k}m)9<(BYzGc9`e&Rk~F%k)(rzSE?;aWorH{|m4T;gK!AT>ofD;|QQ
zrk#Ww@GQFC!Dcsr`)>#xcbB^B(UGWH8+5?!+z-jD4u*>ZtOi4z4zhf55xj{U04S-7
zY>1yB;{i=0uIoOKHy-(yw55>xiwE9yhlR=a`xWcS5VxDa?gzh4M(!;?-~l`(2eaW`
zGCzuR!+QZp0Pz;g&fGr%jDL=JA^*A)z*@GU?^u~kJFrr(LF$VC%wW*YGGHxng0v2c
zDQt-yn1Jv_W1da*m<)VFZAonHKb$p62N~ad57J<Bd6DwLWtUmxTA+=WFarPwLEuV)
z!ML9KeTprkpOpv_GI%Tlg$-yY4`<$ai||q@u%**Udu0Vjm*OIfW89uoCF{}r0N)t}
z@F>h}>?Yq!tNs3179IELd2aAFw<)YF==ai;0yvsW0=_d7>Hevw32eLsWE%~UY9-t~
z^^wk&s(p}0r!H}TPfU24=FXhzmU}e(?f=a45^m!5vv?gmzszd|^8S^YU5G_u1}Ggk
zH0!TK$xJz&%7W2vBvyD(NiL_4gb~^V&423me8YC_9-c^OZZd!N1)HPO*sn7MpHVaw
z-hw{alrNA7M4Ntb(M?}c($$Jx7hAo%f>d?nta=T#;*1d1Rjn-1pDiW}{Jzgt$@~Mb
zre34i+OPAx=7?xud)YA!Qt;1}idha82o#Sj?}Bv~b*^rW4s}+KxE031wLAM$AgGx*
zy_-D-KG}3b2&U^$cR`phE>!&3_=}p`u<aBn0uppYSiVn2=%1^rM#<?4x(wSwKwW%U
z2=hdkf`B*glH&sk!WyP>z7%hkjVblY{_ScDuyI=m=7F?R5aG$0DYWKKK+xmU<Lq?r
zR-7T3m&qc3F3meI`OOnq)emu^(LDwYw_npU7hj9J`_8T~s!bV3@|FfX_JePt$=CTV
zf5pGa?4`^r9wV53A^_4vXl$g>xA{j0c$EwC`I<obZM8cl<C#s-%pk)emXHc$=|s%I
zLY=`yLZWxw`F(O0vAZHlxO5Ti#Uw<Fj!p96q<m?TJ^pbs42iO$Dkk(K3zc_ThXXf&
zewwf27h&=?FqhRgCM`ahXg5PicCj5Ginat%e0A0PtF2Qe3|nI85JdM!HL$@ZPSKf3
zMDqk(%h)`nY+D>MU)zm_f8c}%SAKg+>j%ne6pJ;_hdxdNB^M1sdoZefPM^I?`4%>i
zWPb+(iyvFi$X12xnCe+3<?0Rg&$Cq<lL!mhseanrsP-74kD&gD2(>nXM~S8JU-!Ey
z)>Wpog@)t?!Z-)TfD>>1t=Gk!f9|~GUT%ogAm+F@T2YFIyN<ak$A__t?fJ4er8rN(
z{>YmmH}_|E>0k5Wn1e1Y^@ErRw+nl;4*)B4uHVacj%rt({}Dv7n{z^i)>&1y9(K`5
zFcl$#I2f{E9f+q|%cBrXdz?VBmRK>3+2yOUv&OiYeBpXibi=}}|EJ|W!nhZ$mXO=`
zc$_cl{RW!|377C8S_ntj*i91s2#CLs*H~Z5Fy8M&i{h<x!=z)<gqzfx5IKd*X35M@
zQGj2_QXn6;PWUqt>qzV}%u@ywU2B&@OaY`HAEep^8AAOD^z$7Ma+EMGAA#*0{@B~S
zPl1J1Zx`MjsS3hOKAomhO=Ozc0GYGhy*P{t2zf|r<w|B{>$z%O`x!3#le4a)H}$74
zJz3M1yN|{+%s?LJLG6B=#ey4OZDRo@g37@>dn6?lO;1r-kLlp%A{9Dz`=I^(mYw;-
z6j+L(pj-0r?l*M`B9GRkeK9qDQ1rw!-!qpZaX|ICIZ^)S=~(aDykK`ac*yx1<gLwC
zF~kLa$;l@z>1(MFVG6zRJ361~TUtnzR5Q+f#e>mZtvDgbJgc1mNxHIi@E#z+QKoR<
zG4bh&$cdWN*>R~%c<yX5Gfs?eK|tNbdxFU<+~DL)1Ch0IdK9E-G+<{LgWrb@*WS5R
zdOg4cozvB3FoetslF)#pymF@c=fpm_tBWlAev0sON+zRecthZI(2zhcYxCaoMB<YZ
z^sA?gOUj2TO83#2^wn0s72bj*4>rsr$WEO~f1&uk!bV>GYyEZbJoNJ4-{_kOAKWdp
zF@D+_cGg10?d#HGp<wMlWwb*4Kr_^2eDP$5reRV1>M&D^-I$7T3<M5?B)FFwum864
zlq=<O+#nhS<(O%yIXOU`z69|PDVnG`p!A6a_BCL9=U(3rr<L&&o`IS1h`RG}fMvTA
z+SF94;0j$tcC4`QA;eY^+#cCNvS!4ChHHb2S`2UDd-Z>UcGH8Dypg)|{0o{H0)W9(
zrKjWnK6X?~CNBPDWuc-S?tc)4T_W^Pe!)OSMgs_UPXPQK2#ln$wUxVuT9F+)TwbGJ
z1eRgmCBnQ+^Htl}3NHNE+)?8yJY2eHMbh<z?`6-6-fJB%%2ygzlJ-(&q7hOox`1c1
zsLGHoW0<gEX2xKj@&Un^H?bGUFKRQ=zMn$i>^}KDU7c>7?+ZTAeU$x|B3_U`rbU_a
zm%!(pG}F)}Wz1Q2T&(aX+!BmC-?#pndOqbN;jiQz^b6d9H~<~tfp{Y>>V&9NqJUQb
z`D-2UTf3Ae2yJUcW2K7=FvYzj?bLOTYLT|fYaj~7$y@>FjN}_sa@;Q9q9Z7HS>W*p
zt$Ft@2vT`+Rpg?pC!|DDj!`;ms?Ib%Oy)2<O1AgCnHHT9KSk_gNqRb9h!J%%5$;AS
zKTFHn;Um2%vaV{O$DxqnDicILM%6hp#mB@XdWvAsLh95jWt@$_X_kgOo;E027v|mt
zlNGxPC0l_s7esN8b*FT*|Dm@F(o*Cr$S1Q1s~-?6S5WI%;#qol>{-}P`gAXmC_6=x
z4@@M2#eKj=!GpJ)6cVm?H;REYGC`dQzHseijKBg0K~c%U3zyHfDh0D!_W>xv&XXtH
z3G{WeK=T(FUM-*dX+Q5e!fmB{{(YSsMfwa^teOxlbCeC)r77d5n&#1Iw$R-Jwnb~s
zmV}Crlh{*6a25`knA}4oU}2pb_7dQA)MM8oa<q<!5nss62*hX-m{=Pg=OtLaxgfQE
z<=NNnBMY9nXY9JSf*HjtrS~XsAI)>Xcj@Y?>__OqS{Z*Lps%e?XHC?IW>;X~0q*5j
z`8Y#(vZcsbdHR#=bBy_Sf=@GGQm?`-d03BfgUm(SW2_LKee+l;UnMjR(t>kYatv`+
zT74jVo=Ty&9g4jbJ%(_Ig8e7taCmyF;H_AX!WwyztkN2(9Dy?e`lPQD$0NJPW^vsL
z0Nk<@C?4jZTjt4tTwiY}NTCzbiw>5Cz+7u;G_C-4(P<fT-_0Bj{{+qGX<fA5Fmuao
zEZrARr3q1V2PB_~hxoq1Ob};*zFi;#Nq~EN)IQs+kpOj&ZbN&;RU)m8Qh<r7(+J!)
z{RgX^aJVk*ZWc#m^JVsJ7mXNgj4B{oMJkih=>U1waef<z86ZGMNE_YXD1=^9IJ@K@
zOZ_>ZbN?j&bE`Q5|7_IeQsM-R5^fIxZbXV6`zafz?NE-B3NotWYPZa{yM{u0A`gh^
zIv9Qa_fQX9mgS>z^CqE6JQ4lMX+NWoeLz|+z^B!=Qkb>b(3MfXUlXTfqvnMc6&hp2
zSEnoB#!R;EcQipsg~-P(S}FooF-`40Ep;QR1_eP5V-yJhDBsn<u6Fgwvvk{~gU-!m
z$+rL46y;9r3AYO0zibZ-f%bG=oKQ{y0yz-}H<4NwCYuOvLTDspez4b34=fSDvPRGp
zrO`p`YY$+X`cOEd>eo@YumYEzL<rlw3r^sw0f+1*R+HN*D*^)FaFmCbjL1adpsrof
zvRZFhzd)P6Lin>M84lY1qzfEE#u=c#YFT|RYFMnuZrqyPA-rvCv6GBfD5XuL&^$Ee
zLWg9Fp^T2yHI5#Pc(CT3nL^t9+cjb-$;Jv0LI=P}{As8;|Ee?yN3ueWP{qve(wN^k
z_N5J!^0ir}Xw{OIoasbQi2&E`i^R5w1SY}(dm9_j<-Oj<T9J8wqZA*SJL3r?4Jr5=
zx2IW#m7|TvsNYPm1`}EH>LvlbNkCkD@5T=;IyxLk(!H7!9KzjWl~Vu$?*gzrEVt$6
zW24SIsKS>%^us<BvKS-OwDhNQp1zRttSpyVR+C$eJ=d916FCfAWIWc7X_ACjMX^P0
zS}U=|0Apr+<~66tOr?Va((zqlK*jf9XND%*37<_3u9aZdy$2H##S2=8>w*-{^>_O0
zG%?*xg5ce_o7?b1lW#+=J}sNBW+V(In0b-}>0?0aVS&1H=u`nPXV$c!rA(3Enft`~
z#!G!FH6o1;W5J(r$yQ<Tb>SvegCG`t3`I3y=V}WSEt9T}kqU+lh4sX3vSp?Ud6r~s
zOk|YR1x+~{$yH`ngvm&dn>y?NxEJ^&S2C?f6kGxD$h(Z{C~VX0k5j#jqL!4vEP5J+
z+R`v{D-PtA`cov~dQIXj83@By&s2K)-|uW80(PICJA`%+5>GHEHyBuPwms1TfHn|_
z7;2D;s6)~@3}p$Hm1$L7fyG*tW1+am_m+9*xdZ3{MyZe^@uWxhhN&0aEZwPPX~mwe
zgD<-S#abOENDgfeF&&#(V7TwKfUb}(0VpGoBzHmrFVy5t%B$^}v3wXR0HsI9ofC!v
zuU}sQbrXnH1-lVnn0dwcXwdezmJzH=l7~(cM~};N1IC92P{BO0Y?!UY($;%7_Ojt|
zgkIl>(7W-_-(qWMC9?&BJ#!;>MkrUk!2qRkaN<U^0^zf83;E85?f1E9czXp6vy+|0
zIcRYbNU6%+Pe)iFL^_D>hsKNg&<`6p+q_<#y?e#vy#1^1_H2w;rJ7}sZD09c*#K#v
z_y?)yA@`ST9|R;p26o6neI7Z);T7!Bi%E21-V9lha_|CbLMojf0l^j_<f%aV(nZFR
z2o>$cwGla?pK`m@<AF;eTV)t(9ObGftO{xM=+0E&ndfM}iJ-D-ldm^`X(C%YzZ!f`
z_q2eN7lQG^>k4=qIoN&V3j6Q5=inh12fCZQSfb>;V@-lXa5+?)5@!tN4}dkY%6*I6
z=ogQX@}Jw-&~dc$MG)LpgRrV!O;Ykg6oK`QYLnW7o$W)MW=;&XLrS?KrIF<-_0&an
zQepH5666?RAXk88MMNY89wo7~h8uv6f<8eI8c`_*B5ls4A}KY#wDGiB4B%F9wbW{f
zC-vrr(<s#E$h=y$aQ+OBa(QU180bxyVxCJ0l|!A>n^6x4FiBbtY>tM+=Tg_VeDl`u
zy(KH-Q5ha5JQu6fzYGD38a(rN(KD9INAAFo0aI9v$Ow^Vk~;kdvlORW=V`Ag@T>9T
zZr-gqBlo4Fn`xx})WH1g<?U!FqL2uS+t|z5BGL2f7@jCSEcOu^#wut<*x$1*rl>!1
zpw)6goiJO<PJvc8RCvJOrB&D}@^GUoak6yOx%4~6Kvw*r49(8+6zyrNeAG0j92I5y
zB<3q}D>1lbl~q-7el-v>15m6-@@MMKm2J=3kfitN!9v<u9WkW|f3|_{A5o|yy<UM!
zc0f4pl(YYUqaFrVPHx%jl=a<T>@HX~bpGdV@wKUakGzf61wwE7<spDA(QUTMDAt3j
zmuhX|_(-+y5N4lNCBfvBR0!#reUyP@3_w<d#YVRbW-1Cg-$z;p4-3^@*p5g~7HT-`
zb){O4YXthjB~-jp1`NmE5-sbesia*Wv$MWzwnw6(YgZE-51^;#?2tm8AgT?`*5Q>{
zJ!nY5z1w+~<GT1IvqNB*%yA_+?>Z9JyyY+r?u=@>0+;UN(A6^c?O*`F3R1cFl02Dn
zWmqk-Ig~tFyp5S++)gLdqT&;U_Y_CLqhgL~K=#M+*dUKRe{l9jalz1%jGHpy9%pHk
zHOKEzSQXU$w=gvMoLIXW8%`iL(Y6!S79%$04~Ry%7tk=sD$yO{A2ZaVVR?`qvb#%L
zP7m?@;?1Z$;$FAAR~6^ot>(oo*HAx5R8M&RwBmsK6pZU!=I|pS^3DhW#)Qe$#D%DV
zztCD_pT{Gh-t+!7n)Z11wRlaF^gg+r(tdm4cTp}*XOe}xZnhq-&13UE7+4e1a(6Dk
zi!ybX_7xerT{TxoefEx8V3)B10f+3Xz@$*TUGZK)2a(c<U^AFXKnV<v(^sVkrkKE*
zn)37I4~l9`M2H9Mc>){%NhnjgVAFFq?*Z0Da$w|u^*-~rBxc)+6yZPL{4-^fQ3+jW
zv&W(oe}1A(2D;(6JEV79xYTljE$)F%(V$#I@&SlM3ExjI*7#8mzR_6CSTeROIvC+%
z6$V(k=*mav>8C24h?NX6*9OH6(lRu?bU7t4u}nI1B?hzq%jv&{3*F!n7`7oEsYKh~
zgI%d*DIK;A<AY1X)5Qwf;u={j=gmR6GIQW8npOYubQ*4({Gbg=H(VAM8T(&nGT^(?
zFSj{Vv3btnWnl)byCS7kAyqTQ^^s#L|M6S#4YRgRZ#RLnatO{r^?37%H-lB03c$R!
zf?kOiL@?+uInV}~W1Ul@Eb4p{*$QP|TEpQH<nXTb5p4Z}ol_7}89UF4b=yuBiFbia
zD_W4N=BW-bUn%=r2w=FTlnigXP?`C0Q~nUk`S`Pk#&CQx&7+;YT|iveCJPW4DWDr~
za?hZJc8&0HW@-!t2Ca4WXiPhREe>p;Qs*g#ukjh2eNRAypsX}WV+ozB#04L&Sbj#Y
zN;5s^zrK7g$`%<UNf6$f2S^T}y7v?fT<9{!fACd0iu4J!1v4QtH#|-Z5Mx{&fB{ZU
zfSJ}&v#CYL!{>!(e=iqjMQ|3wHDn$_;yU9aWxiuRnj^3E8q4(COuz3e09~#sSi^s!
z1H%F-bOo8F$~dvA30+-y?HTatlVIDH`N_6~Ppa8R8@m0J&HPVE>~gf*@YXn-9OnU2
z)Y2AM_;^c7EK)H-EC77w&JAPmJ1uU2x`0hbj51ABi+}|3Vb8?MZ4-IFnU8>=t7Q)h
z=zT@=bY%`zz}K}-`UxRyZ}VIyP<ei34r%~0floSoQc&>VxtUH<YIQkqru&r3cvz}0
zZ;rex_Xa@9G6c_BXRdwVbQsnN;(aN-0rEw<@GrY*K9nCH=y365Fm#yJW8>Ww{tbvP
z5Hn-ewYLZWyl*uQt7!25lARvBJ?#^W#s0?-8q~m!&<m^`b71+&dOr<<nSPoh<)&O2
zWam~A*U>4b0+vQ{sp5x1TpUnI&@x@*%hZKZ`oFi4;d6su3^c5S-wTs{(dn3J%*;K!
zYO3y!yolmG8SD>aHIhq~7^8?v(2Z0~s~RTiFsUl}&t?dniAr;gkz`3=Svo2DInTEo
zAUJg&;@yBTaDHO_$1k>ERX~+SOd>KaTmEQte>#{s{e8|7A5;9%Kq`57Yj#<HeGmg8
z<*OyFG5tL6<*XjKl1B*{WzS-jZQLoYe%hy1eH7}k){YCFJ3#PmYuCtb4!r#^)oJ6I
zW}Y_m*U>S=BqofKB>1@SaVsWaz0h2IEaKZ$o)Cwb2w-wbZZqXy#cJRH7>7_s&{9r6
z^Sj&cSVw%s_#>kFzSjEGX?)k#fTY4b5CZLGne>+l4?V5@^sCJtMBL7P_ZuSoknhBZ
z{N{=aA<Cd)-wvz#hh?^-33<|mGh{3e$Cu@~R`ChTOpZRiOIDvq3$SUpLppk@p7rlD
zGkYukbI+?%{D10F%URJUWP`DqtcaALIAh~xAUMX=fWM4cb?Y9qEJ;ZBFdgXy4fiyS
z4l-2s*Ykb&GDxfOI|^D&X~|D+du4GBmHfTgjDNNH)9;V>o-7u~S4uIn3=$xjw0+}*
z&c@{m&G{_LEv_2+>Y%Xh>uzQ?kOTC>Go>cN_yPi~iA5TWe`692a@}}`yr&DqkN$Gh
zgpBMO%3(Ev^@qiZ<)9fE1V6bgU>h67&N^_OSl5o9kgMO?JODNe{nhm|7+^id$rOUx
z0H2Q_Tqeu51n+V#bSRxCd64C&RfDqFd-Z@i!&cechyHHv+sq*+CS64yqL1GY$+S1?
zDIfCoZaac4E+uUlwLy2Y5_{C%z{+SfH@0s2mbMPEpIlO?6HKl`e;9p-dUCz7OiXG1
z=!7B+!R-9x-cS3X11IQ~CA=Zo%{s>$$?!L#k1bFx62~NvbVOx4{*B1`{~Nllv4~v8
z)pW(XC_eO8!+O>VH*k|-1~q(qa(DicY^xb)Asd%T!v2Xmq;l_hg$I1J^scok?<{us
zbcqm>Et?t;6KI;aMvc}fI7=h{e52d_s30^W(&1ULjPD+`P5$`N`7Kd4a#ZX~9(dZI
z5P8c|BGK5(k!(^wiK}i=sC-mlMOV1G6ZP$DsUlJ)c_*(3NObvkf>q4NScB_FgM5W9
z_@Ja8@IJ_Rt?EcKPST9{cy1;zEgIxUyH)f%looyMs9$%TDQ2G=En`q>MSOs-H`xr2
zBU0veMUTBr0B~JhIZr@|3qULJjW+vEasrnwTl>e(Aar*<vx*V`2Z78*uE#yWs%y@P
zt_AOY9n=Hp*AZ7EQZ$AkLWwUS4J51rF}>(_Hc2?TxVK)d>8At7hfA_Q@~CbbX8j#1
z`Wk+YLg)DnDLcP@ZBS;m_IV}sO%^TAPup+}3T-$LRK38YjE|4zV*9i(cz7DGiJ?9c
zx0RSd^p#B_)a|xQxD`3$(ky|Wu^4EVgio6{Sj#@{kr1!P!LKFcQ<j_$5IC<63X!8j
zYzCknSy>kphOt3KM60KSiJ)zCx>VcdX5H|DS#lWb4hg;6<vumh6zyO#>X$JwD9@~1
zSIUw_RV7DLhyhxXNd6CSCxf2LgZ_e;iAS_($|elSshaRM3@OhTL<X2z`e3=+{c`J}
zu)d~Cd;n;02A0$DC#bo`$nj<x;~HJw<I=K#Nu(@SGyG#|<thXdsw;CFsdlX^nN}UG
zcRI-a;dlK!48bzuDjZSjg#jR2n5tbDaxC?AH7k(^h1eSygu(TDybqyT6qIb3z7p3d
zltBH_fX9l5^L{It9Y*aV-cJ#7{pvDtP=3_NZ3Oi)Q8%om8?jZikYOnRXzOR{K1@m)
zNwb@XFYohYmQW<HRddv?Ap^G{ut*@(&nO=6luH=YSrTT-c{{71MTzB?>J6bfu0E!!
zjbu|2wW<)mHwwkh(x$|5xHb%c=nLP|tUot2H*48a@p;qElx>K%`?gw&Ce>|eTDha#
z*~J=+3kWSG+e@hX1M2!7FGb-(EBQTDqr-OmTW}V+rK?JWSz03`6Xot|S=CQ8=hfcS
zjBY;fG?|^=xa~Fd1~J-54lT)INMiKL1|%O;vvAzXhvg{T$>Bv$r%#qej<*g5#XC1`
zYy!c#q5XMa8V+=SS@?FjxI0R~7nUp4;VmzRZ1Sv64JC5FwFN&vN6^Z1LxEGVeu!-{
zO4VJk&gVZ&7#4^)i~!e9iS0!n8>`m><B-CH2i6z&h)87;ZC>&HT9<YFrRN*rOVZ}P
zhPb-iy2=6e9tSNwTn~kkxXQvH=sp^RV`fGJ(Mf9;uGJO!d-dR9!CLDBW9Ie1`BOXc
zJlimTPJ;yw%cj&N$eNy8EjHhFId9v*IG5GA|K){re9vgLnGKnWV~;*HZ3P%1@E#OH
z9A;}RD6-KHZIipyk1xPLeVUh+ng3{`oo7N9gApOYpHYW>Tw<T=EGk+zVT9~x$zMg3
zr&|aNZjT&`8UPnv6F7*y0+IaQk=O4fDav?we*eOco%tfF1UhXroNi*me|=>iXi>wZ
zri6&x9{%PL8iu@?ZN>TZ)!dDcOSwn1n1)Ogp6p?EAiiamxk(!kO(wgP|E5T8g_S6w
zg5i1f>NA?z=qQTEQO0}>st>yq%1=;~zS&x|vE?oq+#W9q9A9F(KO5nZ3h^9X4{NX3
zM7`xS%@1#;h?3AjK71;Tk%>OSpH@*R8gA9rg)gDSxjIf4(I@R9wt=lIZNo}yMNSL-
zBHG;(lZuEj8>}w2{^p_os&pXDg**9xVOO1Pr{s@mpk0sB2+Ov0M>u?-;$VkVtW4wS
z<atI`2Lle%%O-6~F*b`pXHCB|khVR5MF84(zSM{&fd+{eED_8j8}Oddt%rovI(ZQB
zV**bhK`1N$M-d<u@x%<usglI<vq(S7t|3F{XfA&Se(a#h`E^N$Js<)1t@-Pl5V*G#
zT+X+S2Wr|8?g;>wmQtSSRLNvU&%E$JABJ^(s~3>rSUznw9nj(AwHaI}D*L3RQTC~$
z+a{?GZ$$RGw1E-(NoiK}`V^(ssPYq+x&r&@Z;T>&GtzQ{nMG^=CV2M<PR$;HtCbP9
zHxFo5^e&aBczi^L5Gfqzfmc-_dYkQuvKH~{kLRkvp1avsvjw_&k?skpp`WX>2b8Uk
zmw}U=L}i(G=Z9QJL=+a%PYzBRG%od(3Gy2=OraYuEsy)E*5q!XT$-3yc)WfuKq{0<
z+{aH<p&uIS>_YT5x}Z{`42a>kqT0kyOSr?f!)f|)eOJj&Q%;WIL*v0buHGe_a7DEd
zciG|ET+-KX-X0_7hNySPON5^NF9RK5zbW!(jpW$JXZGw=eb>saJ0bcRW7!Pl3Te^6
zihl|wp4g)&DtyhuRm7##S8WQB)7*e#1ZJ*{RVN;sd>ELFcmU=7{%|FxwL6|6mP(Ym
zUkP*QW_e_jfbD_%w~*tHRM*3-*`{X&ki;IMkAia=1u%oZh+%Pn^I2IexZhRtRs*^?
zJ`tYEs$gNdY29R4O|QUloqTLq=LDio?~G8+xq-iA?9QmUKUHg|VU=Ljsh+U$7Ey;K
zhuT#FL>QG0iE5TGPCQ+e#a!Z;u7dREH7gnIi&@5b5vSC*y0JzUSB1&O(pu+`{r?hB
z@iX&ATywJEi87TF`WlFp9>US2Lv+}oAZc&6S+kj#DG62Xwd{|{N<~(d)6m_U^Tl^O
z&o|NN(dge~sh@9NC(n!;O!r9qNYS%uaiKSPH$A7L7d%P3qVn?(lgdF5_SY`m>^4=q
zmDeG99yS?9lU>F+h~k009}uhqaJ{HbT!HJf&B5G{QnNAU`Dc2Te1tzckZ5IOlfwbX
z9lbF!{X!)Rsab!S9E%wYk0?g(5`zwces~5-ZIx(I5ksATL>UlxceTR{ms5(StuE06
zBbST;J~R^VfjaBKV`j4zN_cvF{&LIm9&XWlCBR@3{nSyc*RHW1YQ((IqWZda0BRc?
zsi%j=c}UX2@5SP955eBX3jb0eh^mpWe};@tVP@1e_Ia1iM*9<szlaSQnL+$k;TsMG
zoA%~YSaKS?Pg9N2wsokmDZv~pFO`IV3%z7_swa;u+Ti>_x1W}+o1NHh2fjK*JSfBS
zI_?b`PvkZ4j4C`jlb);M>Iq%EPD3OcNgiPhvb>ti@^3pc!M;F#i#a@!OTS%Tiv1u+
zpVi*O;zI=cQx_FK;Y3=MrNz>Z@})}fT>no~7(7hmR0q~ry|B`P1x80u7W>e1`g*GO
z=n>MNwrU4Q#7FKZKC)-X4eo%pvTBz$=yJ^k=KgW`p`#i{Rl>uwzk5mppF#xeLka?}
z^2Pdlz-sMS-=))D5+jvqCaDO#Z<wxrKLv_Hi^~jL^4b;MS^apqC&`Dd@~`jCxsJ;5
z)4_ojpR&%L)QjC+U>bD#X9CcZ=<f+R$25SWsO1SI)h&<qljW`Xe&T+UMVf#b$5^FN
zf0YI<J#xq?Ha1xbM@md5u(}IisB&3bciAeP=<Dv)N%mF3A}^hqI4At5GL<CC9@*;p
z_p2SP&n8fGIlWD|9OcKY&Y&Ou4Q)BBsL!()2>ELf4sKeGCx;hZs{#0n5%!L?f3gKS
zYC<3*@C>}Wr3&Ilkj?^@g-fB&sF=Reo1{`|ZeOO23Hayy?K(M40Q&#qU1LI;k|09K
zK~^2efXUFZ$4ml-RAknKN5B>(NL22b+wmMl2h6=;fFV@Ue8TFbx=v{<vdTp61bJy&
zHxlnmt#>(;!6#s6Jb=mT)H^nQIQ#b1ps7?lX5G?3OI91Sz3a(aMPHxLsX=G|2Vau1
zlL1SnUK(OJW-qj^wIxaUM?cNvm6VWrCjsgc8o-sND61f#(TvWo;!Dx{q|Os+{((Dx
z80XcB*z{t-Bc^{S{+!oV?8cmGF_bWm!3)K@MEXwn)^kMs!b=wG=3N1Q9CD;qWIB&@
z8lu$>lc$Wa@1}ZUt9b$<$!}G4#Uq4?SXA?0IAF=gl{(cN{YT;54j!G-t$5s6vM$?8
zT$7HTNJ<0Wu!cQ!r;E8DubB^DRC5}wJk@tz&ys_~YnlR|Q(1iAD*9N8hkpPFg?kQl
zq{(C86Dlt+f3m^w`lab>z|n-CG7LbNeqMajaWn;gS(|8ym%mqCUE)2HPo>9$pJ+bg
z^Rk2fT9(S|xgX9=XsVfk4bN*rr(KJkHFa9MCx{DoSpO3Erz6vKROuYE&ZN-~AUXs)
z0la$lM`3%H_>Ivi!V$*0&Fac1xud?K9Xkv=hKz`}#}yR5ia-)si9exQxe#^Bi(&%*
zuYFM1)rT<29B=Z^^P?t<Me@Ebx!W*=(jaDpR24da1uwUNP<Ln|n%&KpHMYUh4deLs
znCZQOp>X3w7BI42@M-dRx2t@^66l6{vlJy&HHc!9J|kSl!nQlh*&Lv7Ni|ikugzpi
z?XFj>V6&`Yq?)-6dq5%k&jRWBz56p+8_$W(lXFP;>I6hhSZyVBO`+%eOhqsSs@Kr!
z7`V!9f=SkIx*bu$Ix!<k;eQ>+!huk3vG2#8G66^KP}9!c_j{h&AVnp|QKBAR#;dU3
zTb$+d0s*KZ5Yg_Mrg^|+6G>B|N6jfWWOEKXd|eAGq6ONV2*n(#qt+3cOtSA!&nqm<
z0(z}+YqMq1S5J5Tdr*pBg{d!iL<6=-oY!cu&5I3_XfO#;VxfBHD!m1F1pzd#`Ki7u
zvkj%28#kw@vQ;ssyUK5{k7<Luw9_@s$_2!5%#w>ktNU4JBp!ikdoKR%iEVg?H~rta
z!ek059Mtp~^EYJv$`*v+>eO+P4>02cIz13we6Wssxp-ytn=bdEN17ac!p{zz2W?Pw
zO?C9TT3R?5Za15*Wmsl!!I2QZ%rxj++o%B=Y-eTGZAG!ozjpkz$Ip%|`OOg^>27Nk
zc>!?p{K?9%(ujap(%BTB609-ef4!edZN$B?JR*;9f6N1v<Hr_)&kJD+&wykn$(d7L
z$#<$F$2js2qXT~9N^3wh1(X5g92S3Ax0d5M4t8re-&->Pww%K*8RSUj&S#x<Q`8W-
z`E~F-diC6wg>dPm&G(4$ST!nVRAd>pwcyXIE(pU;wDEWbI~PK@Q|ako8u{vJ=gOZK
z_NkcU?Iz{9-G9hLRKD|)h-UfZD}uL*a1;M--cvf?E#y-m9rc48+&Z~-hd8&PFs6Oo
z-JAY5t6cHd3ZwWdU71%^5A!F87<D;}<E59yAx^XA&o#MHgsTf;{8dBiCy|fT&2!=d
zE(?nQYZo5qESIrY2u~5O0eCd4zQhvy|E?Q&R)c(x)*%<ZX<TtB50=q&=3cbD%A*HS
z4r-BfDQuJ}#$~V>K1%z**D50~LqfCu0Kz6?Rv56mw{CKxzI*&b=Tlv4E2z@+Vi!5n
zjqBnZDc%zWrf`Qo52^^wAa@{{1B*F=v}!t5wt9cp86AM;t6)2h3oz^|c9x=;#0atG
z<67&Oc<4vjQJ^?tLWnJzl($SLr;!jVy~lq|$WxI@Gr{*f{B50^Oulgy<87SG)QrrF
z<sxSbzC!?w0g;y}&*i;2SUu^g_kfkpyzNA^m5OeJ2&81{#ET`lnaP6Vn)<%t7z59S
zuv-?!<EQZJs>n_QfwO@!m>+(@Af#7}a4a!J-U@+Qv0_#UEZNkAmz7&2+%rx>cup3x
zm%kl$r=*vF>rm>F@YSSQ>ng3T?9Ly$eA9~*`y2_S#gejcDnomuwt5ofYz|Qh?ww-_
zG~F5YD5rfpNRgy15x(@ELEn&@KXd^!zXzshe1(a$`q5Cx4GbjETA5n&m0l-fPh?RJ
z1nXXVH0oL$TFwd(okf8*AMcfzpx0FkYOF(SaX5ehN~;2gUFh!M|32M)m9}DRZ*r%G
z_iaU4*wLzBxUt^EKBb}x)UduaM7mfLO7$x{$uA_b(^LHr(xB0_iFSBvo1x=P=?Bd%
zEUz+3gbo(=QR1Gsu9`N)1qE~SHO_*nA#1_xRh~)=o~JcIWadL^=1`z=>|!ASKcdIF
zR7Oops{I1joP7*YP6b`^G?_7lfKua%$;~(euuxz^F9#O7JfF2CNhCdEK@WWq5gE7s
zB=e}m2+72;-L+6@a9_#Mw$YDHm7(24-S7L9)b&dhZ|Iy%ih>-xxAchIE}?nksB}RR
z<)7K;(5`yYz9W!plm~XC;9coHHa|U|%Q?FHGq$*g7tDH%(=lf(Y~3gIp5%EkCB0O+
z4MsEbL?*xJ)+dT+&~kU4-qs*6bOZuq5}Lta*Yc{h@ev4jrE{Vf3%VG!bb5O~iP^$&
zHVtlPvI4{h66HDxR;-SShLz@<yswH#qxd{=nis<W>i6*vD=T4Rhis6sFqM>A_=}Mm
z%Ew21#*{_D7vAXo_LB-t;#_V_%DMn+lyLK_`OPXOYC&f0=oF=6W95aDV_w?=Mw-zE
z1akT@g%;>~WU`+`mP+jQE=d7aoxj&dju63*x(YJM3biP1-buUB+P8)kNm5v@rW@QQ
zk2nLx3ayXoFKXtrui%>Lt{@6ym=-@E1U(N#-DwE7CtHhLWG}<km`m}EgylA`4Aj?T
z@YHTszCv;sb8$jlBU4|ybpcy53vnZ=4a{l@xZW~Q`kv?DEnD&0O>O}*H&=&bbIG>}
zrLs(F9(}h=S|oG>t6l-(fot~9+DnQGP>gJbqST&bt?-5F4?ubY9V7Q=Rz?@8QWP7n
zBLS!B$dE~&?}8P%-^WXU?T_2Tyr_ttSEX0P_V&&(S6%i52Q5+g&|nU4dmEsIkJS&p
z=#xvV<+L<br6;9HBv-(03^BE-7bq<#wtNGcZMBqme7xi~vUi@s;PA@G*+a4xowf1s
zz5U%B47pokq@b?YZs0!mgx|=&mgKm3N8vai?BBhLH<?i2qHx_n1BG>ec`uKa(ls#E
zU-4vOd!t^APpjLR&M{U@suG;wKbXw@oTzPKBlwr&11xUqSBx(s8Ol)h*$L<D@81N~
zDFb@AxSf8-Q0bL~q(j&}`#5MkKEY_Zve(wltc-ko)9iB7M=wMcT?kW)07c^Icnkmr
zW4ep-H^b`UU1(R8+XrDTa_WQFxz6p%a_=m`{Bc~M=vSZfzM83u^vo2L^(LJa9~rt4
zi3YGUPi?^qZ2My><&g}7fF|_aIFa>rV{^VF5&k$Kagj?D;R+#D&HmVB&|SgsCIMvM
zSG%TG@%lX<blzOWz6oNN=7PagWwY5aIZWM=x)3w#H}cek#JLsg@a&4at?<A{b;~J=
z44)n(L{=Kmd%(c$*CV{Z@O&oxh#U31OgAhB?^RN{VW}q~y61Y#qugN65ix~KygJjj
zmMXBiK&pP|;})4yFGH|P!K3}--MhJo#6+&_=xaY-*M7JiDG(6XWgUo&-`;ex5nBBS
zV<2}x3+n&W0x4ax0@jn)&x?2FQrtW$6``OvR_8DjRfsx)k>$`HAiP^|3o3DBNaB_t
zjN~zj@}nk7C1>c-iN4(m(^h<7X1cbrn~~PaC2^Q}`^wO@$PJ<I7N*9aZdq#?BNxey
zLln7HkL8xY6fI2DP=ZXyH{i2_lxae*E)25opTG`=Gd%30Oh%D#Hd-L)E8429T4hD<
zPH5fEyKg!(G<2rmp1+Y2D)<wvY1ew$(@5f}=47vRJB9L7#a`yFDZ))jQX!`?iqvz4
z!@8DDN^sevC?4STBsQN#sM3FYiM{bX#MgyTxJADsv}_DAjq|$ZcUJF{_pq3-+AOwJ
zcVA1guc?!OCniH*FWv^PfVW_=s5&DdftqS?;p`K+m>u+s7+5C1Nu}Gp$;E(n6ypXp
zQiH1&G(lx>NDV9eM70zmJ6AcEF8h9)40aNWS$tX@`_gzp4a8!77pL`#4`rArf{yB3
zKM?%3h4gowzq}6Q)zFFlW^1sU9()qUuRIxt&3L}sL>$@C){?Ub0|^aq8(MFpa`!Z@
zp1g2;G`^Mg0G5c`&H<l|dr|mQv=lBj>0$L+@p&#5T8M(kDtcuM+~})_8KjgE4Se`!
z8O_VvXf`tRcy({vZWd}pK)bu4iKU^APNgjktpHsWe#tOW7)OINPC#qH>v_4BSfjV#
zw=w|KC&z=}xzXFkUY~ri*AUn4TS?`y<f1&Wjv==mIRzwdQt4d;y;09nUL8h1tmjRN
z@%$ifGjgCrj71OyFd^iFLPTfGVgiI40FNDSJcYYfSM;g72SUsQs_;DV1(AnQOpw%|
zgt9;2is1A@?|m_k$&kQE`li-y@Sp0EE$7W;`h~6%DM{#38BPfR3*3>Waf*$UVjoZq
ziAC5&^D(K_tCAv7Nz|VavSgqu_D>sBZ)@0Y_fj%ax(mmcXh!!RCGqQKp=Q~W3sAOa
zJ|=o`l5c>f<WC%@77oJ3SQ0qy=SKxGE!{c)w1~j2;CU*V2MyLKDow3fMwIumCPGR)
z3hpSYaSw6QmoLl&J+cLUw_EoL#V7^`axFgW>3uZ|S}{8GchQz7LC=@!0}nBd);q?7
z`AeOoR}`Vdl)9dhEbJwNY*T3+JP+~$g=LLl6`p#O!J3TN`(7e6?ToWi^P4PxBaV$I
zqi>n~#uxa0VBX4xi$T$M-Zrg7N8_FxkVj^ybBy>6hdE484b?|ei>~go>Ouan2THtP
zX%+rzOzJZF9YqBL*oj>^+F@i9!&mK&5`gTN-U*2Tf2o|mf+i;ZAM*Rl!Gwp^dEv~V
z^H3(`_7zLM#nWRIxiAcW)WrCxP}D!vFcgiRu|GQ1J~7Ki%hx_}sg{7WOMfN}!QG^y
zwwB#nAY3phX!Q1|8@%0$5llhhnFGY3Gvj&z4F~KtkbNq8Tzm-iF-^olPC%o*eFanD
zFJ=m0RYpWShJsNT(@)U}e2~H&Y8+*<j!0Ol*)U79`TxBn<_S7ABCMyoybh@;_tKGh
z=eP%nXMgqnw~`Djnv*(ZzfgWNlbSje<$-*ir@&&ir_bBQy>6w_Q>G$&#6;PNtcCHv
zBj3EMY2dkcal1#Wz=1_5)5#FgYvR12faGBfhv@V_x^$Qr?Xpssn0hoMmr>oHlBB-`
zBFoP~{VEi^F$l&LxT*Je(Q(lb)-GM`Ye&INeCn<}u%R8G0e1_ANGW(_-D!wzDNbfH
z$PPnDel&#wUq&a@g-Tu~CgSjTo?6NTrTm(!@x-nt-96DUw>^*{)h#bjkwXjwDmV;J
z?r$XyqIr8H;i=3*ye9udLY*`3kqJ()e^PmzKJ}aBSjFK7RkK~6>giY?*6KOdIxp9)
z7$o>K8qaMp%mxBJG`Rgm)>g0O81jE|QPEWY0nFX^EpBf^Sv{<Bc(p8ZAAAkQ1k~$w
zl=DX?wmO^ARKwUzcnK?{IB*`e#K95$6xmfmBu31eG2?r#l!2XZQ)C3;;q4WN!(Wwn
z754#NNk4j-V1X=CT&NoV*SLIxlG*Q_Mh5{=w9%U^QVoUSh8AY2enOO`Pzuo(9vzgh
zX3{71Qbp3q)BSr`L_JnyXvF;=nT#BLURt2eruWn^nMaEnM6pQL!qw_iVq)`Jc9JT0
z+oNh-WUT2;2sHK`$bSkVYjea3Y6nw0I9FsHrTMc*Q`W|EEq1bopUE|cboDpGa<|<;
zsWh)2`j{-tH(~XHm)A(9FsQmy_(T>Lvx*s|ifwI-Egj)N`(bV9Jqq|}+YDS?%u6(_
zPT18d0!X5mf;qNe0>JN(sp1!ExR32z^0oF#v-tfThvf+?+_~ZdiczNUkulSa_V?*&
zjJF>yT+g4!)ka#d1$p<`d&bX9g6X@dsIf#27(soJ`>OX?n}~P8ckV}s#TQ(-54t!a
zyb`Qo$7mMk%)3PNh|YDkhlo-HelJ|AebpNr5L*Y}Af%j)TOJ?$SPnj1?mrNDPg#0i
zatBGml0A3NZ6;uF#d@Jnj<cDbj%L+{`kwdV0P6X&G<fT9fT0^yLiBS_0bE&P#*x-w
z6EkIze7;c)PaP}~S-64&M6c6pZ?Pw?X={+e`)UlmW?GgQ-_F9fs8)!MzAjaN+0M-H
zK&D%O`I0}h;Mo^3yT<8Z83C)UU5Fmd25iKuyHr226#@Y-Lq1I5Q&NlyRbDnQJ$$<k
z_8vel>tR=>zu?Y}1fK*tHrdT~T3CkI<v~})I(ox<*7pB*J^zeU_X1p60~d&QRrU`x
zlZ~^W<}&7W6md%52wsPYK*0qpjhifVCRVG1pd`3k%Y$3%Cn)guDa}`CUX<(7m6JGK
znHD<$ML@d0zG}uh_;>P_li>{!i~hM%H70{BPFlWOWd0f84V<<V?{WGFI_${|JC3BG
z_i=A_sWzI*E7E_dgFAWas^NDA2@lK&4-2tlpR$~*E?g?fRi+i=yX^?G>P;cO-WHl^
z9~ctVk+8W_YS`8CcMjyIB8nHHw+=8T)V8g=L6pb_9dj2dO7VBRNxjY2*KB*PxF-Qe
z#}dxSk)Gn7e)UR!n-SRtKth1)ht$0dJ`CbAD$fY58RKL_fv9Ee+}r1XbDe6%iYw=C
zBk$F<5Nomwl`^$62`ZbIMnHD(v;r!S)N99Rl22Spv59i}d6wQ2?g25aU&2jqUAV|_
z<_Mw?3-K6kW`dJOomKx;sq+<W58A*>9|SEx>yPD3yi}N%g>XJChcTpnbq?r(Mp|$+
zK7~ovZ1v}|D;Q&;c-mxskeCQ#CnnVf+Q>;!N!k_}X9pz-q(K4-rNg^~bm?q&|9+7%
zYu=%Y4&3Kp1L4IJEY*L11)-Xz6{3Mpd<a9}+v;+d4c|QTd&~E}-Aq{9QHeyj_tUoT
z^3KoNVB&LEnwV01&y<{J`8zJt%3f>o=Z)|;CzWDlL9f`%WZQc{bvq~{;cO~eB#nn^
z^FtWapzQQxshS0`^$Z?lXMC07QayEW94!yUYI>~u=1MDkr~FC&2FE-pu4zBfJ}<<r
zbw=oBAhO=0nVy>XA)pBj$W4_pDrAwl5a<1+cND{SckF>VK^8z(aoW3J<p@e3nVB72
zt0BdD?$0_y#vUn{^QD|(6R9Z8)4$fwoT6Rtwf+wf`P8b>o_ocfmu*;UA<N)C1J*Iz
z$Zb3f(N+QTt1ea4bCF^wc~KF}vm)C}<#jvagC1#hnVr&l{$=5g&fUyvrSs-ei?@X^
zA2E3~MbDmRhcm45>S#?(98}boihmCNz7!R2XMs*PqiG#$TO*IZ1XHShW3RsnBdq}$
zH%TDa>|SR_*O%$w$5G@mCFJr>^yM-|=lrlf8oE{!o#`y=6E|ev`Af0_;DE1^P0rJk
zS{XvH?Q7yzSspz=)3<g^Ewh^3uy^ffDQ71$H1-usk@?hcC*cg`)ucIw;F3Sld1q4>
z+o+Jd_SMwjl@fI+brUE;v|zbUK~`HuUp*-o0jj$8HSTx?xes9pYLB(Js-1#R&lq!x
zO<O6@9$gJ-zIEy3uvlu?{%D>*I-s~zCc?JUM7I?S_c?fRP+LXK5QpdPxA0{=iL=re
zY2$z7Uz4pnwM4*^9m!u(ek98Ac`ef~yJ?Msc==cWw@(TXNmpGvPb~2RHxJBZYhED}
z!pC-%Vyz;FdhzX5uusKzqYx$4qABiM_IHqLXi$B+_5FIm(r91@OVk~|j`=&``$8gz
zC`MULL}>@t5*?1TkgcYP;_y)x7xi9~qs-?$Z%b-b@h05bGo>bqK^e@dA$`R#NJd%n
zmA_r?9wXnYDzIt0)|__hhTneX1HGPJDQF{h^ONIfE@No$J5#-p7jIOr7LH8>UQ<J!
zR5|42UBuZ%$hOmhd+_RkU`oLh%(`vtyO{o;e<2}IFO)Kr_f!vS#fleJZHZCC|Ln5I
zV1|p{ew_%l?O(=H+DIb-h#|Z_2Y5Ud5%`k>F)2zo<D`HXrKS2;5@|9`wO?pR-fq0G
z?Wq_p8uo(m`x9zk`3qd&HU;Tr(Uv~NLCSn_$uY=Rn|elf?rXctD^=B=__(m-(1mkx
zNK2K;Li3T2UFn4k7fF4jUZt?GageZ6PpOogs`fcjEI70xofxaD#dS~U^1x2>dm2ty
zHZ~Wvlq=x5$*E)z@6>|$X-z+Ye^YXzvstW&D_a-weo^*)uyVKi=J(`9Mw*nnS%<oS
zs$kmeJG6jETc}jJdZPqqSWUX#;lo1RJcX{P>8-MR2oJae%c2ACB!~v^0Om<s;Ge9G
zI5f2LqVkQ@FA~dq63C9WH7GnPCKxv~7qWGi5pRXT$Jdg9Dd)qTkm%i>o3n^A0uj&}
zz2LhFPsaallT(D2vM=oY_wSlSbQGl?QX~WCkik~$vUA|@d#v}dy`myjq>yCkx$e9u
zJ-M*&#p8$eQzH!GyoYgk_G356g)DPE>CR>3NSXki!EHul!nat4wy78^<PNgD2O8~>
z#WDc>J9a3>T#WQD%NzvT$G7$HJ9ShHxi6fbRCH5)kZjsZj2rJj(`9HPTqdYvSsU%#
zj1Rrg51xAs?@$ELn)KEzJ8MIouozVNLvKg2XUw>b7yoNO3x%?lb=ff+Zk(4uQ{m%q
z2vDKKGL6ohHK~r)^<Q?l4#5s%e}WdCW^Za2EA@lK$QfJnT=&|K*h%~WH7br|>97iZ
zl~L}+00;s*SfDXw-urVPR=+T0_0;1SUM%MmNJk%j9xW}VZH(c5vc(8{V;CT_zrw-l
zS>6atsbAdO(IA@J-Oub*%GE6XjMpL^Um*zEfPOjpo!3DaB4tR?nOuier}AeWs=5xa
ze?)MKs~whx*LX}IbU5LS_Qoew1t;>Qbw8{G(ADofFhra>xKT~CJxO{$od0J!^>B`<
zw{30u{qd;mTn;$WkSstwF&nqSX4X2!%ge00eX?y(l-N|=QcQY?_PikH*Jwl?efbYS
zAv3ZG3N5Kd<OEqR5<(F>^GDKW4J9=8yegb4$Glq2D*k5aNv3@^@OqMOC^-@})l47%
zfgdY=%2!o_`N{qaRn56AJwa4)yNI;slvK;3Pmr{SR0`DJMM5kUZw72s`IT?Qg~M^e
zwyk_`m~<7@5vZEXtcSs+O9)N*dp6Y-WQ<MSX+|GV+6{up>v-Y>#;1l<d<I`+X+}sw
z6w)tUEKRW4RHSo7h=osVMlc*ku>I;AP7YBJA_H^74tXi5leWGus4Ke0X=?Z0Q|!)F
zjloU|GP3#I8TK7Sl50$L?UzJ!OuQH&PTEz0c(#YT%dnKIrB>UOkv~ZOWXzY1K`hTH
zj?qPYBQ)nwCLvL8TFKB37QdL=V>NW&-Ux!iArVfk6GjZLzQv9Wzd3v`(1q^c>!QS4
zv^Rer<`Hd_VejMCI`r{>*m5TkijEJK@L+_FEB0du4)6CkH~lM~AFqa%DKR%xHI*Y6
znvDC-JRFN7*mE`?_YYks=M8CoCsZ0@u2H)iY_E-{M!{o3GMW1ywWBGAAh-FZm=KcW
zoogwIo|6Os5B<^3T7R<aen3iwFspNWFCy%y<PYn`b+T3wqTU?@#{Y}m_#LhcALFfD
zd_!i;r7GSymN#QZjvi+pzz=&boich3x0=>odow@cm&J@>5OC}Maq3EkvY6&H$bIZ(
zL$;;(>xkXJH?ljBae(3gsW(TL(9||m%fs#_NJ}ZG!xtTKe3DIx(1P(-bx1XkW0ex{
zNfwGh65g+d{}1Od2Ot)-9^M@W$s0I?@$8NZJK-^}yn~80HY}*gNp#4Tf!DQ7M2h7G
z5vB?h=rOn(sKyaB*XxnH?xCskO@S61K8$E<LO@rso&XW<^XMW6oI76F0|C}w0lDXo
z2%HA;?J_OXzf%_<p7eR>j=c2kg4JR?DMEvWELT%|%K(g7{Z6si){$jOR^WiQTt0Dx
z6}fP87Sm);A}j23E9S`$2w~Ky=08<O2I42-`sbqFI5u_S328m9J>lpafHcjgOg{PA
zP>QvW{d4fR)HV@zrkalE#kR|5>4=B7|I^rb6dR(SKA(S{NSUPDi)0ql4>QQR2@DiX
z2C)QVpMYfY&*g_Xmr%IrlJ|fkK#JskWpbqrWy8w~i}%oo{Bt4oqeAP>Nm;1UHtNZq
zU!EhrY*?!}Y98Fx2=KWCWkXkQVVp#IfPtgN?LWC%)F3<XB3nlBK_LHCEFX{oO`5=&
zoR)8W@Jgo7-gM{_xKds@Ri1~~@kP0rG1nB2ghw3rcdk#J_Z%E+;p#fZ>sxdt4`xyv
zK)m3$tci?JBDm4C_PnJ62*vnX`=v9|>)94$BSZ}z&vIl`nj05q4kA(;Y7>Cl`elzE
zk=P&V<z+FazM@o)ciDse3e7jnG9wTl9Viy@(V_F}z+yD+`XSjNk9*ZLlXR;ORob2R
zAN0qS?V45}i}*HbiT$27;@Iq1Qy<vzm=F@$Fh5QK31s#O9P9(Fcq<GFUrL}>|MY)M
z@Fz+HKV%29WBz~Jqo6Q&vC-TIEq7rgQro5(a(HPealtc&q9F)Xk<v+A74Hp1#>J~I
z+$l7asm{uzkXZ#&$oJg0M6sbIJ~r~&R$($)vS(b3R2PHh&M$t-gCw82w#1kod}7r7
z<j~rb3K~&67W}kD8q5HQW$&6AMf8fcc`RE@!tr+V+1Z112^?3<g`?(cODQR;z|EQC
z`H=*R^gj2;PHxD?EX1kgwlmvoF5>@>Iu{+NI#rF72#6KhH`EVhufq@599xban`p<K
zejzJOw5M}%yA}<HEVg_r=5EOtnZq)4$%?9fB*M>|a1(Vd(m4j`YOc@8eX54AJQ3K4
z2-o&E$G)ltafXUlXYDLAxq(I9BpTeXU$*rK_+I$}LxQWS|C;P|z<$^2mGe~>RtoOU
zZ;sF!bQS7b4Y<4h<y#G$#Hv_DCy_g1^|-$=PPV?PsgT)(;RIDC0Mb&we2O=mt6XN_
z;b7o|!RH+G5?hB~-f14B@R{lwX*|Ovnj(}9aHNG@0r?!ZoV7Eed{n_*{L%;U*b<hK
z`yhQE)%4FQsOU}L-fQM}S{6$OJ)-Pw!-wd&Jee1xH`TLw_?c0DP>FmcxLI^>3f-Yl
z&Z~;#T5*S=6dD=#_BScKkTh!Yl&Wp2VVZ;?I$q1jG|5<=&=(VJVGNl+O{nV0HG99A
zYg91`2RsXL9@vix#mcwQ$3MtLA8kZsgTBQPJ6bykqS}m%*$FeoI(Qf=514Q$H)A-g
z!=koQ70lL7`A6~vY>f57LQ;nFMv@{1aCjL1!QE*Uqdp(mH1)k<oHDh0E87P2kFaym
z#ylPwWnI37$Cua46L=6EX9s7iM(b!J`s|<>ba+HAryZS2mfq`xFpfc-9B~ZoJRpU0
z76j<VkGlk9s94)3rS=ryPg4vc6tq8am-yM|?=Rm=lS710z&55h3IsN@&go9)v5OLH
zA19QOsg~<rgwbyjt#O2$*Gl^KJ?2j>*6@#J49+Tw?k_)N=iq7k8u(t4>bV`d_NK|J
z4Lj7z7eNRydXJ@!W1#^p^bPVrg(KB@{@wi?%l;Ga>q=e<*u0m0Zj&|DO`Sp1t**`v
zUeb>Uura}vdT~BVwGR_@#sA*s`CbN?PE&E>jjdf4AM}X>bG&`~^gPO!#ijtfK_mpu
zttRb+jxhdCro*BmONI+6Q|)w`xvzyZgmX`1o^x**&hB!qa&3Y0{UKE2_X_ygPHaFY
zAwW@Cxo#tz%A5B$G^gpxBb)6wY+ndqF>P4@UDE`jb78(p7ifq<gT~>JWnE7tMK0~M
z6$G#`^@Hj$*R17pT-p<x#Q^?`sMu!sjo(X_sRDFWu5~4l8&7&R{=X89;S@)o_<0gG
z=Ou-1T3p1*j6>$1jVwSzubE0(x1a-nJ>EDUN=q`1TtpM^gci6!Vsrmd?C$v!(diRq
zURoBNu!Gz^7W;BZqi?K#FW|bqmge{VF`9uj_+W$vw|_+7zaN5z-P>|E<0Yd-?-Eoi
z@As<DS#B!w=1-g}zzM<{#bO)XPvZ9L`v7Trgs{W<9A%W!&JC)(x1%6_%fig-*$fqj
zy~IZCZtF)ZxcfOmNsu|KCK9LC*M|S7NpK=hm#P1#^l-f}@_rjZ=k?z(hd0X%MCWSa
z@__p@s~HS2j46zh&+Zq+mLkz4N(M|)FOgQZ&kV^uUhKz78~gP_REk#ZO8}-fDoHg}
zdW!(85F{lY_gWWndqz_UQ9~jC!?+!_spMwk364#Lrd&vYd)JSwY{G>5+}Sa;_zW>1
zh>dC@spAlNAT7j2?_5`kBw5z8KjK%Vi=H-q6sd&EFd(TR7H<mfO$plfW_#2w^zcW=
zSj3s4-*!Rj5FqDTVHt#wb-#K+BqXo4c9i07g<|e-Y-AZzUxlgOx_EaB^sm1-cCP(k
z^Eg~CKq~9M8s;S<v-D0tbtUk3rFc0Ql?o4*t`<uL6HrgJKlz{@vccKpQ64_-Mn=V&
z+sg9W&X8LgUT-%VAuYxr>gd3pE`u229rwKwgeg&!3@A)v+X63-aQJFPwWQ`4PX%gZ
z;9-H@FVW0~5k<4<C6%^8a(-AWEKk~xTgFhBLj2i+vSQt%P4`qL9k3(7pE<{M2LR;1
zsJ<T-10-zMPX)uabNq71)x^fdu26I7?hSCdumL78DPURdEj)=m=+rh{+SN!LLvT4S
zQ$KbqAPu6a&6g9eGmi|YGj&{5<cM`)x>1gmF}f}Jjcc7Cv9<OZBh?|R^3ff25*wYp
zVNTI$PAZ5a@NGHCcx<fDuQ+6FTMZ1>wMYLdpB&vYg$>CAKL>)pAkj`K37j)B(_8k2
zUIZhkh$Kn(Q0^h2l$r^+S$vLADGy1LH1P(OS16gzC70V(el2ImHK9w;Ipr({6?LNz
ze|NvnGJiK^=%V5PfjG*fiY+25e}KOarmg3(C?4|X8)@Bwlc{tbWsQn+mnsl%bu$Ln
zUI|{SEN|jD-FA;8Q$i6d%+@Yvpb?R9o4ihuoM~)h4rnB5uR#$0S2Hivx3-dn=u&X_
zkjA#BQ_<=(1)_ts<0sPmd1GH6vSK{j(=lzFYIehll48PgcxSq<d+qJ(AElMlVH^zp
zeSmh|`%Lyy;UG+m45b$oA_-LgB??`)rsDk)Pi5r*o@bYs*rPH*Dlp~|)Wr={*&0i!
z+_2PH2=y85|4e~;r!@l$toR?|x7WuS@}tcC##3#KHN6v4lyY#e)-#st!uccJLHe`j
zvN&1k&`)h;kBUUt^*XXRhTFRBy(L-${3!4VX{D$+-8Wcn_W~;w)t!T8J?wNsGka~W
zUZ&;O@<jVj#*}w@&I($Li%=}HR8BK%q)P*<+n*6b06f6?Db(djPgMs~3rCw$c6P1T
zsfHXUvOHT1ylQwp;ZEAQW&NmkZ54K9Xl8bKPTSL=iTMY+2JMq^k;BFkXQ|1Z#T3@p
z&!9-grcOsqNdYtWij8Xc!h+-E=bRzidTQ4iH>*bH(73x`SF6wf;*(d0Y{uvLWmwi{
zu~3@ttS%*B9>HTfZbv@XKiisqMGZ&U`uCQywQFbbVMxAf+N5ZR+6qeNRr-74bt+2t
zXeAq`Gcms`QBLnMRu~mh+*El&q#6UQ<BXiHWOwtm4n+ynVE?YR8Fr`iwgs5I;QJpZ
z=p(CF{l}Q1zMRw&OJ8IKn&I=@O{vp+skcUmqsPj&tT9Wt84pF?*aoo_$vcdxU8e}-
z7foDV1R|ZMr;41peu*;Q8nBGYKJaKN*DqU}VZ_xe@VL`R63b#$dR|v3OFRM103{IC
z)wQHykCda6%>IxXmhL|K>x8fdS52N=Bl_$@Fr#nGv)s3+5(Ws|)-~;iAs#uItzIS@
zHLq{7a{$m1{g;@nBT*4=@m97s-}aXgIXmXapf^189w3QA#cv^(NOh7OENi7ptr}>V
zdoxrC_nDcCHu9-G8Mp!-PLl>x4@xV3ARW=CdIU6G36B=^v>^v-;x*}f$Q*l5vH8%_
zGO@+}(V-maKr<l$e3x1!{U|*JV+_{|gERLdb39nwzAm<oB4gEWhxcuL>WNAeOfQ_H
zuQZ=@&}^_;b90^b!ftCRDk5vBDLzscH^FRS?bnyW02H<Sc0`wuPI^Bks>Fr?8B1P0
z3a$o@v*uLaDMji^zfl;WVB5zvxF5r)2J^$@w6v_Sque2)u?dG3(WU+qG@2L-!}n}O
z8E&J*m;<jHK%+wWT9Va-Ld4I>$zWBF3d=;3+YQaz2NbRPYeVu+s^Llr_?NP}isCkm
z@WqiGmK^~5V%QD!xJAQY)^DS@Q9`98{%qx?Kpv7~F%GHgPo!-c-e6y^bap0MAiU3#
zXbUEa8$nu?gXY^P;1L~f;odd08@p$TEiK({vc1Z5XUYp$Kw?b-O`!XZS_?mfZ`CaQ
zSO3C-l6Wim#`yf1tWD4(-8^DXXpl|)ApsG2y;faghj2*&wpmC5*ns4M%?SO3L-L%F
zw;GrAw3o#&M81fG(NA1h%L|qJn8za?pr0#x-<`9SAKu=rs7j5?%pE6nRVxks2+qyG
zi{v?nI4KJ+$kW{j2raezY%SQb+dm1M2$W{y(rtN;;YslX4W#pLGgueI356koCP-r<
zc~iHFuYj-f>Kr-Ll#sncqi4@<MGKEx02g9}-AoWJ7kdVD<cxDb=~3jS0J)hG7f#=x
zb+XIhMuNXUU$wx)pPRd0Yhw!jLs_*DjyzU2qQSbmIyJf5kD8yg(A%i6V^PnxLgCmg
z>t@j{p&(Kl+B&0l8K6FH@~ooZ6l=A8PpK;pK$Zm%VJwbn?sWBd5(YQWEy)Fz-+@G|
zMproX>+DL35tWcNu_VlPr>L=b4T@<ebD6#7oiStP4bjkyo)_h0M25%`BOUi`kxpqL
zA`WnfpL^4}_hV-)j!gF>k-(>aj8L%hWQaWaVu58xhAy}n{~aPjOB%y*_VXq#&G1KQ
z`x+Eqi=Fn73}sYvvLh$&QbH_Penb|*Tri2aAI;giC4iJw#>$jO{cdFZo*k71MKxQ#
ztbhQJOR3n}TY3me!D&5NIntmJfO<p7skQ#v2C{L}HsYXd)5|Y1JN^dvbQe9o)`44%
zk?$%T%y2rr`CP(`K~!G={?A5{y!Q$}jrtp0K?d#8xmZDZzf#;a7P&v3Ax4EOiW*)Y
zIbza6{Z$X|*;gF{iBiZebl`V@)O#)%cw&t4FDlOI^I%&waCg-%$}xrac@Y+6gH~nL
zwf`A`KCrFRP8D|eC{COchC`|Sg0ev|bN}3jsb9Cg7@s(PGPO15vqpV$g3g?YaTC$p
zPVm;~GQ>=3t=Sbe$ib7QDI0K9)X}}l39*6(*zZ%h$DT3@&#CO33d%1IM#t$C^<XI%
z*ExDANA)MULG9KxKyhEao2x=8*~IyJXHTMs2pEIDM#nfZpQ3^{>ir7W;@>>wLaz^*
z#ecRU(F`n7mryQhw<w}le048d2JAX~h5{v4Z6mrp0dmn&Gz1uay(AEqF(AA(FHp!j
zK77&KJPfPaH#>LsZu2UjE;b#K_%lg}(knE)zlugpr9mG|^CwEf4qX#DrA?JN9vi>A
zDv<eaTZNV@-Fw2ySwt+|GX#E}6&BSM<dEB7LoDSE2Cj5`C^_(k0*K?#({6|8C{uyt
zQsV%*#rOHyBCV3E`MEj@jZ`NI?T)KNs;u_Bsl3F5AqFVkxKz_L7@K3}DwtF~39DXB
ziLlOdLr>3NrdpKj$npsq{$bjL<;3dxRnB<#`+f<>8Wl$9_%J@Lop-x=kQ7irU7kD*
zZ<(DPYA$~~sBM;G+{XEk-IyvwUcZdZ7wjX+!+?W8Q;Adr)X{^K==YEhQWFf*iN&my
zn9hj9O=+>`SMEz$GC9&3p9G+h1MyV;Jtfi17G?!*f-Ye~b16-5MH}X%d7L3y+5ZY2
zF9yygkjD-91?Iijizjn~M}pOT9U9q6QWSGM=}NnITUCJYq(C%G>$N#E-?DQ%a{Mlr
z16#6f@N_Eb#Gp?!gg^yth69!7=$q@NcXG00h!$zsd8a<RN`b)SKDA{4G|0dAq)FST
zMz8=I5d^ES`jDD`5c|7Ul{RMH&?uPb3Eq|%H7@1h%H)?#2Ub#P+78K_@e8dT93G=o
z_3Lh8zJ@IiE9$?${h(j512u8<0G)LMo&w*c&`AktQgJX8Gc{dLn?3?%M`WV>u#e;)
z2@kvmn(CvAAQJ2%orD}-NHZe@H4HBxNik(yNJs%FiO#@8oh~I!u9D5^zlQW{Tc1hF
z>!_?!|6Ph0hTk?#_Nf4EGvQMGOwauEkv!IE-se7IXnHP(_G%^+m|r|@+K}^Vt{+3&
z^WgsXx6@zjmlS5|FZJ?(s$2@{5|k1(Vj;BW1hkOHbwbLEqvI&!CV<>W9<3<cc+qB#
z7mME^OwbuR5!JF`c^bUUZ}(0;i8a2DrSg_mC($2<-bxBc7jnCcvj(+4GayOUD}L67
z!qtEg9$%3?CeLf-nJ70Uxk3Asf0n+^2t)AW;djmFXg+(0RGeK0rJ{vry8ZBfX2jy%
zNdff*%&P+dp_sBClJUI{`$-?Ywgv#TD^)QokT0Sr!d;(4$+t4&F}_o;i#6gVs4m8Z
z&VV>FRWV22v%#o=)E+JSB40K1<X9V+Q9%O#MHQ(1sqTkQcm`YhO!La5{nB-Z>||Sn
z)_T930aywUa5Y^gx<RUN(3zc8jX&^K$b;GSwLD7;*7iZ|X>nEBT8fQOA~)-AnU7vs
zf!~9|2=I%Ei_7H=e{41Gejyw@Ty5^j^!M=RTFGYftaWEAk(i=FuXg~+7c0lsFori{
zAcdlavFyqgz8VsljK_;~0i5btJfld|Att1k9IgubO-eN)&g+HPSG+Ja@EqdsN1tK*
z<>a%0Z6yzjJXkR5qo>H9bw;NU7`q8kDc+OM!EmuTkVQAYM>4C$3y<0AE&e<Go67f3
zCp=A#`cSFdcsn2TX`a~c6SDn}7|~jvg$yUQ6(QY`!KN7Impv!47$gdu7p#ok<NM6H
zv2)z?@Y{Nc8FymVA8BlX;QgXcrzQ@N;Nx9^E^*m<Jo0^Rkcgg*ryuSbvqzxz{K6q4
zNg1b)mo|5tRY#?n;=`Q2_Op)Ft0<#~i*qyBIbI#B`qbvgMtrbo6}yaPP3_E{**)>1
zk!ce|1igQooWt`Rmw}|TyO+_6+FS^y<?3LULqm8;l0tABB~jJne&XIg>)d!W&;M<P
zzugq13c@S%vW0&<^Eh_1h48?Z-unh_>-4`Y=ub-6+E04mqL$QR$ZzckpF<<z-g)F;
z#e37BqwcaAlAa6y`Z0@3tRu0dQmRyRzw&@{rV>((9oN)0o>Gd^a(nv0uo+sMjqJJq
zdQ=+Oi3=gf{Mw%s7{@RRb=T4wqU1mGKCINPj9k!teZxT2F6ZO6RR-cKfPuo7-nvNY
z)AHd)`TYcvUx(}T>Geqcyn9d!K$|q8j!#U@WRN|MPrZNwG-nM8_$<s9H-8rfwg|u>
zgp>9M?i3nb!NauAl2DpP^+Uyq-*Sqhej^|RRwQ6WxUnquYLTx4pBmdi$<*w6k%O(a
z%DW>%to;MFiA!!?3C?in61{akJUCvax*TpuJBvF@xv902NT$;^81y#qDC-Eb6iUC2
z-9iq7z&0i-PfXgzdQOhb>RmMx))&^pCQa};OgfqELv+es>3T|mfN7fT)=-2%K1TB?
z8_yjWkp0{Mf*+T#^b$$*-5mqcvG+#*oD||wUvY+b@=mX%Rh5}*H1KF0#58fs^_3|2
zRa}6ywEq%I-|?gDfF;$|yqo8uz@hKnDxc&AJ*qdpybbvLp)XPaXq}MQ$;R>XDudoQ
zO5(cla*wNV?$5)UOIDWZHm~&cO5bg9pzSZGgsEy6Ea?{+yZa1ZqW7yncUExXh&(0k
zqIXu0yc+&~-2jOg96v<4rKVP~7jJGkHPfJ5fMg5&uh>%B)-J5$(%9ieICwtBNRRQI
zc(I${+=;2$N=J92K>e#sMFcDJWD56Mc;hL<ImNea+auo60hqy4aXF0-M(B(tmT}%s
zdXdO2=~3cf<JGnMRj8~`d=XNkitw`k=2*)9J<EKt2Kf2EWIn5Z6#5Zc^Fx%Jw?$Id
zX@b+_n0Q#}N&|ep3dk_Lq}B|6@o5r(I&y1JVL6ezI-H1Fl=$5IZGK(N+NQW6%|$K@
z48(l8m~>K%yS1k%O@JN;`ubhI_9uf9xz3t2(urJ`8Mn9*b)3XN=X69;nj^k(a`P-F
z{2-x==Np~^)p;wxM3z%@>T#9NhBluhj(AGAf=lw!gLjx4ALNVQkPU=!AjEBqegR7r
zononyEbbR7_8r!-oy5F|eYN2Cv6`6Pjwq-rmbdX`_!~_D@G7A3a&&_v{K!QrjC0m0
ze33k540Zo!oc42%i4uUC-VR+!8>@$ET(p1h1C<bR4!`HZ<Q7N3U$Y1Nt-KSv>>-2^
zV*H6ULQk;!jvaRm%VG@`5008OE&_O~B^&>~(opEoCrzePi)&Mt=pgBrCT86ft4}N(
zORGxon&+A~L3+7Fu@2o!@}XAnH&QbRwo4|3Jbg!b{do=*dp1tqTHRX8B-`P<)w>{1
zfl{w#Q(}9WLY5*IMXW<VFNf>^q-ymUeL{YKX2JNlVqjj1x;vQbiHm@Yi((f4c7}_Q
zY{S7SGvp8P;xltvEleHceaZ(GnipBp{G@pRT}QehpU~uXz-apm7IrX1+GfZ>m4Oud
z?IKbxQ+NzBdl~n?NCH7tTKA6NUc#8m&p+P?Q^hyGU+vHRnOo>_v{)CA4`HB%xWI;q
zXwZTPD%{p)GkP$Ntv))Olfe?i!Z$-+aE~jL+I;gSxHcYUw;(&tt^dq+HOe~UKhX!b
z`+AGHApe~>m6O^qf`W`ZCd6j28Z+JdDqEvJM)oK{q?&GD)ynP)jwfe*gbQ>&jSvDL
ze)&G~&9}Z$Du;ezhwwk?qoBu{k*9yM&?-Xplv0zetKZY~$d72xmh#xx_5R7xI2x(!
z8wSKl;Nqml+T?vX3$4;_g%)KxK2mdR0&Bvx0WN65@m4++x$92$)lFury!U`w79|j3
z=B}ESAxjVrt7CbX07?V<!3NWVrY#;bU%jM_6yb%Yp}_0e=<;{>E$;ejQ_nD6^C;I?
z@f{nuu>YGmu(j#HttHzWOV|U3?d1MaEs@7Cg1k5c!mbze936)65P0_VcIf;y;w<C!
z@;=Bp8VNk0jOJwwUjK;2Pst)m8zeO4u(7UlQgj-#MvMonBjX~iceZ=W%v|S2s7!F}
zJ&G^RPu~(JoC=vPZMs)Btme2}F$NhN@Zv+9jJtZhb9LN@=S#iccK+X+w@en+_Is~e
z<nIg=66xvsUYL9>ClmPmm)m@8b#vBNyv%|JpdPR>;>2niFz3g-&?_lf`;i)T;^k7(
z`@wWWo@RB@bG68Cm@x;?fW+JXpcRJHIv||~$nqj40nDgn2}LJCHNCN-dta;4pYd<u
zigTKoG<bO^H7{xK)G%xza$3k=2VYI|xlrn$AQHP>C;^YF*c&LshgG4};$ciD24R5@
zb7(TGh+Kb@T@m616*0YB8iXXTj&S)Xu_0jeUh-RyTmvNJb90!CrJ!TqUymeWQP+t(
z)6AtdXi+;<0*6@S7DWyotb!Ph!M-A;`I6=a@jF9Mcu^y$dIUj(d{D%c<E?Ng1o{E-
zFYtF`aQM&5Ra0?RFkfB7PiN0;_rSk85PG;O`Z(V9_<3crnu}nAEn_Y~mSC<12VA}0
zhUTSG#=Mf$`^TxmP0%v=KoBRhhYY#08<y<}t6mQCodCX0w8A!aR_c2HlGO_|t4WXE
z=4L}<R{jUvE1Ou&*h7{zk5xx1a>uh#^knKbaY^8?4zF$a1?k}8Poix_%XwfB@>q6$
zMq8U4v5o~$(SM=YgBWZHWPn+aI9$1B9%WEF%5s36+6pS%%!O6xpH@VtYK6|?)A}~b
zb+AMM#waJSB2m|l5jlZ9VfXis#*%hHkA(A4_OwZ$5p&PN7=zfez>lf827Wcp43qB9
z`-30Qtu}Mdi_^;cE)!C8Ohjn@Muo5I|76G{8o)UH9%6kzH6qT2Fg>j1^O>H^GE&+V
zErY_9<AK}DvO^){bwy@>1a^Q(kX0&ZnNL3|=m^-pQiuZFeMtI@ZrsJtVB^8O*8Bl=
z%WE@!ZAj@L1}nws-qhCSQamq;Rkti>;b=p48^1(^mQg&EQk|r0x_^6ZmhiMSKNG_C
z-^iyo^mUx_=!2`@%gXUPC0mk<NGrlwLsfU9n1$-CCc|o6%TJhILL)7lyLjq6O3FHp
z9Tz8-VG8=#>EQFaEtPegf}18T<QXb>x9+E90$?VWRhczs`#XuFG~NVnd7u+}nQLHz
z!Y<vX95+`~Ft5)Io?fDw^s?70<D9JP`($P;vtfKoMuYqhU=*9>&Y<OMng`r2sE_%%
zlPMo0c62edW+&Qp1<%yoVhA~gFEo;)>vXuWR*0Y?>L0>4T|Ty}mR_`s*&G1|9XsC%
zTzqc&KADZ`m;xD^IY9<Q-keGX30zuPsD?oqSvxb=$M2;>-Hv;RPHf6evqMfOc7@fu
zTDqiRm&bNs7N3QG5E(oVD4~xDgx_nZd&TC6j%H%<WP^@pQiWmBK|oBdI5YwcN!xhn
zlRZ66P<|<!-Buld{e=ZX6DETF*ULt7`}iXfT%Gj<SY2g#m#Y2R@!f3#D+6Z3V-EN}
zN<1*13YfPQi!5vWEu*p9I)!OBQ;Gc=z4zXutBwSbo85e&-ZnZp85b~&=_w`q_R1$p
z7s#)8l&YwXQnCCzwp~q6;S7`J$g~p~;np~3{5@oV+UC!05nF-O29!WK*c~4hmu!fr
z=spfdUeQ72R`BNa#TAl~$y=6_&A9TIn30pFCa4+Pm11}(#Omv!qJI)XG0H9NGv;p>
z7Lw#|HCmKmik?e2fNTMz*6s|;m^O0tawA5vxab{`v5#_RBa^q<m48jkWG>@ACjxB3
z?PO(e19?+&*@<Td%oTD_bwM{@tI`$v;q~4?K<%WlE}fge1^=)vYGUnK$|xFX{djoo
zG=V?(h})r+AgCjkh;UN!w^Hvp&|#Cd$tH^S_QI%h!ul^MHTSlMrg3RoAr~tZ-6}Xj
zLgGN`9K2_^weKZKNhZ=6q>dt9i(ne_mVCNX1pactsMnD7wQ@NRWb-P(uSFosfHa2x
z5v!K3Og-H130lDdEA#`j+ww5W6uvpw8cR6G2h!;01>MjU<^^dIVeRkCYkKRC#Khe6
zn8W?G&*=hu3dnM!!rJ{FC+i{&6;XmR8P1&J{f3g;Upli?tA8uK<+7kaSn{ta^N+J2
z!^d~(mffmv0DVCvTVuOyWM)@38fQ?siX;bumIw-!3HM`opQqAgTU0~FiZ%c%KP+CB
zDx@S%3AoXkQg;UDK+eE&o=(ABZ!cv=zSVUH<lNRwqqTr55xbw4-y}4srR=z;iZcyR
ziH`_RPyA72UD@TOc~D?268V3v1Z@9FOkFf5>+d49)8HGLfa^=Mlug7v9Dqwx8h#I*
zykj@aR1=6Jls+CzmL}v9O&q7DuheG_jZF9_MOwZEjZ-il4rYTZH5ppzRx|*R<9RZA
zWk)gxs$wRsCu5q|K(_|1^Lm)+w4PQ#`%=zZBzJQ$Q_1yw!VNTbcbwjCX@jOn2Al=T
zSYOYImid;(p(CFvoKp|GuK&dwNNex==fwa~o9)|<(E%PnBRI%2JGh+c;5c-QC*RS-
zKF9kKYU!q;4|T;jh-frFe+AfdDkETUKL>0u7Yh*hVlCp=S;)|$fxUJxTV8pvDIMb6
z#TecN2=Y^B^VadYf~#sw=_yk)2E;8#((rs-g;xSP`wj}(3M$DqHwoY*&bNENRg-o@
zhJ{$F<`HfNEwt#9WmCTh0q`BIwed+dw`L8vU7UBzv9K=TPO2t=e!v@lIw0YT%@(&Q
z<~}1oa^$RsdNXD`)1<y4w-9l*cf8}2rtX8rgirY?-l4xql3m+2bp=Y<<$;6fW>{TM
z(2v@P=X0~yGBJ;VySo@5dxUHRGL#JvkRlwkT-1YzSUV@Wcj+ky5$50Ul*(eT3-FP~
zDNaCL9wm>TVNJs`g^HZwav+?Qsf<>HdY~)wqnHt~Hbe~46@Ep*w@qddRrq5d&iGow
z#I^?tN#fYo_?9p{i!_FP*ea^ZBgD_a%qO)jzvBXk9Dn2owe|J?4=x<f1wX{(tQPWs
z{SfTWp|V11TE*XK&}WlKiKsxQmAeredr4lz8tteW+ksmgd}}VHO}si)KJXmt-E)aD
zi}3*4wB(<GEv1wsb|qVZAhdPx6V$>_{BW#-X8F{VzAmM|rGKb?grSv<C@B$MxRO}z
z@y(1Yny+!~Tva%GR`2}KC(dd&HmYqRoltfwBaio}upf?tirZcHlC3ECktl)HlW}K^
zwFPAgpqV&Wff{<F;$(F&n3DVmXv)wVEc7(}y=)!>54a|L$K8Wbt}VAj{;z<Le7{3@
zfPViP8ovqN)ew~53EwWclFr#9E1j)Sbwi*Pnaa=vbUX)eg4gPUrxCZho9JF!5OFVK
z%L6lL4pUK58RFp%v`*|LJ+`eFO;n)CYpZ4*%F)L74yE08saQKT^KHlAOrp-kZ;FOk
z6)o4yS1!<Fle-JX%4C;XYmDf`>r2oY*TgR7_WxLf#(y7bCx`!B!AG&C<B%TQdYs^+
zpnJ)}i-ocm!7<)7cm}hqC3#=mU1w?i@2+X91n#4N{RxIz*@Jyq@Y;fDQJk6Nhe}CV
zhkC`|-&D%JrSB<%@Kv__hG>;SJ8o%@HAa_elxqpJ+_rk|v8>_55S~yX@FzBV@>qK#
z!ZP9>TM*Teew1VRsPqpg#@H2WQ&jt{dn39GfdU34VyMUl;HrL<@t_wnU`}beL~fe|
zP7%8-pvv!txtX63`N8ofUE1qL8Jr3S&y^7vpa0uKlii{?B1R+zK&xf0D~lDOuNrLR
zJXgH^@G?SqnleuCiUy|uPm8NP+isQ5j9w*QE5je#!N9V-WR%>)_R~$ezkkIHW|yjW
zcs8R=N1KfnFZjUli>FLMpBh$3m&Kq5uI+&2KF-M1R0hUD>{)!DlhQlC571d9;j2n1
zx<L2Q${}*}3FQ<f)r1u%`^X)`DU-zNB;eQDksPeuUU+O}D7LyPvF%AgFFCg<HrU0}
z!Jlf4qse23vsqH!vT5*K=`)Z`M%oa5u<0?`wqY`7E;Ya43CWLN&!HlO3QBHRkFvCh
zwB8aggpeVvF{U!faLI(t|5AMN6;!&<l5#d4qH9^dZHO<!;Ynwl_#Z3nAF+sg(o+9w
z*)-G~_vCeKN5!IrW54uhpSY?;%}p%Z+#tRP)aN(nZL?VJRt3%&N3!_L&1>yK(Keq`
zcnuU$XpVYHfPC-x1b^oTgKsHX%pKR*psrr&DIKKFoa?%`Y29L!_J+~GQIk3|;`MQv
zv$Qd1)^*ZlvT=*!y>Sw!M)1*k|F`8uHg9ZBIie5&@NC%1X4eKKE!k^xG?r7D^uDH0
zH2*$p@y=U$XwUNB5Y!;i2G*g;V^+=em?n8n00FC*j=}(dU_J^C+iR9)=WqE361J8E
zU1$9hc3B9XY@ZK=x9^Xo$IQDskl$m$@EYNb4D?s2L^4&iBH-y6q~hompI52eE^61w
zJkifE06!KI8g7Yr13AB(`zCk3=32$jG#Fn$r^tL1u|`r<8ypaeOeC3%RHIY)wLLk<
zjw;?A!HH3KPgE!n49UsEvF}0N5CEtJrg&`g0%;EBH-us_TrsdCd8Zt*R)C6ksd}16
zY@<>Dk|ku3t_Mam<X^mChR9YSq4Unh7Do?7645|evrp%Wi-!O<T%oIl0DFU1ut}ZI
zx9k27)XgD`XMh>4*==cdGW$7}K0&EWodTxOx_cEIe2;PH**!TTPW68jvv3eyTJV8!
zTQiuHP=4$ddcT(H4@WYd2@InDdMkMcim$ogkKa5Vs;(e5gy-1L0W1pnt`5?R$Ed?R
z;F>NAtf0orl{y!#l>`t)MfFqAxQ9EbeMX{IektsuJh}CqovKxx*}I+3kWG|D>+fi+
z;VH=@zuHxLslcE8=0NZ9-T6aut42*WrsO$9bMvF<j2Xm5lZT(^Ot-YJss#T{5}Hg}
zH{G%k>nBP9Vv7_#KvD^5AIcS51Brva=mAr6-B!#bfPBIZYv%nrUC)i=jvSz*QANu@
zl^|GKLP8+;{uHdn$N@Q7(EeOucq}$hTe^VE0B^OSXu^BPnRv7JHTmE*(LXJNdzNbi
zwT}#<tN|U0&XMDznavlrinq>&RCo7m2YMY3gDnw;CZ|1V7M>>3Mvj!~fu0s9oeI)Y
zfbF;#gmR@sGP|)tIs5c5B8howIQgCbra%$AemBzznG?)Y#OUBG<UDrDrvUr#;&<*I
zI41hv^Y`<kHyH$-XC+j;Q1oc4oaI2fgLrxhE~;l-38Ibg;>Yc=V<top#_$<Emu9?1
z5vuPxYP!Bmv}STm(?irW0~r<ezc-VgIW${<o>)4uEL!0K9M5Y5K|rj~HG(Pd2o$=8
zZ0sonw7{xxrV{ul7nq~eS`jf_8s<3Ue1QMmE<_tXXV88`7O1mwPh!6ET_Sd`co5sA
zExkyJebE9}QGZeH7NXz{?+>1s*hX;`!~FR!1sQvt>2?f_5>`1+eX5_7)Xip|RP>6D
zN#EK>&nfl2(^?$+Kc%+Z57pCp>#C5^t5YSDA_`pfzwc2^IZ_tn5$Z*7_AMKo3!1=z
z<Q=rPQAlULzy$KM;MX&%CFcX978r^NpySp28Rs}>nU-?mif){hIRlCHFmgfqwqp#`
zttv=#aZh=BKm${&^#<SB5^-m-Ld2vqv<uq1H8MfylLPvn3+yiz+8<w<cNo<=set(#
z6{QT)iE2&3wrP=1o_BQ^Bn^o*P{<Ks9I@76VKjOP#2O3PF&(s|zo>fY1I!s2Cv3WE
z3r6HxfQ6p0IQ6CD1vv2Y`-T_kiy#gwNJKJ;3jzlVon%3e{X5^_w78UCzLR)NtJOMH
zQl-kh^~g{NPh*b&Q<RsB*x0Lb1BA-88DF7j7<5#Ad$b|}B1z&%T*n(j2(F3x^I`jS
z@^6z%qOO3XbV_>W@>8Xxhddpq+f74iQl|(?G@OUa&&i%Xq%73fc^mAz$tl^GT0X8J
zmSDEuULy0QRTeU&(r4Cn+?5RC3EA2~B!IemTVVfr1*~0jJnS&#O4VQgV(K78kG8ic
z#i0eO85j=9tyEUMvWvOegd5xe;C@&DceZ+WQe5*HA6{%!9Wo)RkpA4yb9@Ua_s>m)
zL35Xvjx73+V5+H44{-#7x*|YYL=#Oj+X**Mb-?NxW3he!X}CU7iQs{8PRD9GmRS}X
zwDt+oXSreGF#8&IuX)&L&7Os_FY1PN`NOjVi3tya`>WJc&vX?<Jw8P^wIw8srH&?v
zA4L`#t~E_?Y2IurBsYN$l%>GGnz?ERD(wg^n^&0Tm^q?D($3LeKis2Uvr6u}1wiFr
zG$Jz?8JFExPW~URPcJHCuKaa7{l@AEhE0(l(V;d~DHs_M`U->vv0>@dBcm^Z451EB
zmjeVJiB%?bP^pmx%DGubOf4GBxGvKCSTGMMwxo|;*XiM3NZMpy*5~z&w3^5?X0!iM
z(o`-^Q(~_#ytGX|#=TvGo|Dl3fue84h=8(j=?;a@J$?kF9+<O+a}yKm8^9eptydVM
zg#xSmUGhUg&-t-GIcIvzQ-v}*rI5;zI-vM?Y7!5^7yl0Wax%NLOvt9c4<i&=?3W(m
zB~vNkmrMKx7=}qMr}H?J|7Mnebhtw?w9^e=eZncalnQ=pI+Ab)ON`yK<`(Xxu;hkj
z{~f3z3F=D#A?kB=wp1IHJA_|8`1pO4h4e8^q<5PH5-YNmR(ltGS0h|gzYs(?x|b9}
z4#Fl)Bd8UxOCt$TB!PMrQ{!aDqn48kqhuCT%+SCyFX~#UzTLwKD%3Q&qEh{CA)m>*
zz$LfUR|v{mOJAfo&_0C^lDdo2s+upcWe%y03|YPtyKtrX8tC@N1|yIqH<vs|6wZ6S
zHcWLk3M1VIHyI*L4w)6D4Mn5%JUgXtWHKBoIM8e;Q2ys-!dA>%ObFQJ%}x1^x?4!L
z`j1Gz{F6J*<Q1umu`UTq@HKc-Qi6Bz=z6t7tJg%+e=Ebf8%Qrf^#sIoVWU*q3Z<}8
zI;3#d9)hJW8D6=p=VSpuWM5#dd2P)VgC4aEbv@k%`%=p-LP9QM(y}ndEt?lou$pS4
zwYvYmBXul7uoow<zJ48zeH2j_iM#}SyU43DS?>S9c4Zgih(gf8=%r-gZ8Ky1`mklz
z%JCVR)9Gn(fr5|fEuM^5B<2Mpz@7?+c=rRtR6zcu3g{ftA=)e!Q`vgFn%tD!y%aJM
z)?gJEbz#Soce>$(taaP4lRGU=sbSoaN?Tl06A%{2ZRoVlrUPHktg3?#T4ikL3a9}r
z0ntl3o!{3Da*TiB7);U7Mw_AASroq~v+=7&Ka?u-h~h{Wj#sW&{w5yl+f8yFqq}nc
z_yU$mw(8$SFf}hA>=d2AIu~%;s!-0m;_@x|MWi$ks2+x=w`qYeDV@}7N#|1gS~Nt}
z{1V?0Vw<i)dIt}B<EK)LGmtwhsQe&<&w`*~7Lqu5@`T-7u9yA;XAdLjl%S8&`P>OI
zN7tCn)WE2O)Wxt&iuI{s7%(C#IX9CPsV+-XDr)KGeMP(wr8EJwGo8i_(#@cA6#AHs
ziCfs7KxFeg?*p!EXsTXzC_B~ZT=2I$N$P|rQ6g6xnHNdBv`}D4&S8%7_T>ofm3_q^
z`M;-@WcX(cz9p{X@3)u&`>`}@w$-Re$P1!w%{HM*iAaT-a)g~6CDo%%z4^I=6Pa2O
zt{yztZhrvmIL#%ue&Dz-VymbcKxrg#0iIyf{}o#-Jxkbd9pX4pg25P&Dw6+li1Bp%
z7{d4oVioWc_v+xwXyeH700kVPzM*-ILz)+6S9qwABL>ke?YAlMvk)`DHE7)f!$wfX
zWC6n*NvTQ;a?zBwo434$KPaSzio*CaLYtt_j~UX1qzBdq)`!wZ3ud6chPUp2`}gqS
zBlI<;wXAgj<?vu(U&<WqAE{4(-jt1l=oJ2P$#V7)X^x8%HYibH*;R8+s4YPauu?=*
z+?=fQ>q;<CerOH$KFxdvUo&Q&yay^-6%F!Q^P|_ebTl@f^lgcS62ZcyC63NrBYal-
z7TO$4F^R$Mof=-|@(@jPdy`Ra=kXLs`k(+t;$Cn#boXZ@|1yshvVzOq6Bx~y1VDp-
z4TB(Q;;EQ<L$Z?x|3^ouWuBdL!oT^;w*sXicwSgoM8~5JE8<7T00c+~H&p%x7@B7f
zu%m&cr(hjW>p3imRkDf;B;c#Ee>JQv669Z~E1(dJnec>^Ffu<mFCH-a?tNVC$xD>J
z9!UaJ?AhsYkU$U{j|~=E*3EHWMriV_iUjEt>da2f!MuHq(2=J+mX@wMrK76}IF2uN
zuS}Z+&+wMLA4uGp5vJCaV>(n0Ed46nQbIX>2Y~_{Jf`n}d+`pgLoGA>N)*)9MZ~MB
zIo*{6L4$SgTQyZi`V_Z6c)Xb7|FZop{|@r`4O7_DYBEm{zPVntWtA>tgX8ujG4MO6
zAwnS8-1oNv#Eh;qwBcR|lj1`UBSX{KeYl%XHP3zVM4-ajgX}n?4a4A4zZbNKuCbt?
zd)zOG#j1^XB^Q^NeCW4_TRNTgc{cCuObvi-KN__=)cla9z(mA!J$u?G`)IM}19sO*
zjgC#`p_I%Ro5=<B#ORWTqa*ojf7JPkK+w_-i_Xy3-Fo>`s(wKBG|3%%+nRz_P!W~!
zn+-?+8Y50sOE291qX&!{4pnCaH{yp#KyrJ1ZC*R?>!<odo`IIyF0`(E!<UH&!#S=f
z5??=ZJuNl+kW?xnHHvANH_66llC&i@autZ@*5VMJVi!mczr_(p8=FsQ1n*Z5n|5s}
zuG4US-fw)pJOs(lD9{K#?;^y7E8`d`ewi81Yg8LXltfJqQ{TUDIfm#tOdU@qPQ_3$
z@I^ad$Bx1(-psSU)(f$4nEeU~V0EBUsjm7Nc>q5^z`wN+?}G;8_Djf;|8G{32XJ1v
z-H3tgs3t#mZa5roxl>d&X4UKY_n`H07Djj4LT-Q$4SY1U08&uuS9s%=XInJJ7Y^x?
z&M~Q)HTrMWwraO0I<ohNqx|5eYQk*gV<!Un$5!E;WO~1%?~f^DEKS2M?=55Y?D_FJ
zt!_Q#$QdR7Z*ZhK(x01R=9Vl(V`HKXAQatU&yvV+&a6)|;<@eL6A$&KE0mx=M4ON#
z*iEG`=<lvDVTkKhM@a%OH+=01H&Pmv1T`l9h~GCLRDACMY!7zGZjzvOQoV(yIDVm4
z_IH1I_|_U$n4FN`)|)`dI{6V3Q_E|z8H#|k!~&u*Q~QS0CDB?@Es!fyZ|-)pJ$f0g
zP<|ARb7iBdkZy){VBIAFOIp-hNLkuGCkT~FOfdUDXOy--lW7kolAQlH5q3E5h?q`0
zxERHbJQ|iQ>e$8$<MI@hgN)&SEp7Tb2V%N}>fg_hdRf!76kfn&DG%EeIZYmn2WLy~
zHR)-{a==j3vz@r(&u4q;trWi<W812fm};iHF%KY9!L3w#f5G93wmz){FK(b>q@QB+
z$RZgf;3%y>RB{V3FHi=dXoqLQ0{K^XX{clft5=7a80quw`r4B+qPnBdSkOC}VbsXC
zJOmc1)w7VbKZGa3Bb+^vQr6l#pd*(%T9x;D^~Qr-P8u6;<7z`a#vy%?{taOy;<TLV
zVjnGb>;B<WrcB9pJ6U#UMyK~y{f2#1y#i#up2y?yPpKAdvo(Wu&aMld8bqr4(fx9+
z76o#PnWfLv!5>-W3E{mPbF`~3r)f@evLU|NENd`_s_$-+PeYEI=Lz@`*(iWOg;e{@
zbF)6~R-)354qebpuSc)?)cp@B2=1WM={Xe=oE1T<7l#|RPESGPhE6r3K~CJW(*3l|
z$PwFh4zvmMoP!d%iFEYe@b{miFL7K3>9>`hvBb=hoQo{O@RNc$5x8+ay^~x5U&Oe6
z*!Bc}VNAC-l-j1+lM>^`MjK{-(1(1V+qsmEZZ<Urw{Igt_7c=gJitg6@%iCRvnk9y
z5OpeNDQB8n@(ci+X%&)Sjh5KFq6rq<A^DQR7QP-?xKI|L94do#w%#V71PQ?D5k$zG
zj~nIUg<XGa=~9=sSfBx_(X)n=fhX+O7IIDocoOk8VEPTP3}P$DV=9qvR_h0LtVu>o
ziDzYs9e5z|u$E~TbO7ISBXLv?F`;|@;lt6ZN9zjJlZM$vz-cT0TnDt*uA)2--4TO5
zoK>;_6LRX`%~kCu*(R1WuVHe{1yF}$AkFKuW>bs%tThO-Z#~UABr+PKpq^8)J8^zq
zt?9!c(Dspmr`1$8L*<iIVvoy<()75^RcO&IhAy`1Z_H9j4VYAs50ufD6ZtGp8Zz~S
ztN>-wqq%3Fjbe=VU?MYzgO$#Zo^l*WqDq$zujJ^%56}Oo9hTNk2jX7^zuYkOQNcN4
z(0AL}On1O!L|rZm!K3FL*l)^V1AEY~D?)~TeNk?UA1_vu+s6Wi45K$*p9{|O6(cdy
z+L(8|E9DaRjn{I$xouIo+HjpN%4;X&_;xcPj81>`z3*knXrk`xJ9jZ|e|`I>Twgk^
zo1<#a`G7g-<>Dq1dFkJ<XjNMI&Us5Q(1FYX#2;|?ukim9hurGk5hgf9i9KC@pT(De
zgkTvbHFX9J<0!}VOUsjERU-bGD;2J1`gfPSzt<F#@Tx!jJ8C#07R(5@2L_j9h5qlk
zmMDq?C~f=H&@#Ma$yFr7RU=JgkmyY+Kg-Am)?f9Yy|rAUpeZe|c@x$E-7eTEJK9=L
z@sR?qP)TW0ph-c@(kesGQ;GzvE{_Gz+Wmsd$FZH1efe?oK8Xr?CMyRDpve9~zSwRj
zuc1?bO$`(5!kHLtjat=)<5iUVI}lzsjHcks7?kZ*CYf8ay!c#f=+_74%ZDcTCk~vi
zMMJ)YtD8i}8Q;uMeA_liPr71$KZrgWlFs^7O(@(+yateq3;*q#fYUR{KjXW+ifp>B
zZ+-=hO^hx36U{(t6a1Vcxukx6W|o1NSCFgc(ZE%lrI>8E_|);VvXKlGPPOx~@dh0F
zKhH!{y*0<S!i(2qjdMfJD}#0JI7zi%UPN7Ma5PH+&g`7yz9FH4E5z&~KzVg5N7jk;
z@2U2QD2>h3%jj__&EuBAVJUUQ=y*2KYTH37re#dHjRW&Zc$QI^^{WbV-F|hyx!2Yx
z8RJHW;$QSxEsL*5=qDxmJd2_vDgy&w61VzcqkmaF%LwOafwE!)1Msx(06oX0(&`!^
zigno#mMnei7wxDnVVT+LoZTdFE*4DG+4ls#7_~zvR<s98Tn4Q&p9%B01wV&}G5Er<
ze01Y`1L?W?0)Y9cqq?K30SAC+f4+wOlF;9hIJ|(anrmM~NC2-na@WX_LP)J_7*E^g
z{WxS^*val-ZVhSPA-+a>_NS!uGVpIw3(YWEQ9Lh^ofQ7-Pb2QL@ZFU(;QVPlaW#i$
z?876IH4J@x3&n5KI_)Y5b*gl$10?AIGl2v6h2LojT=6kH^IXJ!=h`s9IJS0QHQ8R+
z<D_V}#*wzw?JTlpb$%`f6o}AQo^4MZp$oZpDHfY(GL#I-oG~4pN!tSyN|>$JMVPv`
z7L1dtDZ1O(gI-4fPBeY8%F{R|^i;{e@S=%l5qn>Z{vLnM@+|#owTlz`+=~B>e-~YF
z2Dz>Qra?6Q*uH<jg4bA>q0MD<z*`!nC#E$kf*KLt)iSnIwz>T0jO#_k75SPQC-3<U
zcjm`P6IV<XeG7tZsSQmM&T;HMDcy{LRtwHB=$4dR8C(E<J`seqhdri+Iq?<Y6I+x~
zHwoMC7piW(U{;6U{jG9&qkVUJc?7e3-9Td=3M;cC5<)=5i!vSO^5GuQJquK7qP?82
zP%yIH%E52TwD5*=$mo^YVJL#X^HkngN6WC<OImFvz^SDm2by_&q(&E<uK8a!<$nho
zaQH63s$O2^a9NLx3lZvo>$;sIHH}}s$gurkKvsU(<A6=-I~~MR=hx6s;SM^HuGChK
zf+77ad*6QWfnko#5Fd{rNyaAJD4It@!qDGhP5!B#pWeUluN_WfbR)jR2Z8d>f7eb$
zkgb{=iQg$ECM8*<F~Oo}wNZyO1OH!g0TEj&$_xCnT52cBI^Q_IAhpz0s9qV?c3DaH
zO*HF64Ep<#T<?5AT_ZlBgFjVGB?DLAIK)F(l06*(_*4JkvDkShxo9^pgBsQ=@kolg
z`X%#@nJNGnso2QsNHEXu`Z*1sGs(D11seNhx%#70YM3BW$MGzAt64-$xXf>l&m?7>
zFXD#!=$kF3zEeTT1~dzk<Qk%^vDilAeBXniO}!qE<2?A{Ygq_s>+fDicUxHVqMu^c
zWA5QIRgXI2v4lJ@w|gFN+m_4dq@J^kMdv~9>nfjtsN23cX$NP}T-h>+iS-Ql=W5E^
zG(_$3A1lu2n4AqB7Pph4#bKWw(p@CPqAkiX4@OJ+jfLp4bN>TnRPt9Xxu?TY@2OHA
ziUBOf`R0qpv~`nNNyy!jLq-jLm}69;hCqM@^KDM7KB>7F8Y}3e^N>Ap5v<hRj}f4^
z%jt8wH`(SzG|AW3uzN$uHoad!q*A~05<^{vZ4lVmNNMC~zDp_^lD!v)#<mC>$Vr!|
zw$eF@Lwy)Dk_w`OJ3GIDaA1h6sAo^sZs9O$VCYch<K!Na$jj<_A>GN%-uX<vFWB>9
z4xA$H@tNPT&-7G?gC$Y$VnIsO0)mu=&C)w}wlQQgOYw_7WcBTv&vHG}*{h4#g%>Hv
zB63w_rKo3wEe`88A7T-r(5#krZmV?80B~gz0uEAX_`tSG+0}h55WPQ4W-D!}zMzdU
z-%hI&K8y6~QcHTJHPu|YORPqm&@-Xha*#1~(R(h~SjXm#@@=Ztz*$x4pK{C$P{900
z6*@VY{);zn{Yak=z9vl^U@~B$@2h2j=U<D&Ziqm1yCILPbq|%XuIc~e9)8PM`Oe)U
zFicY?D~^HG=?MY%l5XC3y+oP%q*LJbzj8~?#)@&(6+wyRi}>#L`ip{R9Pk5n;rNCa
z+W0hm$H5Eri$}`v!K817Zev7JDq9gGFeAa{T(iE0VavOwX+ls&lW^LgewvmPA}+r?
zm*u)F)fX<V_8skBF3tPM%dO?du(v5l70--X*oZH;0g8o-JQ0IHTz(Jqg`d(|)kRtB
zSHV=(1?gHQy)3j_eH@F4!=%A6{_Tyk=lL1<S<SOqne%6g>?d#-O90p#^XdY>o5^rq
zq3sib=u)ovTdl%TdUo?>7-|juhXK7s_?Cuyw8;cBuGGVrk2*WuXc7Vu{gBQ@{lqjr
z4!H%$?BRA#k;)0R_!H&UPn#y|swA~(fzt%-FS)t$z8UE=L)0EBRzrtVE6qNCu+eGm
zSy_Aux5;vV)4=H<{*W5R%E;hLN3~2Px0C_|8#L1*b98-cyU6mM@kmPGNxHIDT}lNF
zd55U1mEVnKT9mID_Zc|q&F$rJ1UFzGoSWL0!K@pXybpp3K?4y66SDc`;Qc>M7CJ_7
zX2yEb!;{-#c^FF)FS6430+N2TMJ{;wx)_3;blcQJHz`LR-}lwX-su>NS&xi;Bvk^U
zy;YMKDa_>Akomb_%D;%|K>Ol9*|tD>kodm*X|Pw4SlpBkB-pSuY6Qx0do2vchFE%M
z(4>ipe({skp(d$cra5SstX*r}X_ZlPH4M`y(7dD^#n79)!bxA{NHnBaPT)KK@FpKQ
zZzncMc;ktYwV>XPYiGZIlxMK4W;O9mU%x}yh-A?L?h4yGefl&-Jam3J3gMmayIsWQ
zYVwCrHw51^Rj$vTjA2-{fn|^=?C$Y)SuW}Q?jO8Gh`n9xkAt>*S#m|RK~Whc7+m|H
z+|ghQ`O(g8oT>!P#E}J0)?VyO8Dn?eIhCNc>;gjO`L}*Sx@ZUwAGua#oVlOlHBlyH
z8~_LdEsT#IXSvQ5!+ebtF|9~fCe`U$9#xZFJkcM=q2lf#-gS^Oy>sGF6(r^)S_ms&
z%EyUBO4OwT8uZ)Ect9<TN4rz{+}}NUa>F6B3lTrxUPn3m#N>0z>*`B8e>V(=X!&2k
zQR_XKiYa>yELNp$X~(+Bo&Q(u9l$`<Vqod`UNs(uBxPJ;X~CIUJZ3z|)y?)qav9*;
zM6wZRFv*s$Bwdq@-Hu9(pDDqmd-g(rQ=%E<JN|z<s-P7631(FxmJs&wwZGH{AEu<S
zk&G`U*Y#`5q8CVMqk=@ZAV01f(aJWEruv?`MUUsNJtfwwlaKY(m4Ql)zFO6{lp}AK
zubbm@J>DJyg4$|D>3jUB$J!ef{GZgk$4X+Z=c*=@6#oQX$jPZuV(C_oyo@tRg&og?
zH!zh?h-Z)RQibd4>%px2an`@Gt_Bwmvp9OMieE}$z@84P=XJbSMttoshc!5=X0y7a
z>}GCTocP4k=q(a?F2b0v$=7lGIPT8Dt$gp1>O(|?ZH8-xZI!yN%aHk)RUhEpzgp)K
z>G-TO?2?9JDBH8*3hFo1`5*f+^(F|1TYn*xzB4pdm6{!`uh&1DtLiIjp+i(G4k2C<
z;;;Qv0@xl65<`WzEC?5Mz)E2lMxhjn94TdhU||$alhQ8=jb5+3^)oqr2M}wZQtkE1
zWCp)NYLyJ`4azh~AsLf%k2gL0$fG<5ySBfl>DZ@jCiD;RJR@aYX5F+F65Aq<&3Xth
zfwK4xV6ZY6Aoo7P&q*=Y0%EU#ZS1+p5v_`Vy&4X?a-NI%fNI()aO&_#q(&*`$YURQ
zd6;~Y$#uQvvPZ^;)*81T3QH))=<AJb+L*LL?9RE)shCX~?C72<C0~-9SAJN<NR@XT
zs_CIwQ!<a*LP|@Ctpe%2F|#nO!oO~C$yvBPB^+PGCr%BPd93oq0SFgLL~0Jae$f#U
zjI_ZnYXvej>dyvUr|9poPpU5=sh}0!U9&tjK&{5u1yb4hRMzrRNdsqz(l6fL%Lw&J
zrv(y0fu|qRpGWt;nqsTF0JD1Vg9$(fqy^RlXz`a+ru|0!x2vF|(gp{wvQV7qMWbPm
z_9A1S-0YHM9$?~ySLh1mXp<q0tHh#O^%s6AcbD`)1^lAy53sbVtqs*lYu0wBP(k}8
z+C9n_y*pRyC{Fb#u8GI5HfB35z3v(2Az=JeGqPJevOAtus+epntX!@vI1ZfxPQmVA
zwU8gb^*!%3bqo`+lV6_$!FSCD6ar$Ht;>RL>p#Rjn@y!zW=Mx{j0r(~$8$vnT;sE3
zBpnOd605h9LJ&k)bi?pudB-UK_$VkDdu~IvjUdE}u?H|Ws%q-QEx~+`XOspN?43BZ
z)FImA5u*96EOi@+u+_}s3A8tLOM}fo=FZs5%5)tsI&z^x-CTXjvxi*IsKW4*xq^Z7
z2DSj}@-^?M6z5cC;#-kEga<%LGwgpLni~8lZX|FW!IttR^nfamP5h5kB_0%=;xMA1
zSJ`D*G^-o1@aP|W&Z{!$?v)KNHU!u=Q4!p<FOL5DSE+eyOFuIO1O!i$HHP@^Q^ucS
z5rvA?kdz_+V;Mso;O=1e7VHn&D4J(`GWlfNo$-SZ8Y}YI>~qfr_<h<J)OUB*vb)tZ
zgSQd+q~G=0K$_TKO=y!v5;aYwnw88fl_8KcdU$gp-83P_cFN&@_5mlz+atTIJtY1~
zqF`;W#|)4og)NcCz&c<K1~y-3YQla=rU$2FKK7=1YzwF^dab|`%Eeel_%2Zsbzb`L
zh;OCVH*mn^g&Y`E#8fwa#s%YXC=D<*7e}NaREsz{nUB%enh3*U0}bB*D~^C*umtV^
z{MdxQlq=f&lgrGUC9@lGjD%Bn4X=L9fV!a}*T)P=7ni>8y!Hg<ixHpbg}ap9<A53T
zHHzZ2V1%KfWG&K%nLPzBzB|w5a?IDiM07WPu{^<o1>XMpjuw7=c9Y1vIYrt77Gapj
zi)M<ID>_Sd-rf*c9R4u$vKoKwJzD(C6I0ZuUe!^lv;QR2$JQq2h-0_RV5rpRfvm-(
z=&lbHvE`W<IgjFxfnb8i0X<m;@*G_l5lKyJA@r;%YVcxAc1fAHMOD#rt$y3Rc}jpj
z;J9PR@P6^7R8uLN?Ki9`NL<3<JV*z@7Ljj$$bb=*n(Cg9@orD9-ZLwH)fAE*r5`2<
z;`Ly{!0ts-G~|!jiN&u-nUt*<#?o*96MY@2Ch2jOmA>WL+%gfx(1ks@3Qe2T<e`h&
zkku>(gM1Y$s8^KiDF2B4WeaVd;G1?-w7L31^>(`>v=j`Ol*`sNRy1RX$X*n8EJ-O_
zw4D58FHwlaWE27lp@N4_^u%>!8LmfB54(}+Mg&moOcmH5Yxo=<1w@N5Xu;=qO;iYj
zg00|)6Amp?M=1_q`gU;gM6`L@arx26#&fY*CM~zz6*11YmE5FOTRnw4H23zbBhQJM
zY_2a^XD0jZs>JvQ+4!UT0Mwa~D@j;jsz*tFN7i73SM~?)W{z{M5*-IUO7T!x8UIY#
zsp-o4dYK=}*dp?;a^fOB!z4V}+>hqX^!!*U{W-3aQxx09-MCVF{lQAa&+ZL?>=2CF
zB+|fpb;d^X!ZOycf-|BP80xjzk2xW;VNe<a#Y%qROyyC5xPI}WtdTh*b=iMM`0AX&
zw64Os#Cw;ioebURF<g}>?`<hf!;Y&DrB(ZO_I-Zp8mq&ksiotx1dT&eC_W%7_+k%X
zy!3pgP>cO4%R2BX)1Hrhfk=T`By5BmMq$@Y#f3WLA3IU4GkaL{JZ0_b^nxhCSe~pb
z?iCDP?)@=*PDB?PRd6YKQWAnV=I}8b?R?PutKTL+P{vQSgyV%BTJJym5RD%aeWOpY
zTJZsKw4Ci$$#`Zr=OvDCAVTR(sbATWiid=#S6CB$hgw6TK(LSjBzrx9zAL>9d}7g^
zqtcy&7p~BhJuT0lD^hG=m230WW_++$e=-5dcZ1*aal>Xod!|<5u*AaLS;B9m)Tm#q
zz^RcJM82~-SQf3qnQGDY3MUZG-t=W>&s^yek4=gCk<`F2iUCQ028Il)NA0_c5D$5B
zZ7F0eUgXYAd$z8#mJm^0gxjg@eA{&n;{5+d<FPJ>i#E$g_&bDn|1etEgi)eY_!*t}
zDnW?nwnD;Z;_k!GbxI@MU=OX*fIEMCze*RyID2@(L<}$2T|wSEu0?%wGhU<<@t2=e
zRg^9}NalJes>#JsMwPkEeGWdF)RVMt#+-PZHoRq>yV-j_$4<%GxpqOdx<}cZpoR-d
z{v$G3R>oiOmSY_dA}BHPn1D@Mkuv$BM?KL^unq{DQB(u-$7~E|s@;Q5$aM*RsoBv&
zC_{uL%Q?TGHHPMgA`SVKc6iAu4LE130Q)h83Jdwig6@YyvOZ2a#zq1~&tDVxEMvJL
z=E0*RHPgmg9=QNuEZaVr*ns-=VlS0*hs66ZjZG?`8|q_7!mf!Yg_$DnHClQ|@d^>~
zXHC+*X-78&uQ5^qj=&8acoP0c@wR!b$y%<$T-k2Gq-}%vZeC1V7v-b|1<&1zzbkEg
zBUNy4yQzakp+Ni{1mPKQO2kEcH~7`Uz{^n=Cmd%K&|9c39r3}M(*W!LAPwt1?;<o}
z<2f;%b4h&HXjkoCB*%WgKxb(D)j%rVlu={$1s?(6)pzFG6Y4NIC6ga{QF8*%H)CA+
zv2XqP61Obt8y_u%PyS)<0Xzj+vs6Zv6Y)HW$izMHG$EMSyQvAPh&O5{OLI?rC>au^
zzpD)vgq)^xK4FRUqXyR4B+DS+-bI02n=$W{AME6&Y5MSdu9qH-%OhTRPirW1at!X5
zn5uVZCx7>dw|hMp-k4^l)JQte&UEy^Xbfx_@lKk}Zo4G6e~=+4A6<HK7h~N<j3jNr
z3Kd^FA1vlZW(VE(f|yiT9hv{Qa_Pr+zW3G~0_AkSCsK$em4mLof@<nt?997@rmY5z
z>xjz^&t{pWYh32Oo$Pm0b-N|T!r!~ZxDm=zV@Y)<a|?bAoBuc$pxNg`_~;jM`+%7$
z?*d{07sn|s@5yWt8hcYSukQEn$GL6MkLkLqX>iimDfgvzj$=~ov`J~VFg<J;EO_9~
z)UT$zPh|WyW-$bzf1t*Lrvy!@FrP7(`i)o`DOHofw8V4BfFb{W@0kQj?jvWL7wie*
zJWTF*B-;+<_4&NL+o4^FEbtXCh#A$#<+n7_grHsVE9*!2FPu5qZ~d#^5MT!SPOh-x
zQq{d_3YDDyx?|eW50^X7N9!zpkOTuy+(lx~zr7LZw_FW-`he~e9+s{~-D{s7>n-S|
z$oWZEr4X39q<Vd;4X}^`@F;~&K5x7$-Z52WuXSZ*1GLC23`f>wHS#E6tvY=d1lNo!
z1B~Se&InsP0p&9tWJ9G>g7ZuZCx-A8b{|(aqoaIwy#=U2Tt-UIv4b29SEg#%@3mmF
z9N{k4KMbe>6;0IH#%F>!yJ{{>4=vXuO^sR}gF!PI>{`E)^f9JQr$O%q^j*vGaTt2d
z1N1wRMK<`I7Y-d%_IsG`iI;+FyrS1Py3?`RD4IsS4Lp6|{SQZPuws*zDTC*;5s+K`
zuetDY$664^zNr;D4&ZY@=GCfVyOr^1q=el@sMzLR9c-H;)S_dZPXA@;VN;56EB*8I
z!Jo=293C{_=&jR>(wtfHDp;^4)VZEQr|YCA{TJK3yWY@{<S0;)*6;IppQnubFA==X
z$3jTt&Typm>DONhC6^grn!&UXUnwy7#Bx%SrA3u4ftIvlN@A7(Va+F^qMYZppDb53
z*F(o)`hR1NA>kLw^?#KWOA1P@^D59bM!HoCE-58Tzp1pCgZ!g);h4VNwqijp>szli
z1+yq4y!X?l%f8iRLc1`zkhK_z5q*{hpFDthN?%6XzhuHtqq9T3EmsY~T)$GAxT}5e
z%T10ohl9b29&6TO<ye`Bp7P5YEg4fH%fLu{x$L^oHw9#FXfv-OW$~WD9#c3)1QYf0
zzKw<|3x9uEltha&w2SuI_WaPqJ{jZp2UB5GccN489=uffAsTF4cr~ZPV8I{}22IFQ
z31^V?egL<TTWt2whEsg{>P#_ZdUAzL--G+zqwX?visH?O3{3>YRR#DoWyJ%2;2c~b
zsTNeCfNK(D`O?XwbmeGxtje<UM?l`}_?<UZ@!=e=;JH?SoD|qeO?bUg7Xj?s4JIQ~
zCiB_BfVtW1SjD-k^3qttl|z2jEV)UtlkHRiRPVJXmbZrf%5yVo9U&`uo~DyelP-{c
zi&&s_?Y%}l6RZiSP}Y4mV<e&m*hfcq@=mtIBIws*gT_r|qGCu-E{lbpl-E)z@f%Pc
ztdIH4Hz;q{fqnr2fJ9=--;sSjjLwxi-RXj&f<=LsneOqyc0Fj$bpBr+%=r?mF0s~L
zN)PbypYOco@})NQa3V{Ya4<;XASm7!R&^887of{o^AXM*O0ilwnz2vdd}B$ITNTQN
z0&^Y7gD|r-iBq@21_Ty!=jg+F&)R*jPi3$+Lepw!G8WyK%`=M1qC2H8ro6d+SmE~)
zusp~DACEq3QbAXWav9h?XG~?^@^8;c?i(6L3Vz~uE?5%wd<CVhKzDk6&Iti`4Hxhr
z2dG#D#HPbZNq4gZbmcxwDeGzC->weh^#ngtTu}|eiUSwV6Lt|e9Y(7^K%3OYto2*i
zv%2EuCjN`T#$WO0h%1nK!faQ^o@341VHh*TmMzf0%=3gFf`gfz4_UB_(ApxI;l!F&
z3L9yomvE;m1}C~pY5ar`J~KpeAv*#ppFdX2u<1OFJ7<ew8zT<W?GA$5Et(zQ*2;)J
zumWkX43w3(fCxA@CVjHkdT%hr2^@bINFhvbG@(N{x`zdliJQ2KVlF@iqlDFz=dt!M
z$E+JH7bvgmbMbW5rvAS=a%(KWt#eAp-&VZ_rA0M1%OsYWujvG~TnJN7;<?5=-T8bW
z!=T@cLTWO~pYze0j$1=*;soWt?E51IVA<(YlCOFAmJKV5XB1YJKPvp$Zf&d{QzX{q
zGt8{`#PPv98C|f+lIN?wQVVNzw+hg!V7$@>0Q|)w+Nws9YJ-8jsTN;Mw92osW+4E)
zC|L_m&L6_w=SEUW3NP#?!}Js{19eIO07EA+FNw1607q2PlVfwm(6{ob1ltDhG^Vj|
z5Um<_$|$Z^331ZSIra{SYbF`-UIJ;|vn-LWO{kZIo>uf#BW{;t*(-__Ch3b43xgWi
z6!i3oC_ZbFYA?b4=h0t3&VSE%KB8aqU3O_C;=%u};$W>zx6(86R<GD0A5(X0RR4-0
z-yj#J-dd|b-5>iEHzZ>ilHx&>M`gf3JJE@Fu>NJ?JetzZAhk&L^y$WVw8R0`2xwau
zOs4%<lwnCXsB_8#Irj}*M_`uAnTs;4JE=5MUtOj+DQ==KCp3<8^I3uswjAv+#%|>p
z5u!60ER25>(hYL07Dg`K@pho)4q?WKWMC*btm#%!i*ZXJD|Qk8{+CzEYs+TDL=e-O
zl>H;<RbrVoiIc^aPjK9rLkd0Vtn0>ybW#D;0FML~j^a=$ggP?PaLbG4e#opsoVtFj
z>Yp`xtmtN&9r&THD5q^=8oEq`i$UjNhhof64YSWTk*88wd#6f0$hqKETG7FjS(iM>
z;v#>ww`>SJd?2_@8X8<Lq&hPxZRj_gA$(?^=B;S)&#i5=c2{Mk+L8+&<l4?ZxOWQU
zicPtuZ%cF!oL%?Gp}4<3MWNcymj1o-o%WJe+2!VY44G_N-T$RB^irnNu7T=n3iwT3
zUF;rBzmu91{Xen2i~0KSLQL=b`Wh3Dy7wO#8j6~Y%1&ls*O9^*+^-P~CxdutRZ=Ez
z$%tf>RPx`JH29|{gbDSTCEln9+p6s-5heqe>>a-rH+RDD-&(U~ar%+Tic6oHdV9NW
zCG8Py9X`;e(kI$cmJB*EiO7AtL@hvgiPEZr2BwcxK_Zk%kfF9}{;VSbuPW(l8thy?
z*A}RNAQQWXn^fC4M)UMB-}>!v<Pk?Uo|G}g*!Y01mtOG4&6Ls9fT8^<Aahpr1O3L4
zt@W&C&k?|nY&MAfeW){E^V-C-|L%H&vBK)&sO~bh)=?i!T!)A4i;%SUYSUj-dDK%|
ztUMt4U2}+Oeq$|8o_~uUI$Zc%u}J`Swp!}jrLxs|n48KB0P~o$o+z-Cc%czS{Se?g
zX?yL~%Z-KOKJM(mox<8be2NnQy2#~oA!8~!m)597qiTN>fL0i|^a}MsmGN&i)DsaN
zco7<S_afm-6GjMg7DC1|XM{3rBI0J>U7M=XVIFK2O<4Ht!s@}<AtaZHH}ooP>aT)d
zG=0nom^H|_FHPDGzt3HLoisOzrb{l7?AJu~JBRMCEpbNwwI{1xtQZ7R7~j7K-Pj6i
z$~CX5XPos&)0X@{Ba1LZI<+GoIBU+6BOZQA0d@+GampeH<$Kjo3<7>UA!;h3s$z`~
zqHhkQbK^F9Q@&s_;EGUa-Twa~2mf5Zo08Q{0GJp&HtQH;YW;TpU3SqyQTswn$F0U0
zZP_`xQacn)JJn6D-^GZ=0-qa>%|?1M@pQ5N7p(8#m7c>enkPNpjrR0L`(>gj^+hb)
z@{}bw;$gJU<1^J7dGbMNE#N>z$RA+BV)+&q028~hF$`f<A@hq;3Wk4-|Kkedpy4i}
zk>tx~U599arF>k`)__9>jmC02DGVZHXd%h^;UJ!`av>bO*~MFeQ1q1VHi)79$|inJ
zy1+g!-(`4Lf>w?VnnOy8K)q5yR2;7{ho4_{x2!^*^-b{IQ`MRWAh0VczEY#UiOb1Z
zlC!HjLuP;B@o?@t)66lNfytQ6V$$GQI3d={Ainq?M5=2piU?d`{324|J8Xtt2f)z&
zHJ&w-^%n58enx)T@3{L^S8$)dvZXElRq3RaFc(C4LcV(}_4s#Uoi+T<q-^sUEDQ#)
zdY`3<%}=cjNp%tgRt&pq5~Ou;muJnwy{8Yb9cKnGgR_XQ5AwS?qN;+iR^a79z3v_4
zHwJysi1L3&E~hxx_T~h3;o@MJRoMTlvrL*Py);Jq{rk4HsLdg>6(RuK>si6YVAUmj
z#&$1_qCm%)wuqDbFGBTKD!Bh6+OoeErLmRrOAd!_Qj>!{k3sM^9A(}+CWf7g(9EfN
zeF0|Wbb+AOCgwf|RQ^7yBj)Oh-Q%VtZ?>@<mBoVCY=r|a?YqR{0ZpP3_$>M0Uwg(r
zH^?0r(F~N7wD8cXh%4uGQDNXYrnowB=>2;Ayc$W99;A8q<P^+cU5xjua^YNNXh&56
zf2djwI_OasMqVfUybzS}E$#fJGS(U15u_imRl_{Bses24=^K1kWMB-1J39o-&**`a
z^7mTM<#Axp2dDbGS#UQG-KV2~m?0uOVzEEJ&?l94H1Xy#bJ<47kdUK_cKzpJ0_jD>
z6n=QdDc5){TUr<o<yR@xVC2@39t3mR7{$weg!o!V`G^XW<DShUe^aSu`ru7=j;cSt
z*%|nH#v|=V@W#11HyF6DHtW7IE1wG8aAqpi_ID;LU-nuW_VSu?qjXltgh(v-U1@k+
zITrm(Wc2TI1M%WlZ1Hf9j<vg^KMr)@`f0beIC{p-r|<I<spA~jtCxg~Uk3o1f_f<z
zKqD!rAx%`&Y&*8OYE`a2(;r&sxm_Emj?n$UY`TH>=fM7Li81m2-pOuOVtj1IbRp@Q
zuC^@{q(~#4O=1DLmN5AqF`LMeUaGSt(3}ub*V3&Tu$DX-<S;B_gLuF`-}bglN~&?|
z3Ar35gY;@GMW;q$GIDl*&`|D0wPsAr4#^8H#-&XVAI<EtdoYp!H9`RMYvSCSN%SU!
zR15MUTMbzfGZUR!&VD@unGU~bb+bi&Nsxgg6&zeRGef@pM5hlJYB&tr%rGU}vI4TB
z|Az0Gx=K&R1kaOJ5-9OW7j43foTnA`%u-6Z4|IU;$betN-#)kuuL+3$^5O6N$Mb#9
z6bxD%R}jK_J|8MWl+07PyObr*Db|I&4p={uzqP%~_3Y2!Lr%^O#-!Vbj>%HH0NR3y
zbXC~QUpwkrJ=;(ZAvaF;PX3t+C9-yT?Z%z+<%p4}IOsZU<`=;0w--W|z?$r7l+gPc
zaAy3%lK%*9qwLga)d?g&`*)Qj+_2@@S?tD&aK@hCibZ%e6E2Fyy&%@K;<nN7_nNQh
z!{}*IVS)C=;r-7Ul*IItpU4;n7$4-dWvXTo-dRz260m&rplZTHZLj_hY%%2O`oNd)
zHk>Ge<+7b3!71HyU=E!nGw#*yQ9Dw6voAmu(12VZk`sEyIDX5Z?bMQn#wchxyQ8Iy
zSPJfhEGh`#N<jVEe3xmu90@6%&lbyhuKK(1pziICtZ-G!{pyIxZR9?mX-?J_c_`ts
zUR|U;tr#UW+C_^G9*QW(pa=+ZIRhqWr?h!<$Q34_1r4xS_#d3h7f5luS7gB*!apPe
zILD^FktiUG<XSwbc^epUvjfX(r<jfhK>j5?z0%RRTe|t=y#Fi0umlp6`z(3?JgeWV
z6$8WA%WIYx?Z{LsrYfbq7Yi^x(~&UeMTwwdzsw8cFl<guSb3dN5G5{kuhov5Vy&rS
zFoAcqCR>FEFubI@`!a>hWA0vyvAx+p8rv_y4d|R$1*i3zg2LTwoLJ$1y7d{kJo}uN
zO{GdlOavTbBhpmnLpMwfN%S0SL=uaf2&ncxu0j1@1H$!OD)!o@iIb5@Hblli9IjvE
za%Xe&K=RIDobA!#=yzla`Dm=t(tU`V69cubz#KNhTJ%jfn5#FsXa7T+BN(gH=C%o{
zMNcOp0K@)I&9;VQhZ^D(DzK$~1|Mq-Ru_0soj2(kHNMto8oAuEf4{g*>whT5!~C?D
zp(5izVYlcL?X>|0+bA<i$66cUOE91QFQDp4>MJ~Sn<INfdAqF1wg5^_FNsUi)sD?Q
zKh(U7Hi07{2s*eu%ri}Ae%GA{xmJ?+DLMJI{@%Otj;j>L426ICoLHK7qs58mb*6A&
zV+{Xz{}jY{;i<g5Ws=kvn&17Z5rqW4*1c3k_e_7~iWu5*vw=@&x}j~cFteR<(hf#-
z=s}`6Ov~^Bd)HK~gD@3yD?|J3lP>;TLkRhtuWlz-k`CK3w=E+>pp~Xs852FD822}m
z%Pqp+E-iFo4du&25XT?6_6^e2;^db5UeB&`Nn;8anCCfflh<s1pDOEb->AHP&@kh9
zm$eYWY2aQDEmtYJre5+Z6|nd-8me`%GmB~*f!rEiG(qpX!q!|yJ=i&yBx=f9x@hf+
zjc-|+#gPA2n4CFYm(jIvy5BOdN8_6QNWDVqV!2W|yudgLAR)5$($97FBRkDRiXpwc
z(%!+qg1~JX<HKyx%Ks=j<rRQ4HrZFbEI}ZYhFMF;klmG}p-)c9pQAq$WtvjL-^eb!
zw44229*A)(vioHF2|h?cf(nhFB95c_1d_P5u2N4f_R~u;W5zFuAXn-SdX<S)U{C~O
z!ToCic_Zu?(_wnWi&)o&axAUo*`YE@KE8(3eI0GTR-^u%=p>@=|7Lwh<T9yPVVJ(L
z{;9;-QCr3+tVOEQulnG@7j%T=)q^ntl|up~t}w2LE+(9w7NFldPcVdjuqPZ>6|SL9
z2x!00c;N?YBx?*hY7-^Cvaz5tPD=M(O7E3aE{^{el*VGTt^K)hXRjO#LU=iy0&2!v
z!-3Bn`#%)Uq`iP^_Fx7Ux@0@X$d7Ltvrpv{+wR21z#^HZE&y%=Yn((hruS7D(q1!4
zn+3@ZxA3OtqVTbnp>)Y;1uS~EJFG4igJw<`pkozL*ncl;walgofxw9rD_w)uLEukC
zd_y-#-4n-awYVUG#<%3oSsqNqC-N>*>;flOCr5;2W0#OC3B0!E*baOdzy)1&xhKdK
zYKv8dcX)vcQcxmw2_O-Lm8VFtXmH6oM{d1p3t7e0=C%YhM5L+ad9+>BrEe!S38NEm
zxy?JN!977-ZtFwX(?aE~e(-i9q*R!ao<PCKY?@Y&P8_K-L&yFsNr{YO&CW;>spDQk
zbB)=FcrOQwpeiBeTj>~woJ^u?Mr?Q&dEJ<H`Y5rAvwWV<A|qrjCo2=1AA1zxrFnm)
z%;)hcD3wpcbWwyPHM9hF;hATu1f?*3<eVIKsQQ$*P&YrbN|8vYf_r7~P$i2ck-0}1
ze)1TX{xhbWRqcqKNDB9aMCUB+<s;8jLa{}<ety@Zd}`~{azFL2SGzhiRoL^W<m^NT
zs8{^D-1e)l#kJWW?O<9{Q?1U5VpL(CZ&v{7MFhSqzs|ngH<LKn2mU?e6it{2{jdp`
zVj48tp;af#`F}y?@O=kn>=>;+^Bk=}JGKZ2^h@CoPa1%Pw2l%3HdNRyvGp}S&B~`v
z0Ak9};H|5z|B5n~tm#M#!kCyq;A4!3dMVZ~m#Qm@%bFTSJ5=l42K8r%t<A9Uc518Q
z)HcvDNgXClRM2B*7gt00mIcm7TcV_hjXi$}S17vbDWhsqNw)c=%iZ6D>&LgDKd7e$
z!Yj6H)Bt#!(tk|34Y@osP{ACg)kPK>D`~kq=y|Gs*iE^P;*w9Nm5J;qX=+H+TuUZ;
zF53<ElSVl-6-?EKOnsm3Ie>figDsdVeis>3{PZkAiXHdY1qC{mGe7m|U6<Yo9pO#e
zi1U4Y6-WJL#q<|t9|1Wh-lWtw?gYlaouVUp&93VX{RjaWzctugc4sDQ;U9fz>1nts
z=nvJ3b*LSPHDQ5EbBb$P_n6@7GT@0Yk($1OTe{Rz^sUn?(WFL^p`-`tFduo~gy`^|
z3jCiAX)(HOUmEg~stG|i>H#9Hc*~m7nf!r;1lBM?3mlo7ME}0MskOt-tsQ(_Dn)eb
zXy#DybS<hdEM~0*pIN$x-|cbcn3!|i2bB@mP|JQ;&|D&ltEYbt>*`fR3i4By#<P*`
z|7DF61Se9e<eh+D=M(1g9fH^JgSFpF#!c3rYEQuK`rrlsFL(Lx?0_bNDqsM?)*2v?
zx`x^<rLTq{j&;H}=3ymF?Xk`(tP0{7d&5M*1^o?Ig62ko*#O}|vN|N$pf;0y1WCLv
z@zcAO`9kjUfL_VH0%TXfaeMe~s=i~kYe$hcJ2Vsp#t8CtZ*vj5<zO;ACks@3-80J^
z=&(k@e2vh|zgN+*6TW2R_|I0hJW1Cbzy#m(+;9nASI#^-!kr@8nKB6558JG=9cm~R
zFm-4ftAO7L$~x{@Vd`b+)$1N2mItT_L@We-$SD5q{*~U*vAw`3D{;#$x8<nMmdHA{
zg2cSX>d%oI3Jospi%%Gri$UD}yy2+#WU!n7Aua0?+vVX=xWl0~Z|haP15TMbS-B%C
zVh6@P?<Tp_8hI_b$em3p7^+pM;&Y=yBO#TgW&%k+x5Rc71z(H5SYlx{0mAN%Bm^S2
zhx*&@Edr=>r*!UuBD^6d7y1h`M!u!IIbAF*!BV*x7qEb>hqM6=WEQzk4l8RZqfygc
zZ?vb0Eh!5p7c=Re7sZkZN-Mf&e$B-X#+ax1JW$*Ng|P9YDZg)a3`wwI)`M};8}Yow
zUv_T?<w|u1Nt+7xa(Bt@<K*@$qrt2-^?-=iqjM{jPOFD8#b{H$SB$z%q=f$G+V38@
zm2vSP&_`h99Y?Q%@O2+w;d+8*gb}eWUk16R+?9k&*>&FaOn{UDwVlLP+_aR7f)rT{
zdw)f6s?#2dzbx+p;WNKnBpf1&1W2|2SlJT9KF>fnJ(a88ZTt8i-%)qC$WaE&$HafU
zC#;htdPNQdq_jcVzWmls#RQoQQukvQ=S^}`=AfJzua}QnJp5G@wDBply`f^{I?y1<
z6JDVW+6msOhw=0cUin9zrgcw0@fVi9VY1na>d{<`4!xoHlSTv&5R5zk#rO4d(B6m+
z%}0t?GB`<?yivT!@+Q8pw8gi=357E}8sj|j)&{Nu<W}>R*9vkej5O@Qbj>fF?IWeW
zH6nr6bsgln)qj6`o4U?KcsrH3(GwPBk`ZM$MzA*~(t1&q+@PnUi-sUZ+rSgaVni=w
zAE;G%VSmluM&rup?$inPpzD>f`4|4>C=kSpcCSp$MH3WAWb!$IU(Wn?(3B|e&B`RW
z@thuxo0^%=%%c&$ie*hPd|x?8Y~RzaQ}oFN(RCZOu9+*SwYz?ccuQ~jrLR17z{?Wx
zYK5m`G|wr?!~%Uz<QD%V`5Z1~0I#Vo^c_m)kAr=u#rBL7qqS=J{pZB}F!`|I)H&{f
zr$(9Va7{unqJ%!|`cnu!Y2PRp@~w3+JT&PXD2RSy1a=rcO1{bZ20v|7ZJ_tcJaHvO
z7I+Ur=%<|jM1^<1WzDWEgjEN?ljRul1kKb5^w-i&=!uqkqoV{Z%!WI@`WAv4u!wQB
zh)u-MG5dZ8cR4_y2(QxUs;~7RkU%=Ec@A{8ZYt@nVFyQqjo<6v;kDq`cFKII`W}IW
zo`~<U)ca#NG^5VRhXMW{G+hNIc9Y`yb7)oUat8!UZ&nQmV_D0UjBiG9kxb_wNpvIk
z{!l#RN>ZK`eumz5ZM6o1_z0MD_o&|TjL>Po-wFZ$7|9jI+-@FHB2z_b{fJ@h3RO+Y
zUFG*Re8IqB1MVMxF|!2oiurbF{!0>^-rQWHF65><#JmVGPJIPFH_I7h>7u#Wd`_Sa
zv)FOrNvxD!;PrV*34X7Ew*j&2>0&;-r&%-UEwxh#3*7t5zmDUUS=|awB)tqbIju2B
ztd3&Rmr~76&8xG<2xT1S(N?m(TF@Nyt3|?`qI0}u^Ws$$Fkygi5mnKJ(FIK{vD=|v
zGdFy4TnK4qL=@a3V2CEG1`t|rwQEMNL|^(6m9_)fd%#BnckuGlxAE*tN>3Q&$Qmme
zIlu6fYD8ADjnfv?Sa&$Y3f~0eAQXjjfgfGp{v1<|=)j<_)f#L5x{P1DOq7$@^7W3+
z0Wv?`&E;ns(bwKmXFyrKDLDCP4#60n-2Q_NTGGi#f0d;P=IL-8^DdAP$R@1>nZW?&
zr(jZ4&VbT|KSEP9_XmS6di|bq@&(qlQ5YrqVhA{(t2*BRkgJ(P(-Hh_Rvj`}(-W}4
zP6q#O^>eMHVCBm&_>9+j>VnuMnqPg9-GyaaFMo=BdN1O-LHglQwz?g*t^asNjUm*M
zW*L5wm+H23wpE-E?uUqVlrE6`Gx~2%!+KI$NQ-ytHPp~uPaAqCfWfJ6ixMeu&>U!0
zlAM|B2pJACXJgRIvY5ai^{J*}jVmlqW5=8Mp;p5-W%5v!639}3H^R}3wLQ1igk}Z(
zl;axZ6z@l50rJ9`T7g{eYB4HC^|6L3oAv;TAdP9T0K>Z|k0T0A)6{&@sT<xB94tIx
z)*yCp7-^i1Msk`|!83EwZ?*s|#=yD>S1RAS-wBE!9wsVOao&VN%vp?L16ZlKbo73}
zoMrL0T8Sx1qX3W$7(l{K)GEV!HE)^!{@c!UJ*p@f_~}$8AMVlx&y~mfIW46pw!1R1
z@2E~pXCQ@T-&zVB3{cNFz(iD^%*j*GhEOm@5dIC-VhyVb$1J@?6AlPHGL~d}=lMYJ
zd8=~QViq-LGKdI^)Pp?b<;BeX-O*y$*)lT^@$fJGAtNz~tqik@vJSGO^Z$){SUTkU
zHC7&IOxf-D&(O+j?}OnpCPK)tUSMP{`6iD?IolN^xEyMk3k<;Zo#whj*8^3V47tcq
zy9j(wuaGrX7?gn1BTrx|pll9o@wd=9(4-E|QjQaw9TPeY5LrT3%@@&$rXVk+h~~b~
zAP#z~6C;H>`+cfpJQ!EhP0FK*rt2&ftwvjO^#v4i5re(N3vFhYp)4`+3LxA$D*i_9
zL78ei1E_T%5`2~-oh{g;17NyV9u)(wmvW}aHsm{L6Jg8+&HBy=e!(J)&=<~Z94(gR
zVs&#y*AF8j3ut#(?KncBZsIz7>@xvV3GN#~j{GtgHlcVB-to*_fhh82K}|tGPP-Q&
zHP*?rvev$;T~srI9jd}^8rlpD&^9Y&1}*@;bHBf&xMu>$rUC66GS+KpYxf@O@N5=m
z@O`ib=RyJ5{rr3+I=!r%YFAeP^&E*zR5$bG$I8I;K}VWFMi>B=^Y|U<Q}LG<vLTC8
zCNUj@O{i7~$F%P~<FU(p3qDF~6R#s$;n0|2Gou%2aLvBa-wkKVIGCqCU-*_LEU<<P
zNbK+8x4;YY<dSwVXelCrms~lJr;>MWtesHRO2lNz3JJ7uX+Q}MLPe2QcZ8eCi272+
zw9u_vb5G;sp>A;u?9upTc1%1il6;p+=`KW_x9nujrIm2;85WIY`uX27f;)gy=<l4!
zgbh*U7-Y*dE5>@m_OyET_<ru-oQ@#%WB?~wex0?%yFh62D1D#bMY3<%iJaVz6152(
zu!wmR|BtaLA<lC4PI$!GchW;+BIYGD30nLPQN$uTGz>nfU!pSf7YK-%!Ny@3736fu
zHqsl8r#C_@21j!2&l1jnQ)(&fOBdyY9Pb;55Ec<!msvSFS;Q@XM?LVNXWMnOYrt}(
zsi~S)9@1MprakeusT#*>#t)Z}!K)Y@v1XEw4ax9$B?_tmPHcGTsmSrhaX<B}IEBs*
z@fb%0f`*i^i<<kMJT8KyFUanlLzax@<S239viBOZjtV~6SN_0M9t+$j;$$#rJ>MpS
z8#hRx&GHIWj<Pgn1T?%p)B%@ITEcy@srymv-(>8T^kKSZKS7iv0Rf?^G6g$_68AfP
zKc>w%8L9C6d`WM|tx=6IC6rCMUdMIy3nh7KVl9l<UP$Hv?^F5hx7qL+-l7xf6c@f@
zRaq!xT*BP&g4RuNeoD`c2{xod{<C5~cE|Xtby&hr11MBU>b_u!1hRolh=a<*uMiNb
z&P4TScL-~c{Gs)*xZUODQXBzNLD-~l7?ofC3=^7vu;iKe%$Cih^4sDZ7h{4UeJW5%
z{ZJ9O>eAeaC_%qzo6e}XH;E{oeiWoA^+mJBNg|6nM~6Kkib;>JMlyRSXds&0EJZ(y
z;A8TrF+oIWkD5u`@4I~GX$Fyy-ag)#&F<soZN){`g?N@wa*iQ{+mIz^f-i#dZ1q^O
zOsZm<tdajKHEtNJp6c`{V?fSnD7fblgvC0<<oOkPU>nik`9(s1JIV-13-Cr`^(*W(
zTVMlLIZ?hJ%bxIin(#uH&oCJMiZ^Ue6EM|I?mOr&nKU2Cehi+I9i2``@=E~sz(vK@
z@0ZV<EqR`{3IJR-f@?eeSh%pV1H$ltS&T#TC_tK|?gpFNcz7&tdnf=>+8iaA`m}m#
zXI`)Iy*t|+^McoI4D4L<zt)mGM~yq<mtbc~@`v<b-`=N(JcuHdRQ><~#l4Ji7hUR#
zBR<2(^o`h@CJ?Z@6FmEgGB5!*E*;i?Qv#!=SF^*7f`}106w=XO3%UiF6}byCEJg@*
z&GK4Hf_RxQ_U{(Gw7k_i8%i2vCJ3AD5%0(fyfB&7%hM3xkmF%OL&*b1u4af6sw%X~
z73zQAMy=WE<a8wFmX;<ohN)y41BMU2*;;0hfn=ryS>uwVLy=kED>7&_xdd-Pzj4&r
zarViAx=d7=>GuBWmwvBEos<+LS*IcOJBBIFslA{|umiF;U7&{EBpOpF{6BpjRpd5b
zSe|=TJ&oUi|BZF8I3Nn;Ha^@G7?`+5K9Z#EKG$;<ph&7+FQ+l-4+3x%Lpc)Pr&Yi1
z!v=Te<%Xo!HmklAXScY8j)9x7H<!LCuWJL?EhtLbE}3klQNT7;Qp9oUH`6$bk^oCU
zw7+6$P&K5qlnb+^!;7`4SUi*tkuK035&8Ehm3-hQMpjn1`iqKn*zUzbx#`HI&;gH5
z(%aynjKpEBcvUp?=MR{)cEQEPOaaOHz*&s^o3?J>C_q$2Da>xhse3Puv<(qCr^N$x
zpB0sP@E0qkovCtxIZTtZlr;}zwB}M)gTza<=ue)6LAr%dj&X@Y*H_{!-=95UWSI!E
zESZ}JC5JBsoPd8CrnJOfHc(@EGvKD&MM-a<?gl)fO6;`eFxdjOw|c5s8jVn;ynoep
zf9*7DPZMhi)8&gu9ypE$)uEfEh9vUEe@Cm@oO7{A4C{b$X-}^r!yG|AaXqXPX-eFe
zL4MV+@X)rd%zP3$1sBPj0pzW;yKrg0JLubn8D^_P6D7N16Rx$*Ge>vg=`8nf!sddT
zVn&bfq0p8gNh`e3qIYG-0OVFITN66WerifJg;qgK`T$&EL<gVt%rDMAS)A0kmeOs@
zQb%~YkK1cTJ5CK(LE5GF|Aqj0i|tw;v3WUZip~SyH_ZHpm6n%u|1r!cF^jgN_e11R
zq>CtJV{Uz3R&2IO)@J+-kt5ju!&3E0iQv$A#j2C^1)ci^UMia@q`4Tw0*z~+_~SbD
zmT~>RX;=&G)<!edvT}geV6P=jJivW#F<je-FMZL<@0%Kf5XNG05BC*Oy(UcJuZ^h(
z&voP`dF``!7Tz#_bQGdIDE88tr1^E5jw&I)!uxWEUfff^=y33;{jhCpyl}qiAL0$v
zVe?O?MomoJJ=rjSp3(RTgFsxEu@P7@SV2_*hmTc?3gX{?HrfNX+TPn%yxb(d{g;G#
z7ZPbzI(u>V2XJm>MW0T9-ulx68hEJ~v_aTbb3`)ioHsJ*BrE8nCbQq>)YLgev7$LH
z|9BF{j%1^klqi|DZTW@PsRguX)W9R6%*-m@_n?W%rRxd|P>6XPy)N4!p15I<*Gl=l
z&i6u{Q$wf33wTlu+xYcv42?~NladTDZE-ALjT7NqMgTUZm|U!;1KWY2E<25jQ0?)o
zn!h3GWUP{4O}Vxs;i~nR;CJ;%>yT8je&tvQAhb~tFKSzi5v@;#wr%R~wYqc6tcKW9
zKnj|#(d%PR5;Qd^eBsAgaokxJe!v7hV|Xi>e4DiKvxFbzd;7am#iG}IFd3Kk6QT>*
z$xOTaWjx`o|H&eH1zGw}&-4?>AOOa?71&Z>4II#m*7_{b)*KNK6b}Cs{v#Q1@ds=m
zGNJ2nDO(T>mg?j;{LoR$P_-ffnt>D?_-@6B+X(Ldu{L#`MpX5qZcBNkUxffFixwkU
zPc;6uZFW5l%XEV;GE;S~lsc{RoM{OP%azr3E@C*Md1X<&18*cU#z2op?R%}QWBwoR
zU|fWJYpe_11{L>Efb$eZ;kC`on%N(#{`dJbL%gWga)7_#eYi_UXR%p?<9eCOi<!AH
ztb1|bxIa~ug6)%ng`Sz5<$kf_zwvW9nYkn}4m&yMvog!{NO8pp8R>P^81=*x)V<~F
zt9Hl+fWsx8#ppj_Z;Pi0?_`97<K&sGx{|}IX4kgE`~xtV0JK4U40Ivw(&r1Z)i+t`
zQI;QNX`n4@3gS-HnR-9nYi>q|Ld7NhcdvJ~Lf?hAd5NtfS20h*zQwS~S0;OP-uxz6
zt1guGdb;84ekwW6qr&^|45~kLH|B+X4p9JrLy4X!De_WvwyS1JpQ;Hyp=vmNG3O^;
zHX8jdk|8zZ1izd7yU(rI&sCd8`Q<etl;^&UVED&F0BUy1pDZ^?geC4{^STqq-S41_
zbmutq6*B_HZt>pVhRP6J6HuU9yl89mNu^$%=}sG|bfLOfZwWg$&VtR=+zSh4kHY1)
zekS3C!Ug|UzQ=U{&>EJ-sb=Q3V)c&k9IZ{o8XU8e66mj49^kC$JAl|9Ze_Gs6<dd?
z-I@L*f)l7qOG^(}w;`SlGO&A~WH0UI?5}G&DwM%Y8J$Y}<F}p80H1)7lvCy~L0zq|
zm338=$J){2`Redln0mYxw;<(Th0|j6Z~b4)=?7z3#2pRY5yjLB$|d80-ZgN`WYZRX
zl2U;tOAoR}|8VfnmkmXzh2N#d{<l};^8}kmM_qj5ze|o&qtvJze_8^U1V#aA8YaRd
zBaN*7jDzc*kd^>u5QpLvD;CmWO_MXYpAJq|mber;!YrV-T$AVr|K?hV!wW>ZHArZS
zxN+xB^7oO_>V;?$O8}_^IlRp(eLqDkPp4_+L<5H6nwmMwuAYa3M;URpLgH6}QLba;
z>p&)GrLL~s8Le>{ZO@+VTPK~&e;$!cZaNa*W$P-@7N&8tt2S8V9m}8WsywoHrQ@O@
zq!E6bck9Jx)g4pO9;Bbo{o;7Wz?J2+as`nCa{3=#qsY{NGW&IOXn5tQG%tAF@S9I7
z&<+*7l$Lus1gF=CYuLH%-<>x?d$*5JnMID0N8dc%h|PAqm&cJ6y+dJhe}FfM82T0U
zLtF;+_s!>FUjY!4vSn5~=HzXR=^v+x)sM-;5v=N#r~{<&-qt2u=IqZ^%W6dNVm-|{
zi6~-EaiQ{`b7h5VtebYh=9B(;HvA?N=3{P|ike&&(rKv66gIgudh6J;i`EFzgn~!I
z;jYVCV!Lh+@=S0j?D?>01a4IBN1M0~!H?*cUi~3{tuOHjhJHB^5gCdZMAim35tkUN
zInE-+OP=MUd~#KAXPFefsxZ932`hDGAk=UN18oqWmpJ`ZYMvcsQ@%5bLmiQ#Uw%49
zvOKf1+{Spy7TaMSPX4}v-4J1<vu(agUu_M``V2`p=*Ao9Jr7#G{s#@cE17`gNg{H_
zLpv@}F7MQw0C@ULdY-e0BPYQndrk~{T%8S_gG8sZMqq1Ij-h1+EU{YBu(L;i6Rg!*
z?QT~E0hbrZt<L@?PeTn^*~I!;^Jv;GE@*-Mlk#zpO7ot5S}hNnwojDUurg}!e~1%#
zZ=YotqiWj>tJD;Bna(^`2^s48w5B^a#TZ<6>ntz=YV5+x;U4~?gPrM{7!q2ufn_C=
z=MDDe=#=ulMoO7o6lI=2y?DuE%>VV8mdwH`DQo=8#Ivd3vi<bgQjFPCTho;a1IU6E
z$E5><ct53kYED=RM6H*gS)BAr)>PVgiu4LzoRkGQ2&8+udkbd^wyHH=#4z?hhWMJ^
z>HD*P>6r67b+6ZU6%2oH$3=R-qAsfw4miUr=DQ8u+}=JCw=Tr~O*<_o81e8<6hE+z
zsZbvy={T^EPVq}IAzWFSI&m`AnJPxa0!z;z$uI7_4lYvA!|3-^NQb%o-*6WgH=|<e
zId~zQ+#IV~-V_KL`M{}iaa+(sxLWMOTuarZ^1k=DHdp*G*Z9>ZPLv446_O)+SNY>2
z2w-E(G*%oM34-zZET`*`O>Jd8a%xd&xCL8K+3@JQ<`SKK`F;vV%P!v9pls7JdhKPw
zYPUXjWha)i#o9C8Fh4#8?xycRQ0`xxs7t=q>IzGCn^aI+@PBwQ6ct^)PHkCLR_Srg
zHG(I+i0FkmqP+@vQjw;X6Q0y!4<a7KIhTqzlu8K&PFQ-L$tCEJCr1m0+@Zri6@KEa
z0*SN40&|Nv0j=pdv#*Pf7-!FzLOpX`*@F%Mfu7M1)7iQQOz?@JSQBPc{1kIWx`i&L
z^qJuDhoTj(v<f|^92<@+_6Mdv^Nxj0wxg`K9|V5YI`~IIK}z&fI+7M`m?yQK1cd#E
zy@S;uAmUt&8Iwc7!c61}CIVf`A$h62dqyQ+*M+hQi4!;2iE**<Jfu6+47mzTAlH~+
zbkeC`l`pp39TS>;jPW&pST!d8hX!wj4a9sPpq1eP=0{$#7w(hW6l89oEC2rhmvb9B
zywfl|V?f2|#~&#*J|K7q5@aj<O|YSi-MZ4J!{z3Fx8(~%>)b?6Kr{XD5Zx8&?0qnI
z&=VWzd(SeWGaacL0cyz~hJ-Zx8%2Mp=R6Mv4=F}>*0HziPmQyM80jp(HKNVVXUQsn
z27FIIs<MdZz*Q61n&No)VJ^Ri)w?qLpLS@ouq@0uByH1`i>h9t4JY?=)q;`aVCjh@
zAA(KEr<JeodP@Hk9U4M<I(h~MX^`hI(`izc&!`xP)PrSByyVstbR1wM><HyAfgU~F
z$d)aZpdc5qewpyg5=t6^{hUj`tLfB*b^U{SXp4(K$&#F@m!RSEuTgXNO4exz&}b~>
zh%7dGjie3)--a65Z9ByT2K}|Rv&c$ivH}_NO}tt1<4oK`gbujg->KyoL@-#AOAAN|
zVI_hb@T|%sDEa$BgII-Fg<@j?aLmRlbc1rv0(qD<2<}L+wiJsGbku#L3OUDJ?=_ZL
zpa-=v3P)NS10MI2NpQ{31`jWQ-$WF1<+Y|+lp|cx0}*UTY@XC+HdBaiB)?Z*FZBZ4
zv>bcST@q>%hcavi4k^xWT-C42{-GRk5Ra>7M48b<RFA(H#*H#mvge=-+Im#znh=e!
zKrXH7e7K6pcAY$#n;?Q1<>wNjLJ|JgH&*&|6n`On1xKm_Qz*B0@Lo|tuucEJK+H8a
zQXdmZFW)uYeThy2#$*&Wjl8_ZZk0`)J0YuehwZFmx#+HRL`4l%=3*c*VVu~j_t@{#
zuJ+K9+4wh?af&bGlY`~@w06DhOLNvJn{H_Al4vC01(Z7x5AH0TvhtqClDRqSbP}ZC
z#A`Bp3f^#N$3=(!@cm(m&469|>eb4lOu-On;m?5OmB?nJ1-yY>ovF$<&qJm)$=F>n
zv;VN8LrlWrUw}2d*KoH&2|7jpo!DC-9JwMGNN_d#`q6OPG`sGKJKgvUE(yyIiF}v6
zbuaMJNfC<3v5N%|UAJe4-v=bd4Pzr(nUxi0Z@16W%q`x8jTY2KkEh1gN$SwdKOrOF
zZKtePj8?6T+8HYjN;<NZNWqehtrR(1ZIe`YVH9%R2<*rw2D5Nd9D(|D<N360!ul?M
zj5kgGl@_C7@%0K?s!=BTE{n1#AO-N_<9rwk8p~HW5z;Bf;8^%tq%X835xSOu@4M!E
z(AwCmCP92Lc)q-9|2;LSN6-BvDD#r&4jIjhpbA7FYK6=tv62>{hHbIWw36-20QQ|t
zr~2HKv@wwzs>Ido2gO8>aKydFC7czPNPCzigbYQwwH&v5f@j}c3WqK#?i1&vl1w&Y
zM~50eee8$qz7;w0T-29X#g2_`7Hp&O70z3$0wOQ8->~#u^;GUDD<vr9JD5%~{F-J%
zB{2|!;EA(<_$|9tAM-7q^H{D>mf%sh+Zj!lxFb^L1woBEXper^az8A7L`1yW0WQPu
zNb(F0ShmB{vz;0IQc=gQm02(~Lg66Nq_Lkpq%W7-1*u9kqNUuDvB22EzkjAr6EVt}
zWUg|k%qcF<>${E&kpr#uwI8k?^L<6^A2?!JnvNG+ahBmQ`F)4rc=3TnXFtGKYOYMS
zE)64|phL<7nT+)B)Wh{~;=@gz4VzjqNW7$l#ur3X(_D7%3$jqBS$atW$U%_%LA*<B
z17jxmxpdpLj>OWB7+&GPJrt(l7!7pwz;q(Z*GQjN@8_WJ{O<-iar}-pN@Kx*2W}<s
zDQMUuC&rASeg#@9d0BsFW+OVupAAZyxF8gw4*-HJ8p2d@C4LqOxN0rnE~;DZGcZTP
zKI7qUO3p91_DBM}i5=ek$|7E~=Ng>=h=kd36-WnUL!cUM>H^45aX6g;#zxfs<orJJ
z@k0f*FPZV)*|yr2R|;2MfAxT9L=}>vl?$`X9gdiK%AeVp1oxspIZL2T^cf5*X$Dom
zNZjV`8s)IE1+H0HpkqSA{9*Y1UEl5I<#4x6xOrdd+}g{0<8x^Dx19$#9*RdD6LhAd
z(43i#iElbS!Wjm2((umXAWrnmw>e-Y#LcIO5<iIi3$?h@_?+)71Mw|Jztq4J;sWAG
z4Rx(vFss$U@)AajdcHALR`)mwR|C{lNtO5F^@lhC9j#T~rNQ+0+tGB>`#EA*YO?#S
zF!|5g&kp*<@}tD<MXug?D5!Ch0kElJx<1SODa!OZMSdwfh68-JFEM8nh0I}_xlDcf
z?54)d%jJ^YAKlFo+`i!b1$n}4@Q>>-90O^Q=SVPe$@e`t3F6$<-_tc$Fu!Qs1nB)w
z6ZD|Mhc$`hfl+vgRFGWTn7J%=uAuuyR|zShMI-PGe5=;|3;%}PC(qyYb=vVHJbMj}
zemnoGe=IH`P6PGI68H6y<C$$<rYI0{pPB+bCM|kUKL13edv<hqAc-+)XJf!EY9DUu
zgANb1bR_mn!AZBR%gZ?@`4(E%PqKh7S4p8kSF;-W9)?r>2@a}Y09|9xM!ou^(E~ZC
zaAA;iF^rVivx1P9LNWHE&L?K|RDnCwn>-E^Zmvio3K@LL7W0NINkrsyO;pOg;pNe5
zRgS1o#nJpEQ@Lyt`~uq1TAzchjY4ZI+jOX7G~!lQL#EqfZJUNEK>k?+Gk?SX@M&6$
zo=5o`=`do`v2vbWU2^pbENusG3wY;DmMB~E`vvmJdUpFjQQ6!KorqPvgk<x~&1B1-
zEO_VPk}$^gk*PP?b@bOJk(s&ze*^SEI;}zL>~Vcz2iq3RVmF$!#jiSh_Whq7=Ip<s
z`y0V_f%~uX7g6c^3-s5+CgyShe`r&H;S4qDgIm>CO{%XDbzfFlsdVv>rg%Zx@Q2<8
zDdpM|g6-f_&?>;W$ikh!_=+<N9}#u)Li95j?^v6*wnhj*fph;-@ywb_oAvgdF_R$7
zYuA-7I@rh5wEv$~$U6MjNw1RUG1nl)eB_vw0+CBFipyjSrXgsE!K6qufBnxnS7b|i
z;QqYmu|1e^;-t!(ByV`~6Gl$J7+%SE?;lyh)EZ81&`bfwoQsa%j37|7WI-|`IRF@%
zl9TaMcxxVsqu6hmZ9JEOcRJW-#PP<-V(2h42AWR-+Xv>GZUeO9R(Kj_p!nkcGek-)
z_;oS*WDURZ+Z$WI*-BHj8JdJs>mUZ=g8CN5*1VOcK={TQz^h+xLD_eVci_(yf?yl(
zC@Qo4qu)U&PCsuSE;;84aP>I+x%1-`77ET_cq^!AFkhpsT;*#Hw`x<_MB`i)TQ!0)
z7~AsXHPGu}vZO-oIO1vxox_5?7cc_V?`W4GOF03ba!k4Xm*<0wxLj0dqW{bq>*nUF
z{<M2TDWX|`Ilc1fs%0!^PKxggaeK;Pv+r|S&VY@9Pr{MKh7Rx4aN0Pk8QMZ0aYWh)
zFLeH1KfBvNjRAIefC+Cr%So5IOuoaG^90w!rjY*a&y520HpNDfYl}oOw`_r2wc?~>
z`vc=6G?a7y1cloe=gLhQRouj_9x3{!FIGai2a*c?!nFwD#`R39(B>RWNq2ljw_xx$
zpj9u+0^4n-FU{nXvV1wdUhu#>s_nH5DS=_Yb0$MSIcep(@qQccx4l$0x}wwbnv;lJ
zrSI&(6Si)0C<)ZL2kp6WiMS}zd_<XcV1og9>gh!OwAkidcpKS#|DH5{ul-ekS56_2
zJB;04WUJ2ACcb(sP571fIj7!5FK*J0H70%9A?k2zon8aU1Iyd0Z#iW=-8PI_nC(1g
z?Vmxl=0mR^l4Sdv1iruWyJ)Dfn_{^m#Jrw@wP&@>iWKrPGWNgT-^V>fw+DlE9Yoew
z;b+{O0TT_p88&M$xk~c!@14t{c^CJ4Ze=it--Ei~4xjaO6~5w$mxz3QeJ<URi6P+l
ziX=Bm#!=jU6(W+}fZW^dppc6*(eR@P?d2&_-Lt^?C^(B5W0(&^Jba`$>~~RgG#$l}
zMyj`Xk9D4}HP&gbduda5ivU`<#Kt``gRS{<%{Og$h9QIDF#NXkhR6m`W8vso7y#sk
zt`q*x_dhmTcXiZG+SXrena_EG<%`_xd*fGD;`V=F6RhA`_jOD1>JLW)k7mIo-x;W{
zWEQ39cB)5Co~|)#o-OwkgI9t=sXDeYuR@+nzO2P-EG}_It#ss3Mzl6hSReWarEQB5
z>wf7@czdSSPL1Q2HU@P`5}S{uPd==Al@nnOf}4{ngdfo89Sc7b6}HDiO_`m%y+M^h
z6>33l>7Dq4UEzP^0V31j{cMj|#03z#Va^+l0C)>%hjN^?@WCv58w4)GU_p<3of6cn
zN&|}ZsoD|#a(KKFSgiBg12Br&ka7?Dpk30`nyX2BW#ZxrjR^5_PP&rfg6~?JWuP8y
zF8zXbmoVm?t}M-txe#0(MGNgpE6{JKWkSZvvRnL%^rcxKz=cVr@Q@1uWYG2%xiqWF
zNmU2if_*JkE7#Qxu&V0RHu90IX(c}S1x#TBjkxOCzyRmibGJ%lf2((@{!n!J+(m6t
z*!yXs;<q;X{uzSx$0{t%Ob_fQPKv#WKX^^bc8C&B23d&DpWDlzc@o7!Oxo$}@-}X~
z1Zev!;B$l<$hj49XR0GcOdJ&HNw!U^#d8!Cx!sA22XN{-?&HSWt8=%v`ab^;b$YD5
zTq+n`2yL}Lt5`FWyqsC6ulSZdp-fFb%LYO~IMP%5P~6L=D?Dt$<NgO=8p;_%gAi_)
zYXsoKBEX8(*%#T80LJ>iw9Y6mq2JP2n#1)Lu2q_-)CJz$q2%#WvZS?|bPnqr{+V+0
zhP-;69vMM#iEU!auu7rbxfdYSCBNrSOv}r<%8BbCP66FLZ7O}A-~1)4{6i1~{F?(n
zun{hup1!c}UHk--lH+RVp2FE*@P!pwgt@P&Z}<sC)34_6zPwT*`Grg2U%?k4NVDQ!
z@)fe%#(5ORKT0a@0jknOzDicc?j49jK7wK9vh2u5J<@-SuZ!Lf{7}qirqeoza?X?z
zQ$9U$!vK3O;rdBUv>X&vHS%ZpN7io||AyFSsTbnVE+F^65L7CQi@UK%m9!oNrNEMq
zwEN2z1Qq^&wqp|VkLS(y{H=}-`?yeKXv2_HxXcIJh$ZMjb&h~LV@ajub<*(h(AcKt
ziyz%%`>2-bCC<Wo8&eDDc5K#8a_I?g*taKi1>LG-We^dLvN!LmsxsdszeT3%XTRF7
z{thVeO_0hJ#JX9%4wnUG)}}7q2ohW;Vk<818-pFx4Lf@ZO0g5cFTT{y1Ll-X_-()7
z@pOQWp1L6OGMS*xFmbFzjDV%v_Cc^?-`?jEg2bUu{F1sze5PRR`VbONUCvU_G1M6G
z#!;$8eRh&uE#-5w#K__5Des<6<C9~?sFh|Vmk(r(A$jOSP|X-JeY)-Vud|TAl#@h8
zbE9RXd;d`posAAv27=}=A{6XiyrnZe?cokqGB2^PWk|(d%{6nvG9HO~P@VSztgOIk
z+=>d+G-%~iEmLv36-!=~MIrE??jLcm;DOP&mqQ(q^#6uBozNEWH^+_75$@An!P~XC
zAzyDQ?h}jnIC!P6-*8e{9>YyVU>e3rd$l-*S|LV&y(A8Yc_%dfCh{66p&Ob>e7h+g
zWn{{5G$zTDxQ{~6Iz^QD^1~|B5$h~~D~E3w2?2L|e;qODAQ(~!;Z7R?hd6KOdu8pF
zj-o=m>S~GEB`s~<ZG1D2R<kJd3<kU6cw4s>wF$t_7>619VLnTo9e~sO9YtzJ%6p~j
z9j;G#Xyc+L&e=ZpmZ#jDK^&5^)s)C~D8LhG5$xV9tv@!>zIym_YgGtJ$~bUtJ7LhW
zMpP3eux6uU(wiA?!CD7{b+&>GgSTroY^dj~^w`WAlWoF%ibf+Px0_;xKV4{?tnk+S
z8QWLVGWJDh>jRa7zRg<;;QM;-2z5}R&yLTRtg0siOXnXae1#3}kQvZ1<Tq%oK!_G1
z(hL9;uX7F%3XxEz4-eO#N{Am5sPes%Uho3A>PiH&Lqh)hjXHbv7pf-~N#X3Cl%g-z
z-yr&A?Mk3RrOxB8P{=LupJ7>G_6^!1B17(VScZf>(KCC*4N2jjqipT--n|Iw#V8cQ
zN6It=VO@qnhZtLtk!qp=BzcozBOAUrOaGNmRG5nr=_hR&z8>nDLHZ%*TgV=piVSeQ
z{nnyx%X^r+3n`$=O2{&qu+2fe<yRT+8Q*X3B&G)?0{obSC$j5GCBRpFGF&nlBX1MM
z3r^>ZDG1em-&wIttyIE<!+lNahm6r~t)z4!?Q!w|L<VBhDFPdq47xARvn`uUPp*F$
z@=rG3Dt=Vd>Dik4HG|7K8gvj1qk+iILmDckXMUO&9M&8!f;9VFSQ5dD;X)VY1@N}>
zELa&4DsE&rG)4Y7M>nTNqqMngY{>TA0@zoo^d!N3eQc?r_tqT@1#kV#sYYBbGzI`Q
zwV(zoD8%zTFo6UCyN{vwG>WoytE#U1$AyZeF%dGZGRj8!l~XIKQaMH`2#<`0ru*Ze
zdM5gFUt{QgyvMGdv<WON0E0HmVOflz4#iFd*6P<`@JZshMG+Y&$$C*EB!K(#2<eHv
zy1#m+jMv*3=5F6zeLTj~3k~up($_)OFLp-ev=ww*1vWB_(5tj5*2?UQ$SP_FWT(QI
zUkdyq-!DX0JCh&;SDEl2_R~;Q4o|5=lxVcl|M6|ihgqs;W`_P;i$WsIjOk^}tEiXD
zUlr3XcGq%0yECIVxpoG^*6qqsr_A(nFy2b2)XaE`a;n(vI*`H#*b?xe{bKF4q=cU}
zSKDEDzJi~~9nCY?F(#fy$byFMUgqx$>H^`eJp^)T@bIX_Qd?Zgl+V<jw6{ELuPS)G
zcCeQ0?JhmwnVJ@7qEB>47iP`%Np;285khd*_c4c$6+UENqNEz1>@M#owdHb)KVX6^
z%^VsUnQuAZ!3Ki}Z3K(8nx+mMgatZ_S}WuT{CZROlas${@00#~L|3;hiR5Nx5R+H2
zCdsI7sWB7ZmD3)67oXCN|98A(SX#_lUP#quR2z;}%y7G?u*Fol7L{xh@9NE`v0iv{
z=*AyoHo-Dc*I}^slCf+ibo5I_tq}<#?-kjbBaF$+J#`@x^>V*Er7-Jn{SNT|*GA6f
zE1F!$6k)$Qt^`@Ugd?tBJn(7+e+ITNZpH`<k(W95@;>UN(htJujGDHU3Z*cE`=$Au
z7AkZOtV?$oOuozWx#oXDQjXE;@tLT;5aN~uf9}65=`34sYxL5ZrjyCNS^qu}<w-PW
zx^Ct_y=XO4+d}t*DfSyVP-=?9kU}<c&ONqR_Y+mYmpeg@j*x-#ofqUQir{_iKZK1i
zp}c=PC12*1`|#d<V@v>7D@cCGMz#K_qp}2?hr2IMMysRr4Oeoa@UqYbUan^4*-MPw
z*q3*cec#Yr!IDy|<ZW563(lhFNlFO@_ig9}c5$c<<TlnBNe4MVIly;_Fm;6AM_;>n
zm)(FWOIC8ai`T`RVt!7mOoDJVxs(tm|8OZ~yPj1uKp8=GWxu!=qCsJ;@37;sbxD%j
zPZjf|381DonzUsl70&${ltH)*H4%hO0*!bR32K)a0Cv}H>R>HVi&k3!CfNUPQb-ps
z;QDr6{?w5NYIL-$!iww5fGx)tAtQaXf9;&ZH-&CX%bGv#*MEAvVM(U^Qn{He5n>za
zROX}*{MOm?Iq3M>*w5G5>w<PIOzcK3VUGdN#(IV=kRQ^0KB)cEGdV|bOef~05$u%D
zL~Gn`(x>^)f&b59?{jl<AoK~tXH+PyoK&1wA<axdby0<xbT+N<)^@!Hx|CU=fI_0U
zcKgs)qr7h2w0E)2Wl)RBkqYS{HJH<GvXFW?6MOD|t(aI~Jqy8MI$_Ou<A&wr6#7;K
zrQf}4)6!KEWQ*Od=doR)HAcl1$|sqFaIC#h_YQ&vnMp8es%O_snGz1$KzIG4cV-UK
zLKsC-bGDOu<Sr%3RZ_KIo{bTgPbi1UECm-(Q<?YMPETdr7KP*L845*t6vi>1lpG7G
zVfb5UyyBGq#G>M@ViJeX&m*GD?M-4AewTv6yG#2#Rox9+Z7?EEbG#F<l_II07H0hb
z`WS9s_xRnyM@;lf&pp6nL!t}bUXi1?h;KS9wRnNS9cUyPZ=AuL@N(34FiGrn7~mr1
z3Z2h1_3LpL+p+VwH-9F=^7lI(SVxiBJWj_%d{N$&w5Ml22wS|IFYJyb15~MI>}i3}
zuwI^v;yY*?lmqyp%}*olSfpom4_saf(v+0oARW{irInBdc)x%p63fxWRQ1vcX^{Nj
zp$pWZ=Lk~T=Q5RN)cvmApeX$2efn!mh<uQ}Wj;~E+FaTLid3hGK7cCvWd#yxezV(b
z4^E(gdM@P8)j}|Ne#-Zr@gi|u>Cv?S$Nc8MKO}H(E%e%7&6hDLP}vec4$9FQ0j_f9
z=e0ye>#@jz_W7|OzSwP0mr8$e<UhPgbjpEh>iwbU>m$~GeyNp9WTAYkC4akg9PyHZ
z`(LRe*UKI=i&r6r=SOO1fLaZh4*2f2bQ91YLhNv^;AP-hR)S0fp@XJivd`2)evZAX
z5~X8GEKlREdsCjiK&gbL)z60LY=jF&@&&61<P%q|b!E*I)!&A^Q``2@#6+(}7uuY`
zHnRPl(Raby7={h%1+j6Kyt;=L%g-#JgO`8_5!pNQk2zd<`uf41Q=LJ<5HS2!R3z9T
zup|}bD@{uW#a?AkTS$R;2N(-dk30%O9MyV1Q$66$+myl0_M*ElVI{(JT#ZqstuO(L
z;(Tfr2-hPK)xU{u_J?tNdNTYF$;?~hjOac9U&PA?MBLi|RQ^}Ctm=o81;BRmenh14
z!dkYriIO9Yt0gRLgGIJLrem$z;Vsv+If>*e2xD6mHUbN<yQ;l^Y37uLxSf=+LY={|
z11pfLgC<L<N;^HAMCZuP1%^Z$kmRby((~__{9vn=X0iQx{GxXM>G$V2i-D&|@us5+
z&uO!kbZB<OD-(hj6;CeZ%97M)83aWT61$s^Nnp<yvC`s*nSHPl+k$>GMW-r5!1+h?
zQHM0^DIMl42MJ^EnT_S$^lQe8q}yJ<I83f+UAjXLK{-W*G^j%SQ}b(K;VFgR5+}nZ
zxQ6$EH*5)(U3}LjTzF3r!S8TcBVR*X+SAk*-je5NbsVg5Z2D;hv}Cn;Fk*i8Gxr;E
zArAwJp*}@7bb?8BcRmpK#Ps{9C8YCZqo;xn^pv;=Y5y$U0wHQ|86!MS1`2bOpRKap
z+gE<MqycdBcd}aecvKAJ4jYX{zQRgmAOowggf3#h0&>DN3sD5~y)*DdhSB4#m78SJ
zylZQ?9**FTPX0=~xo3mB?1Qr2z+8o=5TdAklNW{u%Nq&;Qs`0LBG_hT5oKU^L(bG9
z^5$Nh8@5J}yRrGuDu2Z4dGfS4+125dNcf2|{z+(AE5AXbRZ8=H;3s#YFg2B&1YzFD
zW{f_cJb>zB9bVS%0T3bG&OG1dMQP!YRg5_DQmUz~C}C;OQ<VxMKGMm9^A6qTbJZ4V
z?|gFhZO;Qlf+IXs|J)0OV(rv&6X2#GEFzZQo*=ywfN7Q4l21l{q4_Jnj_l=8a@e;!
zCdf>mOJt!P*mBHjVHK@=*QouLjau{)JsBg!;#r0p`(ys%@7vz$Aq`TKXholoD-5Q2
z^7=L6nM1UT5*~R%FglPk7xn?>19oT;LJywyyfqVuhn}W=P1*TWAi&!ppEVt#^;Q^E
zi(v9j^j955T~9$&n_p-_a{ZRKU2kAJHc5T+Ntm7(ZU{goYWLX?h%T@I*5J{OE#YNP
za?b=-jVZ6sfS*eksss}>VuXzvm{rlS!RtC*<4)Vu;#?aZS*J*rGwBFIvG0BS-LFIB
zqN2v1=QIbW^UiKFcAd<gnfLIwd1Zx;65G|A)hLnR#B9Nsv`?g)k)`R%w{{L@Ksn9R
zj}gkr5ez1ofwwQVBaShXlzlPcvlZnnPLGRz33D(!pX9v4iw&~6G^M|9#PeY1lRqdu
z-f0M6E`LVIb)5K0lDc>|117?wxppime5&q4Vgobz8&>G}%|=UGXfp5EU@t}Ge)CL(
z!DF<Z{#&rv6<`1I=)E!h78X#()mz~+1X{=xn40(p?N?FWmT^*Wvj*E^*Z)^^^ZUyX
zq@!y57*<>E?ouo<zSwH1=d{`lJN!UzNFN*;;;w-amHzQyBWH~g$iF)vHce_nr<h3m
zNy#g+ZcxUetv^+;ql4SXWoPdkn|Ff~ovKxPR8vfrES3$g?=?fR2Rczs&Ts5^F2T!?
zb&dP^%GX>&slK!lM~HUra6~cEZ3j6YXg-)ojM2uDHDx}}Ga}wMavl&m7H}8#(;J_`
zVg<L|vwHe6LOmK``qMl8O=O1)=@4Pxrw5lSsP5<2D@P=sLncLtc|jaelttxChdseJ
z49jwEE1M}DmrH$ZnqYj0h!iLDY%V+-9zAF48NYb4rI{yg33b5roKI2o<@mG=GS}kf
zX_F!*fM#7B5?Q5?YGhu6-bkVo8l7iD_N9FaA=-#M1~M^YY`L<I6$ebAIb4I*-iMv5
z&Fvu^t7psp?6Tp$?oWTa{WK$|3pXmqLS+$c1I{F29A;0_bZ$ApCcb?uy|=H1pcZny
zV))5uK|#}v0y|MOey9Jyq(=J;g!LsXD%gK1mkM|B){k7j3MRyapea^rzDbLNtbM;p
zOCxmr?9*TagLFCx6jl#xSn0y+Te}E>8Gj|1Ep3>iM>GBaP;%1~;pMAs?6ktX?u13E
zou?mSVWr+NKsf)Mn)V01;Y8~QV@&vHaaYvfXQhr=61To+pkbyb62$kLicOZX)JXJt
zYk3%y8Ko%>pgOpoP`~QW(i`CHS+yOv-)<^Rk@IGlwu37d*=0m8P{=3FS)U_H((&}p
z3eJ?Uzbd?MeRe~ur?uk@Og_bj$ioV99_zGZ+raSV*9*>J-;w|`GqfXDH20-#DCN#)
zmRGb8iesL?7x|gO@>xG3ZTCl4eip&~26m~4pAN&>>9BCIk<$Iq{HE55nT+x47@GSE
zby?zd=_GV+K85r#K)h&bjhx1cpC$qZrb<=V55GANN~Wb4uxy5Od-}&5f~4JD<(IT<
zt<+_i8U)E2>BiAk;)D_Q$ZV5*Pt<V*KJP%e{T&bS)ku-82@TauV$0`my_mv_n<uLd
zotvDR#3if{TZT^e$)j=N%dUJg44(0nr%xxr`WtYjDu%?ubx9o#bz|k~Y~r&Wwe~)X
zuKo&r%l=0*W`bPcQw&-5<q+~iIT+uh1LUCXJ+Ohi0@$q{6$EsZ70+yN!?n3HR`ZT_
zK4K6l`V!o^q<=fXc)K-?*JLjYBCZknU5yP5QafNxE}AM`e0H}5B-eISm)ZCmH0N#C
zn;x_vuwl2BSNl{SQ`_k&m&h85l;p>%AV1ukc$DVo^#UBJ>kzeDG1w>JWUqL?CDp%O
zXzY*-0ph+2=&g3g2)mXy<#og@>R6N%BA6AXA*7$V4<JQJS-yLJ!(*M@zC$I)r{7lS
zc50PPov=V0zu)7q<Q@=$6lr;<33~5_Roa$qG`Ua$dl1i{Ke50ltLzf0$%y+;ZrQi_
zYb!oYMeOtN8a^w5=HJdnUYbiF^)+CIv=t>23H@4_c;jkYRP1p+cLI@Tm1)B?jv9?Z
zQkO2x1GvU*MQ6iAduWIR1p-8j%XcPtTO4J3GnoKkAxnB@?S<1&2wdH6!dk<6%QjS~
z6n?zk{p;A{?*VKQh#P}dCM-+fboIQ(7q!RSUiKQ$qScG~_iQu*q@7wXzN?WImR?s8
zM}?)M;k!zKcdV4Mf#jHV@qda7*GERjqVY2+*)&KjQJOd-VJt5^xFf)lDBguK$Q-)&
zG$fyK%dqB`@Jlni*`q@%3`dDT#=!nH{(u22fUgsbVLD04O)Bt%$hkHRkkuV3FIBee
z`Yte95AHR3E~^P?6mgq6vLUq-|F6g1d8WM_2fg)?<sN`IcPs&=G&nMOGqi8usm;}}
z3qUo1(JFfWF%sn~f#)d%hi=(nk9RbTFBA@kF7}2d^oJGEEd&)G61qQpm)W`^vvX95
zC|M=`q0agVq;^@Ffeb|9&5R0cluBRDys>CML;F_wP`rrr9qe5{QqC!9MAl0P^mjKA
zWA6W4?aBl4^*+@ArAyszIQD>x3C}b)sqa-{s;ieDyLb#oK+!fmJO6{f)NZ-=%hvdk
z9~J(G?ZJNI*ht1}r<wHfj#8jJ#)mt+A-~Qi@7tfzmxJ70_YX0ph<zxmKIP|B434+(
zRP~CKu4m@!0}RnHs#9-PO1)-ir*CZ0tt)}a`+4SpEhgE{X~Rd5fDKQVC_^8Br=+Dr
zx-m={2!pGdn0>pcs+h`{_TU%0go}PaVL<q$#xgDq*m^5yk1(~~aGdmV(MI$c=6ESr
zW;KdH%|@hCJ8MhTZt6)8*You@`yyo&qI#P2NTpUdJ;3V8?kUs<&<<H|E7B?<)l+>d
zmD*0iV(NzguQs2@loGDEGsDr{HH?(@xJ5CS2$;lby**0m0vB<OcKw+)at&XmM4~tM
zt1n7~Kq8<BxegLs2~!e`0r^Llp&yuWQ%7^jEt2E#dt!pz0AIUmvlW{#zdn`kdgZTE
zQY*@{scJ0*&d2DqwG^FgovxSsAb`tb;Y+3wUh<z#a+>rhUx4T72rFYAwKMlsYO%AX
zXu$KNTU=ZkLqal%kil@gAU=TpLtJ&fTEDZ%Z})|2yQXn{=MCwmaF20jUBa6XH$2-9
zPqCogl(CkHwXv07jnPTslYo1AzAQnWl)0j2jRtC4qsO3SdV5f+kB>Uvy<K6Xv;?P^
zz36<dGf|mdT<klFgW&91gHjyHz`KSF&Bw}i&hj`nr2;6H#9>egH{+C2q-1O)*B4j@
z%iHz+SntJ!Qdn9ptux0!1&8I?1pKsakhu9i{+Pj;=C+9|qz#|k)<7h8%#Zrwk;#z)
zc$M6>COC<xg^+JZi$gdCQ>RVLuzi^c9vgPbuoMY;T;pw{8z`Ii)n~(Incn?239Fkt
zHj?+WFHAD6=YSX<I_KMCBW)ZXX_~*sdsFT=XDcl~*c0jj>G%qqGy5yMrq<qJYLE?$
z<>wkcrI;6omgr6~?(yT%F0}a@Fua-qpNRKE)nOtQ)t9X_wa&qJS#MBv%V@cBFlkd6
zK5@4t4QO&q_v_F;@OGi{Zh!?W7fhH-O(lM7{?hWp%Xnxt5sYS;9nb`Joy03cC+7BJ
zEu?=PIeTm1%U0n;i|twk3WE)@hF`G)Aoj`<Wj0JfQBj?YhlLeb^YKxoeIe8}!MSWx
zCi*NXk6KUq6fHFp6UkKe7`(QBSWD8Zx(1rZ&?--f9IL#}SIE%J8129<xNbke+k+|F
zTl3Hd5{=Yd=cj}vGh|eDJ-)JGfebxVA7owoxy-li6v1Z(BYs86Zkfi@N6>7E&CFp(
z4j%j`D}tuzm*xBBW>vAQS25ZX@;J%-Ir}l|e*$^zui^kz<r#;xU=)q$W)bfw`jF+#
z-mv2{mFZA415RdPq!J{|MPo}`d<edNtg7e)v^|XAz$a$++(D+D6mlC}>ilH5fZ+vW
z;AdQ<-P3_!fg}fgk(|ScVBIe+5evavk{KQSntW*<c`X-#9`y02U5WZOpWy)C&3)bt
zA+2UKR3mNqssJ+OG~xG<d2qeEJXM=fpTd?`Ny(7echM=F(p0pcKppB#{f=~n(xS$y
ze2hhAphTuO%g~*NsX4$QwFeLUdY@SK@bokh2r&{EeELLtAK(j87O$N(0q1MLq?PK<
zAUQJ|)8~U%krAAZe9l5AdgAuQ&rPm9CjM&ijCoQ9b8DA;i_O6S<NmHgsBkuYP3kYM
zAEHmD>z}_jUF5`5Z&j-0<cS0>s@(e~YkY{nT5#-`f-WcFYnAEbx~HO;G4p8(gR;Ed
zR{+fxA@KeEctI-{Jji~d9m)W8HtkOV0&zQV9wLG)PVth(U2#7u*i$X17Il12qdUY-
zQCk=hbK(Eylu$yo!9`Ot)=0cYJW=sTq)<_z(BNk-%S!LJ+MLT}Qi9nOTRN5cL}fqF
z?5n0n;JT%*nF;slHM+roUq|R8l1As>(N@<X0*O5980FMkppLhK1rcC#+}@=r7nQXD
zyxZe|ud+{_ZdHCT4IXG2Q*!dt)%Q|;9b8(l`net1c3R^>@23MZhC9`7TKb3E<>I$E
z(lzs~f_9_4i60Knfh?j5b@_(Ane61R>g-|bDuAxuLsACM|Mc~gv#)4^F~hFt*h8F>
zIL!7VJhrBny_xZ6zw(4{C7X&l)F(C1M8cRjOm8~mo0hC#)f(j;=}~k;SCjo&6)i^b
zdAF~{X6iVjHF38Ut=JI$V(I{Nb>VPXp&IjXcVsAO#Z94DPhciGS~Di=ri}ARiz9*H
z#=n(50wwf;rw-wb>7DRR$~rxrrGsrM36ohx{JM($<7yqKFK8E3UHvJ#I<z0!@F&H_
z4u*`E-TiJ%I%*>On`;7MdsVJIDcXG61L_7g9j{Y9Cb^<!#ZUkYxg(Z%RROlmNhM}e
z7V}pZ%#}`Rt?i&7gQYt65~-b>c_5(?4U*-B_+T*+=bJf}FKZbq=;tHJ%Cp^~xtIZN
zkKLjik87Y(q^%Rn41$JSW(+l4`$7%L5epoSetA@rHNch)31wd3QKuI&JX2e_?$38%
zGs8h3CQu~xK#ae|qTMP8oJjfn?kj^utlJsE6Kddv`HR7j9TbxAS><y}#BuzL`12NT
z2g%Hk;k!MMxDu^3#q>#Qb!g8593>E^Nv#=<_gW#q*$ML|rDiRFDf%5x)`HR06WWvH
z&s4}EHm8??hXam~FbLcFBa08W)4L*sWXgl>-1?cnF)I+3<|dLQ#DPddS)j<LE}94`
zN7~iB{ZQxdTr6tM5a(b8<E>tw-b((vy*Dt;d#Nq@)aR^?;UEJ#U7KqcTNqv&mS0&>
zE&yARHHFMqPm_o$ee@7?@{@%5n>v>SJQ%N>UAdp(`Z1|t+mQL&&Yzr^1|x<(Ai%m`
zBeXJxoJ|BRHe<8lf4?paT)w^48Hd|zXUIsb7*6vQG<Zq&8X1hV4$vxI<JmQ3CIL4L
zq-Fy_i+bLygh>$OG_R_AX+c=E+B-!@Yd7d>p?mFTQ$4FN%mcZoBVGeHoN=V}*&H<<
zyhc-y=$x|+1u-R$T-IoC$h6y>JH?Y@cTLlVrL|<HJIP?{$rf4mv=wIJ8RzSAKZm#-
zIh|sZ%2@I;%3-57)M&K!5UG4f@&qOH*uiYO4t(gC?cOAeHStPJF~+1ef&vpCe6wqV
zO8%{KWLfFpIK}j+RrwqY67a+z-qQ096GOSM)dVS};vroQtr2BM2zG=Ut#u-5q)XoK
zEDjC{HXdx=KeL5V?c#Xrf}9Y?JoVmu`#Ftv07@W^&G`oruWA4eE&%9CHKT-vPv)(B
zC<pU)44Y`L=pWYgk|}e`@*NFdNc8q7(b7Ft&IKcUAjQ<T12CC{@<8N)@tKQ^OaCBu
z*e?kd3a4M>qNX}p4Q99O3$Wdp?o`}gl2Qu$UxKBAKOB*yH|rFS0|$!fo1csiE41LB
zQf=07He~SAg3OoxB%PtQ8ZV%`h&}x;Ji<A9nV50rD1=2!V=>c%bhNpZkb$%b8_j4F
zoSA!uF7~!wrRt5fyNEjt8NT~H2dTTG#hZ{r1C&6~D9NB|k)ZV}i`zUZLdyiki3qus
zf8!Xz9ZtKtqePIHyHto!a_`6b;8hD<Tr0n|gAEPY6Z<!~=Eu;iEeLZ~8-33D!Vi5F
zfX~Z+Ylc8<$#Gt>a0^cqs`jQ9q}zHicOmn~9ol&@Qw8bw|BTet$Wa=BT~tppa(N6r
zKU8b1!d|~uR*qx4a`Rj;SljP2Cgiy*d*!<KuLw?zbja%od#fS)kRis9?M|;s4hR&l
zGPx<SbS*UTo)=1ZHVXoOiw5gN`ahtj$22yy&6^YfK$aU7f5$*yBYE+^a=*311nJ)(
zj~Ewb;JFCQGVqs_M0hG3t%0rqOYURpXLz+!R5&n-$QBGoe{q1VLh{y|5)<a!ZfBWX
zwg<FD9g%tL2yjFhl^W3qcol}xD9J21>12s$VIRUjJ^o4uZ!C6Aagb{&oRZjMv|b@=
ztR>_LN0;8o5+~3-dwr&8XbRUmS*#5IV5+g6n~Z4r&V$a%)VU7}t^TpENluQ(suP$w
zWrVSjHZP8ya5TXCwq^SSvOM2}B}y}|GktPtP<oXO<8irXVyj*Nk{{BC?-0cNP)b}a
zXQdKWM_qF^Tu`r#WVN@YmQ11NmnbGmisxt*p^XWiAYhG}!l2B9z)O2Toe$!L)?Z8d
z!x>`$gOIAsOsqZhmoBIYj_D^(J7IbPL=iDcJJR#5SvrUpE1!eF3wt-KVU(6R)N4<L
zF&uLQnuege1b8qIjp%}QIhJw<WrxsK9&Y#W{d@zb?EI+l7yN9DZ)NU%?Ph~7tz>yy
zk>;7>BlX5%43qX4GCN5bmb7l+3G7iL@-nMLn=B-OM}K3B4etF?G-A-)9aj9d+Y0r1
zCP3h+(#_F90k4-gQ<*>2t!!c>0&Bp-EehpxXCjuXQ=v{J3OVQ-f~MmTo~7eUpRT=h
z|8Q+<{I9R<;=5;;@|T}sj!^c_U7rh=Wwn7;&W#|Tr-<BM%a&3@gEi41v$vyZYKHMo
zKk4q@R%dR;K!TA$E@<Ok)KTXTWcLE$jLi2hPJT%SKKxI1I0J*$PNpvhBr5}hcL76F
z!}+utgucV0aDczHg9THHpJ`ut+RqQwPkKrCJG0|>uQ?s+o7n2fqH|99ii<pxx4BGu
zA5c??AHBTHnTm)+nKJ)|wM|&uT#1`dJ#7x&Y2(|p?k~;TLS(eD*tA<iIu+M#IpV2u
zWh<LJMM7s_?asj)#n}f8Hz|k)Bx5NahI90(xiftLND>lrmF}(D+RT;WPB$clW1b#x
z*c5SG3}o;WLm)&7@5934pc1UPHi7l2o9X!E)}r`P84s{Fs}tuX3Xe3FCup>9&35`;
z#Rnia@>0=-IRAwhG*$*z(bID3S0k1=8Hd&c9#N~KX)xd5XX40wXXSE0lpC%Xf*Mu|
z4F9a9l;N@37bw)L;RjyK=+7=1<cQJb{}~K}B!}d0^${U?GA^9&#3<7b`ED`OXFbza
z`l>nv9)X5B{v?o>-XO=(iF8(05;aY1VvQ)uIcT_eW$$S6bg!(9@?2e_ZR^mPI;`7?
z%V&A}^~odOJPr+8pjp-H3W7SJk%jRO0y+nYEk;i^Sw#V7kK=ewku#$xQt=doM^4Sq
zQmN)|of@9i{X^IC6bee~%&pdyja#TyON^n#tw`jpEYRZExLsOH5f&6@1%k6kE#%55
zdx}*Jm`BB*>~Q6ri>z*L2kR5Zyb~U|xBZz}2^N*p<|z8g*d0ygGTh26v$GMD=WiNz
zSbN_?h}=baWvjF}3#+8uuuq%ji{A-up}OHrmz%X4h=EdJ^>(cW@H%!|hN0<qK`ghq
zm|ypK2$@A*Qs8WBL~Yr%?Ra5GteV;W{gm&kBBHQror($xIs7^B&APjD2B148Ru^AF
zy*gT&&Z)|n5n7Vcoq&6JZmnHE<cqDV@Ja!cKwDZYUk-^bzCfZxRX6s9=;L<yDJJ+T
zNda2Nh~bg@iI@Tvkn2$(ez-9zDzje=1n76lKifzKz@^D}bCCbLAn1@K1Js4{Ht*a=
zNy+$KGuQ!<Se6aYE~|@<Mi)9E@@=AOj^)Gp--G^&=arsMdx>79TNL`}UBThrlwWR{
z_g)fGCWHN2@892EPiS**kwzGg_5Zbo&qS_=@7)bZoi7{n%q8e{vowpdhv*przgw>i
z=irW8M^@k{Pa(<9Wa*o^MKY+a*%%b0m0kHsoMkaf4Sjeaxs*zT_<p3M1#LV8Xc&Oi
z2`0^sI$AUQl$L>j*DoOv5@TA+HM1RX=okLn>HtSTxW6pK>7AY6+~>R@*K3%9=fFeJ
zw167sG@oJE`k~q;$tKIy>tTT6T%pjN^pyshjN>;N*NZ(iIczb#xaeS%eOlGcl_wj!
zChl|2K+G&@q>4G_W_pb#Aa<zeoP|Z{pTti<SgFlo8-Qt`3qY)4ZZ8tj%)hYvA0z<O
zKR_;}+0hG@<P|sxur{wF2?cg12~;xSF7t~O8NLr5E!7oX^r>V0lY-AtPvAjT`_H<i
zAnVrt(GE^l+5Mcfi357wx?d)7xY-jXT=3ptmeiC{K6Zn|><ewT*b1!~Je{FpVege3
zi!2+$#<!Fv8t#$#6D=9;axg)S3O4fMAztygOumP?Ub=#wD6_-$scowT0YT`fy-`9z
z+C5@>FNAm=5p;UB0t+6NGt2ZASn;J*tv5<Ykt>zlq)A>joWl2pSL`rw6Uf+8CTcds
z?Fd?-#Zx!6`NNWILr>ca=ph}{A9}q083KdO{MuL#@8uBrulg2%*0sL(?NA)qrLf>5
zO~~n)n<`(3{|+DHCLo^o4fHVuk$WnAhI_X1_s$KW`jms45C^`lsz{>t9$XfN67ISK
z0T@noz6IkzAZmJ?Vwo@^wD+Jn&Fe^x`aihdyGi9&QrVs7lx)u@iqsv|p{QFetX8d)
zQ{4c$Y!HQ^bAusU3C4dRvHI4n9XU7<7y?y$7Ac;&ME!o!7@sJZTnHIJ5V3R{7iA-t
zfqikzrIa)fGPXr;MP`nYg4(Tp(BC-V9r1}uyHi9BDvPZ-DYlE1TJ}dDfRbRbRFvhA
zJc2>L?jqy5fXsZ^an2k}>nm;=Dc1V9%U1`YEtr3p>*FBKXsqfR-!hmK4EfS~v1T%y
zeyw{7S;PS%j|(U1#PBpb7%bbR=RZ;z!%$6T+lTo3ULmIv%-rqmEsXQ8OKS*IFFyuF
z*+|*wy4Y*fb89*aqF+QnRz<m(KuJGea!ia*=mvzCNP(aT%3Ec6%N>;R`La%4IgP(1
z5?@}DI^a3(QpcbDrbk|4NUbt_0HE3AZ%2Kt=4pyQMSI{mTN}64L<=Lc$iFE=O!eo0
zI=-*GhO9S8XnV0B^)~J!Okr8(bZCc4f%Jc$oksg0IJ$3#HQ1I@-XrA8U~loQc`@LH
zryCHRd$2LRSVh6^X^RlCq5CIAFk1D{&@o}IV`#DK{X2dTUE&Vsta#~NyxYvuV0<jp
z5j;0P<m(ON&I^3QgnsZ}x&!4y;vIa{?-jqVnk;J-Qd}4t#oFK`Il}6?CT3_hUDSOQ
zgdke4<njRQ@kuLRlI*B@aTv8^Aju&{*D9XRrYMly1~T5uo;{-eQ%V+YAqcp;Tw1)U
zjh^9toJ<SjD`>t0wF)o*tBKitZ|&2z5te)8(bvni<-w@Ij-GI*KArqj(#@4_KrB&X
zf_Xx+TuBggQU0=3=p)C!yv^~$WcXPS;^>J4mqShW)J-}c?%MRWH9mdDykCi$s2gDk
zVOJDp|GmNP+SVrGupJdeEHP6=t;u-c(JSr4P&~WpX!6gvgsaok_Yv^2xc-65woVJk
zf-6-VOLNgKh(7^bp3nVmGsr8kX768vB+=9lKO1}Pu~lOrZE1;#aO7&VvrSrgP>oha
zDmiMnM!juDy;8#i+A%70VLv-^Bo0SNO>&MA&)dQ+&Mx*ivPdpC;VHg#(Mq=nA<055
zwu7&Mere0XVoyF0M?ubl_$aaSHqlleyfF%`_ml*Un*SbL+HW2>+%7xYCwh$a0`ntO
zLg<K9dVn-UCRg$+P+{YncJED|oTDv=8jLsmKQH+RU&FvUd*QAb{Yt$=+?%_Hi|v3x
z+yt__H27Tvqm8BVJUFjR1t%fmLH=uxRH83LfZW{8B|r8H^j`<EWL;PJ&QuXqN@V*R
zT8>CQ>c5V;VnG3D{(FZR7O3x!0T|h@$Vr_c66m%(2A){6WJ(W&Z8eC5LpL!jqnM&c
z!+37-Y?mK(C*F|t`_*O|0zm+l8)l6Bu@B$a!1of_125TE?)OFpngUfI<UwzKebi$d
z13df8hZ=-wsa{hAvM7)0a-`C7agcNYF{y$HigO2Jr-H28lbrFVD&#S6c0q@2n1v18
zP--tqZSo>%+oEl_gZYz532&ks(tPz1>|C$eY~v2I$$ASDbkt-K1&rvdVteD!6Ttg1
zO`k-QJ~z^&>5>sx4iO`N50^#u(>FI;BKnopWBXvF{+TOMlI(!;KXL&gub`O-2S@QP
z?(7MRn4*9&L9p*>7L{DZpVPs|)AnR6j+?g^M!||huSm_#v$)zdlWe0>{!3KEQ;moy
zp`$<o_i{f=NJl}o)NKuN=^f&>%m`@qz``X)yq^v2M2ut&S(<&@Jb?uuq^BXl>65b@
zlJbmH4IFR+$Bo;@b=4NEYOpl^2-qqgQvfy;YTe?FbpVZ?r6;^P4-96#&!s#!s=?|8
zXu<fFo)55KeNcV{09ybWUem8glf*-Uv}MIHlIKFJ`;WNjEE)JN)SY|0cRO@4hGtYn
zK2MBo%RWq9^DW4(#Ezod{l}A;|ELAd3Kfr_vNO#ZbR_*yv%6x?pRU*Xt%CJmS1r7R
z9A3HPiPYQEp7q|ur|pp@62uZe$l+{F{!>U%nu<kURf+kCV@>#)F{Sn|s7K#Y&C%$m
zK-0}jW&m7|sdfx|0z_#;e6uC@#y&=Vx^<dAXj?ib9kVvz-FEX*U|IozxVVF=|41c3
zkk9RjX@0G6cE=6-uN~xj$C0lj_usRM6DidI;1MUbRqv+)r$J}VJtK!JtF^d52?tRv
zK2Z|7#h1qvtKkPDng{h0;6jj~MwMJba*?ze5lY%f9$6JJb9wXE-6lCgw4nl%H;HOL
z#MC&elHg6#AHk%?B+Dr|TT_w+Yl=T|5V$M1a?6H}boQ3bgY~eGJV|)-l!i&!g#BL>
zgfrbVR42l>@y<1M7&!+?L-<;_ACwRjaWWOOH>EsVR&I+NV@;p~V)=OThSk0bxm{S^
z3jzVp;;ua;L_%7*7^X<v{i%Orp}kH(ue3dedg8u{5F#O$qbDAn3Rc{g$p0P{;fLif
zjoIWv?~mbx1b!uYPqC;4d@j`BcxM;!LGrdn-4(vgoCKj2ReJK~v`UY{^ku*7ZTv9N
zh?p!)xg1R8#NEGT7VzNPoDslcICO6VXENtV5)B%5{xVIXM95SrkH+|*QO~r4{Z7hF
zL1#j1wzeZSjRDvQ#y~A3WcOKv6d}m{gz)!66vhny6r-mbrmJ`41kf{c%G2l|Zidu;
z@*Yg%O8@Y}#9hUFTpR3?SusaOxr3Jy8#8Bz2%pKK_|wBa4>6F}F1Un)d<)S35&Sr7
zqegLQN+2Na>KJwfIp_W4r}$!Poukn8{&WUSb;S~P$#7_t!#Hs=7+A4EvF0G`9gkA7
zDb8;los~-n^KkJa#VG!VMID(C^`8R)SDZN3v=8GKG%aOy`F+XT4mLB9O>5ZpK0?1r
z_9--rpJ?fOL2t93CJgaJ;AI*B%!HW`L|0@=*HKMCAN+23D9ex#t#~b9Kc=P(l90hi
zXU^Mn7%26a5O&gYN$qvwed+p<y3whlilY3ifzW@yQZ_U-bacyH(cDJqYZQw)&+F8-
ztBB(@3S%WT-;3csl*fU42g)5D_dk4edfnZaD^5xU^~^3O_%_LhI0q(+^<fKyes=Wb
zus8F?Xp4cP!?%&ZU3XYYC8#M99K&>z-#6RhLbwku!q9WP@(u3@Q#)9{z?=)KF-;gm
ze}l6?m;uh^Qj?x7zfLy3I|GfJl;3jxTTofA0ygr4M@jE)uUYedK>7(nE018A2MFLs
zjJ0NZZQrvwDKi7fBo<X&1GgWZ>=7H*VbO$mhMoFtKz8NF$w)ujQ!m#^6S$Vxw08bD
zMD1Fni!=$;a;?&*q%>k+%42Fflqljxx-rPievXx090O*!W1YP9h0yL7q{m&Sj-*b4
zoz7Go1sCK<Ccj6(YESb`pfIcbA$+U;V}fgymWhHYshIM}29lf6)Fm)Xu+coe_*0b7
zZdsUC)k3E6?DVBz6VX1z4`uzAX0{v>d`-Ylr4Ppz&&G4(39fnZ!K{)r@H)&X+WHc?
zaIdA>*zkN-^BYn=sfp{7=iGmTx7=8u7W)x?b53=l64j0Lwy3q4YS`!gzX0cn+`5f-
zLZ)>s3=^zb+lvEa4)Q(p>jv>27QLBL$@Nau1<Tw{y3l7^AXRg81u67y6pN$PVa!7n
zyhdDcWATrK%dftZU)E|ljR~CHM9rzQtaOkkX0PB-vk)|yJ`N!o?1H+uwHMwfGi+VU
zNpIp(38NKx{Lv;PDP-xIse{8A(G>1;?DPNc9+(zwnUW<mN0g-|9_bd`I%^Y~@CR!j
z2Ew~YO@#H}@@lg;!u>o&XrZxZUoN)R_>Y*&J`@6xrcyM%J{cu-Ru?XF;ugJ(eru^w
zv1Kh%MarW4+nQulL*aIfri2{RLnHoIAfI{#3q#F!6DXW<`o0h5h;yP*-qM=jpOL3>
zpf47mM3k3j;y=Wwx?{}Xl;Y=o1x(9Tk4`kSqmYGXZu*ruL)dg}K~b}7Gc?8bV0>B>
z6Rx&K6qJ_Y?nNv&q9s0An3z##`omn5A18gN)ebz*|8(9%NXH2`gYAi+>X>heRpSp&
z(Je$H#{9q9c&4`Qnkj2(<p<6WS7rGzK?3hYG=6xpIDzH|Bl0jN<ESnW$jK&&k#!ml
zCEFO7qdqt7ulp@C?TnE;;k8Pa&IAjlV2cSCrcIjs77a+O-kCfIy8OcS%FvOB(B$QQ
z!Y_12gz!t6M{t>MDTFO%d)D}sAt*_X{gw>Q_=5uf+O2#u=j80&8P9eAYhU~rM{dh+
zHW*yOmFjFiS4Op4x02T&`uD4t6QGK!66a5U_5FyooZf2<=RJH3KrC?5LX~D?lGe>-
zsQXwacNDjH(<lBoy}oMyhVp3xNQ0~QCfrUZrci-At!$jzCIMA(z{@C-m80pY<WK8^
zLlfmfmrX<&L1V;wD%?^EgCi{BJ(5{=&jOc8HeT>hDn~GsjBo~m7^A+7qcyM6`$6j=
z<h$;w7nvXOu}riI=5(ytxI{b(V@<xw5Y=YsB0d=alKOE0u9qVkM&RpXf<;Wp)lMqx
z+lxCQ7AsRWXy%Fyc2GBuQxiS$>m|b4u?96E9Yc)Jadf>sq!+zxO7Zq%Tqzy46I@Q?
zZdY@}OfGKnZMBdw;^sADUy8nT>@m(-p>-2d<<AWps0Ai<RsFF{RG~2*U8(Ey!H<fn
zyur*F!2OPa9Wx&6{+i3-QMvx`VK?ThWyO>50P-kEvWWSO?7vTm^T4Q1c33Xp&P8c#
zju`H68Wro9R~EIJwvgs<?mKr{3tj`vf2?y;#JjXQOi`elY6i`VFF?wI%v)*jl!cm0
zsGOF(U3l?7cLgwghcIx1LYJ8kfG{YK<cGb4!xrQ~hB0(uKKcg@bYEA^1nYA?#1ZcE
zADgTvaDFPwD9Z?sUl)474;!ZrQ}51m*(lQE2-O3}4SbFxbsjBS#?k4MGATQqQ3=lg
zn8d4#AWTuHT3cxqW|CO{0)b`8dWLR&A4Z{k{L03N{D8`$+q-CJIT8e|5#lgx{%mV+
z<$Eiei72NW#tX3=%yxaGX;|`OyXUAi6ncm7_p3AqR<S|S5J{9L17?axo|{40M|FhG
zY<g47w%#2M<)#u8Gz-w$@4HZtU(!)6ULX7dBtH&Gi7OBFy|cBM!%Mx(|1MAk#LWa&
zdVWNP`A_if7Y<3T61@h6kH=-bWsU-pd)9s}hZ|pQtWutsr*P2LLR1iOOBLs5)f{BO
zhOG5&vFidk;I78x{_&Ffvj)|NZnt>AL10#cJvt|B&g|xdyssfiZ7)V(tfgWIEzQKB
zq!n}_Z0fNmOgL@;+TzSOgOXIQn8HV)5OkZ}jC7`Z+Q1+OFC?6s4+e#}{NZ!zUC1BS
zosRIt`OT^nEM0X_*fCHf>yZ}8g5R=*B26QJdSt|cefUdSiahN%UB{;QKjt2TFQ|YJ
zh{y0gUOQQIXxwTupD^_a<W7coUCvyQZ{$~|B1!w(5&9Yd6Xb7hyS}4|NGdD);QyCI
zNnjuQIU3G+<sQSrf_IU_L}>ep@OZ=UANnOi5e`8O=sbU(QI&ZOA*RWAURx=d!cvDv
zP~--B4R!S15!IoRGdDzbd&)@JMh_i~AEnocW~76tg61aN{HK0Mo)c(}(q&)tP8klz
zP`ZTv(~7)%$^lbgsktG@{&F^Y$rD?3L+B+VjOf@S@ZiC1j<x2i_tIoT6-ppE-d}vV
z!9t)PU<=S$`9CM|!s67aVpMr?`__NS?&6kxj+d2%F{0leh04?K^LAnJ<u^<0vu4NX
zl8Ps|M2t8rb|Z<h4%0t19ki|J);VJvC}wR})HGlapg5=zMNR+bVn&If>21uiYKzv3
zG#bG8+7OwRkPvus7-47XVZ1;?HtIj-wLNHA+?-cROcmx=2<n$>iIdFqnq;l#@D+DJ
zUyAC7At%`m9UV6*PB>#R@vKv9+Xul<W|8K#TiYX2;R^;n<rYGiP8ElR4S;9xe~GZJ
z=Pq4W;)4*4Twm#|?2c!g(4dDITdZ>p`d65D^fm=ZZ+}iUy<1db^Q7608|SSyZ(7DD
zQa84vo)seAZ=jGJY$6RL@pFX!yfvl1Xfh7Bj6KUE;R;rs_uJk=YONmuL@!*5p5q3r
zoH4popp<NU?-0oSGuG?NJ2@ilw9BKHy$$yAXF)Ls8HlRx?gw><+N>bm0mKcQpa~}*
zMg5dD6<j!;<Tnr2Fp}_(d9di1uD-8Q!sIUopu#nzqg0q0oyf!oqb(|OMlWIZ@%J?Z
z+8EChWijMz@yCZf3HWqSEI62JF!}8sEM?t^jjhgSM?D4mb+lHY{7Go6V{T}VIwHvH
zJqZtvS5}l|lJ_csdb15vnj7aBbpr3l*THO5gBPo@8Zx_+H;ebRV#CV3xQY^2n~)kV
zQk%})3pmZstOcOfJMEKy;2B8f-69~N_K$Ga1-zF`#Wz(3gFGL_FRxHnsk)JRqghE(
zi#b462p9y=O&kkB07?)1t_gwgS1p3m%_nt0zow!gnBu(q7Wd_PIfBx04P*1-Bc{~6
zXBGf2RFDqi4(%BBirN2<BJHoRH`Pat&H;mFat^`w27^$<!0H^;$gB$4O=o$e;AWsX
z=3|i>0k?r`L~ntkEqXRUEuVg~tWS8ZuV1ej?Q*tY|32H+DH6f`LJ!22UMsNiUy0`g
zFphD>SKNV3+OVNRtnNa-nKVQiawkG7HfM*|6r&<dS@fs=&`RmP+OCx+C43^ntK^jC
zAZxA5Vi4*Wr-4d&D5zR;&i4FjX<rMHGS$nOGlX4<rQax|wZ5^YnJ4w|>wUiZE4T@L
ze^+My@7Xt2hQGS+pxYtFNK4RuH|oJ<9(}5hVS68TN$h=(%vD%IhT53#;uF&#7Z`eQ
zB3t+~;F-BSHAqwx9sYevis(;%3HM@NZXAkl!7B^>&STo&up^5&>E%(WGICfBLng=2
zy5nA{$3IQ7UFFiUe{xbJ-=Bjtw#VzKkQL7J;vhOZwOlc^F@^gxCi-iZm&}qk?>s&y
zC2M0IPyPQ@?dB7aNx=rf2{~VbLiB}X#4@SwD8*VLC9^jQ2ti&g{50z5J?cx*{5Y1V
zY+qD28!YD0KqI<TSN5~1xKnXR>?XsAL~<NDA*RCW5a9_r^9E50?Nd;!{21s=Ku5k4
zM8v7-BXuep&i9scue)dKjh!_QA&VfASw2LPhw_5&M!B_Hy-JbCHLB+z#xI(|<dI=-
zmJ&(Q`XZXKQ|qN5Z4r6g!%6?<<aug0RsNMOPq~)ChRp9+7;S0yYtzDe4v%uGZo7%e
znIFI&FBY%(WROJL2#j_6cAe#gm@5L?A81~9m&;!(Yd(}29H4uZU<o-7wUROnZnW35
zl#vK_Br`#V$(rdStz&(~Opoy0W=EFD>s-p+BCE*gc9}YYm}nmQx)sF&Tj(dA7k$68
zs2_|tSLi@Pt7<SKN(tcSPhWH}?kj53?tNxg)m0WgLkLwbzrh<Q8*dEuqR`En^^n|6
zIF2)m6xfF=bzJQL&1<!R&qqa>C|v&D$!g&QN1gR95`zyc9Te9h#A-@JJ?A&slCy4#
zJYKttf6n?9N6|}?@C}O2`l}e<M>MXbmE?vJtluf9fagFafdOW$xV0nbwP~B40!Wq0
zR_Nm{37m}R)@2j7Zzsfeer6r(REVn6ju`MY^3D7PA&YMfwI(AsmFszNF*S!JrE;06
ztt{OU17wnt0#Yfo90>NYPAX_|4T{AfW7eArPU+#<Hn(q3nu!%8q(nNk36AS1qcwtL
zgzFOg#6@IwK4Vt_92v13g|*$qv`WmZOEx5iY;=de?wSnJLP$R)%Fyu+ejT|+nfNgD
zC4tN_%G;9djs2=&18|-`cSyokM!D$1fT%AZpI$n*);&q3BK|QGW^W#VA7NzQ5k10^
zERVN40{LoT*|;$DZ5}^kyzC9^Nlh&Q;?PNL58qgVUF}l0Sd%Z#h|`<Y%{X{ZSQr3J
z$Fn~+Q^g**53`Cgzp&NKhPzCT4kkXA&if*!0<@W1!>!QTGoOu9Rv*QQ9L>SJwoSZP
z_h&~4x*7a;w=ky1-wkv&Rg~9J>jN7nOX!J48ki4r{gr}%@^P*ws4jTH>ctd!?Ma`g
zN}<Jw3)`@^_{}BDWbfDr%n83$6P;hCF&|ZSD1m*y^$EiMpd28uwS)k7!sRG%unk2>
z4{CIGP`xNycp~atNpV*nXCKk78hRBFAB>vz0dIh>r+)*x8ppqWoD^AEB{xHILCQmo
zEhUxIfsim^+j8s~+bd(G2_MI=M{&6}$^*LMgb?VI`DA%h*u|YRVoL=?$1y$g@S)Rx
zp3^E7n*2XM^8}|nN@`Pf1D1P0T6TH+=K=k0MJw*|L5-qY1%Nrx^_^c1r2wMm8Vvt#
zDBObyR`Y%Gx-m?YAWL0&^cyqOP^Y4eBZ3?`UO<kTQANPH*S?1Z9%If51vqGNcZe^%
zL@l&Y*-pYB6;c*~!Iyh1Kh1g6vAB%ZXSy|OLl;bpHCGc!EQKg_AqqD<TdZZ(o|-@?
zi=%2=)3{&UR*6AF|8ZF~lIbYu!c`9z_^x}JAak4~>Z3;zJy9se)9F&?naOO<6$9>7
zcF<B@AP@1fal3Y@(RokGYUc_$Y{Ge-iW9*XQWFr#Ma9-UYhFeA3Q_d8C2Mhzh(`L^
z0jb~R4vE*iy8-|%n*HWqvFhsT%H8ZCd8iZ#E&wm!`$30rvt80N9f+=CX=HVl)sY1a
zBBq|x3JOMm4c}IGSOF_6wzaa8l;Kw-Vj(eGt_;0&>Iy~5{7!j;O=v(%k6ANrA5-IH
z{m+R@Jtf9;E(D}Z<Bf&Oi-~<ZBzIb@sMsi^Qh7R+zmQ_YiNFz@`)P*!;f3i-H3=s%
zR4pe+7jmmO%L^2DU69metYAl?Y|9|{n>?Y<o}Sm51sG0{wV@(z^gEi@82R}-7eQ)-
z$ht3oRn6+54Tz;sNMD|Pp5BGX2z|*(eVJ^{N#{R3We@balB8wfEb}bbq^|FUn(w}Y
zHyqnwr_hPvdm$A<rGtoAdf;^R!;Id!H@~v_sADxjQv5TzTYpdYP)YF8s%_Bem4j7W
zQRkFbL0_R@w6?p7fTcI6DUtF6spCnpelO&%{OT1O&z9Nt46I<v^L2E{AlIOya6gCr
zt|cr{{v9WxB?()h@Taqu!xApdyv^$&(2bDOJ-9P^YgHtlm#(-7iin-tVU2yZ?38oi
zoYE!hzA-zV-;PRFov$nGkXYV2E~kOFItg&-DM!w46AgC%7`;Gq5^l8EcKW>HKNnHI
zHSaQFdx!18#t#zM<HoeG_HSoWlX^_Eo^pFeSsfbEB?w!WSKL77-GSS2lx+`c1D(MX
ztA)5sxzv|U6v#EwGceC5Ze=c~B67%};G<skXDY~%I646)d)?V8p%voKIP&fstk%}S
zz@bU_oxWCTuRF_Z7>0<smNB)&6han98jNe>Al2nK-|1={g)pC{@j+kg*Y62-bQyXl
z!<~3IDL9ny>@gg`NYl}uitpy$es`4h?xEm$VQG@5sCu7AhBfs?@>;4&l(wK)araqR
zD!c4(Aw|T_xM1^JP@ieBwQSJ%9K8bsAOfl6KbVG0X{E+#t1U8SB=^XbT#dj0dQ@YM
zh$N(Us|f#T8RRdX&`rv~Nz#Byqq@qP_c*Kmd?0|_(xxUiA`o`AaTP{k@G|rq4UbY_
zI~5sl<6!4DO#bA(`3%;*nO8fDks>$$314T)P^61b7{b)M+RAr@e`K#W)bMrq(#hM~
zpF>69JlcDF2sU1@2A&>3H13}Y=53o(>N`6{R|u^zUa+f6M`w*U1Z>+zk$iu(46rj2
z<}wB!Di~Vxl<%Bk@lm6A^=K(fTxQ(#Q!PGTY0+NHz=j$qJR<x{5{4chQIo5PpP{jt
zFQVJGuHBvK7GHO|ZcVUf1CfNg+Nb0{`*aHKRV-G$r>V1CKIrQ$9?s-}k8Yd(GOxh>
zt7qzXrlmNpvxYv)Vorz&@3#V2E_H9KYR=sd&aCGj`A?*1`fR6n4tZL$SQse!8wV<B
zC{V$*P7TpWcT5;t+fd`eUorc-b*C!nfV{60DvC+nf_1-}_9q?FeBNVo*gcCEDVtw;
z5o?vFwC(OIXA{wH@xF6u$vz2?@9dr^g9#wAwO{7;r_xPLbS#`q<M=YS&%{C=omC4k
zp6zX$5oXqowd;>N4XMdoP^CkqTSwMYN@+eGcdopn!ACh2ymqaQyHq8KUs?v84Rs9c
z`5}81+eZc)ta973S~bYIE+;~nGdU@znUZ@Zbi=K}k$pRS?a1qZW%Q6{Zk$aB+WE<2
zyW3Cf{y`O~JJa<AxgpbX!}Yz@U!_3fdZoYd(uRyOy2ce@3C$1cOg+S<u`^Y&4oBg?
z`$|jAgEtSELZiv{#x{QG3y_w*3w$iya-}C{sQ~7^1R#~+IW`8#hPBshnFZB`MX9!<
zfjc7<6_r1AuStqWN>NRiahyeM{>O_HeEtvp*XVsz`cm!bg1Ylv&8)>Fr??6?P53eC
zMOKRm&*+fs6?FEw!9BC4nPa6Nkfd-|CEz?K@{ZKGzeHswEbG%<J?##erBpSYo!0?}
z&H7bqWQo>8G}R$52NSQqo`G27&`4nOcIks2`uHuIeY1CH-hI-G`}tOWX5iu>D>EgZ
zu0XXvh%uTB4nK*vV$=ilgLVh1*7|D<0U#*nJpxdf?GC<%4#T6ok@6&+UZ11SetOlc
z!n*LyEMtKU(L|@udaMWigH{MVCAAB?nULPy+e1C~$+SHhsYz^HE!eHm@-6H_-J5$t
zaebwN|JAY>s(n`N0ot3`@n$)<=Ax7<2<?3;%R)P86s6yVyx|ucVF~E%6ZjDJKvn@>
zm;&v>b0b|!zw6HT3h0ZU_Je%*eXyJ>`u5=eBk2>6cbTlJ=Rj@`L!Qj#Q;^|E$+kg7
zrsL^CLLMbtxw~kfk(iV{DeI?@%GJN7yAyvg#}UdV*k{vR=vpy54*6V)o}>MrQA_=_
zZeKzL5Rn`8tH_<a9fea*bN-6l0;cRo0VD$K&{pe9j&Z_xSZ2r<m`7YsC$!4+7z+>g
z^;PvOjO2O@HZub7aj7?%sXCJMYJ{nKqw|SqX7Z;PYbIxcIIcxOqG#f!W?5&m!VPq7
zg<+=r0$w()erYzy<2gWZwHu%@PZaMXln&e$p4>PoD_@)tha$@IyqOZT+*^e6X*mPN
z#0r@xq+>e0&gxrs?{r8ZxOm7zLGz`Hx@svK{b18R#Pz2KMsDl%!~9+b2Y*S$SlTpZ
zvkyt|L_tg%WU}B>tTh%;9dFs#Huk6zQ4Xw`ox>4j-i)7NdL6DGBqk2Pm@i;9FVgtk
zk!4eFv>=@;)lVc6J-f*IdBGpv`B3e|`->sty|}%NfF9r(V20$eJ|_b1Jv+$CbH&Xl
z=schm9{isGEr0S?(X@tkdx#h2GJSt08bBeoY&}=FbP3Pmd$a0is)fx$$Mp4UWxHMV
zawsv&j~b?AHzDBtDZ?ThK!0VrXIqSIa@MM8iP{ZU9CerJxVGd@Q^bp6?6|>bO|+Bd
z7{<M_-!W`@3kTj{cl&Y*mCHp^EB^L;J4xJnIaaQ9A&j1wA_SrMsyu7;Db)WYyXX|n
zNP%g8C0nGbql5ix<9Mex0sG*7_E+g9=OFn1zu)FW;K_$qlDvRarxIYzY1T)aKC{S#
z1DPdec%?Y{8Fh)PUrW6^Mz78{{^d-YQU-5TL%US;9qnNf0wteU8OZn)KHuU7Ul^rB
zp+<R}Bh>N9f~+E`$Q!3S%!zIFyIl7ISC@d}7}j+f_A!L;=St+sb|j_*_Dc$W!C(dj
z1M#jBG3NT@AbRn^kI!3EZAfNt3Nu<vKgl;wziij66!?iL`Bx503OEq|E;8XF!(WHm
zApx^{smv@^it5NKE50p)ZHwi$Mt=x5>U%UE<8^ZE4--e=&b<n;LmAcZC(S@M*=ltx
zH8CWmUTiFs1RD$?Z0iXpBi1<2xMv>wfVzP`1ND<crO?@wbsOwbH%BqSHC3r_;Z|0r
z#!TiUA3p9A#|YS?JEoWAG_nOEP=~8Q-}#FHO}eZDsdef}mUm0epSN+*BY|y9C>A2H
z0`O!GK5!T?#ibhgZ_c)Rv7j7mRA%dFY(uTR$P2C9gHzGoT!8`SyMb@xRET$5wbnn&
zr@k-OL|HM-U;dkAcbvYW9=w&8d{}Vq-M<;h=clt`SAm64Ix!PTclgGK=4MY|YuUG8
zKWHaJJE$TgHX+gpzEt8OXsd-Gga5T+CPKxeLuSmAN45V4gzP5w@hfVjm`g~_)D!UD
zlci!H`bQoRcmQhjO1yHn*gb+wCl;g=DPmI;IDyr(H79I%e+&0A;j6rsD{(#;!;?dC
zlz{-xWOEWGQxnLf=RRyJYYvAM%=5h*DLd@xG{IX3Yb_f4BawtP`ZvKlwu8m=uJCR?
zU(hH=rVV}zFx`^BhZ5UDI)WbNnQd!^gN&j*YVwKgw5jV^Cwk+;*<tB-Ywg-ZTb6(f
zJkDhuI$udeNd*hMu-@zj`v=%N(<)y}ujWPCBY+G4H(;JS{0$D>m4xbXZDWh7>_s=r
zsN4s!+n-l1Xm-f?E<`}00~!Q*o>6wfl~2s3GfbkQd4HrCOuZu+I(iHiz;y5VxH>3%
zGLl7^DNf{wdjtLWSI}N5FaF5hOQGqYbQa(3Mlh_waIY;Px({tFQ(dYRqw-{KHk$Ot
z(#qVC@CAvaXle5H7?1?Irrk}XbMeeUyv6rkeeciD#bYmD&0`oc1jnvpW@gDAsX>el
zi*?_d-5$!DS_Wo3;uPP-KoOG9$K-QOMMM0KZireA8_Kv1@B@>&?TSMz`pcBQ)Itt@
zb@(;TEkuNV1(?1?cOK~uonU}~ziIVi#?%bI<0hzf2i8>mOhy6O;o)`lnO>gFF<`i+
z;&BmLy^C?}V3&|upR)+ryezsxq`uVhKbAQkW_d()Pg=1PFQrsKS}w$QSv^w4{%=rE
z<{wZUCI_|K2p(!TK-gEUttAoPgzOov@_@LvdyY~->Mf!)1%Sh7o1Q2xquUCl#7r~<
ze$0Z*NP+4<0y5VYpmD=^zCbaDmA=;#uX(AsSdgqlc5XC3B15ZUbeL=`=pOZ?30Fp|
zdb`~gwDI5cJ79&$d<!5zEz6vZ?#(5@Za<;Uq$KR<l~{-Ws?a4YG3BjGn;R0d9PmPI
z=4z)fM6b|Diyva85nW)7?Z%^tAG)*H<i(QmP(BNAK%M&a=uxURX|34X6J84B`&Gqz
zjmT0_ZMujwWxNO{rVc+dY!Lv53LcF1PJP8Y%_YU4uk8e%J4K4h3NmqsKf|N8VlpHV
zb08$cqt#XQfn&f2@-7iLu_<u6w@acFBJiU4@mt#=p3h>a#4z|-x}+oChl!NFkE7qa
zx6k1ypCxG|rAoKwCKeLp3Op*_K^Wf~ja*m8)K^-PC?120y2;N9bhZ%isKd!bkc0Ah
zg&NNDOkiVBM-p`6j@`Dkqou6Kpw+E>6gC>L%kO6skV$9G>dn8qi|7)P1W8Ezh1w1_
zU71|%!b2{qIO)LRk<!D*NHWPB*EA7r{YbU<57$!^u&w^Uuvyd%+dB<rOTkf_sL$+g
z0$s|d^u5oI?M$_TAcMuoQRH+kAg3I*eQb?W7<{lx3U`ep55!20x~3uHav+=wuF7sg
zEjB;sD+qhZ3k=V=Wa+i5D5O#~$1x!@{-*M-o{xkq?r}0GUP>v{EhUEK&b#cdu{##R
zavE#7k;e)IABh+Bvh&+Mz#hIeF(tV6ZMHU?ubs@BOCK0&jgke`$FqShM(-(hwzKio
z9c-x^B9>qPFzl<h)){8hbc?A4XCge<KDaA*R&BsS{#D94I-Vg}0#Vx{-GurO%<Fm$
zaE_nkmcV2pKH&o`EwugXN%6%Z4CRNjp?o^73#Dc<b|z^2BStb+PpTrFh~BwFeV!m`
z`Uh1;%xs2QmUgc1%BiI;Hy4(FRut{}Hl7~VaBz#j#8N}8nze`?&5c!bw#wBHx6IH7
z3wPb&>nM;uWoX1D?1&q9PK9x(rrt?(hO+1)5L~UfFB)74^2K(~T~S#kJ{7G=*~WTD
zn=_|597WFRVo7EohA-T3_}B$+qp7ccEn1i1dIQ#`CesaYHU=&%l^bPZT^m~b4RBZ8
z#EFxNWe-_wRBvPEl9(ar!7g4Q$AC}TtX>{ftW~9FQhs?&7!n?xm?}5zPtVtmQ}cOg
z%Wb|{J|WEoiYUo2*ka|1{0%W()vUx6TAxv=Nk-kD#ER&mSj^YnK3aLcgTRqNp5_AM
z0b^_2kYpTM1*d#K3@M_BVP@;o88fz%Z&mn7ClIhi32snCw(OhZ&UJSYKY8s_`=$0F
zk#&ZxWN%6lLkXKeLjyD#?T<BmwmO!(CY_VMS7tx4MIZmq(XTGN(MSK`vM57xrlVZS
zZX}D^iITAfAWRhIU9|0gz6Qt^yJ@|6Q$|~Bc0%P)&rAQ95Wh>A&cH6VS8I*}mB#r{
z`*A1m1SuVHWt-JMM9RXZ?<=*lWVi8)P~j9zUE!%eQQe!xA|c@~JSud>Sm+<dfDYB_
z$GL*iFE!xtP~A(QW%0T%=utLTqApe64*e8ZyOr(OK!kgL%x{<{<^IDC!tbo8@G-fN
zAgTaAFUEIxh_QUghGPc#p8vQKqibod*((@tze9`d%m@6eE|bPYK(0x;@U2VXYAO@7
z8L>=r+V(yKyt9z-I-3tFX{@(?I5E@XFqgwnZBY(A4GtTwvBY@+YE-n*nO^<oA5-V#
z({lX2b>(vmzO2u{Y)KMd|1r?^zTYSEW1z)EDSR~Y(G&&dD<+CPA0r7^v@@y8t6M3c
z((gz#vHHcDl?zk<NtAthPrDYMe`#wiC6;_ANI;)dHbSyF`Y>uIHE3miioeI#t<svS
zyB<Hwqo5t}V0wEXW})ZnZ)QUw+Vf#OZt?61hvGLhs$ix2i+c#Dset<QQCd!TKr8~6
zJt<^=*&<uy6ocnu_*LK*uCJ$gW4L3)JV-G^8^os!up|nQ3T`(a2M}H-Efc`qef(fA
zSTbSk_4K*(ge|oFhd>wi*JU(4l>0X?2F>#AE7mzW0f0CID{3o-Q+AAT_9*0kn5v#a
z=OiO2jq>B8(WS5cjIOZFJa)dgZ@;oU=iQ>>Wp*(!`apLG#9ijJ_0*NnFH3;Zxka07
zL9HzR08KJL%F!gb$+1Do%I2R~VP6RMPD<+^cVMux-|Oway&n9r%=gONL#k1|2v~Gd
zILA})s4HJNB2UN~k_8LId5r*MR*DLZ0Xi(@QPCl*?XQzz81C!w5muRrk8b*=)L|gN
zPE*R`F?3OxJL>-n4OVz-4n$zF->kir^}Af#9(5&^lFz4BP@^#*y^?9Ah(8}oao#Gy
zaZi3(iiAO=k_<~p>HdU0Y_P5ntGVMf<G1u^K#kJ8paD76BW#sSlidWEL+C@G{Y205
z@|Z#0qR@C7jHLAY)}FWZ{Pi{|nmEU7JwXEW@MYUUW%f(1vpmcmLOpHPA-N=NnKWEv
zpE71b9<cLH!%7hJUT}~vxDYnDHeTM=Q$NslW(?z)nZv5j@qBL~aY8*SEx*f(dFx#c
zn5IWJ#ipQ$<Z_sssccd?Oa=f!9g5*o<;O{uZaKt1=cGKaocJZN*qHlMP8MiuH_1{z
zQ<2EW4)ci18gLR-rlu@+1ro~^ol^m3Kn{Vm6}OB;$qdqk1L;`6A8<1mi=aWP^lnd(
zCKZ)|b3Ypt88@g&a(PHxM{?B0O$IV;w$<fQJ^>Oah9;gs7pJk4+0_Ouv}>l8R+Gh%
zngF)Zx9|cV+(aC$EE;`qZS<$&89!x5Gr5WFAA>{vK{~BIA;$O#lq(1B59!POt<_Mm
zdRDbI8boC1;4i=W^<geaCp%)sPV5-fnBu0jF}MemYkW-jP=a%?7UA^e9@P4v&E@f!
z>D7?GCqjK99x<!x4W)Mn-@Go)K&vv6&}$OSBI@YmpNiY=UtPA3N8vGd<Wn>tuRv;B
zH8^!bq>5QgyG)8V`qou&V1r<I=4qMxi7i*5BGQ}Yyp3Es=^q;4Yz>OM7UhHMI6+^u
zH-cA$MOWn(#-+`kL#O_QkzYMFGH*JUV+7K_EPyvCcXqV=Wb)K>;o@Qf-<6Gaxpd*W
zKB5Y~CNyLcEPq+niLcpl;~sTaY9QUhPmh$};p3Yu@-E^64?%z6u3R0rjEoJ{$E8&d
zFJ8`*<a7~~7)~8-2+jI>wbB26(welNFCxxdPd6|KJaAN1<p!6zyU|QXvuQ#9#Wa|e
zg!Rev`6oo^_mgZh;ds9K7GBG~^*|_Bolz{wzw*m@!xlFb?S2e_SUSPQl%en|v{MJM
zmKRx~CJK~a<jMjsqw~uJC8E_?sOHxgQp|L3<0k0AkW%E2H(l=Z4~@ASVKrcWhI)zS
zZ`DL$&8*r~vu-=ht$++V<_sLiC62J7^T}A2)v&S(!O>{RoR5Qh{r9dsCn+^L^(?+;
zloo|0rkmVC-`8)okDb{)QzbGO*BY*;N$f*Xi@E%+JOb5vzFHA~xCW1Hs+3A#_IB(~
zX&~RV^Xa^!CjF+$P5DiV3tyxJ%2Vj4J|Dj;7*CQ<YW>;q&j2@$dz7*Jcm-><Gd*JA
zH$X3+yQxgG)Jcoh@GUHr!jjcPcG`sAoM-tEQc&A?n9ay0M0e(GlU<j36w6>W=pJen
zc-as9gegC?GF!$@)hDj^+AMq@^~iM@L@X<qS%ixv?cbVAjCClA@M`00kJYBrX9%}S
z;u|!n;HZsjRp6lu-~fBpC8(i>g4ZrXI!ra-L<Qshludeg<ku%LUv&QdW8kZ5((~Db
zIIP)~cd;NW@=Hrnd@Z&(2Wfa}skw~~;Sj+?zHBa#5h9hhy()?El-R5kz(y}t32e2L
zPmN!$KvMX}JB;4>+GGJ?(02MRXq5r>m`HWDvBWk(r6Ykzv88#KR$jgYvVDI9D2yb^
zkTQ!0jW&-QFJ`zG(zsQZ7f{sRvTD$9A^GdKE6!C&e)o-J<Zex(=y2-4T@08qjkGE#
z1!a0P`NxNv{UHf+>)tg{s7ji#-*|Fi*HVPRR&T;KGB-Xpw0B>)tLCBz<RW9u6!tm$
z4TEZWk#M0j|A^A79%4^9Lmvo<^3+>lGBH>$CceQEX*A87R?KE*x`n2kdq7;aJ?7|R
z(Y<0KVs<sVkeh#xh?n2(&Rbg&Vo5O|C6kQRwO{mS0bGhDB=qL1G?=hZAT5<lxUZ95
z3u6dNoX`DyeqiN0bZ?NH9uG`HNnnUy(OBHmTCo3!&@uwDB&*n6u;J0S(1VbK1U2AT
z-MY<}*SV>)v~VUd<>7!p&fFvv^xp;KAokPb6gI$RDqnJo<qel<XN9k@1Cs~?hFTE+
zF(&73Fbmn?uc2E$09TEr0r(5yR<ZpreAR|zI?54_Y?Q*ivdB?JKqf6;5TWk^P593>
z^P92u`COaH@|?9LZ5yjM;77(Gr*xH(L+jN-8dp)dg)qlMM6d!4XfB{HEW1&-kImK!
zTzGSZ(ljcgIp1C|(w;<e8YT)g#7yz;HUHA=XdylK;Dr=6JQ2$+{evzO?D~DwD6RJO
zUPGjh*tvV!ChPCp3;0Q`G;7KsEyFB;Mxe{2e2HMZPMPbGDnIU)%hN)uq>IBq4H!)w
zxrb+LZ8g39nvvuoaM3RjM2EaPMFKDj^-$V&Zo@R_BK)_k1ExS>BE&#eYPa+<Qxz%u
zzJ;>+KFzP|Grcv-OS)_h4$g0mk}_*WfQ8;h4+FS(0?;;1k#~c8&l~tJ*S-ZvNLc$-
zF6(R{vHE6}MMVUMKU05|Fq37IaG4|9JMu6M5rYvQePps|uCa1APcrOs=EcsUi4YXr
z!3H})(gn*mwx9JXc)yrK6!mE~Lh{HQ)bIqCFAoQ6PVCtN2q`z#O7vAplODC0^R4a0
z{Tm6mXwI{_r3+~mIpUZbOsfJEc|44?8A95LVu>sA<<Q^F2(b`cv?$vywD{2MhKln>
znCX4z)VR&~jyM}MK;q^A4?8F0Aeym8I>Yft7v3N>rYlQ(3TT{wMhfiN#3k3{F-D4U
z9|8pVNsr`!nAI3(^t4I(0x^4iEH0|a*D>c<5a@?K%^|q<EBsc&@n#2sUm<J@(Zk$y
zT-KH#_2Rf}0cqxQwhN=sQ<0xJN*42n?TPMMA;^U@2WaRlFVCnoy7z11uK}rr1P|en
zC2?G63vCWcS-so(q|YZZg#trpw;AS!t)wlX6&w6gEHPAVId~#f_8S&|h9~LfL7)yH
zDVm5%G3t(m;Ujtuz1~>5n;S3{xsuM2orjSa<8neID;i*pBFho3lTYyfAHU+5RvXBH
z0yW8DSGmGD`6=_8auZ6s&Lb-Dw0ynL@}1$LZ_$)Xi#X|PHr|dfMbytgwYz*O5nMQc
zz@;NG%iipTrR}Z>((2I<we#n;HNVGznATQ3Nu#d=jrwn|&a>E80cfH_8?%xS$K4dd
zG?!jN{7uA=Ccr>Htt-D;wTc#v_T#88Cgb)P?yrm*dr@?yPQ?P|X9L0d`*1Y)@l;t8
z|4BQrl7nAUF(6hoDYZUpl4asNtB7nQdPJS(qHhTn^rNPze<3#d*t8p_+^w(iot{mu
zo6WLixge%sMPc0~lntpmVP^X2wNzP$f}awwzDy*(v#aA!dLRY`o!GODBLjn$>T3ON
z<0`;ox*Q<PS!c?Kw?0xdang&R7f>*wVat`fp-LwdL%iS`ZFejFtFsrP$%A-47YN(n
zkRd4Uo4J<9!^e{~<B4&*nzNu+Q&QkiG`Tyr9P(Nh85gRx5-!?^J=QtT5;_?x>O6H~
zcKN@qTP+GMax6aYBYg%Hh#mt^C-P&2DHv`6OS=phLE1x0<MlbXx07wZQ_#`2%Z?6j
zl;0&$2Qv0Jk5KdX`52g)%G!HX=C6IXKrWKrz(sTF-!vq4_nI=j_u5Tffw%!lpSHzt
zfC;*<G}WuwCKg7t6U`a9Ug~eQ5mCi;n2}0i`|jd`F7_4-v64@&RJAP(f~a|OKSGi9
z10tso>11R;TsTRQ%n7;W53wA;8F=f9{-*)n?D@nn?B}B>F!zggb_Y1UW~u8JRH`Og
z`?`J#<maSDO3bQ8fF=!YjpxQCvxG5QP#49(V$(Qw&~S16cSD~VDOD`1-pTucOKIfS
z{n~5iffj!rnjF8-E1zdCL6SS*o<$cPjasT7ik9f$f86sAVTZVAVtdv>7_hjWJUo#B
z$R$oK2{U-$l#!C%!CdFPt&p%$x#Rz{Cp!sZW;Crsv%p*)`NU;HD#KM?P!*rL+}fzY
z0bDVz14*sEN%2os|J<;;jf<4Z`lwrm#wC;I$(d{TKzU^96ED%hFx4H$od13dO}pMR
z_3$Y0n7Cw+ycMQ;zPv%GtVn=u0CMW4(J=rw0-Kq~h>-#Sk?FzYc(_^$5FW2V$IE=P
zq(+8~jMaE{9qQ{HW^EWXUx2GIlZ<V|arMY@eaBn}E_b^#3d^G`1vcQm#EVWu*m-<$
zk9QzZ<I+xqNM6p3$0QfQXsTMw@jzX2eLL*GQwIR7Jm;CZzbVmvq0iBJY&>1H%%bit
zHrJBsA2#~0p1d(BnfPPAsIARtiVrtc05uQ<1R6!#@Qsb^FtpSij2CH(8<TCDK$%FU
zr~9Z_$XyAA*Py0MMVL!)le9L~jQohu_)_6S&84|rq>x<^Y(Zj*LGdxaG~^x<LWCC)
zpj^=Q2+_f_!CSQd0yBD_9gNPD{N?Nxqr01Tp5w69?nK$tl>}hk#(mRu|0jFIj<J!u
z5=6>idYgDY_%(K>8MLzpj65ogw68pYZ;?rfm`+cok38Y(Xjvnu+S5WJ-sq#NSY0s1
zJ;FFF)T<JqzBp<Hs2XZI!8dd3%bz`b467YEGjV0ig4W?p>98&6qU=e=V0tr0lGBfJ
z*dM$;Ckqq1Y$m3tZcDiEwk66@9N8ixa23IR{1eC)i}6KMHVxWeDg5VLeHkZD#5!+6
z{Z=>oac0U-$2addGpi0(;%Ld>XGVR6dyNSgx$mY(=4mye@*SedSSLxl1xba`A|i_W
z!nt2E7oTpL4gA0TRyd82woOWO3_Oua3}Se-pWi5XX4Eu?YLPqGC)Kcq_7K-*Lz#_=
zn>FE7g9QH(d!`)_c4Y@0Oi(`ny9!~JB9o^T<r6xG_M{~CSY%Xk=b*M>lJ6^(00Y&_
z4q+L}Fhyy}Bk<-{bI)m}FM3QP-5tLi{Y_};j3_X(|D~KAl}ZFDh4!{17+PT~Bd;Mx
zK1hjEZTA~fA4jAcJ%{kY#(a<Qvq6FAiah$??I8dg%X|sM1}XDzvQ4*}!o@|XG6kpq
z8mI2QOOY7!jrxsZgjKkrP{)lkcK`G0=oFw0I?60`jn(A_(f<b&H^ruQLs!(!d@BL%
z`d9eD5SE@0tPn-8{yFL>hNbt(uC@wsw8s#n1sq^p1tO8=E>G8DB%N8O_kkxLR8l4_
zG<{}}R5=?}@Fxr=mZ~j_xYcQqRsuNZe*tR8k{5aL{pF1-J;Qnq_L(zYlkdWa*?OzW
z2MF12$l`g6z6;_>hJ*I;RI}B}KvW0_WA}$rwYA&Hr7yLny2sr#ioMYM$Wy;w1s<5U
zsF-`rd+RM2c%9aBA1Tp9flejN^Ehh1F`Um6a|(ta_N-Mo*dyATdQ?|*rpE8(ZRreX
ziR*_~NuDBh-4%`|_WrR|ur{C)29sAouM!JMPq1k+nndx$vPNdm8hqZKSOH-1>DtjC
zx#(iYJzqpfCBKkP{~)AvgelGU(xr6`yE6x?K-W~~D_DDKwC$K88Z=c!2a$RVd*0+G
zagV137sl#PqYNe<F%OedVh0QqXNbwjiO+W!cD}GZJ9qwUGE$P77&Ru#RKa?`nsAxn
zLT^qVY!hp8g~Ac`#2k|3OT`O@h}`14;2^%6@**=QYtY91y?fh91yHf5ZfHcDUV2<B
z9W(pMug<}a3rpLOT47;Z1J=RYTM`P@J0zfR6on4B5)NwGXBHVgDj^fDqaOlCwC5GI
z*qb&@*y;P-WUUe%PtqSVccL8W*0lbJB=deOI+7F^4^F7Vx@qT9IB@fkZbUTDXrfX^
z?18POt3N-^eu&x<XoE!}`US3JkqbH5x{;=2P!mrV^HI=aGH9Q*X7GHc91_P?{jWZz
zB$@gVc>q;Fs=sgl9{~Z+yjhQ~Zt@7{`Om`Wd5|laL?B&HHvvM{@V~P{mcegslw>(F
zM2=W(KrrvOYd_>WYmNY-u;0|=iM}jBup;R&;N(uN5Re{!KQq7#v91}DVda>7E1Y9{
z$a&D@X5D@yi|fpo@*b44Ow?hsxZ6$dJh5u3N~motYvn#qW33<$vRn3z({`yMWx0c)
zEC^iO)2!uK%{+w)v}L5DTI|jAa0ND9yBh`NC4ot8L*y5$%j-)?q@Jx-N=vU=NYofp
zwAP<REjcxMFD#Wi37!8dkq6}n4|U@Cjd(NmEs~$PkiH6={Dv5d%qAJ=EJOr=2L1eX
z@Nh|~apH6al(V$+R@PD{j23fl1R-rrA5L2v4}_nqt&|%!Bp_g52Jx=&8;6s=tO@J=
z8G2LadNN7=37c~6qnJB{Nc(vyy}@=v`tB1mx*?#osKm>MjV@Q9Y-2&b`GKm<W`06N
zwkP;<AO8Sh{<E>_WPJg}K3^$;DFAK%X{EJHJ6b$r(*gr2>DjZzV06!G_kluM%PvE(
zM#8R!&=3$xB-l3Qd|k`C10T_2T4o^TLGhL7W<NAuqsTuq5I*t_sZGHWqhxhMnvl3g
z1y}Rr<*u|r@6p+LWqSb#+d;8<k-7`fR1ka~rI9dhsAT|ai@h(M2yaH?zKt_lQ-*5u
zKti|fYZNE??Y2qgVAr8URoNW9w0gxod<VB~)d9)9Lus6Fl<Ps8Dgdu`^7iXvKkgSq
z@I0iKV~h@AFJwWLI)Fvt<NU!vz$SL;^osXb{M5!!AuXNRt%$$)F)X*o>~~eH<bV(0
zY8F8Cqob@XV<9*{Da$)?5P&#_mi%iaS6Vf<c|Ql9wOIq@dRAKe5i}Ah{uz7QU;ila
z-%;N>0^OTt+lPo@srJ{t^`DFR-IqQwRyBbL97xg?>7Z3P(x8T{V_HS(gwR60&rS8D
zP<&UQIIwe?5M9)mV|`18(MdIQOovCRDF=Z6UM{btW_0$_AAe9Vv{|G%Y9PSC<}Dh%
z$*KbP1#CfX^RP!1oY9DmW`(fdfy{0gkV5KhKe{vt0UQ`ipzfAH0J9w&cD-YY7}t$+
z=kmtvYcU>EbG9we=R8KIC{|ZlDS;DDZT7h~N5kfUvco<S`rs07sKm_;E!wz3)0O~l
zf2qr&cM6&$lHKR@P$*fejcL^47I1XOuGZJKgE}H@L*NB$K=Ab#tLT0&_5r>0TkU^w
zHTNIaCjZrdLMZ$Y)<sLRDOHTLMv*u^PZWU^0<*jh#+A@A{Mo?p+V*EL|1|Ka7)E7&
z^nIR>>iuiD#kjK8`##iIN@p8lX~?O<PaW8PK2fi|GayhKxJ0s{hWv@ZwV=lZ;_Lwo
z)9<CjmgBd?L|T3_^iq=`Hr|?aH~Br)cFfTf=d3t$Kh3t7#7{T~1I<P*c-Ggmj9|l2
zJLrH#?xKwsHEw;8Cci)^7hqBx3FEYXmEWG@r&-EVh1pG@fV%vg_%~Y$QvMcw6-G1_
z`I0TjH$L3$My&`C?3)9a?l@GH>~~NRL)afc9dDEx#^GU<qo%Vl5RVW8!DKRV<^cZ?
zn<fz5TsX3;Y#HCj3KYPEhcb_Y>njE>CBPg`?>rBWsN(f2oFB8{-H_Ec&9zKOJyca!
z{18LJ2q-6wL#+<={VLgzMM(e<W0^*0XK&+a+(Ry>CAelo-VQZZpNNCx=fnb%sG{x~
z=)-90=vRk^z<3$nD83?;LTHYrYC1K{4-W*x1Naj2YQ~S0hXNZ0V~yb(5mQrX(vdpR
z;3kM{EYrgUZX$)%!L^AMYD>cDgjd)wq^#VHW-mJk6>}hym83LzjkI={f4u3%B*DmY
zK*})*6CzVAf#Fe^tJ%}_>U^*r(YxzS1odQ@t4vKEhVTGJ!}d2~rDiQmcB6OM8iVc~
zS)#_WNqoy^5O$b4&pz4ue;(_~<`iT!uB!hQv5H(P;{#!p$O)~0uaQS5=IJdM*-+=W
z0edEe_{->S6d_OC;qseFWDSJNFdQty8;#$rh@&T?x&F}-;tOl(au((c>~z#9A6sP1
zp(vdIaq>qMD>iT7wtdG*`3Px?+U^$>FZinLNG3+yTsZMCltgfq80Rn3JIxYhMtQ0-
zdioZf?r~Q^yuR~6za5=HT4qZu1&fQfn8Vl`nt$TYO!9pVi*W_MMVRJ%o{f_(urI+b
zL(2FsvbWk<)U@s4wXBpIgF`FaoO+N_a70QA>pb~A2HDD^rM!Ck^XP3amhIE_0xW*(
zY+Ptwnqj_l-JCzCV$^7Rx(d;l$p1hrnpvr>qQ{|k6u2CR<T07u_eHqGT#aCLKm-k2
z#2i~4ih|@hahiz%dPbsmxkHe4@E+weFkv`uz7pQEphA6L2sTWXWxmI%HLwPl3mc1#
z+YinOM~#^|Qg+OKNx5tn&~H($trTy$4vawTdfqQmu+lb5Qug3pWeTtUH4D95cH1{A
zuHwO%!knK{emf5MwLyex9Se+K3(F)m^p^*~tefQl?Xpajhf1{b{)5rWzTkF$NjPKl
zn+BAq&{O)6?=6;BbkN;6vzk7s-_W_GqltR*_u7IPZ?apLsR1#jk%&?JARx#lNiR$~
z959C!Xkrf6jz-6!IyY4B_HL>5;5Sck;O#*+-Ybrx3)C5<u57qyQw~k)i;@9klaDn0
z6Rc*&gV3f&W!~G_7UsP!aa-O&7=9)T^WWQ&z7h>|ML~nscIXTDc0lwuP6z#!exJ#y
zchO`5qH07*{W<V~Buak?Qfio(RUzkwCqE66sI07>5GoyleJpec31FvlU!Ui@%yV(~
zyTmU9jAD!!rl{ikSq0$#r$S~5&QYz`ZA;fXHZ^@j+#B5L)GvYD)2sR--C{XPfbWGl
z?-xUAhKL#Lbl`d8QoyOtg4*HPZ+stfxWS^1S8*0??aj!oVc<e6sOR0lYonD`(=+w_
zp9o5+1^%7~rQ}<UzMX|SmO-QhtvO(VL>psr)2d^QRSSe*IC-imS>TYVk{KPLR@*j;
zvbo=mPvZCB;uF|Yw`3hDdJt?2q;%p<8(k#~M75jMGF6{Ep|)_5#d|0%;68A~n85tA
zeLR0KWM0uQ{2(OpvI5<HpszSqya1!)3m>FVhla_`gI0i?>TR?cLN3oDT#M}ij=beR
zr9M8Tz1IZdE#@gucf02Qtrh?th@oJ0zy`t!hp^NL2oaN)K6r9)*4m+Yq~8vKlb>so
za`YtcC8E1Um4rAls-DtcO0xjco}R{$0x5Jd{bEqFv-B8`->x}7HUu63!Uu);H9LXH
z97b#Q^t0IJznxs*{`OU6#O($57?y20R5fpNJPbDp&)Agw9Ls;AP)a2nALON12Fje$
zPd`C85#!?u+(<5G)5ABPu#fGLCMjbK1XB++*Mc@G*os4i>x5=)WqXeB|H;UggevE`
zxy|yo=Sid)Q7<10iD0`;%VH@Bf;E_pZ8HqNS>vKR#`Qh9l(>qOhN-lNmPH9pA(E5T
zi{0w3LcL<7aa%!6?J4{VZn2O(&+KAe(LHZR(_nVi76?ro+e=W>q7lb{ofO5LRfH-2
z2l-bG<{g`Y*%oFkZ=Xx}&TtO&DI9-rBj4(i82aS42ssD`R!;2>@S#`joA_7via+<?
z2);jix+NrWTW_mB3JNO(Q+#Iy8cDxY&4|8niO`h?dbCzJ&Wbs0e6)cOEao@VlXgAQ
zo<0A^A1D_R5>yB^(6lc@k=r3NBn$sH$$+c;n6_rilP8JR0(z|U_T-)ZBT-~N%OJSI
z64~+?kEqHTcp@Jb;ovD7xh-LI+M-td$EPX&-CJQJrp4)t!^|?gSJSa=nHk+UpQo6x
zZp#>w*s1>@y81?`mtM)SmZILRrHY9eMUF?K{NEES#4aCze35n3JH#?%IR5Eh*lwF2
zj-DW~5O!0FH<5m!H?&}HFLVVjaQ!>P$2Lr03pCDU(q9Y?g}WprzhgOb3q_u+jtQdm
zFau$)K~kVv#4T7Eo{>q$mbl0kpz%GX#Du}nhz`8%Yy>#uvt*&vgs`d0nDK}avwyYA
zhN$a|+=zcuC}T~<c+XWI5Z^&uepv1jToE-CL<3S9xZXL3Zpz(F99c7u|4SI2;ZIoB
z9xqHFozcfv8g{Id1sL9)7BgAhTBrIt=M>`*1(mu!bwlCE7OeMdQS1}RqPAqaGn4h9
zlJ~E5Vg(AdRPwgGF-01S9#73n5wJ8!jYm;)M-Rg_^Ci9<e)LZ9N#K?r(p!9wsJW&d
z8n<g;=0)XkliyLRUNQ8ZN<zHeg4L}!2kLK)Cy#hdw9#aU&mC?Bo9sUC?Mwl4=)EOU
z(~_EP7}>xmO#rFF59PB+W(&d<!_Bt;L<gH|5H$<Qz<Y^1_D;`QRMc=uhJSomg$>S&
z)(l_awhpt)i>lFmUs*`oGQWy}c_7(~52ts88DE0nLgn;<%g4ua_tn|B0CDEjak*7b
zRRteXQ{PFjv%~OA_lZK_p0h<^7D;C2Zi#XkEiWaOE+-N!au(SGljV5vJPdZ9R4^TW
zlodH;E1hMB9k1=KE;?M}7W^kn7GG`&Mhe4N=+e>t`nRRT;?_)l09K0EVqcKwg9K`G
zwwc<Q7KHW;v`pJHO^HMB#sE<s*AFYPX4QPW;sia+s7Jd?Azb-h27o5DYF;GH;lzGK
z(Kfex%Nu1Od{FR};In%|YuVtGgko#oKtTneEnf2uxI@B8d)!PQb;YBRBq#B9oT-`Y
z6m#5zY^_397=^am(02W~=E;CyF>3!?0muyru!((~<QMCFFH|Eo7;HS{nj((#$-SDb
zCr0Rqk$iX6R0Jz4sMNGd))S}-a}<4SIi_^iDfaXO=jqw6dFq<*L$=3{|MmW1nzlr(
zxzW@5^C1{jhb3xpB7z9pGE#e#ggZXFW}j-^;yJARg3cxu3m@L}Xqz+0sEHhpZV|7x
zag*q`!B_iy5t!(wb5@sxwWvDohz-7fv@9bRHhnNDjXebBl`)GW6xK2ImC<c2o>iBH
zX(lb=Gvyj}Ran*6&FZq#4<NRcTk10y6SnE`(q#yQN+a|t{1Qarv^RZ3T|vqZ^eAaA
z39;MAf`xa`ifPTNe_PFr4DcE~Vflu{x#((<bAb3Vpf=nQ;%}i1_BoTjV7<?t5lqOv
z%uT(i|3+Fa+tiiZX^2!PH<zm=$BNi}DX0X;eDa@zQVe41xTVrfeAz}()BxW@R9V?H
zeCBUPkv}-{(loJflOf>vd4{SVf++Uk@S5ubFndz~Ub=zj_>9%YwhA<hZP`Pys?P`-
zhm^#ld4?SHA!P2&^yZ!4{O@Iqo_`QLI+_1Ik4&UGf=?^^sYT2QL&&eXRN|F>c_Y^{
zBmNRYP;JHTfR4^bFET>P(58FX{KDAVoS4}3MukRH+x_r`J{{{JMyZ|Cc@UYX^Od5V
z#1AUzFl)i!P*?cY-g-k>Lt|MJiC3r6NX5NpTxRqXhlVM-aRP<6N!BHH$xugxVvg61
zcvN*=9@CnMe7S7C>&A^QG8EGYbzR9H2tur`R))Myf?2PA=M<uDvN9T^6`KeX3wSur
zrhmKGKZ-EZ7LWFPri(y#Goi~UHhA*927SUJ*{vnkrO4?ZvsxxP{f%p6iy_$y*%yOf
zH{sELwS-#j`BnXx4z`IV&GBv$eq*fUlEol7;qtOepzX%*+D$;|MgNxYE!ngy)HPbr
za6BrSm!)Rk0ns^=g$?f}2&lpt$jNIG_@fsj5tG4o4ihNr6m`1hqNk;OJqhu7GP5QD
zlt1<@-)0-K+`|jsCQ36G+W2yvnfC~wY;~W*fa8CR;;?zg1tYbsy*lwJuMEt~08eOL
zXtq;qA3N}A`+OubZ)GsvPi%}$@<jDQb`434rRgNGIK|nKFzgn&)-F+rRcT>Y!`-dl
z#AVb1q0@+G#Mra#Jj(A&-K(ZG&+PxGRGkiCN1Sa6Wad=5JM_Y@!SAf<Z{kdzfoh#t
ziOtSa9~(F7+^`51{Wmu-H^0)#H0S1{_GkoiRE1F`FL$7kt0XiOM_2dWmv=L(FUC2F
zNkT78__(HJN382z`)1`A=4_y+XGqQN8P8(%S<*$>blzdi=uZLtJr0AY<9vf!9Annp
z+ZQe@#sIT`GI}#U!>K6ooK0b5FwZTC6jPdZrf<bpQTqwRBNFE3&-}1y7Z@*J&Y#@6
zD#)b6hrXLD8r>0(PFz7~A!3aB%&B^#>`cUwL!{p*6_bj@Lrr^Xm!-Mxn|xm%Pkq+s
z2pW7mKv%4gj4%rJH~uc#@iD~M@pI=`a-+ha7%z4=gA^U<tmuw&Pt#9j5)cY7>-*%Q
zZkXCMzJ3qJTc}t3cOv&K?6PA0d~oH>P<XR*g(b2@yM6YILh%C@y##WmH;os3q(XRp
z)HdSV<gWm(ZrQ=30rez;Lz^&RZm&X=Ad^=SkyufJFrvvmH+#(cV0a}ow<+Ogzc>t=
z+qy)=0EWKYa5-Ql(&#`Nd3ZQ9i%86{P8smLhCuFt#MR75h23Lqa)oY;7TY_j!@`2i
zGvW1>R0ZPvU`e-Z^79U+X|TAGYPHAA1kdhS?`sRx36lFWCGe9m@oH4b$4W+%H~*O!
znhE1NQW7a%pvxG))w5ey&KGc3-8WLYI{y#1MxtCbqHP+kMTt79ee+S=&I7GLqZPUz
zgRoXWHE;g2Q^}94591r0CTrAYf07ekqZ~xV$HABR8OJ<~PDLxM)mn%B_2Gp=F%~-!
zWfgE@4u-uH5CnRwKlKHhJZEYAUCG>$^}ZzWNuNe$f4D4?akR#Sr#+HkiZ8!=)OYJe
zl8|`*J_`-<u4*!ji|Y|`vkAQF_iDbJ{*C?m-tDcZeO*b_SL=Zgw(DG_&5txpGCJ#d
zLujb0ygDB{dRfiQMbdJcShNyIvDdtOf&@JcTvDAuV~1{VNm=gXO^81Kz3^qL9g5BG
z1l=wTGH&MwUd5Mui#|GFaUw=DG`7c5MsW+i17lhn{$1~PH8PfzL=-pj4y&7L-(0Qp
zghn+@xcrkFz7thBknED3G-VdR$UjGdTFH{W>u!qT>4U)}7SMu(F}VGIrY4CrS+N($
zdHB6*dH1hAv()_OL13{)fIMA;=Ky2@FqWTSU-Q&ZrrlZocru}Z1UpG)7u8vdG2(S*
zxQRq1fNYggNe+F^Bp736Pq7!4L2fQZc6YupNKOIUfn|ZZ`3Cm%uy|U6weAOH&$Gy>
z)VOGz@r+p@R2~j2GB2bOj`8cz7gcj5k}zZEp*=umh$&7v-gGWv&e()Y!q62JE6>H^
zy5$eXl75^zGz=C-w9%O>!|>5I>b+8|TuD|x+y`;&vO0(<e}-_zdAM5f<j^u*-z_3!
zm#Ob~ZT%vz`bwjnxl4fGkxc_WkC6nXDNhnU)l{ACBiX$iWc`WAGU*`oGq{-()6l5X
zZR?{Ba-(o7SkZW-7u}$bXDy||6R5?6ug`eU143ZBhNK2Tv}g&;ca?==+ukNUhegLE
z1nIttx#^U{Q1kQ`8SSm{7N@JKN19ho$sxS1m$<9<`hYvO)q@#AG1N0|ulOGoKv~kR
zH)gWBKu2G3fnqwdsa!vC$AFzjz9pS7ctS+7Dz4ZM;7uZehvoHReLUgZj8STXUvY@H
z*xOQkE}APd;>i666mF)y@44(=eVgzJwIR1Q@9UmQ*<3_MNichz9f}Qn0uTxpf&hBC
zb2S;MOnK|OBWfzp+OV*c{bisfgPve^0C=luf`mH6`p1rnMp1hxL!c#VQ?opg11|)U
zm}dtWME2j?0P4n(^-#WGqM(mQG*VPpoFT|@$mp-AsL@+1#cEx6#9rmva_k;?WZC9r
zaTS_-47734=yWnr;kPk$;j3*}N3XS(a%xDHQLHkA)Gh5_8SzjQcSZM#M^>8E0UpxL
zWZ=HhGCy&QpM25x$90W}H^BxK5>Y4h&8sh_H+n>a+F)ZhrKW;&LS&Mc!nF_mV(M6l
z4mIkk$ubGFOnFg1tylx!qb3}n0%ookhWYLujkS5KFp4k4Z6o=xh`YK%cAZa|hep<>
za#WmB<fkg=ic8N|^X2Q)Omy@P@zTs|EE#CuHm?lnnO$id5FHF9_7t8R;2oq02=$il
zQHx>lJB7U9gi?#9TBo8E@;w3XXLRFFGVDyP>Y_3$KUJ<+1@5$WBc(Npq}{Ty&hh5n
zRu?zVPA!7(Pxqb>JhWCqaS7=!ESPshAC%Q49%|Y#0u473=<)oKnqKZ**MlsQ|3V>r
z&6sWvT(vv1!m7?R=Boq$Y|N+AfLtKRJ6#g^EPpt%V}r4Pz%_h_q|+lQg4^%maM4ES
z8xh&gJx?QwozYXd4>foSMWaZg!}I{!|8)MCeSK#5&u(*y(N~yw<+F3fnYEzw7cXDD
zm*SLU3eT=WBFBY`{`RY@Tj%?M_H-3jn+eJJ#^&NJUm2+e=Wj2if+!Z1?rMl(L~s)N
z{RmS5HjIHMQ~~++eY3=n2%L-GR9ua1Mzk2rpzeGVKD4d=KaKi*V19w{#c{)N_U<ai
zdLv8^<S`!6jZA+zViYqH*y_50K2dD?G&1?KMDojaI#0J0kMln(X4hkq?3G2su~?{I
zU6RBIM(N?d@KKYF5KY%S!p<!}c>$?W;mrrahwfzOJZ^bc1hTIPz;$paiy_6v&XJb%
z65;=jG@(6;Xxhq}8+K;0=QN7!=!|E3^sTs=AZi0IJt>ET{(3?S6QMCV*TUk48Ay)k
zi`Ci4{SY<V-t%-&O{{u2FiSJ$y+2$c#6wg`?U_xaYSTnK#$C7z=areHn&{IsCT<JE
z+LaoDakn@tZLjS@?Ml2ld4(3W{}JjH2#4b2#UaS3RL`Y)dZL6K)(2NRx1cN)P)oDW
ziUnLJ*5WFviRoE<Tn)j)tX5|lscEccIVnu9A#em*%fX;IMWYT#uIhEdsY>?B4g;f(
zxJXB#Hz8C;B-2k`+22qCfeMcd7vu-_GYYi+)1iy0ng=(X1{ml4%?o{wUUkGFFG%e!
z--Y(8ltj42?vxc#N7G315tk8s58Yc6CJCg$RDI(r4OHinotfueo%r7)P_|E2O2GF$
z*eR?wL1mQ%f(Ft)_nC3%82gsc2_w?#JZ~`aM+Bp83N$a?IrH}`%pZg%LDpu#fND>R
z1Aw-F6u3L2K5t`R;bzT`;fs(YfIkgMa;%%*RGZpWKim-e(h4%E6bhXnJ>YDH^t6+~
z&Pn~AyKJxSUW%d9ZGTQi{@&(@E`Yu#t$*yE@5KC>d=5PxE=2rBd*wDE%U)`xN_-)f
zPoJ;uaHboKpiHcxOL6N*{RlLK4Jb4>SV4#{Jxdn$q;+~>lM42J7cOFLBP9=kqqz+I
zo58Z79+K576Kjj_9Hr8{4P7f$5W>Tw0)5XH$5t0J*jqu{*ATOr%^FxRb~y_pMePWB
zhRQgo{s@#R?5YRpgr7rvYFM8@8nJ?2i0jghd4m#)u44(U=6OLm2c*RGa*IQx^R4BB
zy#!Z$Cf)nh>G!RYe9qmq<O4?Wo03WKiZ$!`GcQ8Sf;!Sy^=3Qz+A5yeEghAWxxL2M
zp=l1t=yi2mTrT5mI8F=wz=ik`J=mSTuxK&Dbu>^;W#NNVGl1jV%WOCQPQ(yH!sGBX
znJ7GVXRDO`Xt*vnvrOq4O|eSUHCWkiR@$x7na?Uiqw7`87fm9YYC>2<^fL)6!u!h9
zZ3MfU++U6)?)*?^aJw5SbvTRlFJr)aXC$nqcWrc>jR-{|&QxTn`xkY|t&i*yu_o~E
zU2B%r6!)dyMWHnF<&p|a=UFk#5hfWoZ9PGNh|(PZ8OfEB<AgRbtZodyhgEiokk0`&
zB<-;XJ*r4}6bCVC_15!x!{n-ZrF550$9=cG_~F9(YM&SXvb(-)JYP{TuczdEJb)Xm
zHW&R%A_P6m`A^Vjqf9G%xMoR2TDmoASuyX9n>QRx>Yig}AYmn3GQOAX97B<W=16OP
zZLw)Z7tw`YhxHk`SLbhqQPTcaU^nKcAj^A;fOQCL|6KZE*+(bCVr~u#sg(+P48hKE
zge_}1`b-%H0H)%^NV>9g-^zFPxqwp9_9kswdhDUBiTlz(2PZ95!j<sIsw4+wG*Zxt
zp;uhgWu-B5DT4;K!pje9V2cIfTx1<0_}ra<Ym66{-*lMk!it5*{lR=t??6{=+qy%u
zqOF{%9gfaj3rd;e4z$EYa-p!0a;N51fD$u=bsGJ2YTjuH#6b-1S2eQyC|4<l3N~Ch
zZ~|lqUl2=TWr}Siaf@0`&IpW-3CqxVYd6saSS0LL36n5&@VBvC!UK&a3`~1Ep7a%V
z7GUhEOT~PsZpea#gG|E^zvFd!)8XP{DmbALeh5*z64o6OJ;8Gc<3a4N@~vpN{N-H2
zkxRVI#8B0Mex+3v+o<7#(<36W9#H_q7INW=sSk0SN-E`y2TI^%mnG<zSrxT!s;RV&
z7LCa&nRdP;6wA|a;tmtB;r2iC;;P^9VO;@(y=KYigX-7zewHVDLAaEhD>YbGS1i9s
zg*Gg%bOhxR;rRe9IG!8Vi@b5DH`m}YXAwrFErw}gX~)B9YAQsW2D*#VbU&#=8j^EX
zTA=(Q&%*3FN49lVg2dK^d{ZiZz!Jk#n@`)iTWO&)ujMv^?6^T_&-9@n_*B<GW6ZEj
zDb#0nGfDsuS7%&FW{v2M!i+g2=}A9wQ=Dt<0^C+W<63@2C@?7;okhx?A+wi|3@**(
zyIkPHGWByoLO(1gk4HFG`9K`Tr<&<}xQpUHt?@yK<c}3L!E)2`f-AAtud0SjO*x|d
zEs&3!4Y+e&tP-?Nri=vtnOr`+qT>-In_t$ST}%96%xOgjPuX8-=VpF1A@kJ#5->22
z;QtHmjisPTYC)H$nY7N|(LetVu*BP6_BeY=CLnE;lb}lPo*FqBS!*2;V9_mruGn?O
zq$&+|24qSmcV+ApZ|f>|Gqt+bGv7Yn=zZ@`WOnE8Q~`DVPrOUl%m-w}B=;ZM1@dAL
zR+i>W<dYKa7X2ebM)_c^ODZWX83DjOAl3|Xx?<VfNJ+7J4wH!r)WLXvDw`>un57$_
z0GFatz>1Y1dPttDQX`V7QWYM>5*yni<HSd_H6Ae-@iK5pV>e=|f;nn&SHqF_8?DE^
z_A@YI2oQRodj9-oIfZApDHPHH=|_xfAWTf~NSwrEYV(!`q2T+vn;JXN#0GdB7xE3{
zrv+h<Hm8<?kp9{fp-9_KZ|XHh!|RNZIaP?uZqJ-&<-KT*WcAcvRh`+w2KVXz0t><{
zQ-B}@@ziQ4!p9?&=XO#O^1pV>7}oHXrI2g8gj&K@sPO|0R?4LNj50;U(urVUWwJ(1
zQT>WV^>0C<OUt)^Mjrn@n|IXAfMgcr?^abqgh8M;teo9WjbL2IvU6lK)&S9$GX%6E
z1PopQR{Mp_f-R)G`S7ddz)6cI-q6VaN_lkJ!L%<EKXdM?n8<G=p@S6)BbAwbPXjdI
z{GCj?Y(6F%;(=I$cYGh1i6O|{Ws)p|Et67tQ(nYhlqk|R@J$B63N>*$?K%(%xh6ew
zy8C6^Tl}J*FgccE9FDtXo||!F>?BzfG(hB4gEblOXdo&Ub-ztgTd?)Yy>5L6hlb`B
zY$qU!mw~<LWAz*)rEFMFp^jNJc1Du+Y(#C+c;;Pw;0D;o2a>I@IaEKE>3A&j%cVKo
z)q7D}n3D`y2UAeubTktYLo=oMvL)a)pgbH{s7ZuH(5=h!ST=gasL5&r<&@yqalML?
z@)Zln2}}vGvev45$uwLjp}`Jws+3jXggh8M_qY9F-3$EyFZgZLiSAhQ>`WH?vn!YS
zC9UrhFzx2_dS!ml?o6#74(M@V$KfnmYIpO|1-zAjZTTLpztyZ-o=4|V4;7k#J?J<E
zWTCek;^|@D6Mppaj7M3t<$^}x^>>a=g0V>M*As9Wn%3!Udi0XRDrIwCT$A)Lr|^Zq
zmxs{iFtLQdZVt#k%nPrWh(`4gjp2nhW1fX5htyeNwiTl6Un}_;>1pNfl}Vk8=lS~3
z00q+i3v}eXK=rW_mbhFtI7z2~d9PT-a|JFd7obF8Z;t1xy?>_L^?V2~yx0)7X6_$E
zuae5UkUb{WXLwxIR~sCI%MpIDkA-|=3@L&IR-X5~zS1Wu_vX|2HY`W&(&J#uMJ;P}
zO2oqba4td5wBPi7PyEm_A=%b^-iF4fdB|gsj_s$0d%mxIrR<Ttkqqk?A@*YI(HzZ%
zSDB>SvQZvLGPp+!^+j(OYsBu3-R{8wf=_cT@y!B?jW32Ko}k`TOaA($;x|#;)Y_ji
z;(>#rdd+~&GF&;7UW_Ln2kFge082SiGMqQ2E6(r<Bcp7VD($|@P~6h`mOoY|{S#av
zgKo;I<Sjj$cgxlNc)O<w-x00p+R)_dMg2;9u-n$F1(lmYO~^m@9tb<N)N%5!<Vb%9
zIb1=X%EsW390*+ofWOUE?lv7MN910)J8S{lW{;2^>uixO&P`Jm%Xo>~Er!vC?$wjK
zXA{1f5mf$e3qM;**o{8)9E>S25aXcuc@kdHFvM|!DR=2ax4?_4>gphx0%L)bU5QK8
z52eIB=%$$tj-1dKiLgm+I!Kf!;}_lV+M&5aWA?h|DLvVA9Q9M29vz*fHq+~k%b^WI
z_J+Y-r7Jcb8Q0n5MHhkI^1WauS0W7mkzEXhRb`f%%s5O9w3KrrXB@^j85V~H!}rXm
zSO6O=4I4;}YXnZFcdwPVzfDqa+pF`f=h6@`wp8|t;Ff3m<TFqrHXu`g;ZsjhUv=z<
zg?B54XIfSgy|SgyITL;ifRL=I;qpWl`Ay#VBAM%5XM9GTe7f{zW0Rj6w0Su4cS8Rr
zVz71MU+<iWquOjMHCVwmGQd#ql?C0tHh-qOzG*BMpI7+*_B!%3iXf^<3BU57^*~`1
z{E}Tg_{caYZ?2<8f)O|t#zW!DW5K9VSi#U}kWs!h>wo6LBzf}}uS7zW3{KH0j8A^W
zt>a%j^i&w)1<$y9V{8+{k8jE*W<@OhyQJ_lANnyr;#^Pkl=f&@N8WW54Rk?m`-2nP
zB}`G2EWedF^CJFObT=_FRn;OlG$SZ4d+W=KJ@>SGV2#G*AV;cxJ^vD9BB?sO5jkLq
zc}pMe2JO-CEbz)Kc3FMIa&+nMmq-RPr2;0+A5oKhR@=a{+A9Qrz{a6ehed)SXib5V
zv>@aPY7)zohN}^}Z4SC+vxpkrN7?D*>dA`6i{)Xn8bYXZ4AG(|(P>&p;2q8dcgbC(
z{;{B*e=2XGQKqAmu3)=jQD`Pm8=kXJP^cZOdO%;_{c6cOOvi+4FOe0U<imLZKy4Yb
zSs?B&VQ%RR)ClJkxcD}Vs)`;e3jTvoWRe;}=j12i<sls9bGxlgPZ@6X9v)cgGpLw$
z=i!$}FZ!JBca$YX(i6&#)tbAcB!93ZVHA>I@%7=-+12ho;REB8vhnx`E0F&+Gbcd;
zVztF)gplWTUV+rx!YUvI`&Em9vl)6Co0gauBblo$wSSWUFG5A%GH|v@f?>z=m@0K<
z+NXb~tObO*I65=3MLiIPka)3rgjpgohv;-jD2@HC$^*<vidXLhVOfc40mHo3s(>qC
zDT8gj^#En}1L)uen?F`a7>E6^%1;r_R6zH_!*P<LwuXu(r4}i(>$S82F2LlPz!K+T
zb2)H@+tebv%IKbG--)gXXEBvjd-eJjHXFvb462N$<7s7Fq$q&%!u5+@SilMM^b4za
zyTfv*8f=kDM7R9jYQfc0fC3;#C3IA(xJ^X$7Cn|I8Gw9HU6*`|SmdUQ#}F+`&N_`s
znNkO#%su+#H|oDlCl%w=!B(vbOtZD%AIP#FDps^Q(K^52)yTPC7Onuj&us-~UeB&d
zB;IFyfn~Jo;%9N1RxEOz`b<IA|I!bfbe<b#1<JGAXqWMjFOZITA;e1$i%*4711Hdr
z@vo<{8}1|-AH66|8g25nv)!6@W5epu`QkA?u9@ob$jy4X0|$FDS4KX7O2PZ#i=!kx
zA8;&P8$MjA#&C&|9USAoo0!19ou8aqVw~u+_fq_e*6mw_;(EPT-j8=TGk)d?>+1hx
zMOZ7zc|?tlf&5|%PsQoQ9i+&a+$J$B;w-41x$R$mCOnv$8cxRVpbMhTlrQN3`;WNP
zG8yn?e*t<QbiK)yz00n>O%!2kNMku<_S^30ehB!bq;IiGzD=U>y7=65&(v$ZBfk1;
zs+-|talQW7*<Cwx65gL!IEnhGq=NE^N#SIIV@P?|xDoP<dL;%mvElP~*(NUFQwugY
zQG~^x+4px);r3I<ak8nebaYEvrL4|j>Z}xnJjJ!YHdz)yg}-&d3gq~=?K+UPV;Xp7
zRW(g)WWqID{finxrJN!^mhLnfRHGVKF#8wGD;pn$NqLDu7<jLn9mY|P5zA<K-|X*i
zfmkC(&(0H22{nRxoU7qsQ*U$-8K)CbD|4Lo4uxBR0{!Ayfan<`k6MgO=!AO&Xs;p>
z+2);ibS#cV3iQdip8-ym;?WJ?eav-b5zEbd$M0_bk;vk5@*7Wozrlu5A*;6dtG87T
z4t0HeWy*`I7mLA&kF_o*_9gx!cqcnX(DkXZ-O-?0YA~HOa}B*ilwgiOO$ECi(ht@#
z$|T~Z&?X)%&7polyKPW_RKBTTkL$`+{Kh)pL24*qe$U8v|Gj*1s^!V^4a6k_gM{2Z
zIs4I6GL3svclOhh76kTwltD26B%UR}Nve1i(o1<;cZy!94~GAmK9I=upldP$T|gB^
zpLbbBvNLTsO;>XC1ulNv5uG4P908d&k1!Z$c9X^WJ1yP}SH2Na<<=<JF!Ht@Z+D(d
z;fa&o&sst=V`Y2vRU;uad;xuB5d9zN?dUjOnAkZHIT<iyQbcaHT9u7RQUYWXJV}kB
z&t>vjU!yO`12~7}ohbHnMF~3&HoJ$(HE|)|sOetZIuI6;gJ{=Lj6doSmjBX|w~q(E
z{!Ox!RAxMMuuN~((pJG2xrn604Fn5clGm=EOU?9b`h)`{7eq$1EI5Mb2%P*1#U4B@
zrpM19jTBU;nYco3dehn2Hi*7-n|m^UA=rn<tr84ExP;^b!=tsH?|*tZu|Uz-aOT#_
zbGi&_3NyK%#s{+%Nn$l_IMSy;m@sHGci;9s9#(fJw88owsznExZmhD+6$*=Z2}G$^
zr!|vEM8=qk5we$T*Y_MIkwbe0{WU1@m(cK*7#@(Mkvt@y2*fdmS(r14l&+2-a}Hlq
zLn%b1(HOgFNr@h;h^E#_E;no@Wa=K}@x!3c4wogEcS)q@V|;~|G_xxYnm7I$6N3Bs
zq2vb(^~LMl$Wozk{a-`A)S0`ayVZ998i)aap>?+h4D6lr2p*DPh401YZm&p}aThrx
z0(I7(z=dWuIObPE^!nch7!<A-a*cb|O^o^RNGQ1J#?|x%5{mkb?_hj8wvwbD%%j<=
z3|%0&HSG|yYCKuVb*r&pr8kniBms1C@^p7rt)Dw-&<D9MmH7T;IhMh9f<?UvIDAtk
zIb9GAxMdQiz6E5b_7Uh!&K@u%;Fi=5h(Wl}VE1T%2RWLjhC{}aN7X>#IsXB|3#QE~
z>+zDQW)kA=U4s;gno%+hWLHesQ2fIcx!(3JT9Z8q_j+Sm{@o0^)gXmn%g-bAD_930
zpGEThkSBa)u-ozzmww=XQenWVA?q=hSNdVyj&~-!sW^eDDJ)H6RIF$3?vE4cCFsb7
zL?d&o`RwJ{cUq56Us}(q5Y7_$@CRThb*7pxWv9tagAN|#KkQxn5`($!9<0RP_7f>T
z^7&~$1mL;`YU7>4rEPAGPC5~pIwaBdFp;!(`LpVrkP&srfHVKkJb)J|PNV9hvM?uD
z=p3t3whL!i1POS11IDM8(!HKg2XKdABAUDbmz6Xm7=LUxB4=|Zh}Wl7d_+S{uL?2V
zbcyB&B8UYnN*_RCONR%fGUxT_x3~h&ujK1o<*5gI^Z)^TTx;2~c?2r{J}(Kie%>b}
zEf2h|LzM)~KDy%Fgvilp3*fGlzs9SG4OPVU`?&zP&FZlL>e%Y=2B}7F{}k8=l6Ng4
zyJh<Df1cVCI&F0QXwwiU#rH{?EwKuHfsHp4GSY9-B}Fm39%4x6ZHXPh_6`j>G%JJk
z<@(|1ujBVoBW8Np&b!_-oC076BwWw#{S>}*_^{ddjPQgH!Rzpwnv(zlw8r_*6gBoW
z({w1lI5w+Mp^_GSi;_)5<9$CK%DMj4`+XwNI%tnnyk8@iHJKo#(oxJF?Na{Yl#WT(
zsj*G&m9O*0dtawYWJr?uQj{WTn{l%bEX{G6L2r!4L==eNOA+q<f&$MBK&G!)4}+gY
zE#I!}p=Tb7u~JGGvfAvNLx#v3AEm)_HEQbF`jFT4cGJU;`LQ2UuF#WX+s-vOOb0F3
zCGSHuJ>4AWv*hu)5K}T(aqUm*9Q!QVLiE38HX>bODW5E+k<@r}+N^YtZcqohge93N
z{d{Uo9NA~O0PSs`ru?P`5{RO`xU-AFrXrK5yWvT+T9?|c=uvsz*ZB^Zx1{Kxchm_a
z&{_(W`>fhzv+>t$T{~TPixBuEyK4wl8_c#?4{2>bZat@FJX7(+(V79QmsN@hQnEsX
zU=^}Pwt{*=Adi37NyEoO8fMwkbLx!$?BKW5=H?0eM%h=|go4ZxHVWYEK=#*o5l)Ei
zJA0@r72k~nf$&K%qui>qJ)hXM_Pruv75B;RpUE!1sNTYMi1YOjAl)Y!c~NM#7${K~
zaK?9kD5FB3KxphA49gL{vKT{Jz8ZWlvLHQZ8L-I-)$?J@gHZ(KP_kyKZv$%O@=)^B
zW!gHjf%zzw3xJYuES+nmJUFKuObDS|^{&sBsdrY~840e(rS6ExMS`T0WS5vUPOW|C
zTe08}!Fg{Sqzp&UEGW#72Kkn$71v)Ru8X3+X50OG(duIE(HIlr;Jw`E1I=TLgD6*M
zJ|U`ohSD{KgSG1r<&Go;NhJ)<;BDeqiu=MdmjmDTRj14R)+D~*G*#Rysn(+)R@-pG
zh;E!z?qA(}1EIf!@ExN>jx3D*0lCqUdp;Pdl!w^T0oi8m#+{T$2QYUc_^MN_Q6bqH
z(yT@{=6y2p=4V9;FhbVE@q$w`HIADZ_Dm`vBhD2BqPKK|G#}zEr~D}v-ul$2f7lT(
zKVcb+^s5@8g45}$uB+^LGMHFqX!Yauln6!$Ai*hIe{>HtftX-BP24Eck>ExmT2`Q9
z7bHW9*giXxdnBSdRW;+5;47g`{une4?PslbdnHzUAFcU`nb(2V+8Jqc2O^YRDXjnU
zWPgjGLDe+SBYTPAGVzUnDcE{x(0M-G#Ns_d*x$^{ikl`8a2N6ex3>EwQF-+SFjkQl
zQ#Ft4|L~ONF1WWAkK!i_#R&}mif#~r`Mv#|z(?VrYmR@Kywa|+IoG7<Gdxr;^0wt|
zQyJM2-MLVba7nfBK)2-fJAysyIiEk-<<@nursNWomH_VO$dGi8fr!y(`aj@9(49|X
zPfvh#O~^r_Lq!;l&AF|JiIZ32!b?5H(D1N<BeIT8fgh)yV%TGzDufTn^rO)!2lGLa
zqb<TL##+R2hF!>QU2}EJ%c}_uzmvD0r5~7E-bjg%cvWArxoFBb%qi9SmU`QKq(uPB
zcpD<~90hj1`BChbZBnV_E`BHq_$(?t9FpXzK=>oKA|uL9c(a*_FR8xXsR~AVf-o@&
zpxd&_{XpR|N=Yf-OHZTNz8Ql{os$Y?diD^GK*kuli)0T0j{wN(fY_KFoULCjpILpv
zA1y>J7}GDe?%z%n<iF~|_6+pZH9DvaE(L<WOps&R!;)1Z{hQ_DXbmo6T&r;K^c+3g
z6|5esS`B617(>i^s%1!nZ!N%hcNwMAosI@6UD!6PSD3}{yAnOOB^~R<UB2$kSunTl
zQjNJFI<f@2u@EiMKXTlAFxzlxzP8G@CKNp_|2Avoz99yhbZGcss3D-9T56#Ka4pcW
znNmQlkqdDz-<~jKe-`%x&rpl3mR)Np&mudn9_%Nk!dKG)afERTKFQm4(Dtau+gU(&
z{2?Py&7M%jsCKM>IYDD?zN;0~;!pM*xw)}u@Qiv8B*9<Q(Phmcuk!I}l>6#c&^vU;
z{*-%{7d6_dsxi)=*ZyO^u*D~S-NDk9rLHOE>l0GfJ=+gyW@YjHXyC|fMvsaXq{qkN
zPmG~Xo@IlLDu4+OXbHZM??hHAput{3<9@p4#lOGRteD`A0nr9V1PzPY;4uA0;S_Lj
zhLA@)7C=NYfWuW6*7%ni70Ny2b$l*C5a8`F&H3^yC;zTLC5HtQPa~bc=IxDB?^y$!
zwAaf~*>9s}FnKNnz+VRDMFKZ*+A>EVxQU=z(!_f!|7#{+hJ+r}af?-kKOpWXxcws=
zf3x~LJa*u^m8?I<t+agTFF>!z?!W!ys(jE9wUokV8+K@bNZ*xbUF3ETCWF2ZUfGT2
zM5<0eMMa8XnY7O$enjiJ_xvp&$s`9ANp_*TKtV86E1b2LIv^uHkUQDQ(+T0EjoR30
z$xtZ{q{FVD&1AN^+2rAw7m<Yh2bt(IUedB5NtAXSB`MU_`^dLnXEsjo@hX8acXW3Z
zSsuT=yn-eEr=_>_gd#<_KXp_mWW8*$h?f6{Zuw}47*U*K7FM33S7Xp&n6n9T=SHc`
zmqc&;8lwmKa1u}X!b)dxWW*N(_~%=FrD?vSTg9+p`BkMuv#bl%Y&77RUi5RU)dvfp
zm7h@rYu6UD)AG(}(DH#D@iE?oW$8wB<zYdlNp(D8zdwZzM<gBtk?f+)Y_~&^JM?(>
z9kjf3EC89?TfwK^#`y$39e8h~aVD+e)Ew!Pz{6>|N>6z_{~Pgb!%o%Eb2l(e@xj_(
zPDhzA?1Nr%o}ul=tLLM_Kwve(e<pI`{@#qip_XSuir0>xtXUhu7Fr1(5<oVVZOcTx
zg(BJyd<o|%$w(86XUB*)TY3PCf*mhr4fpVS2t{=e5(UT_jMiBVYdw<9u&(E=V#lN=
zis|_DjFx@}i5kIJb2wFKvKaQ?g4FzLd>k7Kf81a=rmsoEd&(W7)|ol55mvSu-09K{
zKiZu7wI<Iw*Y;UjbbdgV<^z-7cwKrcGm@u)dI4Bcc{9BI#_YYSg+zd>R+my63Oll~
zX<2^h35hLZaE4M^X7gn*<3G7?OA)dcKxK1J#k6Nu<(#%Ith;ajdOaL56Ztv&yrQpC
z%lG7#{_C31{b>(r<`4K{YQ`UAU=Xn-L;=A}<NSWgrO$p`cYD$Jn&}_ZB{GEare(Ih
zGPYRx=ZU}fQsOv1Q-3W#sEN;ONfV>g!h}+z9fv2(IyY`k#f_Mvz!73ZRj{qsu}kK(
zvxPzs0qK#PglO)GHbM~k8Kpgl>1Bjj&@46>5Yp!j6o3og(w}z|$^Km%<Potm>T8&q
z+XJCJB|iR@iN-xo+KpaYfkIO^6?ZKBW-|CCiwl_OShm7=^Mdo}?iRWk>wVvLdEnHj
zQgvRK^r2Xb@duhe3bZ^uP4>A0am#QA1BgKmL=Uj}z4DPkxT-J(@YvGCFwi!0{g3p>
zQc@Mo$IccvtOyqFqqJBZK`x{A6V>7j4ceQ)QZyWF`fk+bu@I)c5q>p=92}8nDkr51
z+$oBDWLu9><#v=^*>N~sWOk9ALYIQMax_7ST3jd*T9I7tDRwd8$YYmWk%G)<-c|yd
zRte(8)$kk5!w!Eu=0~}P_D}5QJ80b5FLo60qh67*xuXS7^k63Es0zZpK!-bW@95e`
zeoFXO-V_9lMQS*5TTZbrD`^YRl0WN0XmtAR6rufkRepKTrb~j@TbQSf252*#kwjp1
zJdSeR#B0An2MoOf<%8327=P(3d5UG(8{>o<TJQ&vl&-_yMRMyAO~{xObx9%l1M<gV
zR%)9BEJ8SzcG7@%8@V0u0S7$mOALO5R!yE}O7891P!MMKie-#V4b_gLfXN!~_|egw
zr;pbKU%H8R2ULTE<FRD$4eA$BR6+j1))_b(zgh+qiE*24{rR0b{4L>f+u!wULL~L;
zk)D?BxpoBC_S+*=S^JXxW5Dda1dR<D{zpfDYyLgMX;slC1))RH&Sheq)bYBd2$a@h
zje!11x;^bd?M^uxi=HF0ol<%=a!bqi$bN*%0is}fCu?E^CL)}K>F2-w0#=$8nUkBu
z?-0iZEM{zZ$&RlmK-oJnLqC|h;{30o{bk-pFU(a~K#$=Cn)?Qts|b^?)LpeO^YOeP
zCv*ClyItip7<!1&hlhbhn@9y22fr)#cGxf14ynQ>;}C;0>uxLk(doMTQ7vu7va}P)
zJ|R;taS1p=e~QZ*&KL)H`wyB!dctUO-dt&A@+Vi3#uhigWG_~ars-%9)2g6`(qZ=&
zbH=Mx>kfK?7NM-_R%wxydUyC7X@fT4`Ob!hwKk1NXLm+XKt}R27T-3_Hrhq81bZ>v
z(t4czJ4kg|q!0=v_~BiDY4h0UFWZaksrSJOUe$n76Q)UnRGT{$Rj}87q%s3Ilx7R!
z@)1UHuvA1|!gDMJi3HBQb}`jzirs7E>``B2tXFVkN=&S%xl5W{X6z*jkKj=|2JiY{
zoGV!268i33bYx*Jy|qOFJfYEN#pYKXmE(6!YsTNJg?I+>LBvB0e+O6a7AaArIq9}6
zjYWw7bCjRg>|hhy-Mqs3TpK1%m+GS6oP8NODX~|_)-`j;d8WR!Cec0v%>xVTdrh<I
z_Q90aPJ^eukf(~GN@2?t5;X!mi+?1SMUKjB7e$lDIhZxlc5~yd(}g)7C#gOFSOR$E
z)-yE=pEjX{EiuQYJ}YrZ;dKe7%SF9NGx{^2Sh1x7T#O~^RdaiLI<=q9A2M-rZ8LR_
zxqnw~!X{B*6H~&x&aOY%5MuSP;)dFBZ4@%;+t$Kdb<`ZIF7074s@Cy{fZO`FJnHx@
zlr03d^@a{(wD`8T-cN5@<drBj%ASyek?bFv=)R&Y_GU^~dWGVxw|7DT@<YP3pkQbg
z)Sn!E_6+T4WZWwm0MG@!3j|$Ghthy$ExE$m?L?hBly(&3`W>|s(mFvsKvlU_AH2y1
zJXo)QFKpSlj-c<1`H))Ra@FV8h#8#kVjdb_aSpnWPSTw6_|Q9w*`j(k-Rf`cHWq}*
z8dH~S@jPCnQ$!(&y{U{imj)52CF@U_peP!2tTY&?xD7gZ10YLa#~$)8vTGlVYW|UD
zgXl>!w5uj)qZJWYO>x#g+;L(ml%?OV9P@9P>XD_h64)1}4pg^lf#cD#oX!vIs|vrI
za1_cQyMEbz;H-s&1@WHOjTqbY_I?w=v6|^pf*aByo-3l6U<WF;a)%&Q9!{+q!)qO&
z&oyF|UD)DlT&Yb^^?alTuVdl28Ip3XGLn!iNq3c0ghjWOzV~Oq*P>A0*m|AF*G>Dm
z;`+#dG|tYRp2Hg$nKi_nIGQAGoo3~<N=<yXSK+V^ajQ9huSAO;Q0O0k_XOqdgRQES
zOYk)MJE`eiaUP2RR`X$WxLAIkyMu~aWDs!jHf4Pb$Z+K|h2z&Sg?H{sQrBIsQH3;(
zM4a9AP_jc%rtR5Ar+afvG*%HJ0hZHf$TJuM)FoRUK^#GxA9v@+Ewed^QQm3ipPxpE
zcWL(_Db9UY7yx@nWVQ_Ry^=BryD8}U<b#hJe5mie$D52W4Jt5c6?0v=hE(;cGw;dH
zqA*rn9)>j2MQNq^I|{wYGfaulROhDD?}E#Vgr2F-ZdQEdKHT)?z?wbaruU@)J3z$0
z9dUD0h3A`{t=JFs67M(wa3ZqYl$mE^twLL##5;jyiF76kmTGitP>eG&-05JWONE^9
z?u7Ovm9ar8{+boe2{qgw51xlW<!f`gH(MtyCDO2u5}@eY9qVFQf$-Za3?k6!*OHh(
zWFrC4{(zpc{W3oRyqZ@zg5D}hj5sZ^4qL`MZiFhoC*;tW?oKWz#n@W8c|%9LKnMF%
z-B2uQWI?PQs8;-5^NdHtQ+YIS$;;`0@?tbT)Ac-SUBrfdf<o0kgM~$hQt=>|SuEoh
zX;MzTakGmM#T`!>B^}i7*|YL0=tkPY<Z8BK=C$LaOa5Uwuxl@7RXV6ZmJ{k~*)y)4
zacT^P-fw<|gid2td~LFAJE`|874J_zcJB$yZ7R;klNdA2!WxvnN*;q1Aq+xyykmth
zi_|)5pXLtOIYW`DI)ypo;^dsfwmeY5G;G3xq9Y%jIumZl2@W2t{oiEG0{?mAPLVSF
z;6XFSq{9(K<hHRVm<UlJQa|3Apk|(`Tyxd^XFw3l`yfr>FK8EAhsM4UenI4GhO0gP
zQSpw!`%xtVSVm6Ha^lG_a5K{%e87BbntPf|uPk!D{d<ltB??Ql<YuSGfi6Sqk`I`m
zo8C;1z19mPkljrJriFMp>+bOAoFCTXCdLTVPkB2J&9yjhI<$!t-K+|kW|879!63!Y
zDOvDTeV^F0;;8Gys}>6))6MF&MiCdo%aD9>^+@Zz1F;|df>+4Jb!YBVO>62GFE>+g
z?$50@CqUOCC6W~miNQ}W4~6^fZpb2l)>eXVr0$Uk78{8m#!T8@q?_cd$YC~QO(Zy4
zMNc8KE_MQW!7c;0q;dW{Dk?IHGP{LMbrjm8B%I*!oEv{kO0_i8jaq?My|MkIk~IrN
z!3~aOhihhz06xNGH8<iTdb%2gWGfRWm;->LHfB<=3<jKV{}=|>0bEk>>K&Ycf1C5j
zz$(M7P#)fIm_%RPv}r{WgGR>r#)t7TEma>EGDj*2Y^+qQ=UG{X7Qg^xLqG_x(-bJ8
z(cdRLclM96_2YzQB^`c2tiE-h#;-w<zXx?cAT=KtvOexcttI#m+;xHOA|`D~Bx7`h
zFr=y(Z>c#%0+HOSteQnwN!-vHi`_=ApU)FV$%`9W5r}r%R+AiS%O$5tr_O*~I4nG*
z-)k6!s)F1MugpV&%YjB*$d43Cuj7WtPB~>xC)fD;`TOCF(5BIO)dm&OSeNg}AoEVh
zxT#)Qdx?Xb<w<IMWHo*NNaJ#MFrEc&+Vvoa8I)P?WLFuu@Ywo8Vd#WUmLn<Ww3jzs
zn&5Nl*a_qK9uNxFqN2vgI5L%RQ$>bzJtFgEcq4eDiF`<JyTX%Vlf24T<4_^eAoq}f
zfVrM6-6gbSa(G7kU`>kqrm@VNpp$_nH;7#=Gvd@1NZnus0ZSlIu#nH?_6m$s0jxA@
zdIC>#B8XDE|I})0Eez9|^9U1PT4{|i^<nvdrFHU14E*o&*(ER#!+N^~cM&#Ver*tO
z{&lqly|`)nM|H)q2t5njH1?B&Dkg5Pb6tYxQ|eAv{r<5)qBN1fiqudX{xk5Fq@lAn
zyCb~K0OD1lUwT(Vx8g`(?_-Q#n$_Y54hwz_`qw(}AN7ADIInt#FIBe!Glq2<XxmpD
z77+El2EJTWj$Can7BOp)tlrsCcYmfo)?(mGHFYRnDd7afkFZ;F)-JkjKsBMa!;Qo0
zN@45X8Wjyr?GS=>_pDq61i505X4DIBQ`%M$kQoq91{ouiAF??{Ic^tDYq-!^m0^gM
zk1TH@i}B`~?jJoz`Th7?)m^U_{rzc9WnV@Y-VS@zrWX0GVO)8rz;jYbH^`BX3x$^J
zpl@;$R9RjdddS4z*tflfs!!t7wwctu{Zm@{FltkV`P!L<*bo>A?H=Ueh5+5JDgMz}
zdt(PKC9xe@@$Ll(H3(dCe(B0*6u9QX`Rk1cQOXXD@v=BI2g55gcUBeTK@Jf-G1__m
z-CO_@OG#`YxzfN!WdUl4-dpqQZ129-A=;0V)~AQcyOI%@{E5VX&h^v#I3i?n6pWQJ
z%7cA#wKi+bcmq0sVW<i8?dy5n+r**PRob7}84W%o9KX8X+*dxzqfhn5{dUZrxG1(;
zh;_c#Jy-76Z9ZYmV5+uJ@3evCOXXWr4A3&btK{`PyXm^3n8u=RmBP|q|EP;kBi{lz
zG?7lrT{N#BNCoH_wrz7R?&Gl6eIyeb>&`UGdq75Dl5BfpZ0Ke_{itdl!HrDTyLEw^
zE2|$X$w>$HmtHETW`TlW{kr@I0i1cp7Mvj71~Hw{7Si2H2FJ(!mK2wcZtR+Ci3yhH
zmB~YK<yYA~OEUeKN=0#+)g(ES<Q3Uct`_y8%0UPh%`Qqw{CC+-4Z69%FD>4m9wJcQ
zhB&(f)RKmKsfWIblp}5{*?f<bU;U+KwE}SMS=M{uU>&r6yR-EZTMHB!b%I*l;TDXx
zIfOf7OUpo6SxucTVSjp+8>%xV6_s+NobemA*U9#1UVKc0menfBeZpzj>pf^xazI07
zb(}%dY$Qsvi_)rTi|qdG$Y!&L%3sW^{geM1lTOVQ-S?OSQX)NJf`Q|wpDOgw;fFy_
zrj0WkCzD@E=~-@OT)H!dA1V;VVGipCnj^|%fK^hmy<q7v<s4`-v{IbZgew7~kQE*~
z-C@HJH9?b0j3NJMc8?5R<ZeG<Uaqz8EJ^SK^MEQgkj}rr((*~#QMj6JpYEywQGf3{
zn)rN=fH_Cj>DD`(+_lA+R3T1do=;NzZ8z>MznFdIS4q;SChs*`psqb^1j<G@r=onL
z3i3hu36VQ|D@US(Jk;4XEr3+Uo(tP-lgrnLJ<=8Y=i*tY-8;JVe;WV9y+YS*jkCAQ
zDem4szb&1sGa(~8a)LYw6oMaxK`k)b?6y{I7=_r(C)y{^(OR?40-LXXZXc}6eOsHu
zO%^v|Ju>8jFl$5eczg4}(Uq7!jBH?6$Shi#i3~lsvFOx6&0+#DHx*^ZG?9Y=YBYR0
zoQO8fR9yNCL8|)!4BkSA%2A93%616L*yQcM$5W3H<kR&1`Fb;EqcL4$_*}qm0c_y>
z#aswPZMP0!)XcR0Qg*#OJ?u}sM}jKHonhdFS48DeIdKI@cm2z*$&7n-E5)4t_B;<1
zj#v)_9GCc}1&ztD*~i1Tp^9CN_%F)ev+Cc`tmJcSsmXb-Q^dCrySUDTy;2gF85~F-
zEp#MoN;5apQ;rhn(^=scdT*<#?=o;`zcF?Wah1XUPTa99^0%vU+gJS&t@4z)ibL)m
z_U3NSv$n{1X=A-TQ5z=Nw!#65BQ9rQx!e10#@8J@`o7sG%Ofmc4^SHyBl(LKepE4q
z#}7>|HkDC24^Ox}@7AWpL)w$0-<g`|Y-hx8G?8vJ*G$eDAoG7xMDV)U>&YDNc%I`8
zmXOOYBgU!<n{ZVyAZR{hyphL#gWifR+n(9NgP5eoP(<T$xuwgh0G(FlM#*^rK4!lV
z;9Sdb=Xum3d?0E<kUtS_^!SMR`%?R=dhG_@D+P-eV=yC4L9mOR(5T+?u#l&vBq4TH
z`#T&LIqY6Rt9HXa2#|frRK*IOKAf;JE)kY#G(m=p=1H;eW8%?uS>s|*lXhm)lQ%y6
z0;+1adfCFu0;n$`n|a}exR2Yag1uqBAGr7<)ZNt?MF`Gn6t;t-gY9mac{2qIrnXVi
zw4R6)q(55m?aIpM#^g@yu><YRk0p(Uk2ErCa%sGQU>@}xxp7za!*(a5D#R6>`rj;V
z_$>VMI_mLgMz+Aq?f96#GVL}}PvRUP@kF&W<gYN-9Z3{M_la47yD~Sh<I!MD^BgU?
z6PTrnn`8N~eODD4>bHmhM5C&@2tyUt7BJB&d1SB^_EfBU3pw}*Lkq3qm=Oj-j38#V
zVBvZQ*C|LGY~he>t&ckLhp|a%6kB;y!Rnxzzu0BG)3nze+DT8&bj1MguEp30PU<?R
zKC!p_?2uJ(RKK#eo?Fe}c?vZBC$JmRQu2lQUF?04_}$7hgomqd8?Df{42u)UC=Db(
zF^GFpbiR3OeR9Xd<Eai@?!{?)E7S?~qO*QCa4+xzFo`fv*g{HnHX|?+fwIrbBZsJS
zxXIX0DVB4U{~r7w6t#Q>Qa|*mM(VbR!}v~2^lG4<Bk5M>KDh7uwfQ$YKdRK60w$=%
z7jgl4D`rsK;WeA)h(3=D4LK0f&FO34Mc3gLCgY`dG6HgPnF|<*mPg^7h3Ds@r}O7b
zh;jX!iIF1y+;9ofOrJ)({?gJ})M85{IG!yueo3RS7(t0ni455Mrpcq)&6dEY_dFTY
zv;(n6BNfy^9dw4NtB$-mO)|y=cKMB=)7{u@*KCc2gzpnhl3vJXKafo)5(RQ3G>=DK
z>M2v#GV<a5TC+g)JrZKNM#zgb@RIaZEb*BMuMJU_%`4;Hd>?rr%?UZLh*+NxjcM{;
zB5-yL3zZf+s>{PpkO1c&Dv4KttrYpyjl)ZR{0z)}lpR>h1lT-(ZMJCz<Pu}X{N6ce
zUM5uFU#lJgZV|DJF!jJz+J8GASonDsiH@yK&i`^?nzVY{u$Y|>>1YN-cH3FR(+>_=
zHliu35zrBlO<R5dzoeUp4hCJ>Nu*-=I|%J!fz%-Z!C^|&t%?wo!vl)qjihvwXG^`-
z0<RLfG^u!9@2OF5=T~J6-*>R!u=8f&*bRxm=!OHjqRL(QpvSUVPXlyd$hf3j4#z_i
zfdgS7gqCgdfZ3fTuuA*9HMT9@1EhDaB-KurB{AjFt7s<bCNG&5B5GBgX(tI(0KhNs
zd8mT2k?hkzOn>s?MFaWenKC=boQohI(|67Kd2$weCq{Vj4Tuu+{t43+wfXKzu3T#+
z+|{X}hvN((HyJHLCvQK{&%oT-2!UT-4<z5~2;Vdiv)C`Wbm`Q%jBLsTA6f=-`ygFU
zf9{edN+}<_k9KkhhHZ!hoz|PoRA@R#tWvI161{Wy_UBA6iWJ7=qw?F)Ez?ktLvy|j
zZ6~U^N4Y^^CoiH02Ds7W*4rHgbfR?%k`bq7Strj0)421oZDYtXC&%B;v-~h8YO=F-
zRZ>nLWJSp5#s++|!Gf}%f{q~{71{P9JAFOa0!{fgA8W5TS~mg2N46!F7=1oFt@88-
z?}mi{l&^}nPrlP}QTY$Hk{Om;i)ZlpEIJSLyVBb#lUpMBmuzeEe^1gVIAtZH3G$=r
zIn;Yq7oT*sFn<xpqqALdF_Ns?mD;ri>v<Q<#P;Dvn97!e@jn>;o~_}KkxkburJhBy
z&?ULir@%BK-H6AR32`O$5gD;<CV}PG@J?pxnRsGuMM8uXpeKjhL#rh`d;3U5*06It
zwgR1BJJ!-&Am)iJ?|%jWdS%W0Y>zT-vxg%tFhk#Y=tq7GZt}te^|1Mb`uq7Dy^DdV
zQ;VHP!xXcG^*J6ZBj(P{6%o$Tk9W1!{7Jh!fSx9U+;Mrved=YkdanP$FCN?Dq@%X(
z>OcZdhsO8qDI#Lc7_(F3bFb#ka|!M}<qDhz97stgW|ti$uW)gmH_y=^Jk4eAwyJ#y
z^HnXJvWPJkjehkxg6iv{g%{n2xtYYVebkWHi)`Gb9lRPo|Md9HVL;sjB5STRw}CiD
ze!rc_4E~Id{-E@pAEv)CEQizVbV=C6tA81vEYXr<Jb~G>`?w|y#t*PNnpsv8WENg;
z%XRRV=SMGU7Fd2X=q(pH2o(!Cd=)k~XhL6D973UJT68vjG4k!j;Vhqm(p)O;fy(C#
zzGe2;z})jVVb`zoO%*}~aF%u;O)*K04iK})cmTO?J$ILk`|8`XU?x;>_=tTqi(94~
zVK>)DW|*kD``eofYBVaIouh@3RG^Ivp<^g|8sf&Ekh+}JkYX1<BG}L&#i2kZT=cYg
z^GqJvI4YlSh?WFI4RJCz)`_T>IIQLGx-iB8#%>|bm(h7zdyWP|qZZ%TR&;z$4J94I
zN3M4RnjEPb#|hZGVEw&DlBCj(x<yAI@cXY?Vx9l(5TS8le?~8~Fof?@p{{~yvz=JK
z5{DoBXi9%#orTzi3Uiz2!g9Ympm$%rQ>A;hXHEtx<--6&LX;7JZ?P>F2?2DfAjeFj
z`W$;^zZ|5!=~+0YlNyRN5hJfv+A}U4eQ51;Cl0p)Dl0N!S{UF3i+6wEyuDaaK)vvu
z;+l^^TV-a&k7H|K!X>^8J?`usNWwX*^CJRrNPq_Z2A}Y`%SSxPG7p$Pqh`YC0c&ht
zMC(q~2MUR-iise4Aa&kx%udDg5I_#7fd=Z2#epK`^8+#25BL{*F0YS|%jCJ&i)trr
zue3x5q-<Qb4iKB&Yr6&)y)BPL?|Lxh|9xRZz?Mn)|CTKei}v83&VvzDqKc$XUIxyC
zD<Q{5<Z#Uc#u1`${d2N_?+tE~9v8lEVQZAC3|B8K4sY30A=PBH6hB2wpGm+M*rTW4
z-GJs8i2MDsLdFZlfOZ=m3~>u}!FU5Zqh?n@NxhkFR1rPQ!++%~;)oyV?WFU*M>AQ9
zmZXoG=VtXdMb*52EUU3y+gD+VP}rE7z1!;0uk5eHW63exQdgSFm3h>wEx3Ul%Q%>~
zTX9{k%F0jH^2lPmnxDio_0eegu~`x%w5?5(HvzJ){Y=&N{z!e_R-l7crUm=XBStYH
z^6aCQiGIgWWytxy3U#F9%An&iu~TC%!{st{!&d>sj6Hr;n36JB^|sqqNYthHPd-&h
z#{=6>NrWGzy`+=@bvl;ApyM=+y(t{>M4KKBz^=q!j^qb)h7sAtQU5jljDz{rVS{a5
z&pcG!pH$9V`Jr}I-K{9uEQe6#Y|6P&_0**gqG`1jh&#7H<1q(<SUHO-R$x#*+bvw+
z;r~Fo54vQY=>XDX+}$nvdWQq`k5+_bEpn_8vrUN&0%W9D3bt@OWJE6dSRSf8YPo5<
zcl1~kA3v6t{-vO7YT)2Jt$21AzZ6tw*3^)+a8nZ;wgN@Gg&_IJ?4X$QHQB6aSH(-E
zOrrZXko9FOYrzi$_r>_4uxTroRYTq570wU_@;xT!jFjRO{fjJ7DO}?KKFIFMCx%;}
z`pLw-DS1@WmUP07_<hbEaiMVsyM;dPm~18$j1%Mi)hvOy^ZQuF5NO!MK;w9O>u3Fx
zt^u4Z{v%=CyrXgrYqj)h2N4WAlTW!5Yc9x7fHp&?Zr8R@WkxGM^;qd6Mo7k<sD{OB
zl080XKM!`UmT-T%gnP$Cq;Cm4o^jnH*)$xNt^NUN+k{QJtblCnl(SXI&M#KfY&e2C
zz!IxhDS*BO1$7*-w1A{w=Y#t#URB)r6;1?UdBHXj!mjQY=prFK4&DvlsFavbg!ClY
z{%=)0?5r!JYI<q6oy>^hlXJz7l;L$dqUZAUS?K?a$dzDUsrkjVJOUK8<Fi9j;R1M0
zC%G)|RIQcHTV8QsR3`Z?ILAvak=$aMDdY|rdLg8*uqF@<Mu}_@v;D-z0!W2s*T1Xj
zV5gZ{g6KrFmf=%q-0nJ{YyK#>JS(8P;9?705FU^^F8~0t+AV@O3sMSBa>B}=UykpE
z@mh76sFBxLN6Jmr*>%qjrYo}%6lBVPuL%Dvo12lA5xUeX1ErU>p#BU~`lkAA==}I<
zF*_X5d^?!BdY7){rT<WiTeTrQex_`3jgpRbMCVC{k=)!u$rMeB-MJ>{C>JBllSIx-
zEZMywt(oD}pP;Sog9_y#fj#h&Aj2GdxRb^(ydmMsiZp9ZVe1|K>;VUj`LqqtfK%ny
z*|gKCgeGM|%bfHz=|@<R8h^Sf{r-Q+8melAtcfOX`qMe{Ba>q~PkZfsD0vG~6tVrV
z*$7i>3E9yVUd^7g1iL>m*+oA>F`W=E#GYf@90T&KwWT<K$+rTlxjV)hTF{oeHutBb
zT#)C|^qPF|0bL#-d=B)`-IaN6sd!NX14qigF<J=?|I6$$c`-diyuf}?1Zi|q7z<#5
zWH%sjf%EZZZ&X92^JFlq08HBSiFK_szHVe+(#7aku2G~>wd%5`jEBj7038_5207-Z
z4-5CPLZxpKVJxTqFP+*qKvHlRVC?N4-fKmVo^rKQ{?%;xVFiZL%y5h<`=X?o55{Rm
z$W)|J?OywsN&mF<?P|kxs*kuzWh$NNCy@>_dwoKZC+uMnb+K3N{Xi}xWDa`*!gp1B
zU*#B69Dn?rgQ{9dZIrzzhWxMdLjRpJ@GEyCo8T+GjbA$m1r2E&`X(AWsJ|?q<LV>d
zgaY35M(5iKf{uv7JP*FMxO8`vE*)TMBw1jPJIwS!dkiQAabr(;dz&?wp=~l4Ekq;7
zIbp*|YEi3>7d$k*2&y&Oq;gzN`dCv5ivSOLJ*(=ay@4jJba6qWHoodU;S~6eJxwi~
z#UCLmtNtmYWuyb_i6z~%=)*xMtB*AG^aUi9@7C$&{EDa^36E#4wqYLQNNBlI2BE&?
z*Jp{(u>908^g&rt5i3zvPaQOsHR&v8i8cU=LBq`F)>#Pl`lA7lpS+<N(1$<CyXr^G
z{lqbrk1V~6pB{B(B7oB}%8Zy_6Q|XHxx6E`#g{mnE%Ote;&#skuXdFx`x=D?Qum9X
zo%sA9p1Xon97x!dg(7F{U}G5LdV;qin(<a2;JY8RkSkUV4hqnNAfiA2p#etlg()M<
zRSf$Y*UIg$YAr|9gxYU{4YX(aJA-*(%9M#6*1~{Z#~nr(R<&wm32bBX;s`cP4~=p)
zP%V6^g^zCRWxyM%a|Hru?y%^T0VmP+Jc+N~R0_OT(4>V7ZHLJxKnru%vX+81Zf|Ki
znG^*e*{`9rt(F>h<v#$6shPT$#r!T+u}xZimtf6J|JId(l*05A9n;OAjpNv*uu!lX
zL?@YP6iIVIsYYM+Lb+*uFBH>w=RM=TPHtkh-+-X5sa{=BPII}H5>Ix&IEN&_CJ?`1
z(xn|l8@DrAb%dux(}f4^4bsJ}K1Hrk5GHhsb3ylgVRI;j^%@hF>fscKvy`;OJ-+^F
zA6sA*SR7ss?TCrcUI;vh8YTl7Z^mO79;DMFs`n{gpLX#LZl)NU%<u3@auH#%-x@=N
zo;$=VoC~W*m<&TUDVO3(V?g7p&vikQf}h+GQ9?aC(cwX!{;QfL%G(!t97*{1S+p{5
zKMHkki$BxlQZgE27vF!YYk$Zby}>US$2L3^Q@0wODrA=rexs#~K@q%zzliQ0&7#R$
z$A47q3Q*6f3)-qY?sGavQJaHy=wS#wH-Sep1_hFgu>Gs1*s*FYZ4LdCNAq)YQE2aT
zq0_m`$R2LMKW%JRF(6@!jNv5)Og<vi5s?ix&&gV4^mqCeOD#ZZWG)RX{6bY;jy|)F
zI*^7g=iCwHPia5r$Xb4RBD*}^SoRD#TpV)oVJ*JcCF&He`%>DG1;wkhu+0P1e~}mB
zHZx_c&)sVU-1gHv@eem7^3b~D>KWf1ieZjf0{pga4XJ&$YB&he5jmT6@l%2pp|Ya(
z@)yG*_+3$ecBo=qo>hIL3kOvrK7B$o0OgCzrsL$~_DkfH{cFU@3tD_aIH3-Azhzqs
zLhT&=9lm^I#<$GB`aP!-!0EVq+?}#l|46xmu;Ogz14kx!ctT2@Vm&OFcHy^~!QRU{
z;YJJVN%ff(`nQIgNvWy23m3H)Gk}ERJ%?8Jdwf{}x0A=P;U8)yclP<*XpQ&UrZU#P
z6|vmW<C`<qy@BD|@np4$DR*nbU>EQwG$ih{+DtXTUoqQ6Ig&Ba?vC5VagaZ?d;8{&
zC7{7)GOM2spL&DS#FNph_|{!x-={~z=pPpe%4lvlQ%{R9;lmV2nBU_jrICCm5VLRF
z+o<cuG`X?*7OQkzTU6%=KZOygRU3RZ6C{RtUraE~*42iVgk?Tbj91V$qlEYKVi61P
zCNK*c5Q;hA)`O?}(`AUd5{UY8z_bx7_Zq&dgY)Ufe(d6?JWr-ov=9eq7@i+;Tu}R`
z{EvFaAkRH-z(a1n5d@r1E_NijQ@g&8VO+qPT?O#beXo3%h#u4cPOUturxxG=UUj2W
zW>>%N6BonBjn3XM9zH?ZxE0f4U{G&#B8BhAI7zF46f4Y(M*mWGe_op6Xq=VW_NEDD
zjSpli_Pd*6BCuTsicn%;#aoaiw*iGXiVxPZZR^-xiMNeMa?KoE%#IC^FRbii!e~<t
zr25tityzH}2k((I&s?#p!=mx(Fu=QR!}^1!x*))Pqez<QtNqvG_P}b+sA<~M1+&YQ
zkUoRZta;ahB=A*c=c`D6Ks_@`>bD1#*Y#&NYP>Rq*Crn5C$9aIKl@^j+A_t@D{|r>
zfiSeoqOwG;OJz`Ct~=`44tvc;RWyMCGSf0rA$P4!Es$9&GcI@TP_s4|195>@V?D>F
zK(Z&uU~Gb{^g+;7y93AVi{mnw4_Jl6UPwRBC!)fy$JQ??4~N$XZHk8tk%Y01`2^yh
zhYk@b8tuQEhy-ANO@?rkN|1%o3uP&mKQL(VwkfZ$YsjbIkSb#Mu3$;9?EGFGmAkE%
zO$}4Ou4@P1Q9P1wmV=UY#mG<`?Gfyg(i?<ROuG*h0bBAR`f<?u;NZdrE4~o(Ux642
z(XdktE2@sA7f6Dq-Fc{HpgLw42Iw5&(S%Kr;Qa}jV|U!fz=4PMpB6v-762v;E<R#$
zwile|A)pf=4M@17m^<SR5iVKy)#y>~SWJcRw#a~PVT(>%{7}SjTf8<pg`}D5W4c{y
zOSQt*3EjjYT>tU;fvoAV5?Ll;nV8`BpJcG*lij8?eBD$vG8e;lA<X?|BCtV820`{#
zfCUj#g1KwQXMb^8bytQYwe)MytD=EO<_-jF@bSAdk@HQ(N`Bq}q)E;<7E<v))98^L
zE}}moDSOrvP%V=Fumw=D&XnHKY&pk1E!a5m<bO^vI<Z^8iBhe+*v~h*@qAl&!I)w_
zG2eeJ5#*Q-?m-8p@dat6<|@J#pMX>0KZ|);lx6DLEaJS>+E}=Wwdl01e>5x7pk#js
z%%rqYX>m1Foclj%V3v}uPlXJxb0Zx5Uvf*nRx^!K0xQv(p^8|j01ZMcDHJ4QGxkNm
z|G7Tu5-n~^cCw73`-$4evcF)@%+fvwpCLya%a7|ZQTPoxM}8KAUP;h;I@$;S#s~y=
z!niJEz|w)V@&gS)hz+W{eHI?oF~8}=>?}R77aw{vx;&KnJSNu^ua4Vo#9Z>H@cgFQ
zw8o)ZR8G5fYAwY3<WPOg(V)BqW@g$23$T70UR!ju6=w{H#>zZVh|j5%Q@sRoiu0Zb
z-%gHO&BZv;fM>o0QSkN;xh=HS2&d4=atSScg85$cb^F|#q0~n^^q6;qN$TsPoCb(@
zlzXpv^qF+S%Rru_zyKv)?Tz%bIf^N<ZlU&CO5WUgfvUJnoreAo*aj^ZB1~bukkF76
zVd0K8S&9fAjm=`4;}9>n1JQJr1>f1eEkAR;@*}m1V*o!?i^?kk5@?anQNqKA%!wW7
z2bmdhKy+wUm*gkRy<PWL0}eBK$V}hHH}<!hsIqlTNE6D1)^w#M5Nrc~UE3FyH>FQC
zaVDXTgNIx7Qpzu)-kFAD7@}JM<EBOsGr+G<=&`fxpy&PaQ#aQVFs>z4++s$efoFJa
zj6TK*X8vFgNb}P_=1~?hDPS{hI|mZx2*n9w@0pN&wwVm~wSaQ?It4S^g%>=HC^Z!v
z9Sb&BoF7l~{tj0HK>FSK7H}uUn&T6Z0@6TfQfU^a#N!CoXLF=>?Ys8=qJ4E`&bF%`
z!V^#p8NgleT&D9!+X=3MG($CP)}HB1GPW;HEZ?sP?K<FG;W2F%A$<p>xezsZFNnO^
zvZdi-C2M}RKz}o1o-$$g7CribR)9Oz233sfsGAk9%CcbOabt$2EA=QYF@$y}01HkO
zYJ&(JrdYgxq$SxHH-TWLcS+|vaSFJ?L&17JIpE=Ug3Q-K>!!4bz@_4TE4=!f{`$=Q
z`@~{HDbSUDllmqOR&rS!U{EIRowhsxtGgs}FV9++VA9}_pK+>~Sc-Qf8zx+uDZ!pm
z!qLTlmClop3o7#reSmb~|7Dvup6eBG)I4o^j$0kqaD#JCGkTQCuCIiY8UZ6>gkASC
z6FY|ePFq?&rJt_xf*yNPCl;CNT<(g1E~0Dvm(6>dFSy-FlC&-3C`Rm2EJv-me>nXj
zgHIinJdli^$O~{Zg36BIiY(JbGGjVCC2GIRy7ksJOZGu2HA{oYJh~r%%()_@4+*`B
z2-FW34$cGGnSS;pc60xOQl|vX-B}O88$(6DJfdeK@R}XJcvaEvG0&fM5idegMsKN+
zEUqK<d9~GKd%N2Fv$pSON&CfPrd>`G#CFdL@|0(%Qf@cRS1ezxlU;3z;B^gMm9!?A
zw8(EO3jl<xa&xgVdP)H;{Y*!Wp%jmsu{@lASLux8UyC(eI%EMPMknKkv0Szy#;2O&
ziF0n2+p3*?yqVWMWqxk4X8mqh_m;VrKm?t%Q2!#W`sOIr>QRfFvnrL?l~Q~i6hQpU
zr0=KoY`T<r$2|?KAoI@sdsTJs7(GH!yMPUGWIy}C!e|)8|JzH{P8#|%I-^^XkS?A<
zW&#vq7T~==*(o$ve%K06!T&x9>Y|g*Bm&SXOEKyiC-enin91|cKlA?+2>6uMLf}i{
z!rS)#JCgo++`#zcec6|@93Q)DDbmXbggBv=!%WvS9$}zoL#&7S?ro>6sD15;NYPUu
zZYsnBpCNH&mN5n^uYQkuPR2EsIKbgOEf(~}+onz;dD9GB&kXkYzYk9%U)dFwcxdZl
zXaoh-aDT`;Pj+8><q}P=%}L*7VcSqghf0#pcF>OQHxngyGXn|UwE68l%c9>aU4S8W
zR*jRRGX9<iS6|1xPihId0J|>n%=5{rB1nD<#5CMay&+<1EnIGhDkM_M7DoJg!v7$i
z`Wq|T1SgxDNnlJO{`#D8Ke3hYbs@P67UJ5RI@f8GL>ChN%^4f9i|(GN>MmK9qeOF{
zhoP|n>6?d#cc3nne`P<WY(B^0{U@264T^*3JtwicmBf0Dl^zUfO@eYp>|~sOq2vbM
zQrNXK``K8fJLsD#8(Il=Z~0`L-><M8+p6Z&*G#+u$@7S(Xg(jy14TjaMb8^#<Hdv@
zf?g8KX1df4HHECI1!F})l+5R0U6v%9Y71V7)4?-beWc){{YM&zpsRTIz&@c#=LQWl
zz8-C>S|Wm=xSPt{`jE1;L7*ZLIBAnuT<rg^({*T>bR;HFkuGo|1-0ifMA|s*UCq7n
zmO(atq#AvuVyoXx^wrX}zM{QrzoAQJ6YV_8US8K)kg`)YJk~16jzh^kK`yX+;O$Ki
zuimO3>Zy!_{mw7eg?NSNAf=Jq`1_246;i7DJ=v$$^2@G@(>`zD!Omr1?kt&CrFKv>
z&0Dl@i^z{&)b#_!zG6Ne$!DT3_61K$!n*+WFS$4$>OO>J7xWiHm4JBH77;T}ARYki
zBkssbWCu~=_gxsWtrStA-xN)C`ZD{trAWxlAC=dJSy?k#6qgL<lSi~RMcI4@?@kdT
zNIMR;UZFU8hzx3hp__`URO%1w1W@U;ff5;xIWO*X@U>@K#IKyf^F}Zv4~ru71$a%!
z_W69}n>W^f545z%<9{0{fVf7DIwYE<SC?}vk#)K7CIV=chwnWAR=2G}Cf9tNK$*4S
zOF;E}=`B|~BmmCyYX<BM4K-}~-Y%Fn#YuoCj=QNtj!!81f^D!b9nx<7Gh~)vT03XH
zBBib<<c?Xe2?oePvt=9<-O<ZGn`eI^$TgN2K>LuF-#Xj~9w)tZR}yhywdY!uFkoFE
z?mOKuYym7Wv8L#El=JlA#c+M|P#w*^2;`0ii<|Yy1<KVOm1WEPEC36DSzlts?8xai
z6W+UycZHIaUa0e)T;4vdF%35%i0S0|zOWa6wTGCA)h@MMiokv>D|4n>J)A`t1h=+e
zA9f8Z&1n??T&(B?%di-4Xk_Ww^Y?XAo{lENzqM<Y@DB@$egP9#bkY+tJGCL^#4{2n
zw;5GRro-8|P~$3`y7~VHaB}-EFz_2?Uj(F1M~4{(E&g7L_A(4>6lDc!w%pj>Exxs2
zQTvMtGbdovzNO8p5Zw^{K^M50Wbw?bGgc_y{ds;u6yY;aaxD?#m1FGXpE3>jDUXor
z2uYi_jdX?yPr$8*#iV|Prw6rwa(To%un9x6msWGpPquXWPeKx5dSB%4o|T27>KhNw
z%x$$61WPW))VZww{MwAwQ+IAioU$cgp@yu5yZ=l;g>ksHjh_;^tC;)mJO|?G_jxq1
zZQjNvV{AE<E-^CQ^*#?Q9D1AvK=>7z{t=FBE*Q_oKT33=9l}sU%@Zp?oX1o&HtVq8
z;Km<Gj@&LFIn?<tUJxv-+Vdzypl8OmbD1O4@u^MCVE^a%&P>c@$LY3A>4gF~RZl=O
zN@eM|_OE(*gRo_B)ijcg7QlAfCoi)dfp-9kj(#T=IzIt3Pb`;)krOYBbb>Jtxz!5p
zKN{186)D?hO7(ixXa@~bpl;T|4>BMY@21bTH~dnoKm2vkr!9L~c8y`GTR$D-cEJj(
zg~>Hfx$c#>#Uj4W!ALCrXSv${cH6B?omT`b*%2T%XeSKUMvz>k)iF9|gOb<o23k!<
zz&LJ+fkBAtLc?qu`4{J1MDWTg>cemCT_fD(!SKUeBqmYJ#=U2jhy`8dH0HW=f6>(5
zDc~(h@;*;B3nt_`$jt2J``sL~oLKUI-kz_78ZIfYzeVmZY!)63zNB{7ZfIf3{3313
z(g1bV!?u?dk};KP+j5-O=`NY7NaXs9#<>72(T@KyFen(xQmkKYzmjRg?n{q+?XR=E
z0ZQ;prmm-sT8DJTL9(&^o06MXG%FgKblA^YB>YGzxbWQ{c1qU-l#-OLk2X|=FxI$p
zmWx)E>D}klvNm<gJSekdCW}f3@CE^F=jKtObHQ>c;4A5f>rmU%d1|4{VA6nGc&1mh
zyOlL&AYI_tIJ)5z&KBR~r<ED})tlZVFlovTOZdXP6;={~q*dwtyLdvfRJ}SV?9aDK
zFI}HIIqBT|3|no+nMOj0WnkA;FrET3CZEuHy>?*>jZ<qcUw!E_sjp)^LF5d%`zvTQ
zHjm2FDiII-3k{}Aw~a}e5(n&(6q|S{;Pb>=-p4Z?wlSBC#U<y3jt*WTI8J1kz+Vf1
zlFw0wojRZ>Q;n;<2iLH`H?xSif-aczIAHpEBRp5*^GSt`vd@8w(dEIpkrlqO)zkp(
zmt%l?*l}Nl3wEEt!k$Z&t)0*h`bEOXUi+%GHP6Sqd1!Zvd92PJH7+PijmZH9PzaY@
zNSdn{7ti^5)Sp`MgbZ|~wg#XOPabW*;)-YZlWzW)%D0e>I*9-3@=;@?F`9zb`AKyZ
z(lIJV9s3@UN^V^x?o0uwwr2269}#EqAic4;s*<QxyUT{R%i3LGJ?kbHYKp--EAZB)
zV*k!59H#iXg*qzP$yD&TvJFsm>ul*`<y2WGL$Kvv!F$DVn-Tq!Z*ouyN@W|Ar1oT+
z)ZY)HaI|2#cfTedeMT3chnaSQ=@DS#-f5sZFis~pAZdU&|9+-jXL)W-mrD6-L&(=n
zCl2c9Ml@5iQ{k}_WvC9m8>7pXWa75@vS(N_R`e_M0@%cUBRkXD|K@)(l5bWb80#kL
z`o}J)R6q$dJ}aY7_vF2qY6wdr{7K4e$)M8ilb3DBUC`u4KWK|1(DlvSFUh~mUVY0Z
z^cFAh$r0ox{$B%iugs(Otv0>w+41de2^$M+9992y+6R3&vh>=?)Vxi~!+jmb!e7!t
zmn%*Zw!0dMjU%2&xSwt6Eva{i?CRzC_zS{}!CbwOjE8S}=_9%acUXg@cz2l(c|8y!
zn^`2wDl@jDW^y_YmsQa21#FHTPocbFA@wew6?D5|Hmi1RmbShKmPA1dErPrQDt@jB
zDwx*T1B}%6Q=wfHszNtQugR$5<m~!J8ZRs@Vb&;6&fbCztxe-_)_AT<eNEapX>zA2
z-SUv5hx-l;oXNNDBUXFeU;Y$QU3y)A4G=cml!g3+DrcOIhc$-fCabc*!`*>$x=61A
zT86AAKmyeZKaL*t+(z0u5XpY5u?Tq2T&sb<vS%kuj+`9eSt%PMaPcS~BNv}8RO#wH
znxJ`8518oXQ&e#Es^FP7!U>gj1UH)yk-`i;a6faCvO@bh(lMJ13Qe9b)xNv0iATd}
zEyVBo;*e1Qdm1-$k-hgrA_2sR1AMo)1Jm~X!RhjzLtV6Vq_p@{oTH1b&lD-oU0`$_
z-2AxY+_`|hR@vl$0+*WrDsBT8$(ldey+Xc&c@?1t=Wd<0Qy2%Y?ZtCG!TvWy9=0HE
zWgzy3*CEy{x&!@-b8N}LMi$45F1<D=uAZx}2A$f|tkL2x53Dne)sb>C*5d&@7uc(5
z%#;45gWDFF+l88<pW_fS1hc5_pXZ~6LJ%k@)R;P3ZOFh_#^gSz^_>@bfAa~!;9SBJ
zw06lujM&gN;D4d9V0aExzn{8H-N@L8gtBiE6td>m#N5B}-X{xPvv~IQVeqYI()g3C
zVd^MaJ54a}(sV@3Nz%RHy5%;49aVAmWJvYJB^Js~CeXda2)ia~i3yM+C_77eg1Fse
zCirM<WChf&qy0qJZBg@lX;88|xnOMzh<}T}*dOEofM>Ub8Y{Hb(ZMl;kzsw5ZHbzH
z&c3?eQZwjXWt1fo#-u<fK-kmwPAxi3SwTU(<K@x|DP4<G0*xf}J`jo9y2uNM`W#N0
zexcEfEAj{E)r>=cj7`3AefRs<1aTgOgyIz@_aA`foXoYLYl5AwPxEifNuM2n!l(Oy
z4=<`APq<Y~O~xJ9>9S*DdM+aNIjn=mEYyq3*^z^i#=`TAMq{KcBCSWSlWtpI7xeFF
zF27>VkT8@5G-3Z%J5@nSS-MOvk6SY>uQP;9yg+mfk+m{*6djA0M7fzUKXVL<Al9zB
z-mDK7WNGtMJej|Q^-z5jYL8i?`r+eiGR%vff=_wp%IxZM-VdrOf#F~>qnFjf9_Z9~
z9QKD%Pp9<YM9KutHRR|OL-|M$3Az_8U*2dldom7clS=+l^RmqgK}tF~74(V)fKy71
z__CcGls4M!xZY4yH2fNc#$6i8Bq-qWX<Qp6YRY$2h!XvMX+l1I&o>yTmy$|;H+UY9
zt6&K2!w!(+yJ*8?P-eJ-<(p0)xZM9Lr<^e>R~GRDhQznh#gr`KBbB88&}q8r-!SF=
z>;1P@!7F9mr;tiOL0v!5EVsYk>lGq#BY3X9^Te5*)9CUZX+*D*2yV+83UL`Y_Q<!R
z5JcfPb?{;{E={~2=aeEQnl&`n_0R4+Rj6vYgd>3l?cN*nm!M`uWt{z&DdsTSCOYnY
zC|?O*CdWg--nF(3<z$n+LfJ~y9}~)|VdNe!b#UZ^6an;|$ihLd7?z@3_V7qrBQQ%g
zi@BHh<`%T0b4AO9fvY>CLuNyn7&n3}=Sq%H3XB<t3$fNe5<iib9$3dIYe-*%=|oau
zsjhhVI@m}S3i5d@>k5sjb`5V>S@8Q{tqshNcnhPwjSSg~MJ(A}FLR5oj!e|yGlyF)
zeTrqyv!(A)Z=93Lu)_qeU7(<%(d|S`=QX;+<K(++f1T}2N=b#u0FKf4YP`1DSe5ek
zq_QUF!zv%!N2=uxp;BaQ#yK%M*O*RQ|7!;q`}Yx<wwSo8@v$gC+|V^;t(B71RBV38
zd{fHktH7Kk>-n){F<_d!8TNQZ4;`OXS5$Ssy1giE@+(3)`!nRfzh?lr`v_O~B(|Fo
z9ns=O>7|`W5-f3>qCO*uBXy1b4P~!R?><tR96pJt{&qAi5z|Zsn5G>U;oVR!6fW5H
z>-Hev70YZ7%#uLNTD5Wc5o(5h=%;463<lWi3y&MwEg_5T{o3GZRb!YKgM~9lAy7x@
zqs70H2Gn;GtdPtA;g`hAfIZGE|52$~>M86c61?ddn)Qy(J#BURgciHAOE>DXOlnD9
z0~ptgm;zO2=;lb6`T+u!uc#O;u|!u24Gb$Qa{Ze3l+Jp-Q?<v}ZE?HzDn4iSNgq#D
zU5_#?rm11bN%TJ<(7ba?#(6j7Oj``&oNFmO8v5ZpA2>sgWj`}-B!B`C-*K<J>#rue
zcMR~8zxo~lF#nILj)}OKNz1Y@nYDV6HC@x&F=U#jCwK*dh1>AY*8>aF%0FY-<f@M+
zb%0Slw{sVGccwP2@!5)k41XO*^wzpU5X+-VZg>=|hdw_dQl(2Mq~e2Pk)w06!*VCj
z`W`wv9zG^)#>MEe8Ab-7UH}VCBxL5-i<a^QhiduO0^*c+0KoJja@cX~M1mDygA>6+
zKoxr~r@4HzzhB#ureQ_mD6?8gfPz1SeQe52$|kdoHUQEl0BL1|Uuatos09Yc1u=$>
z66<6Oze~HZ)>!@dke<gZYv%J)`3_dI<&+H?w!HWW<YzG3-b-C~=Qo(GGJRm%aIuaR
z3tA|9v^%P&CQ2-K`_5=lqrh9F$!}Rhgy-!FY6%w(`oDgM{zL-F5d9u>9CG&MT98yE
zRix+%A$v}u%?PV4wYB!s8~p!z=s+xKjS0>$2?-=R*k2?u`}7{gH{K6nQBg8nLHh<r
zHtknF!O1_o5+;H<-EZQ7_>2$SQOwSo6q{&Wi!8b2k>ywXEgqRzDkN)NQc%5K!obft
z5b<!5Ve%$!)u>D|i{#wY0DK!RD3$zh%cJf73Yg{O<HbJeO;AcxI`fGDtEpondPqZ0
z$M>{Mj&**T(w6}fL8k<yDevyD?jhO59|@e3t%ojRy!Khbv6J~{bcA=(rBO-nIM|}k
z+~QLr_2R|wQhFv$iDJMu*v>a7m?#5&py`DJYQL^O!)kj9?R)j@8qP9_7yPGZq81Q7
zzUTZd$TC~;S$;&9Aj>1EDCFQGNeU4a@wEaer+}xC6wzd(bGDyI9sH@U>qQUSIpc_=
zrbr{Ul;f5m74GLWhZR%p*gT>BHwx+tZAk@i*wRg%)P<i=VMbErm*?c5JSL32$P<`k
zeBxjwv<e8U36fZhVC64V@Ewtj+?iszyjm*{yYBjQ;;r{9xQ4}T1%ha(n%7z3(FpN{
zJE2a(H8NiSA}m-?luoF{wQlPOwlEG{E7_%@(k_^ND!C6F<C)Ei3CLxHUJ00-&%IR2
zve+4HXxhFp<=H@M)_>h+&5=09s!{!>3WyITNCkncV<GfA2h*TWF$OpBQC?^;hbt%U
zS8g(90$1WP6S8F5R&V1Qa?AwYKnQlo>1qHRgCO<RQ}NStwhs%$r?cr-Hhv8)aGiuc
zP8?HXAya5HV-RCBW*aGR2=bQv1<)-)u1J(v3b3Pn3Q9C~<D9*R&*<}PT+s-2NAGGf
zAj^_)%h!L8pV~jQz{ZwLA!e9ca=~;KXEPQvF8?h8t1w-g8*k~Vs3nJh|AvHX7fse$
z-(~~1V3jR!wUhPaZ@SlRWF~`=9FM1;U|DCO83fXt5JL#JDbr*yWYc#Psz{k6Hth}#
z**lAoz`}i}<6`5-ZjBzr;}KHi1;)D6`tj3U_cT2t5Hf27Y8HX96R7CI`17WxVJ>rf
z8MSPkzZUh8)RfF)#_HxW`?FsjwZDt6$5Hf1TQf=U*lf*EEH~J=)%ejPFr&5oAZEvs
zDVWij?WmoXK=F4!*$fBUV+XKuiz%-1VcR|5?)$g;fws&7H%pNBS^n5S=E8>5VFp;Z
zs4BsN7`TJetGkC<+wb%6<(~sKZJ4uO?U@YG7=eTe0u}=w+aoIR*^<H*d)FZkBV1ZB
zdaU0#GYoia8}a$sMt`emX>6oUaeCdc+sY3@e&5Yn?&L&4j_KA2zVAF{cT9b1hos1k
z?G)2X_&^8O1cdqHyM(KKpAMCHIMHtAd?VLq<-qJ6v3!-yHR+dSF&evy3}5=~o)#m1
zvOMbc!EjD8Ifb-`JC8epc3LB0agYlTaf>$r3IP+&GU)-e{A^JdO;VA1zpq`;#vL<2
zXQ<7oGu-64iWlkDvdv*aWXiZmq%m;%kKuiXR^#g#XE%u~V(~ODDn&2WUWo{a9&obb
z0ZiA@%cp-5xPde<x`X*!|Mf$qUiSvSMbhcs7Z}lSnrz|Ymo$naI%vSQVkBSX_$`@M
z5_xgXaP0Z>CSI>biAd;-PS<H+qC(!&CX$T~N$?zcA@(8;Fu{0G3`WP5Qp`Px+4=+L
z17J}|ge;s4=17$$zcoiuS4tZHd=?b9uM<~y<Jo12=e1uwXNaj#f5<P)Ok1H((z13<
z3xx09C!3$J?nVe90O)Cl{jr}Cap2vVF0d~)jgMUhUNRVS-THD`J+|K854(qDqu=(P
zvv}V8{IHoI!E#A4@!ODsz)#Jl*xW(ULL4}dT4FEOGHstGe|{W#G{Ob$#Yb%$cMzs_
zANZ`Xc)E)<yt|ZDMyW}RPQQqPQQ)4iA0fhvd|GwS&d@pL#Yg~VGAch+jMe$Zn{(ss
zxSd2GZ(;Kr2k8+PTzHoAn2uXw*&@ajWE>TSD8e!vZK^ko3iyzsOH}J6lIS}vXOb+n
z<*~QvES+~3a<E3(u~q6eD?f1m>Fy0M|JI2`P!2DwVsF-+G6)t{KAaAtB9x2t)J0$7
z<*CF0cHnT3%@#aq1QhoBseK|53no_H)5|%njT3NvmO3MY<$Bej>Vr%A!9mJC&WW21
zySYKNlWKdnQL&iX6Z)67iKyD)1!OcJDt&rZ&v3)Qz95Z5C%+?PU?&WRZS)&+z&Q(y
z*<Lz7z7(ybgB<u%Rdx%Gy3oB?4_<=Z%LG0=J+LQs9&7RAJ<w%7r5!p5@MkXNZ3-8g
zq?zHoDB=|+9t>PED41dbl1#9J#Ui;7+dhG@Z6iQgIWF0S&jG~TP5W*JOhjQ0n;V}a
z9|Czx2Kd1FloO))EGYQ-d)Z?((A++}k4n0aL`|nx3Ifu=uQU8780`os&1k+z>GwMY
z{Z&rAA1cfUMqCrQ?!cu=r{HP)*i>^W&MFAwy{xWS?moYG6#W}Dkq8dDIyj)BV?1oW
zP)R6XYC5Twc{@ImBcAzkDkJ8habPfEQ$@Y!=P~)vdM^Z`K69<M^e-8NGfduQ^9U@{
zmH}uC5EO`Qd6d{%wIq$<rm3BcLxH$kAWA~YXAjVUea9JrCkC2i7-#ks*Xt^SRm?b8
zn%~^f^10{n8?Sps*n|MEWvJ)6oT@CtWJdkRZld%@u!V^`AQPu;6Rb!5PHF_QtBF32
z(d>cnCwM`f*J8DO5Tk!`pbW0)m;~Xhm+@+xxbBiVi!Pik!uZdNTZP@aT$XRK=&y)0
zKjt(U{#Cc-N;YbR5hVwxwHn#29*WdVq`|&Lk!$^E-|UsnrM0nXM!iWI<_JkDZNkvn
zd9%Ca5Jm#gl6#tJgo6l}JLyxGx|1Oq;1ZCGf*t*Mn38RR9V$Q*6ysxfQ?ycQ8$n|o
z>cKurKgmdh$Roovqqw*f49@}OQWp94Dvx8+@D?=Fr74G;Ao;r{HT&z#)<6q3DqX`t
zwFp$l&68@zuk7xH?&s*7ZfF%sHCRf?>isHVEUX_<^I5vMrLPzb&L-p+!?VV3UdiJF
ztX#{gvtr_mt|{swfj23h%jF5ROQ`IlEi#Dl78q63+o$JYl{$$`Of?$IkyBWDU+ddC
zDp#CDYR_2=2d$t(eTtHE>8qzIqCv0;a>zyp!`(S`McJYiS<#bE^Du*O(nS=C<F<kU
zwZsG=njFU<ox#`uH9*S0tnp#|FB3$VbI8;`Yz|^)E0+{`IwTIP)os6xCK$Ni_iUb8
z%5yvW5TCE-5Z55dluL-uJ!omPwkos^IOCzN{;9YD!7vJ@GKkUH`}?_95$sYCi<m&3
zQ!yd%VtOU=RsyJZ{O*xF=kjoCv`$CirjCtt{2fDcD-`@Nd>j7)RD%)pi$pu^gOt!i
z7m2<U*04v~lrk^34t4(A?SgFrzRas7vrUq1>K{H9A!Y`y{aa`KI(Cw}h~nFgVcCk^
z&IopHd6%Tfjp=1_uWpAv^8)~BYzuX<pY%2L{2ezurS>kk-b)~z4i*nCvos05Y;@!+
z<XCd>Ly!?m+_xunFfB1%Ou!oGTO0SeK8_|GCGc_IzN*lm<%@5V+|XVY6WZK27~`tE
zgN;_rbN)!hJ6|+Mj;++mmK&S@8Flr9h!wHYP^sa6;)Hyd2TxDd*3vg={15T6?41-J
zhc;Pj?c+;NPA?R@FSN_s4#{L|#RlfHF3xO@^x|k8YCc-;lh)&|;f0%08ZG^a`pLcq
z2z}GbD>9BKa@c05cpLv6JN!WJ$NfF6vQ)Se6`<khZ*Q<cu(%ybEO(Q7aJlVI$1j*s
z3Uq#}<;(b1f3TkG)nS7h{}t$qTFV8~qJ~8@1tUnYf@)P!Ll==+$!oLY3A2YXSZ4^c
zE`6xPf>)d^U4X%HyQo6;RG+87z&7$JL0|;niTE~pd_-bj(pS7pLSH#V7gDD%Xr!O-
zuwtuwuZ3c*k;3uK^OrxibTGrSQih%WHUKEM#7Pz&NOvYIjRy$DL(6Ta+STL8(g7b<
zLfc`09et*;R`)|t0R(IWt4!gv2_7c9M_W_%%3lS+f9C5ai&o~$9xCC~9&=bJ`~q>&
z=6Se2G_nc%jQo6gop5E4t2>Frh4x!r>Aqb%3ok(#e_Rs%K3$W%8$n?A<f0hi?Z*LB
z!=aV#ckUm}yhaXBWyS$WeHY>--gLLPp4f0$KO!0?K!<0Ly|r_fw38~^e|B1Wga=fE
z>A0zCOV2k-wLZ}y?rjXq^5TA$f^XCQ;QXZK3MdUE03FL=b)kpDaq9PZA^bG9J}MFD
z%*&yGTG$~Q=3Zj!*P+4gQDbB}3!+HZN`y_x#tx6G55S@3`H#!4>eE`aMDmcq-JHl3
z%d)3_vf*urFm~M^_3^d9WpvD;449;BHfH>c>Z!$z_1=j}hKdn#XceVM9n)Oc8+;VW
z?s&7myF#H{BGh+TO|UcR;*^aH`8$4@Y@K9->>S+8i|9qKmbuT%`TT&ficXnxPIupY
zr;eFUOe{m5WnqMCx!SWi+?jy<W%Qk|5@S4tv%QK}faZ&G3%f>>A4C?%sqWe9%f3^_
zJz(E0195(6J`Gnf`GPA!ztLNuOJ!y?MTMM2g~_zne<|MmuTaq=5-bU81e^rQ>RHzK
z!{HsKXPJt{*CZz@19%R4t_CS2o1fo-q=4S|(N7_Ug5uWwY+g+>^Y*u)1{zVz8hi#L
z$)y6fQ+4|!Ww`i3A~Z2@()Ck3{BQX=yHl>m@hu|1+8X;!x}MxzpO>=%l)^Cm7Y{_|
zAb5WjG31J?6G8C;6|<h>5%Ux<t8k)D@^jhiICiV*PpvDtM0iSsEVM7K*26Fho+;$>
zXrLCFr0=1QXg_ER2iO=2qNuzm)=UwL6-5=rGpPb5&>jvJanz5lWPF!KZ6XwAbj63e
z?Fu!BB?oh$<KCl@O#8OH%|pta{SoAK^<;~y(l$pKBpJWD^Oio7v%_}lPnY~}(#;eT
zZ25s#jgOzAxBieOXy7(MI|&a&M$EA9$#z1IGZ=2c(TQpn^9)wxYG^(yFxsnHuV!80
zO`vntOJ$KHM>6zuKV{AOVDPcKTExdPqJwdI79tTN?*H>7&#_B<^yt@KifQ!tc%}S}
z`Sgvh!6AzNAjD3it0-+QN^OcRo3t*Q?qDQ3p9krWo7pnOC4Gb~9-7d>uxgpT{}nme
z2>F3Y$_lb?{Ft(`c{h!>G%K2gvy8(Xfo0<y#<x)$s+OC<Vs8(%0t@OM{(wNE{i3+w
z;YvalyXf(_sd>V%bp6}}`o}=Z2hX1*F0FpOk51_9-MGXawllv_*sHxC)o6#LwBw2s
zzJ~od;@$WbMrdyQRZ~yF!J7M;!T!)=it$TVar6L~ue{~oC|L!z?_@Ga|Fw1*{=h`k
zP<w4RgOyweyeQDs*ky@I|LhliGB`-6WUwb3pio~)y@P|z9%!zqHI$%b8sdmN@3J;e
zB(d=$A65h^a^N6)52vPQYgxA3o&jrF&r=uSWGk~g@=S}Hu~Gt5?hP5~t=R|d`z%z~
zDIM_ISfvZPaz1oC&EA8m|HKj3qTfu+(`!5BDla4VIiAp?8GrgpcmdGu_oE;&!MZpL
zgsiL1eJi@C>qKDIsjW*`d^8T9W1Jo~Jj5)9v`sz{`=M{J830pNd?DfFzM)(^e!Y6`
zfXcoG!YHR>h1Vwj+n5lQ<7-Ly77@MIpIxkDR;W=-vk~g3)hb<><^8jim+*i-0v&)o
z+MRJ_SbkT82?ghciqYXN%XHb1-07TRBG^*y?q=LwxLK!Z{q~t#E7n*{#OT_xji9a3
ztz~h%CDT$mHiQpJLHMtFuF06@C~|(~Ld#zZeG+3AM**5RGNoAPi*i?@@mx5c6u9h$
zcez2^5;U^=sr;?hiTwx?r!bOl`%JY9>Cy+sYO92~ecuEXF{!=2nMm`_kMgyc?#;$L
z6`$ytL1?MljMqHDE6V!w`&mQbFh9tA;3lGM{#T;|Hu(RVjZgFU_QX++)J*76MjT-<
z_i?z+oI7@a(n4lIEq$=P@SEq=e~MZg`VoDMPEH?wLOIrWSJD&E_W5TKK5gKY_gI$W
z)@QU~Ob@SvD%xkHNdNN`J6+Tyfp8nCw=+<ohdUnz!4gS^1~6l(Pm?fG0pNoG$%v4e
z&xi*P(&ibyWS?yS@EmA9&SFsy$9=?1w`0SPTn7!~z_Qdd@uMm_UFt<!LKm8OBRZ3z
zb_uI`o*DEIyl?ytGy5VnOHv#?O-!VxQjGm@Lgd1JTAO-MRt~eZ{oq_tU~?&;SJEdV
z)Ld`AyG|0R4#)UZpFo#0Wl0Vl{;MX`4R5EV=H!mx8^GC04Zlb930#*J^kI3@g@X!%
z2tFajVBL-}mEq1+$2)uja;q|W>d#)b=7a&kOC-YG(I281Y_1<sZ-lHHt->qZqW&3M
z-Gq_iB@38bVLfr57ezNi7-t?D-=TQEK|dQ30#u)8Q#XZ9;<XDLlUj_6up2?Df3Kk1
z9it<k65SR)DrP^>-Uk{2=Yl+IN=cp|utT$y@`f$dQ7w}maKG3DZ5{hb;JsXpofzM`
z|Kv;d+j1Dsq@ZtUkdPCiM@p`tMc;P~oLV0u04xh(N_H^T?jrG&T8VT+?B*l0JfzW|
z+P&^0ke_se*BQfguNoY2r=7RSb4lGO`W6%G0&kXzfoBHqtG3|Rvil}4X*z3xx6Py)
zi+8eR2_GAvsa|NNd5M3(3noM3d_IGQVO7F-%cP~R;3X?BX+NZ_o+<at#Qb@z1?0mI
z?6W19*-)fhwG$`Topz)S^O_332^){Xe7dHXPP)xM^+<Pvy77tbRZzszZ5Mt?xV~8N
z`ZXS3bc{;c&qv+UyK!pSUXLxfIs7sDx3HPbdIaUqNbKk%!qk+dfyAkVlvDZ++u0vV
zbWo#}-Vd_hcU={Ygw47Z`NJNhprnyC)wbqXWNb3Y0;CLTcWre=E=P49ll4eV`ni`b
ziGv2_U3iBhxy4*-x9hTT;t(I!^UZ~SYH@fJUj3SdJ>+yOTpsC&Z{gN#u7S^=Z)m4G
zu=@x{q(f;vL!zp<*O$c!^DzMbdNQxB6sxWiu(lrmlyqdvB#wr~dc|;Rt#8<9nzsNP
zPjpF{HYzY1_QaWx3367`BpzHC`P&_|9vC<$pzCukm07((;VEPnPro#&X>Vp?c&9cX
zL7x)qTDM&}%g<3c@)@F#`Ua(L7%0wbk1SAUfLdLu5-mD?{vGV#@-<eRZ~<Np-{7tf
zOv&R0$!x1Qq6SZ-mMX>+djK4If|+?$EIm2o^Pk-NbS+kL>^1y0(=$z`3|fOR9mzwY
zHg!hkazQ<fb~PdRjyZ>7^DG$Pdu&)LvP2)o0GIDw!;=t$^5qdSB@bPBkdbXZ922rP
zQrA0Ntr5a{#uMnOLDc^njHGYt5f<(Eu94a%fxnseIVPBSBJoFq(KBNod5t&sn5Ab{
zObC%ZTE(*+c*oEze@N%VnaagRgac_aZP(>Lv+aVS_Vt4bg9rX2<mW4~tNqjPb+a!d
zkd(sP;^wnH_73ia(7#{r4XL_E$8+>?C)?El%uuU6tmJ_T28-1r3iFqBCsDcbux_YS
zuC)7jh{w(C{=oaTzqye_S3LP%2V5bP7?G@+H*fJE@*(f_D}1|T@Y;~2_z_+GWoPQI
zx_xg9Bz^tPs;8YTwn>H1&``YD{{kmC5=(G!P_&y{l@=oPF%xgIk<jsTeIn_9jghqi
z`=juWi+C#uE6t0!oWsld77(U8Ag}wy@|8aI$-|6P#$HO*`2tCdl^>(a9Uag8I(N#V
zUZR*IYb@xutvP8!k<^eS*5pA(Ymc+uITI@Jp_TKqkH5jH($=}mumzDOa-&Q4z}_P<
zBOj<!n8m+PtN6R#_iTcQj*PA(12+?;l3_+3)i^kb{1~v`#p7y}gddTv0M1O7(@;ne
z7{X~7GNL&By6g?-s;`N&lGPa{CW}c>a`<zBRX(G0DH0N>!N<fUx4d;C)dy)l;|9IG
zI~`npC#w+Sp@RPRrhVr?Pz5y#BSE40b9?zcsZ)3Y94P7{wZ9@Zt#Tz>cftR=QUq{}
zLLI3^bWa|UOd|%C7N5~l2LCrRMXzb2Wu^>Q6?#C+Gt66jl&~W3FWKFKKBSSvC+SC`
z*7nJ&zO$2@;k_k0dhAtQ)x*);INj&iG>G}|7i)@`RPMm_Q4Lo<Ektx<MQX#si9e!|
zzk7y1Il|5lJtrWEzQa5(JM%}vgfa0D6tglf)pN{aq8cfCmSfYf%i4<0ncf&{Iqb;7
zma-lP8<2eW0Y+BSPGH)jRC42`g;FA};2>Oh_lo9{dd=Y=PD2d1sh^0UUQ1S1AVMc@
zbCo%BI*r|%u>m|0I;EyV&2BQ=I_`ZI6Bx8+cy3dGI8!D8BE^}^63+=zhwiLQFruQq
z$*{=V5QqFjPBxCk1|Idt_W8`QfAM{nbd;wd0$t6u<&lZVX{^D%ncN#2%ppt??7h<y
z@KflADvHY$l@_)DTwJDcA$#7Rl9cFMTb>55T0i;1pg$^x9_y~;3<ES!{1~|W{YxV_
zjO#@eT&U(vY2O?NpeGMau9YALGABAAK!MIwD*XeoEF&y8Z%~bRnvyLJPuETo>cCZx
zV#4asxB7)Dh%ohb(k4#%&!XaDWutKF$QUi^h~Q>TdBO&m9{m!(v_q~|(Ju4O=AQFj
zBI^D9v(r(;8ItgVs^jdxvk;C9K{vG4B#v^YYM!^FEi$XCdj(7=OcH)f)l!ynU0_O{
zsL#d<5TnZHK+%e+`qp6SST_1H?eNm<g)HZXS?@cHHmg|YK>4lu&jM{E5x|FbtON^j
zL+Fon_96uQ*|=otxl7z)yB=Jn3%nq-mx>;`)~ypFJ!l%wJptM`hvv<eL?ai{V6>GT
z)Uxzqjo*|B3c#evM+@q%@WMRn5KBHI5^6POmFcE{L&P6HNSKsvLC0kfHr1pAt0Yrw
zz|zLJsF7)|Oy-6wsTJxD#|7Dx9!=%Quwe?%StA&<W4=r=fcKLZx(9xQQa_{Ko`Z_$
z$EWeyN~x@DDH+4$nT{W?>9QY4Jlh+WAk5M(<(ehISTfvdq95_Bc5nm#i2I<p(!kkr
z{~*?Ebg0idH$j*Oo$=I3@nczW>3IYPWOGBx_HFCRCL@Dc_NdR!vH<tAV#+%t`01~C
zuL2g~wufd{aFAKo4~i-k+ifaK1n;qg5^Pfp<*CZdiPko0TJU3ckS54a@JAFXlh7=$
z3NJh_KEGROwVGZUmD;kfCBj1vO3%zBY7-mq<mw)|l=kVbQkR$ACcU$ueI`fh^<ccx
z^f^7ee@{gw^sjJ`Hp-H6b^OFxLU)kWKDlL3{dv^t>;&Xc?YIrZ(fapUXwtC4qMYOA
zKk6~st&uSw3kUKzOPO}VPYQ2b!fP_tbK3c;ORZxrUXP{WveIUN4P$!|*g@(iKZA~R
zyt)zuFs6^<7~nc-D)o}~X34mu$3(!v-&_Z0iyRtr-JF!bbq}Yclh^`^QAnETlkV2U
z%N9bnl`~I!6K2W}_mqn&#pan2OR~MfEhPG=B513)*j_CI%JwtMY<y=j<lD7NtBGy8
zO1HZ^ts0x|Fy9cHYw(g$J+8kaAmU$7!asZjxp*KZHE`h8f9{zRyte$QcN8&!cI?nJ
z(6DVWX2hmuZP5{lERLBWugMkap?mHejx3{;Vw&_;_7*mg)GAO5nvPzDZ7f~hpcQ->
zE1_`mv2QdV4i6Wq{|gzvvO;E(=`5%W9}PV$*<$tXv}efkxcWn2l89P2!I7U>2vZYf
zQoR}$NZPV3yAmQo*0K?~O2%9e^ZWsJG7VO#bkfMhuBJl{N)ga6nA+=)pcNUjnK{7E
zNttb`^u@hSdpY#j0I+(OQvuLyZ_whtE83xSagwna_`X)$ezkL)VB*tNdUgWV#U6)_
zyBCf>uPcMaT3W<=Gs&l)z1rI;!p4l53M<qIYzQWzk2|cqMFA1*N55$zSawhPwmT52
z*UBd8kl?D|*eCaA{4ZzIHbmSHUE?ECY?OeceyFWRI3~V?44M8h=2>cBS8|6=XX{IK
ze$p&SaTp;^1Hxk?3^w1F&j*WzGoG<7g0t?6u#sj@!5I_F$NG(Tp=F8!17zNMhOCma
z_bbE{=EgkGDUAZ$d?cw+F)M)|V-`+u)|#KO@eKNbiXG>5bh(o%YiKf=+jJ`|&)Q%8
zVE#%VvM_E<s}EL>l36G_?KgFM$Bbod-U^e4{1y&!L-1r2Y2)Edw3UHxvc`e!F7+kA
z2<_VSnkSvR^PoTbHja;r3)|3Sd#JRbDdkG|DIFE>>D-D7{W{3biF#tlOZ-b{94K{w
zrGBca59qy(g;!JdBchhQtt{S!Bwz{nA+{(%#Bh1IZ6@K(@b4a@Tm$>>9Ey6Y!UHh`
zf`vc_0%HD=I{UXrcm2}3s;89^$&e}?oPUOO|0hcnu69Sqw|7jKh5RPR)U~;rT?H^d
z9^-^tI<vo71YMw+YCO|V@bi!8J7B3Jjz+&Pb{YoH!U?<8DJ!f`-Tz#+AU?|axqxwX
zPhmr65Y4~Y1nJS;|K0`CuhyTWLmHb2Olg6@aCmh$IoCb$+5{o}`ypP~Juj$>Cx&rW
z`E;1KHkmj1s_9Au6qme^ym=@uO)$}g@t>bbsO^hOWOnP_nG5K~O&Qpy*<JUy;hCB{
zQf%JF(4namQCO@f)JXa0zFtUV2!?e|>8W1TWpiSB=!^zkdxbALAjuv5woDV@%3DDT
zx-aRJ&QdPFIUT6A2b(E=H{^Kb<ulZLJqf+r6AOW|Xvw0fwC&w;FWoZ~rRO7>^#D5Z
zfODQ+EB^Z*ZCJaS!$BnxHEN~562@(!tYC<+IDn^j56#nVI*yem=oQBr{tgKahLg!;
zVI@>rHxZ@Q$V{DE-~t{)pWyr}QAx@7HX|j_%zfd%k_`NaVJqQFz@pA6Nx?B8t@skW
zwN@W*HmA{OPFj<MypWmMA=?c$q|>Bg{nKkQvB!-2XyJ$qd(cECILnfUvJ2#{)yWCT
zmJE)BP6*5g6T<1J?1jbmfpP5eI3c3qv=}irdh6&EM<7-!JD(L$`hxC()>F$$z<Nl7
z;v&4B5O@v_irTq+8aCMqbE(Gr$8Pc81r+wj#h*LpL`x(3xjmUCvzlXUPOe|!{ptEV
zIR8}tH{G5y(q}_sNJ7JUg(SERLy5`FLwt{KX&g__FAS*TmsWbsj0^&9+CIx3%39rf
z$zvT`h0VUKi5Yf3riIK-+-Pg}smzL#PvNXVW1T0TbLg|d^%J936;P4w+&A`1m))K%
z78B$F<Nhl+gQMV!(hOPZv>3N`EN$}(id+SQ$s?waQR|w^EVCYqH)8!ftB>la%@UP_
z|6aUCK&JpZ9HMSDyObj+30*+jl6>Rc=30o{#XKlZ+f~iPheCe#=+3g1wRic3vRVC@
zZmqZC3lSovJ&F<}CQUPYIy0&tdBA>m|3+{fL_XXGBDcV{6dy*8QiKBigF84v06Li`
zZjmJ0-`hMXOeQIbhUy#?^xii~<I$kRE*>ilu#p$VVg%LQg8J+%$E2Jja?<!_0!LFo
zo<mnJOle3FCnx&&fwxwAp(fT74xe^4K+y)*)6ZME0@Y4W>|gJQ(g<FnT7}clix5QG
zRZm3UWk!K0cABgtTKo-ty`SW!{Cpe=;I8)~Ggxqxm<R#YLNxlk9&h)D)v`#d^Z<EV
za9SJf@Te}~5umcB)$rG+->wO<PM&3EX=iM}6Yl0rg?*Wkm+JJWYROR(<X4#IQv&b@
zR_Cm2=suy3B@Go}JK$cZOt}2>LY{EzPhij&iI4GUps9BXJ2`$|(2b$M@l=`WjB}Vw
zUW;-H;(ra%x%y>~$G87cPx%v-X!%vuH_Z?{cpRervA_V!a6iiTn~c?%zi#2a&XyxJ
zv(&8QcYgNJLmfTY#?vc6jk%_#FxM*T8eyyh5QY-2<unn%(rE$Dxwf<%L(zGt5Ugp4
z%&WO+e;V}(*40oeSfCUQ`u`JkXu!@9lf8L|3-j4x51O$sh>wfCAz|-_J5Dc)Xr};?
z1*iy_Iro-Zs>6Vnjm8zx#MI7ABSN9W!K897{&Xsl9YP>|QQ4+OckzJytFhf#YiWN>
z$?^p$2-Nul6oIg*jjuvgZ^+}|2KZ<4$RMKdZLmSmMTRRJOPa!q1dt-mn{3m(V&mWs
z^6tb(r|Pqa_0Y!q_OyumD<_nH&wsOU$xr^QQGc>ClZktyLJ1OkMx0?i35_Bcl-Dq(
zKxO@b-4X@IAdO%b_Kre%Rmv1m5u{v;b+LC?PET96hDTHKuJSvS2U=fTfkc#|=VFYA
zwiN`1$6A)d^hzudm<22qTGmmsPm=eSOhV7pHpev}89t)9%KNo(tU`@4z&yoEv&V(2
z?c(!Xki;&8V@{C6_YYJ`?1a`2jVCt+k71Mr{dDfi+B!##x^hMc#Jwc;I{>kTG$)Cx
zsrGtSgyL+x#bzkI%urJU$wg3&{t5guHx=wkl@lScpTd-<gZONeZ~J)A78MIh!MvKX
z**s%rr<jVqPuTp01NcIbk-pm~{CJw1@;=LIyhg>LwK9`niODp&1AQAZm@evDy3C}V
z5f2f_3y^s>fuEYGJE7jp1TNV^()mNp`F$e)U@gk-8Y1o%k-;K@Z}|!_9_QwOKnG)u
zvr5xP5joNoM`M|4!R=$6_nte+?st!lFrpdxXi)iRdq^ixtK}(pbDXE_VnitBn_K%X
z+fO7=oZ*4?&hot-;xsU0XwO-?Le4WZ<hUuj+>xrpFO!eb1+)2Q%P34wM@9pt*nC`A
zUBjvZ2%n=<7m+Fo@!pmrXph5bu4ykIqlczr`qOi{;p5H(a20qXwia62_wwHYjOy;n
z&^|A1J8n8sfSV?TA~}C&)5K*!aG{CZ-%t?pZr#_UrZ(}gq<|rp_fxk+O!^A;kJg>-
z5?g5XAk~#d@e0t}zc>B|g{?efNuevIr>K-3A+@sYU~L|dP*3be39vBAx#&j#FP*Cf
zA;*RajJvUfs~ip^5x7#+RBjZ4vGM}#HVYY+R{Oav1Y&dn(3tkY`MEDZG$PzSmqns{
z!LyR+3B`!roHPOtjs7%=y3v+IU933%xldog?r29x$UL*vbi2*Tf}|clDKC_{^|CPU
zPIar$SOr@N31_lUMT|I@r<y9?-t4#!OkU{Y8)Ljo9vUpI-<0IRToDex!_;-;t#uBr
zlK3`NjY5<SEZRuK1=(+&K&SIHO=EAys8o2`mtzn|NP@?^RJ1q#IRhB82>2bd+tVIT
zyM^)5)EEtIG92dj8O3L%PvMKRf9PyT81hn;QfS#^+#-sM^GIJfYt*}BzwH=NMNF;p
zEjkew8aD-VeAy4Eg{`n}QY!W#)E#h3`OXrjN0|*l#J#}}UO&bOp`jBfr0imF709^E
z%y-Vu{ex}A@tkn=p0Sg(<pNi~N3}u`HCHnGagy!g;a{b&OG*Qe?f(`V?k1{0qPyv+
z_i4+ng;Pf0Q4T@Y+O;I{uCoWN(=^|s2()K?8!<J)YSl83+{$;=E{Z9*`N&tUL;BnI
z9tMGIM0Dy*V+#!Ek#%+=T9pWdX80i&Z^aK~)`R7*8hel*52_$F;W^18&0yrI(@zb=
zO?D4PwnJ|?Aa2YJ!{Bp;263A+ihCb1Puy)OLN%LXkFXAV+I9OiCl=iUIUZm<#1*>W
zEjN3GzO5><J&@K`4Hu6nC+Oen<r0J=-+ui!3B7JYfYIciR3ZBDGK5N%0y<gNNDecO
zy(kg_-P5I3XOq)())&wFisUN|oeG=0evkh^Qn>V3)bv)N?Y@_<9m`#Y*yWV!+*C%8
z*~^V)7Hf}B*h=SDDf65IIbBo7u+WiDE*Ml3Fv8K7QcVJ?*%62Ok{0r_7tk%Jv>ECR
z38v!gF%cKuqEXJeB~$z^$p!lkpJTP`$$1Oty$mtf=ux9saQ(C+mk7==r0T*M-Y)?2
z&9T)AYiHd|EZm)M%?NH!3jZe?BnqP~zU40c4_0@ko(S#v@1o)EjcT5)_FZ+lQJCJR
z3y3XxR;F|YV_ecYiV2CC!T!(uKY6fFGFin)&6K>&24(y%<>9}@(N7Z0i?aQgT$S+O
zP|Ho9&s-=A*nl#{52&&Lv}venCp04406~ZLY)Z^DMJhZ(b0E-z627d8PzecnV(z73
z>-$sJcwy0zLs9I;sAbjJzdd~6$Zn2W(nb*B2fJQk<G(tH3rI2MFcRonk6U6jhN92B
zX%CoVi*4jCh4j@o9bLhdc=vtE%d@zn_I&leO-F;3fM%IWPskU9NT*BllN5daUw%d>
z*jK>pFpUy-UaFQNE$Wfm`@pnP0)#h8Kag4wm%C{_3Y%@8m`%aE%k4e;AJCq~`r#ro
z!om55InhC>)jtACN1eX;hsup$Nl{hxs7m7$OMgvc|3fKHDYV{>-~ZjytC!Si4XWIc
zSs1wXBz*mMX^znQ<@)U}#CXrRV4J`HT}TGt(YVzJo^s|(ATJ-iPHSCQUc63Dup1mH
z8w7OjGZ9N`oq4wADwDq!ye3y`aM4rxtF(btda4Xt)oRNOZv_+yI-SgLkaw(-sXLq7
ztcF+~fO+D$cp+^3=`tR`!kPq$BYsDL!c5~4@u~}9`gWtu1EZF9q{I_+<_u{_c7krd
z<0c^sJtg$Aqe~|@C^tzqurHHf<Uy5Y1WFVZ74VpD8Z1^36diGB(CI)>?DrLryrKR4
zF%3Z!r4r0=H!unk72&Iz|0DZwbxn3!c#TF$;FNOk(I|YrX^q!A0b&6Bw676weRbE2
zcq`z;k-1QY?%+Nl%(sX)VaGdq7&!+PYf3mGzePavTh)L7DFV5R;ib%vVtJCe5BiBm
z_cdF3Ltj1|7~W|qN~05r6vx+auG+yas>=-50nDc0RT;eOhrCJUO1gN~7!<2o)GF-i
z*MOn|%}uI(N4F+JQU^hb`OKsvle^8%n@w92GMAc`n-^i0g6;n4T$!^Rkm@^$S=<E)
z;%}zsie1L)xc@9G&&{>T3!Bd7-3TbYIAt_9V3v{bq<uW1`D@K#FLwxM<gH{=`INxY
zuic~2hQ7<L%Aqrw%F6>}sC$o|N%TOnj7+eGDnp}T_V77~7ijy(!OYxd1Rve=;Qj1V
z6}oW|t00)%bZrt#Mzj^d=&=dwV~nOnx6*YnpLUZ|0zHotDf~rY@B?IMFd}8IZ7TBV
z^aLs)3S}~OG_1#%o&3ec@}Spsb{tZOMx2Rh*HF}vgkh76{%#09widfn7oW;s#~g7?
z{8FMp14`ZU39wSM(Jd<Pld4H#qi=aYNXJPxf5lUuPm}|j9=cUQ5$rrVf=ME#t$anR
z(<<pv1Fmn~8cIFr&D}+I(J=_KkRy=rpyu>02-=}>EC>D30%4N!W`bqL)HbTgu;#=3
zXG=v?*uK*%dULyMI`ej7Vfj>3P+SWhnz~l9_-J+nyW3wEXRy^VNd=-=EiG44sAf{Z
zybTeA@1!bu1N8>=rfCGRzVq8};ph6H2sk`dXQIZ3>?T8$Ek?dk+IN;FhhhDVx`&Xm
zvH=T6CFyXU`+jfm089nl47s1qYnfQ$<G9MLSI8OZx`srnP9%@pFuSq!&Z!G{?6P*)
zf`<o|!EUqToK~{5hr@i5vuNN?o-h0Z?8Zh>kIAI(9lCE<Gtx(6sy7A#PVzwKy}nU|
zbg;4Vh88x|b66=NIff*M;i%DgwYl_<jt3>4Uh^hU=i+xcAl<iS!P>Ny<q<*SDV)S0
zuh@g~=LY@LOZS|$nck3bpv-nJ7|i>N(Pq3nagf|V?+Ido2<0+(pw~e%l_&ih%-9!;
z?DY$uPBPw7%OAD@6bP#*)L!f7RV=DIb1rRJQ3H1OY?!oZF9#$tZw8&aA)`;nH78Nu
zfa|oVpR>eEpm%x7Ih~3h^K>ru-9-0HeS+!tKE(N#VN?TRS~iz~B|xL~+jQEK%Jwgu
zKd5A30@r2Uv-3^Ibf$yq*Bx|co|pC=xU0||7XM`<qQvWhjoSX?w8yGfCHLN;yE@}3
z-6N6+5uGU6SQxWvGyU2q;!~lV2-0^6-tT#~hAJJfkvKstDz7gj(}Ms;J%am~;r@1M
z>9~LXUd_0Dn<yAlzR>}~%{|2$fmO}cVv?@GzTDfgy&0z|IHZ9g4`)#J?(5Yc?89W$
z2<Ff1XTmJnXli^T@AF)_+0VKsTd7_s_TaUrmJFbk0wi}MBAhQFiV}nA)Nf<^K<wO$
zhNn$Df09t~=y`;2`|BCj4VPFQ*(aFm+4f}Ca{ngtr6Sds(ioo7rXKy7vp>2dr<iTu
z*5`!~hG;%zYh0TZ`eg9=ltJr~X+@hrxgmjI6K_nE=_crS24q%m7!Qx^KG7o_IS1zf
z+Nm`PG^#|zFxeVbZ*W5J_3)3wc=X{U(`-aX9S;N=0WVx?I?2-KhOckN3mFYV^((n3
ztMJfJzu^?9&=)}ffwT&!g>R||E`GV87SX{#^u@?S)tGSrUiZ4P0uBjk9H<$8yh*Ia
zFh5b7l8y?hk-Wv6Qx3B%6UV8@Yo@!9?IA*Io1!;%lpoyG<_qT?A^v<+VjG+%8J6r4
zL?&igMYgp%B(+w$wyDY`Y~xQdqP0qNZo*(>(XhleeX<wV+%;(WFyzihJ10BCJEae|
z$0yl!svUqMVE+Kv`Ygq`K%r1NXUYMA@n;=Pg{bauSSRaGsK5N_QRMX$HKX}_BPBFy
zR^@%!MCfv(Z+Ms#HL6@9_s7;=@-GC74g&9mN`D{WiB&|4)m<;8S(y~OAm0=WZGHbm
z>`P1?n3c1GV3_V^r5PJ|Z?}M9aIZw8#<(4-p1UGSyKA>7o733=Z^zzXZXAxr13e?h
zFS)<zylY%#aeKE?ogh%U9(KoS1KOpM0}A5qw~~8rX?+XlU+$s7BWk$4Fxkbq-(uxs
z0zWo7g?v*0HJtu`zIt5B$X-HdvMJFAgI6k(#B(5(#WWJnytv=&-(p@BJQOc)3W<hu
zbE*6&4Me}Cj$hl)P<4x=`cdjmYCryxSg>G$Gfj*HtTn__B?Qx+sh@i^a}ve*EuCe_
z`kKpxAle`~(dsZ(OglS?b}STQSTXGANb3#OlqJ`)WGCQdVZlg>3B)~rBMiSgpYC8J
zX>Auj4j>9TCodAvVve&=1+EX`IYWC<|AW&m<ER)YK@_TMD(XLD7Ad`QDd9A99{K>S
zVdA_U^RK!6(}!bv&N+aOvKE?-N|vKT|07#ptbo;+AsKIDWMh(6EPKgrfk{mRyao%m
zX#kOZ`m<y46K|E0rBWO$)Tq;U5DZ~+Y$@%|*2i5uv|}MUlI{S`xd9_!Bdq<^r{xP+
zi<Qj_1z&w`&$NnkJdkhDF6e<0>!N<41~;Z8cIRw-(<0EY3A9Lok$U!XYzYtsLFU!*
zhS8OIw8G}pAH#Xa1P<+>C|bJxv-9ChG`;#md)12E`$_l`4#JlS=gOSjU~nBKL5D2<
zR>bU#omrRpuOMaHq5?0E*E$)M$jVyEV-XpYXoM~x3}nVoz)L_QuU^3!yy=kBFeF4c
zk<wE*>v7Zih#Qk$88Nft)Bez4c*%d{W-G(nIekz;lPYxQO5+<1$ov01FTjqN)(;gk
z%{P%24yiB}hGqIUxIne@DN$LN*D)b-_wHIEc05Sxq0KUZC5cdG(qN&zERh(lSMt6C
z*LuZ1p#fsu<PVT=*(Z<v|9EU&&Pn!$dK`6-kwDHqgN!cc^~_<3P&J~_^7kS^V-f7u
zR@E+PA*=Ona$_J76-4QR;mZ0hCxn?;9rng@NH*hz`td~u#k4!=`(%6fFb6yp&j<2a
z^zL|d7zCN?`qg&HY<CiVH0=x=amJ2%D5ckyTZs?Zh(=ylU$VlrI(6((M=5}6|GVHd
zpf4ps9Oz5fX{Rp3c58Ip4J&pjbps^$5$j;Tvx?tNYSeSf{u<q8K8I{gQZUiSB9*zU
zT^<sqVA?}X9veYAfV%YqZ$i&sZoN_7?7r|H2_7mg9^4VMW7nRclKJ6^?A4TIfW;|N
z41)N3Yed8t6s6eM9gK8s<NR$^#m`<bgg(DElqF=jo`>>f%hR-nw<76lr_i8*%~;wl
zI}ORn1U@K<;@Wglu-kj@kwFjHmxd;i%j{bu<iU!oY9}7jnnV@Jc=VLYDP#PwP$$g{
zh1`Wk8yKBp4Hy#p8QhNgv<vn`g&kCqxW(w=yX&s+No<HvSwXW#Tm=S$WN>dT6kNN{
zHfg?clsna8_xt1nzN2(%YQd7pZ5P)0Cq8Q1sIHos#rA{4nWDP)KiYYMMbaBiN^HT+
ziIpLtt=xq4QPRSx!rM0i?o>9a_>RSlt*MZo#ZFIb1jdXDwZFOGES68iH&;TY4s6f=
z*bP^^NKx5x>QbEA$v_owCXVC#VJsSpgVH`)-jy_mS$w2ptiZ%#Sd)m!(GBr8W0i-V
z0<CGGn_Tn5G+aznc#$xJ<z4;sX*BYFHinvmc_{vabv&Yqn@K=n3bi#L%6=CEc@^YW
z=y=M}7<!A9If4^vVZaJ^cGn}H#*qHokeUa$zxOX=#*Y5w6x1dK@rkqt2Pfj?F)yhQ
zFN|`8b}UU2(AvZ>;J}|=k&r%u%Eg-H{kx_Jb!Ey(PyPvB8z{b_o{x6{<Mae^nOaZ#
z*jcJg(UIwUXuAjJ?XWy5=_QP>esfvmiHKCY4tGe3GRqru*rJ%z@=fcPKCBW}yN?H&
zBnG?v%{fh-D|@nE%@DLE;6&T(dYb1q-oTJ<^h+P%ooh8kSxcUyQ_a;w_y-;{2`6`-
z4qy7dSpn>q&8+!JVijC%fU?$E4(mJQ=*C^gVi)Fz-vDy>HFk!Y<Pp<ek`h$uo1WA!
zHv9;gXI`LiB+LImKS;`ZW1wepbn?$2tLAesAsp;2Bb4`heR|iFr^`<E^Z_~JIKA0&
znAGK+Sf0T`baM4-t#O~V&*TJLRB5hkxknu>g!T)nL0+6ZwN3vwiZ8%+4T5;x0$B#;
z$A9wOWX_Y?UKY^lH^|;|p{@Ung<`6Z@M?arSc~KR**AFMSaaqryi(C?CTQu(X&~Zs
z;tw=vP$`@G<3Wcmu0l60Cup!h8GMB!P<@hT?##oLEh8-*i0+_YA#hEDS~R!g=2^Wn
z(o7n4%7MuSi4@{z^56OP88_WDl(#!9bbcyR*E^^*W>u;EeKFe8j4&>1nE_`Sw*jV;
zHZ2!RUEfz#;eTpE_okIoD;}bmkAUzEPpv0o4;$+xofaG(9ku&gRHTCsaIes9Ri|xI
zQtu9&c=9ysn-7Qx#La{h{`6P_Y>AH+#73891t6%nJDh%Br-#_TXk4k1yky@&Wc_7>
zOyJ;L>gXu@04Qe9l|{>jw09VUGn(~d4gL5gJp?if*|^R*;}t>u2xqkX^b;v%mimTb
zWKVf}f)Da?a27kHQ?^xHLQ&g7YyKpzqjDNTG4X6R);5LfjAMf^{VM*Mk;Qk(W2Qy4
z!NBCkMDDT%LQ2%NpEKb9b1Q39NsJ=s8uq{Up{+w2mE$a1*s#6Yn@TLB>eEKkgjW85
zm%VbutnASyOUviwj&qLs2rM^~BAX%%TWt;Vnj406G=KhQ+-$G<bbQI|91`QJ@0uOg
zbCQu+iMg-yd$9O#bq(g84-NbCyj#nsH$|cn$1n_CX9)fBroWu<(N>5PQ|w4NVsGM*
zhHqFFJdj4pQXELj9d_t>`QZ(-*ibKpltzXm5<0WZmUo={6t}K7I6ZobV&hiy%KzrD
zRW|k4r?r^I05XTq)1dB}JoNJ?F5B(@+zP6l7HB-{P)q|q&*f_8e)JpFoD~JW_i!(&
z-yIiOEJI&=AspE$j7+P|#y&yu<Zu=WAVI~Iq$kHo&r-xv^XO3aahd4!IVZ$RVP5bg
zFlkCh8G+eNTEPpilV2ecN_AjSi}T9~>8TTlBv8&l7-bQul*KvH^hnM<1l*0(tm5`e
zR6NG(1n;{cOz=8oLlL4Ye5r6=X|W9ItC`-m1hq;Nmcp3159aOq*cs_<{+oG<V2Ctj
zoCb|tg5lqSnnMCxc%FD@wT>X+GL>A7?d|7PB|-v$4T+Bx4*QzSa(=G%hU|DBzJ0is
z@K$}~X2_Dkr|v&$Ob931!Bs)16%~PHoIKO)PQ+7%jPN6!4x~@ea+x|c5dK<y#XTGS
z54W5pdkanQcn957%dNsa=8MWLIRHZLi$DH;R4P>W9Gu+WWY?maD8-^aN*T&UlDEWn
zv6Jb2g3$cLbF+WC@QTfWN<$XHSjS8s02@lhpSHFI@SXe|T`f{AH<oP#-sYtPV$$6)
z{h&6&@DRvpUqN_nGS1N&;f0hu6uQrMY|F-vQkm3aLGDz9*-4y@SU|NP&ig~$S&G8L
zwQ-mb$Ha>1#un>eH6?#$j27ss@PBa!Wb*z2ghSZ)K{sYLk%nIUGZG5*CQGWA{g4&G
z2$Zo*o_alw^mP8X;}nse?a74u4D*Nnz-9g%S$W?i4(58r!pvjfwx|-72Tds@_)5Fn
zvGX*m01$Ftz+?Y{jmQlx6q{DlyM1f6-n5ZyXEA9^O_0vw>c-#Tz;|E-lJxpi{5otQ
zl*LFuA7nxR;7Sdz#0HsG9mss2!2b?j)Xb<hGX}fCI3|OFl1p4S9LE+YeVU6pFua2x
z#v&InmY(T%T=aP}e&p7fM#MvmlxBF0QipLQP<)a0SW<%KL}6VsL9Emiv^>G6kq-EV
zFIfi}`BaCcc-PXZS9eNb_aT6%Y~LS7fJo}$5n&M%TE`M|RhhqIh-w1eXG`b05RuAJ
za(b_qk~A9TD!BEyY_`6Qif$7|_cB6~RVX~#t|jLaTtUJliATbldB8jun^m<|8?tV%
zFokZ=CljiH&?2@_p>zoBGy5WhL3~Gu=SsQZ+d#+XTSTAO5ehAvF?qA4n{ftpG=~|J
zn?yVYp`&ZzydP_Fx%Vg<;2HXV5sv$P3CF~|qWtj2<kImq_;ja>`4gz&!2*HTmJFsp
zlCRX3MY7Ad-%;|$e;TnPZd(GQ8cIzeB${`m1#%dSC)mf2`vmdpbnUnmmWPJNuU6B@
z-KvPZS>g$Z|F$~|*Jw)I3JFR!^PcLn1|9Nt5!<08Jp8TIEc*{By|uS`eY=14g`3^Q
znYtmWBxFI-FjA164Qrb)ZN~Cn%&6X(kktG-gg-vqlR#VR6GN6;RXtE19$Os`@Foo>
zvjI#F)D5;ReyAJ=kVSrI&Y2JGb2*JB+f@S=>3=|PDizjdT7MYe?wE_#X|;U8&-TP$
zMyvqMc4H3}So`+w9tb<En>cGPkB;O;?S#Y=pA};j&Z}<TXKDJIG04Ma>kcU|to)7`
zj>oL!?)1V!Q88USOnwVR7FK1EPJ1)l%-B{yQN?ZQHUK75hX4s*1z6Ey;pcRQ42}j2
z3|7pV<7b#b-^I4F#`{6|wRBYdR0UF<p8Uh&$=-m?5vI7g+Ltl?`0lZP%`TBKt+GJE
zloY9IjZ1nZcm7VMH0~i{Uy0kyIIo$E&7~RfVwtU6(IFjZ`3JtGF^bj$HX#s0wcXfV
zh59%(K*>=TJ>*53<o&B^SIYUZzdYPiPzbpBtNL<C=!zP%tB-r}-V&f?C`Di!qG(7Z
z1bVcJPS)|>p_tkH%Wyz(eo!^bzz<n-3@Aw%V84X$80s$64QsH>?V3TjKK|M~Ytn8E
zOJX>Y!V2KZfX&}c^dauR-q8B*7qzEn*FbG?8?Xeu_N@eV++uNJ!r6sJo{yGsGNZ^V
z4}M{Lo}{Y(2fOoz0G?u}Q#g76I`p*Jd}Rt^O*6_wl44P}+C?O9n2swsNS0hZ-DOwd
z)`+2bR9QbSu7o=r=@@6iRi*u3HP9u9r*7ZJ1D3x<kgRS@v^lH%os0&&JR{`4qxb+!
zpY4Zd5vsB%1vg0>7C^*}ADCap4$d$652kjEgPlR*eiNF6Zpp3alnTz+SeJj>Y><{h
zHjqj^%IdtJ;En^&Z0NQVAP0eDgqyphur`iJ&-Imw8|?z6$19-_Od7HOqh_qhW=6T)
zf57RiE@q6oTX@NC#!L5Oy5zRX7aD0&b)-+a+*UF}>{+CZ5@*?2MyD!f!#wLXiMtD9
z_xU)|B=;6n!77V+1`$7b(ypv6K-}qD8Saj1VI;9v@<&V%W|~vKwfvei<R`4c)iVNr
z_;B>Grs#X2Q&P~nb38I{wPs{f2uUlK#zqvQIp_V(foWYRjML0UpwcHrsVprH001C4
zAv9x*qGD%6vH`_)0mTAiXuu~yGU&{a>UBplvNv;65Tff(m0=5a@&z2Yp#)c%;oo_2
zLY$tNFJA?M?Ra}wf(ZtUkA#Dwy(dX?{kQ?g2EhRaT36|G3^&q<%E>DuRB(g3?7Ip4
zX;xZm)BmA$e|(4tXm8B@(u`YZRj<`~{e|4AnRBmH&FW<}=Q^d~861E)_7#0Bv}X_<
zIU1ITSWMfUp5TPgiOgD?L6P~x{`%8>Hwn5P&w^&iJ2`fU=5E#(6pTBZ`vFBlEXwkO
z(nV;l5(jW0_`ME;Ml`{J?{I0xKpP6Cs=R0XgjNi<w+uT|*X9sLOP$qlcX3}z4jJZc
zY>UvG0dK<-2xMwx?U;uU#*6LDkk&rvJC#{Ap(cf85_S}ZxU!aKs<p82>zr*mHj|Mk
zW;FBBxx>`gJz8K%!S92hx?0}zVL&{#rl98btM;vyHz_mxaD;U@56>b-z)(_jw@l<G
znJcZ_xegQ3X>A7fp3*iwD<{dy%am)`!v;tbY45*zp)Kg)N^Sp8PyYDtgwT7IY<k!Q
z=5W+i^kj|6L<%soBsicWG`uS5#ltQ6S*Y5xQ!MA^nkD6F(4UHC7DdZ>A|lV`Un5ZS
z-}ExB1l7^z;K#k~Ix)4NPEE%y-3bngFw_g*PyQ0fjp%&mH-ML+oCuMzLFj`?Z8LAR
z=ax+?A}AGi31Ie4?x;S|+-33*n=&&ZhXTwNr!sg)n?A+BIzCx_Y-q3ow89?w+)Vx#
ze?!IN((~3Ceh%ub+Zcm?lhTFKY?C$cg*<(K%747!L}cyX&T2e&N;WB&Q+k7jFFn`J
zq`;8<af>gT{<7jA4;X2gFExJlH{xNryK(;W@)&+XZOGE=6h53eqE^T#*KNZ2o8Z=T
zOF|SLqq{xw$<CWCXtLxm&{<~QpU#gu@1h3`4bnuh=0Inz^(0cQJ6S(k2n-VElG83R
zr)LYpdp=g5iBqIV<I#?fRyJ2rY;>-`Fk8j9@wCYM;)@_!YZKX$>;VtRMc3FA3dM-%
zk3zM*gU7Yh`1$b4XPLEBWwCCe9k%Fo(chn(va^O|vKvqLpdCGesb2N*zh*{+?}?W*
zmYGlcTzUoh_k|m?=;Y5nK}lF*J$uNm6Z;FyliFpO(={?n27I5ex>YdOmy!NI{hK<?
zU=UjBU*SNgpic#awdo33K@*j(54BX343&+iw*+PzmH^dPn~Z#--p$ru2g1%4howQP
z`P4BXEx_Crs?qnGQt`Zqy1Kq*+i$PUJbXd$;&yU(hSDO={x47L9ZV{IoGH#ho7d2)
zT<c(KNF5s{tgQElUQa+u>>Ls>uB&8+{`6AOPylz%p0aRpqSSB#;V(s}VC#{&rU77T
zOAvPIOQqf8u>JG>IUXuxn3UJb#YM~)_S>#03OJSeYg`FKt+08akJr>o0ed-uf0PgB
z<uCh(TMAM{ZIU`I^zYnT6CDn%Yx;EUpizet{@Xgv;18K%`#+rpM8DRIPRdRUd?T}s
z@g@JnUX+-pu(V$Cd}1@zxZ*#Kh@~W5D^8hJ)mKzmhwt&Ds?G^%Ce((aJR2TbnkCxh
zbDZk|=uiaImW#H@=_e|%o3{Xm6;&lBYn1m;H>0E%PoS@rH9)2oyY5M+Q+z*_`Dlp(
z@HiWQr4u3H`c1mLLmk9JR&XEmk+XJW%p{br2E7rms@|}dNC?5_$?!$6SS7^RzxWnH
zWanlnz0uTWs@?@TN3=PiAh)%hB1F+*F8tjSaeco+N!J*zh<=l2K?_}={FHB=gxPr)
zi!h9y#bXUrxHhqBArewH3E##r|EN$PNXE*>?*>`+D-BgF@0{^d4)B^^K|u!9*H|9i
z_5r-(pQt^(V4lYbI!YMbo{lQXwj%)3KqN@Bn8xCn@O_qsfAPQwpI6J7-VsfPY3F`Z
zsg;*QIt|?a;=PmdHIKqUG#Ddr^g@M2fcG=Yc%r!mef=Az*NvUd`GNtIbFwrIT;E2_
zXJW0OFGxVlb?zNyt{1T#sWKc$Ve5;l<Ld2kaeWqH+pt7C(|y43c0tVLP^G-9wDQRy
zQ9DNiAHIMS=)hNJjFPIDF0AMUEHk&MUjE<cnmv-v=>od2t|ZvdrQfCqymg}$TGq3(
zsD6rqjW0QKIszOoE=2>f5TDRP_EqdY<|`h82e<QP^fii=nJgfY_dHlg{#C<>!vqn^
ze#1Tw75*EZQsC||ayGRhNss;CU63mGl`%YaoAg`-Kx<{*qIhu%It>aJS&%Hqj`KXc
zkRtq>B7b03lepSHiGh5*B{1@8ijp@A$^vQ|OW(-AcpdQ593)JXe&hi7YmY9Ydyvv@
ztz`21d>;hlFkO9w{+1zrxws0=lZwN9<64H`Fe<M+w3d(%|6~zITS$oV@D-)u&cHZ(
z?{-AIzaf@`q<$s*6`VK&#A1+?FAy7V63rSd+>^MXzCX8Yq*y1l(|1WuPRa*V_#}2Y
zRKm+r$4W@wH3RnSvBAX!$f#$-;cIisUrQvOQeq63z4Y_*l0j`kJx}F^jrlv~0?pGw
zf$_S;jvpPuP_+lTdx;MOV16?2_6*UG^BVf#TtnRz>0;cVEJus<_^fI-C08TkJ89uB
z=SWpldR!-}+}Kd0KW)eo*icj|(|OeA7TD=JA2JeebuwW$`>^}<ZAZBn4l#e<P1!-G
z4+)R={6ycLbBREN6J+lBZNJVWG5|wByuYVkwOGFGda=Q&xc3(D;82j4E6|f_{^Qr6
z=Kp$gHBN~Pt;&@e!L4XrxHl;ACIZgy@?F-FD&BZvW(2C>$TM0%M94xw%>+dPgKD9#
zawZ$_WL=1--HdQ_EDDINOtQ3>Pve$PhsX&<ls8&l#P5~Z6*W7ih==Q(<o(R>3&K|r
zKaQ;W{3P~l!`!U`RAl&`9R~fAkqt^_o+$bTR=M+^XhM1uS$0dxo`61&Ni5r7NwE=k
zJWNuH^l<jhQ;$fd!L)UJ<y{Nou+X`Gy21IzFbM_CP33r|*b3{K-IAn5Fbh;5vRR|Z
zUc#QoKDyQq%!|GD99*)5w8X8Ka=87(v5$ea70*m^0c<lS;m1MQ??SSefW%xzUF9Ps
zGP1*`>~&$E>c+z{;<>apYL<;&8|MPP{m2jqsNE4ZGym3T*`=l2w2<#U@1s-I9S=$z
zuyWQv=Bp1VaE(!V_x}kB(e%M?a|efDYunWzKb30_!_eQCoeXXh4nF1_F5(NKv)*wq
z`IoH7x&JtH05`zx@IQY0KRdx+q<sy@ZILJC<RNO?)nA`+$S!3C!=~Km0u3`#;k}rj
zqq9H?@ouy|qhVq#KM71ahua7O-Mpg-0aHAkJfG|JYXVoVUUgsUPp%IF1Rz_ZuNvg#
zJ$*c$9$%)?Q&CVzSi8E6MO<dACBkKgAGP2(2uw~VZVn#_kynOAOjEVpwRoYz1^bJ6
zT4Ef<|GW@jiN3eFpkdzol%2al+&L@p+n2aC|DWQ7P9T64{~9t--PP`vsKi2L1>^>v
zbWO!XN<?<9E2qP<A^(BiYlz2!t5F<B`DbY3c3O>+!4n`($M7H0yiD$24f#EkGH(N^
zpfW<Vx*ZR9kbW}jre+>+m#kc<H8{T-1nZL!jY<d%j(JC-o?!5W$ClPi+MkDC!&ETH
zPB7&&r$5sUd|k23^F=aJ7kB>QWtX!<6cfg+sRu)(6H<8R6Ef$HDMY1KR1?(mV-KZL
z=CyDj)2fLr-@R2I{_iy<Ajhd!2u)j~k_bdA@F^gWE_^&InaVTrwSeVzZq#{vmX2ou
z1$~+Qx>ed=s`6HB5p=bxYZF{ZJM#gQ+$P@Fp1v@E=9Z({og`-GhZVwxCBE>NLkvRz
zvaj@unA8oTLhZ#C#(81oIvj6hZxd_1<3lhN>L8!^po4j;=d2RyiLZ1p+)V^T(Vv1=
zltdB3c$S>{<F9ZQ@PfZu!?DW>Ff<Z>S!NsqmB(YhM{yvtI+XUiR@_H0y;^A5>fql*
ztK0qcN!>k}iKs_S7_lNNI$_bD%}Kbz=iB{DJHq&lD_@W*d-QlvY;mn4)BeNtEt751
z+u0XAP|`?&t4Pt!Nj!zK1A&-m5NW?9>St1O5Lx0;f(v24JftNgJsu0^(YCZr4}Bo+
z%k3Q@|Ag9Q6XBPS;vtazV6^`^q#KK)ok~?@7HQ`bI@MkcB5aNxetEO&Nd?#>eIMqc
zn{=-orRh%enDoD+Yxb6U+JOJ$pQ;?`V6u=|!|#3Dw^FSq<Fqg+l;DRv?!i|U#o%H(
zRD;B15tTHQ`6egmHQ$96(U(J89>*?GhND;)M4_G77qAs3M)q3Kzm}2rD*IkG@TbK_
zlyq0{g~y*wp#YH%meS>1kmA0-?EwJ+fdfhktS!cVD@%WhoP!ZUm>C{P|L2uFuHfrf
zPa&G)xdGLxFv%THEG+Ol$NNEQmnnYQOB9k4^)QsUOx4|L@&Seb`_b`YydHOAMUT*#
zUcciIRhAIckHH%QGdTggP>8}|FU?}$NeOGTc0fAy0KB66w5JeYshEwNg2VPoDo;CE
zKB%I|ey=-G!{$g?b9dHV9Xc9!(!J?4?J+6AogVcFW?F1IA%y;)SVi;BA_1jeppe`8
zrk&0sIh?SScjJ>{{D6X4(7$q@>mhK(xKSi1F3K|!)2b2ZvaTD51DG0YspA8z&<&oE
zL|fazdYnXchqe8ahugKceV$bA@AXsgyJ89CcG^po|8A|{kDw3?Eh7y_baal9$qC8I
zN!5Ww9wjUn&i;e(yO>VW{eA+wlYSTh4oCr8MNUp2`Q~~oBA<TH(C2hVW&rL=jsd`H
znn59)=JymWA^kl<hrCS+rUT@{?8qfVysm8&dcH#OKxw09)=~ynqsiLuOv_DUG#P+m
zZmSzdMTH=M_8p6^N|`c2^TLR8Rj@IYUlGV!#R6@|%5TZAx!`~s9NeMbzc!!W58d+8
zMJRyu?uxvU*F14P6x&orNN_9@v&VCvF{BPgf+q3p#niXEgP^O>J;srxN(!p0XO{!v
zuF&E+T{Kjb*9UjcZclf#wuWh#{v45XpL<t6UI<n7oX$s&uVx6h-0|1<R-NvwSO5aX
z3d{)M_c0_w_VXx6e{db9K|V8AC=O%iMGu$I4t+LQlfAaP&5B>w?r8RZjK81yEPvRw
zEaRCp)NaJ)3O1uwsw5MGY-n*c$DGlO_BeCcfEV-h1!V*G+Ox_oAa<YocOu?xGO<O3
zL#8f9ZiyOsg6T2JKozXn-VDH~ga6a#(uCrjQ-hM_Z1$;)2@!d&$l?S0oLmI{xAvpF
z{}pFSo)29{5yNbs(cgi45?W&O9FIC9_Cw2UAKprrs7bCgyEVNo*~!#0|0Wx25M_<Y
zE@S4&LSVwfuNSSq*mqWE8e8fg)++7yNv-A5OaQH8#g)N(PvhiFXSWp~fw-CsvRve$
zqit7$^x>FEc2+HK_4^9Hc^3)rqLI>Ud^Nb3Wi)qrjS1#Wwt^S>IX%C2-hY&n%Q9Zy
zlRq$ALahX05+}{H^jFF3lE>EusOE~?(MQ9!+5&E+Iq>{Rk??))>1oYdcOiP)Iz&De
zf9_wgM43EHxj}>*4s4g6$G;?t(e3o5q_ihY*z&#<fexmEa=y7)XP(<d$a^no*Pnt)
zXo=b>+ODRY9Q|A&CD`;yX!b$z6T2;)?BM`nQ&AFi+=k|SaP6GZqnQ_1+`rkIGVw8d
zyF9eJvfh;U;>hF@w~l4(7KhuPl!g?W;!4D#J$!j}I)~i%v0R@f#~?mb_STg48Tl=4
zWO>Y=<@h&tL-)sXdUY_Sr+1B*1^Flt=}l!=ud}igJ(pyt!Xai=IO}DYofS@XMX$%)
z6|0RpHVH=UUazK)&*T%!n+ND<)<1|Z$jA>Ffv{C`)Qev2`DWrs4$$@yVabI@qR1sE
zjqTS^l5tfCWV(?boj;bp7jG;HxG)C&U7*XISvD4)zKdU?M>feGtB*1%z!|U9S#tv6
zFF;P2Cxq-0{NNH$1RrZ_g0ObdY2Tq$NmVxQW$=c-h1c!lPU0`E*nM>cVIByNp=UuF
zM8h8ir3<9(o&{NeN8&FcU`9V;{7Yr&{p35uwV_|q9`UInzcL+vWoqT1Bi%ugllZ)G
z5Sj&!kCEAlP6`v<8<bL5aZu~M2~2mGW)oZ=N!w>YJ63FAJQjj-;^^e`bvRoIAo`@Z
zfh}v}4Fn`<DF2(jHoe$LNiC8rtSN=337c++rD4!2#=t0?R3-T%Cd?*4_T=5q$BzMu
z+*^%*C`zNUVL%DC0=n_mHWvq(S#FwCK%)vKk`Z#Cp9H++vRzAH+w}pNoQsM~?4?kW
zVaTZ&vfa@Xe@f6g9W%AQkk4EL(XC1#h4lRtMOCda>FC_BX^mCHk^PJF+dC_l*|$Dl
z-6n4w)vZt5g~as_V~mpw@vHaIqs_()N}s!CNd<``P$WF%Go<O31i1KqtgFbe3{m_%
z;6n)(JeHM>km$RQ`pATnu)lT)a<@pUw<uww32tz<Txt4sK_*N*CSTZXn*-4l&5smx
z>AB4I7#3Kc(~$GI(iGZhnk{YejNwXsJ;3q^cmiN<v%=JQqF}HMv<OD2Mu$H)yxSgG
zDb2y!+F3;Y4F6yH7rVH|#~PFNC1QSDhyH#b%+SF=SV1|9xu_FYBu>LIQj>5c+jlNx
zSTc>`uf_QR>_x^|uys%Hy2q{Be;(x@JI`lRB)EzKkH3VFWMx_#a+5!n>SEU^x|U3{
z;x~9WOgsWhI@h6E{&}3pn-G{Q0d2WeB2o#LR)xOnrLOr*DKIk5w&6m~<}}qOEz!)l
z#_J%)BL17Hq@g?}rptBlQwXm|qJU-?=LR*T;z_#?WM(N2W}XQ(41Rq7tb>~!F^&EN
zr_|~{HP+N|Rs_l;R`q*q-NEoV3FF2YT18KnhIOrh9prcVy9t3c%}57FGK=)wL{Ak$
zfodxCgmE&20r$i><|8t^ar!fZvnf!D#H48&8ao-xF}d;#88C3ldH6|6Gu4-&DvDJ&
z9x1yIsM8A1Kyuv;KvW=OF{N$1ngUG{rSgV436yWXte|4#9(T6SzHDR}19V2SdC2EO
z{Fsj`c60G|D;y1L8_`{RBa@O|<Ubjb?4=s_`uHj!s#LTg-)rOnS$c3>tuzt07ulCy
znSjuIup99$?Xf7|8vk5E)8CESMy=M0E}=H}m<i!fFW_}1h3$rJx+*3QPC2@Sulix^
zuKMD8^N;EUw50+=y;+?Ad1uKsa7ucx!VrERm{34atF16jW42*az^LoaCpLlB?0fOO
zBf9#o`zu;@H{pcvXOXvcTJ`=C1e*2#YKz#JV=x<5xLKf!<_9^*Drn;dzJY*%g7e1E
z&vTO&n?qSE11R$={N}(;(J-Bgiob@3$4R5447Eq!581QU6<&zk^vVu7uKK#LjKQ$6
z%nKszz{~G<h^XDM?~6jml6U2VEjR<o6Fx~EXGyh^x;*kfc`~8hUJk>ToKQ}4&^d2G
zQ`X%{mVH-6<k;bWFw}5wbF^q&I?{};&(%sD<ljJ@Vm8}C<#X6Tqr|qh2e<XFoTx6d
z-g=nya|<INVURkuJ@3y8sMnt~`R;yWq-Q})oPbLTDK&&)eG%zG>y45{kZu_0QaPum
zb8kvf{1h$eG{Q7P2Z*9?p7Lr7-ILYCuYzdzCi7^<sY>NKa=tmX<+IO)LU-Ne)v@um
zxh5+gC(grWk2{b<T!hm#MZcU0U5$9qYre_8u&;~J1$+;DS~qjHO*h-L@4TqQG;QUo
zp^9SCxXvG{Zbv$HpS^@k^y)Htky%n=;}(qWHlfK1{NO>D6G-YYjnKj01wFf(z&VY2
z)-rn2ifn5kUuWG>JrtIYz4{uBE6=Y&y@A!jQTCH!SHxh}x!k0}#SN7+xi~r|>&d)k
zPKSDpBI00GtclmTmV~rRRP!OL>;LB&`NcBvga6;V6DAShc9%apnrC()^DQlSh{2W2
zeq56g_URGeuX1O>t2iZxIo>z430gI{|L2US#qgekDRT2w|951067B3mQEhIQWX!tI
zY230$aP3?F++1{iAK3V>2ImyWNZh0`w9xnIFyolB+A3|*XK&Xf=ggz4GcOJ|AX67H
zNWmKNf+3s)XCsts3a}v!3Km~A=CuGsqXo^M{J<rvC3j0_5LTz`k)ImT`sc|ill~AI
z*G0qi?<G~jDLN^>k*!K(_*rHzEN&>nSQUUJR}^9i-+pDgfLPS`0p}89LAA!?sH4Qe
zOdTbi=`!whE0R;oY^=+l`S4{}C^)qLhl#Yz6;(9BsMHvV7NIc37R2=?>f&xf&#!Qo
z>A*SC$v&O+sxUIIWyr#c?FmxmxX2s2tm>=xyuBTC<k_cvx$$qJ!jrw2D+iDk6!CWT
zXBaK;o>P?{lw#mMbS_-H9KYWsC}BfFMFT0#((Ds~-b_V)we(les~lHCzK!#UN>!0z
z?gxc$2N>MsS~+V6@LSPcBw<|65m0Jd7_%OcwrrD-P4VH{<~LmqIZD{o&c^z0Opd0w
z)9#NWVkdYh>?`z9$5C*P*1#lnSaY`whz~2W)106nXyrsqVywkN@hWBAUU>J%nYr-p
z8h%pPs7_wd5*1?)|IO0?)J@AMw=K$A*ZB46qj!RkfKxVjfPE-^bsdov7`y^G=kpNT
zxOj$qtsWuxarXWwd99;Lz@hc?@rsf3iUQhcN5QOGRZ$9Scb&^B0mMkXSLP?fa19*1
zi8d1hrHe{NwvDjKL;BN1tP<r2eOE@plCkZhF#u4j$=~-^=biF=Vxw40t2KDXPQ`t$
zP>~0os<u@8@2<8A56dybBs+Qws*jdfyU9s13RA<c;dQ>9RqR0w3nN*`GnrA`iK{zc
z+FSaI%^oHqiwj+~SZ2+W)J#TE-ll8l!vo=#Pt%?A(?uFIq*wX-`C~Ol1n3K9Q<1%J
za(z5!oB?S$=xWhV0TzM#6ZzbUe^7g<&vNp>6qEwkA5vLA_}ehYbSgU+PnO{P8AdHQ
zbD;f6E)`%a95qx3asxO;NBZTq^hoAd*|(npDyN{6UYj0J?pMn-h?NL{b}AS_Kf}@W
zV>X7F@K=5DKUVtd4hY5-L_m`qH=Hg0KixJ(mRULqmV;9TcuKe^;JicE4}oMW9wN(3
zVO)+LX0j1Tn9@De{%UWmUE~T{N_|$iTSAcj;h7-9;bhI^cb93JJZ2iy1(;{BK<HZK
z&q5)X(1txXnkDEDYL>2Ey@3Z2hy{4$pf5YfGihBKkx7)e<mT`ZLqs-QKz=Lv^WNtz
z5T$!`Xv{&_A+l{(WO&)6?X^O!htULMQnNrLpDvPh3{)z=)il=*_kytR_NP|Pf)C?E
zqynYpNRoErLqZnzB2IK0-xSJ*sK<LO8%bwjP)g;XLynu7G5IA&ay!YODQ}yNRsags
zdpO*zH(J>&Da;pWB!cs&4caA#D3I%1Zg<PU@h{JNOL+|wY|&?djU03yk2)lE)o~4n
zHny5gMhqgRNWwrQ)OhP_Le=?tj1iDPBno7D!=2Ywi6TDLY>6Az<1jY&12<7Xk0l15
zaP7y=gCk;K@ctU`%TAi{%|F*!=dewyxnqUv15cW@4meS&&E|5qdt*H;95qKKWqOdo
z_A(HieOWJ;OiFJO?-a?(c;}Q1qdtixM+vIK9unTHhO-d9x?`uJ3<tpWO-BScD0?oD
z#>CBeBsFx|_e^y49$3Gg(L~3WkLfttIBlF3i@7coHSik8dia2k6=EUtYP6q=^z?*=
z91K?TUpYP-(=p}(FEzQBagjuv|BH4Td=bY%{QRJ~AinYXII%_yYWJ;Xd771xSD-h=
z--wj*WxK<b@iq0&8H)9+FOeWFS7<gayRnwMEA7q&0VeL39zu&APWm8plqYD+teLzu
zHz?YP+Nft8@pyYrcb(Lj%qi1sgDfse$$`FxFX=ulK(0;IazL2GqGRdEqJ!~gZb6(Q
zUfK;<?yqw>XKu61NN?~`Db}21G`@377ioV{ju9YactWEPJke&cvU2T{;Y^%rfd5e+
z>x|5<Kpx(08G%fX@<})qOK8s-Y-Kg_ZMRq;!}`IaX=nV6b<b9dgy^MwR|(9guaZI0
zrgX(HXEvpBmOyu|uF`a0lCAE+rm-zE8sd)3qJ@PLbE@4cGjs(U%9Z-6U^cC?yu%x)
zp@-1$n6`K5U`DIx^<|!-74j1#IjK*DJgT!c@X?KAs9~>i@j@DRj8B&*{>3%KP+>Q<
z6@OAi?y$dRD!LO>EvMSrw)$@*+IvBdc`}Pg{Ncr)wO||h=IBQ&rY@_yYSx&84d+zR
zg{|?n=^YLV#S)6e%6#d8e6jprSXt;vcjHF1x#8G`3W>`SmJU0v{6vBcbbY9-u&4g2
zccV-cB?<pv{EDsXr3fkT^W|ajp|?ob(d7*x90GiAauhlDU3AlI-8L;c|LLHfXIn_r
zj=w()N2KcK{3zRPvpJEn^gC=FBD3s=JiR)<tset~*d3t$_9PCa-q;F!Xd1IJm!G|l
z(RAQ%pSLW1yMA6BtyO^Qdbf{C$jLPrBl#1>BL9G(7V!j#ia#EwWc#miEx`}sIx*-+
z-^XOijFTGCK4I=f52HcWwn3QTFVcYq#|*l{8zJ}U!6et!7ysBTOlxdOw8O7{+Ms>M
z=nlpl$DZsc(9VkpZ#Bbq2QT8gRU0$HcS4iwZ(5*93So>IUx#=E0^1<?5yiLL^|e3+
zi831pWr%H26b+5od_VTZ4~l%aRlgYC>yqclgM4kY=Xzkb|DA~<2ye10k{%CRx&S!;
z6L^$0G={`}Vy(Dj9=*Kxv1w7jUVs%F9@6%&1w&oo+;<1nM+K{jl>>G_e2UbzsYh_`
zH0UzLlk@9n2+XWEc{T3RXooNRX8Lh}-?N+u2Y*3V#V7C{hOvo{HDZRadQTV%Bhh`C
z|0{GT;8wRIXmdPT3?of~D)EN7ldZlEu?n>*lGjFr2z9iQ7OV&v;q_I&-)!A-WD}J+
z=l(~7XA?jY&r3J^t}~i9^3aa{(zPd%HmY|Lh`*XXB6uE*>}?oInXu_lt2pT}>Vr7R
zwxf|TziLf+vIEgi%XT#c*j3Y1@|^BauU65!=;a#iByLY?n|k<{mh5T2*{HC{gEQ0N
znqPL*`sGwd70r6_$D2Nv)xEJdp^(S2Adm8)ubZm)hb#9OfdFiv{d<L(vCl9&L_}s2
zKw|0580^tuku4$YLH&2X)KM1gqxCwB8>`wpYs-*WT#fbY@gq^1lKg$Y9sm6vXe1|#
z(w_e$*7A@l@BaZ@B|V@5!izPziI{J{&_*HeI-g?WT==-Q;Vp7KBAWhFP7&L5w^ewP
z0Y73Wm-=^MoJKFIYl`SUEadH%{5)@0A2UGlo5Y~B5wMVO)P&$~@1^{hBk=(3z|6wi
zy8Oq_VfRF9S)XkJP=%d3EFt|Sa}$mWOn&<W8;C+NU_^jvT$X$uiYq|^N=25Z?;E`;
zYD(HSWylyH!Ov3P(xgx7)lAP20y1B$n@UMz+n|--J9rZJqgN3=eO^1zL~w&@QEh)w
z^))16)>=71uNOzYTYYUSE~-b?##k;dPlKI4WxOlRagiw`*bu~i9cso_N+|h0j9DV|
zs%*d$kh{o0_6AlQZK1C{2xr1h`QdAK{jaajNH@v1N^0ZI?X;s-{Y|yCxe>c2%HPql
zAu!o1NTGJ)Glb;P3Mv)9SXChUjG~GgoO3Io3>w7MvZ<=5wCv%t&Q$1#Yoq%~xQ7$H
zNB})%`4{FJR~-3BQMiD%%Zo^|gO4cR#c#_v0Kd$4Q3ZdfR%t}#q*(Ff02=;POk7Oq
zadaLz8NqE2uBj3F@#@{0PlQYu*<a(=jtEzi$q{59_7O}z1@f}8k#vWt^dK!z)F{<m
zfzkf9JliFd?(m88R+K%*QJW|m{cBav9RY;u*p3!mdd5Lqs<cvzjE+*89iL*a48fC+
zQ*GOK3$PXTIBRJ=^JQqq0`{LOS45LydrELkX`-*ue8L#qe==W6n&#vaqOPx5=p>!k
zfFyH=+`n9%D{Iq_lX}aX%Co08)Pu8YIRMnc>HEtRbfu&zCftP6AM4+U#|HmAw&ij>
zErV~%N~$PCli`69x7Mt@6WCK=;IiSHr)?`TZTw_iZ}_Gtp6n=R|6m_mWKkFOT&vK2
z#rh}kEG&Ax$Pw5(C(;TaALA$jiD2w(;<*c`pn<WPebTeu=2rZ#*s%Cg+OTpB+1^iY
z7OfU$b@fL@5H_tls!B(CsoR~CgJOxmxbe@bPRIVp?zyrdTAOV2*--;Yz$hAbotOTz
zyqJ`R_$|qm6r8CRi`RxtK$&i4eoGAe!=9S_*Gv+eHA^&<m!6!rm4=Gxy4JR0lO@il
zoGwhkQlHsv5O>tiW$Jn+WGG26oM=F7SNFhcxMSpSY)1|hMOR&8cJ$!(*tn(e-wDCk
zr2J^cL}1A@OtNsw{IaQPC_?9Oq7y(h`}S)BwossJWix;aL(hqUpN|bx4=BRG0L);f
zcJ2}l0OSDnv3wmN1Xku<YLtzCObOzY;gtP#Iu}9N!GHVog2(i<(!@A>R_%E?oUJso
zH@G`UFJP{Mo}>Hc+zk-d*Rw*(aP&tEK!$y4X_U#%$(sq%WypJ2+DQ1WWb^L}e?`<*
z<Bn<o*N@u9Ns^*g%1lOqrO-Uy#`R>4g}%z6HTLuI;1NlkXTH-z%|nNghxbd?^X3zL
zZ*;~a{*54ODmMnU49*w7%x5*+5go-Yvjo8gv)8`D)c*zIev<da*cr+0H)F-$JBnid
zWlgAU856o}B45VjMmzMg8|%f(6G>$6BSPyzIf(cJ)PrQHKyQlJcCV_223bfC5$G;R
z(c!|(lF~E!Lvg{8Qb}R0aW;R4rh%LITBhD;Sg<sYF%w3AHm6@|VX2%$+9SU#(!gE?
zGu+)OH#=RmM{nix4PMm&8np~TO<X{7v*-tGG5LXU73VnQ|M#`RxtQ5jDW&jRSn1TE
z*EB}vj{K{K0*7#K&^^q-9$!te*GCmfI?9WkQ%`?Kwx+<z8O%=@ug5j+xO!IvP*fgU
zQTWq^TE|c_5|_6y>wauP)u{<Wsk#<r#ulazw@xBx$!NW3AF!w6g1p|)q7=g`6q5QY
z92<P~HxFBQE^vdo1-?ZfCaluPA@d92$EOit3NzP5z>SqgsAh?y>a(pgFv-i>x;+?Y
z9w8Z7OUmd*=_*Z`7$ig-_W&g^$Mi=Y6p8J?_PX9Wf#!F8me~@hG=t9Xp6!p>8yN0U
zq8o3-O6EcpfRkfkjTy!=*XI^~8{3|2NYq935c~u;ZryhgaBxG{O6?djih=j-$I07}
zLw85p70ig@0W9ow;R<RiV68(m<1&XW38&TV93A>p3cG}{>Ry<>zg8Z`LfXcG(uP)?
zcCe_aWgW!PAqD<QOm7DOsT4RnX*pA?PdQTxiKu5f`iFlmzQ+}E*srY!#!Lp36v~p?
zE)v6uqXGug`&Nh%r^D4AzO6@6YW`F?*ov-NWJ!SHGhb5_%t?dW5brvIBUyV)9RvcL
zw@q*2B`(r6k-2=xe0?uC|0%*8Fz8hx?Y4ZZuJYHLVkX?nl&Zr{I!kktx~?8OOH5Eo
zx71Z-{yoe0_b0G@ZjtMrFy$7OI7+K&oy^XhwF68IM!c(QZ_dcS^Wb;hB{1rthl%<Q
z*eDzPE9=aYolNdV-4|f+vpobTFxvV$t^4Z$RS>#|X`hmUQ6R@Y77tZ-GsGeEj8pyS
zt*1OGwC;@UoZH{Q!h`(PQILVGAMb9P&~F+?jYMCwLl8m$!lCOeo2)DHH79;MWwp6_
z5U$d0?$GSrN@F2$^*BcTi;7G_P23O>lGuXShV0<kVMoHB|A+053ig-rOpLZ=J}T&q
zE|ToMLfM6CeyjCqP>to8W$8cPY!pHe7!j$S#JlSe)r0@$XA%ePA+xd6d8&{$XpO<L
z?@mu4!9&~xjvQu-6?aFObv5la_|p3$2uO=zSuPt-Ze$iE;mmn{-Jb%cO<wTZls7q?
zOlt;N!+KkTr+syh26n6@Np9y@H1HL>c)nnYg<Lk4DZ^1yBVtZXsf9zMb2c3oA5&Hm
zf9sW>18V{iP!n{YpQa9d7mw!3|I?4YTWQ#Smvhm&Gj5peybZ5sO$b+ibQ6|ra-~DY
zrJH3HpCah&*{%V5CUB`qX--bNOCTicAsv=u5Sm&k(d6s0sy)XQ9^3oAU8G}bK%;SA
zv#YVMkmbbYe>LQzoBREd9Opm^W>iX&Q!6wxp+7O17;niC;$$Haemk5OYg42*kmL<i
zr{ak*66QZ=eAp1qCJ<`ZBvRm8?{K<_%-Gxjx`v?Gl|lw0V{5(9`CY_2r4@6@@xXOW
z8c-6<z69fMe~6B+8stqjEM`Qq>>vp@kY)psN_OA=@4Al2U*I9ms|TP9<oxd}OWty)
z7<=k4K`%#jsNc#~9OWx`@HUTpVc<B&@Mx`fN$!?wUE0QC_A7c;Ym}i>Ut=a;e098l
zrKy=EMX5lH>GXu(Q2I^kk)ZzUx<K)O#mpjr1=!#Ia;gL4`W7WIknSZk1RYSYcL&4D
zzWnGuto1sdVC?KOd~!-|{;1}nfI~<Rw*wqtJj<rkKPt0NyiHrn*p`u8*>Gcdv}jG7
z_v~m5Fl>*K%xuS0Pmqx*4Dy?sIc3QIof!aY5MN?3+WGf){3@nFn$~yUCRAZzB7D5!
z+oVXAL4D|@X6+8y-9p+jl%>0V`8rV6q4jEqa|uoy64)=6HQI;aQNIjOEoOD_1zIrZ
zL)k;n^(+8kM*ChPp%`2$eJ}&0UZdl$e*a`mlq3(2>$-q{RsAzDqDvJ$1OjrOP^u`C
zcE31n;&E^=Yrwo9my;H{&0d2{W`_i;h10CM71-;?X45zF;tF-kS2-Tw@9~EE%)B!_
zmmX_EFw{lS=6k*K7AKB7+kFY{tVce@_oi)yvg@^1_nA=|h$>1oRDYH74&MYF9HnUG
zBHS_>VG!VxO{-An{V&h?=5RO&&g`oT+-yswA+#SfA6{Q4O%W9a9zS+zF|Yzz>o1aZ
zm-=(pk1)BnbUHhCa{>}tX&+J{@7*dA?#Up1O+LxYh6xMj@#PFh5M!q{{7SIRxy8=0
za%jq`2XS{(FFg`J&#|~)-`3p*8zn)})bK}Ji>i*7WVF&CxJ@%LqbemtlnZx=D^Mz<
z)V;%Kz#yJx8UW-$@@>32PVIg1u|E*vuJb%IjRB-)A^!KF3he-Vp=uBkgjeHd<T~gg
zFq?JaxQ3S^|7^ij)u5LF+oKBt{iq#Yg}Bcu`i=+>;txm^g6S++SOfi0Vl9>g{eA10
zGxv^5Scf%N$SHd7yb-ey+}fZ5(cQ!jHEZ2|;!A}oo_~V(){Sv|9vI#(-G6XfICM}z
zQR@uPgF*CUS@io@g%IqSfAGE8=f;vW==Llt%HYjsc{Q^tR8MBpiHPpUg=}o>#PW(@
zTRrQ+;shUt+L^ns`!gdw{gD(d>aNI~?4k_TSILQ7w!m~DwvgQMk|~Wx{+&Q>kqvz=
zy{^y17Y1n;C~X&%*JM55iA2>JWtG(Y7mt-7tr^0ixX?PStKpxrOoXj5zkoP0RsIpt
z0}<OZSl7%a1=kE)nY-wHxN_yi%J|X3wMlc#Z0O9a)L&CG#Zio$@j7->l8Q5r2WL0@
zUuzL#99HhgOHCteMDxhcW8oaG(16XWEY|jE{eP=p>2+xFEbSo$|5$GiwU9f;&FKJS
z%9fmoJs~Qpms!D=PWW|J$~F*{E?p?NUA%!v)$0$(YNOMKCK~Gsot*{)hx+vTo6Nf9
zALUCf?Ay1y@R^%#gJO~?5>Py|b5R0oP&nf*KEi0i$xzXY-UgHg(Fqlk`&zSQlf*qN
zIp!)kzvnZ?ObqH>h$Y|pDfgF9dd%4@X$NL-Y9FVgFP<Eazwk;XMC<s>)fZpKt()k{
zn9(xTV^o|}zj+Ga_@XfpENoSlKAAwlej@J%LhkL56^6sLb1R85eJFP1mh$2VSI1Ku
z-tAWXahl-h#qZ9v%OWJA?teG(lc2k{<-r$Ym%IWN(1VsL1l2gh(LHFG<dv0Gw?&W^
zcEpM3fUj9cqkY*Bj+(Z0T)Rwj@6);^_W_!re0jtV<nU)TG!mlg7(p_&RpMt{Pg97P
zaP7Je^_1MqVI6ERvg588wpf~~5Zo$;B?f@1pzBax)1|$90eMfIoDR2~{oKyLY`<T)
zJznH(d2gV%sAI>iHKsS_u{U-{OyTS~8w*7Y4-a3;ja!YcMOt@T06BClrMOK$8598^
zMwT1cl63Q@ScL2X_sfZq=gIvYb<57t<#+b}!`dhR_h<ERd2i}tG598{Q;Egs{}NFE
z?cCwjm}R_+)nq7{#Oh<XMAInFDi@TKB#C@{>bTSQ3{e_jp5$XYn~gB_OQZ%jGcRPF
zQAKY>tW=VQB~{N?E$?ZoF8V%G(ABxxiAiTk4*jTtc`ZLQzP{ZXr|LFQY1OxPMbkMY
zvMSHc87QfWqjj4;lJfSM^mka#{M8R~y7_8@fdnPAnio#q0YNWIeCH%XP1fWo3suM*
zkNmP018i%-1R3(@XDacU00>5n7`J2PJCla^374Vo>^tsv<F8~aFI<dpFt8_!9X6N#
zWIqv}Z_g1&e!hBxHr=utkTcK6Zhgr~6;(Xe<|oedln{X0()Q~0LT3}5h|^>4jRrS0
zlCa?EX#>J)b`DUA(G_KHQC2}jy0-8Z2E=DaQQMqBxSU%G!$pR$gxTKs^ShnQVBcEY
z{(!6x1Ri~-AlORy8=-KVfLt)u&9F?&PQlG^Uz2pjgZkX%5as{UI-+u#!ymhv)<wB;
zc#ejXUE}A_AD}1-h?D?~P(nUO1JeTwHUKHXqgkKcpJU6Yd!n-K1-eh8>{?9d3g?tP
z<gcz#Jq{uzhy7h>Y3mhSssI|d<*c97XYmUX7!!W2j{zL)6y$5WL!NO;=r$1!rnbfH
zPRzv%G3bOMWtid!3V(iGloONls)MEoJit<4R#&ja(H$$LklOdTcsYv5cNU^9?6j=p
z_o#G(Yzu)1+6I}Qkcvh#cyDH?+|d*TvY=^e+SKz@gY4$NZ*<vA$7rOwV>N~-;#M&4
zt008IiGq4=jH#t-*0kilhsw!LSz}pT+`ArQzqHcx!7jpT80C&J^>WaO*BN)1&SCtM
zE75n*MvLrn2~U4#rj_hqcG6GzfMoc}n1eiqrv67q;p*+(s~s<QrUEW^sx1_`Ha?i<
zL;h(!%;z4u$K1r>$tCRzsDHgH0e4#eQybHf_{{L)o2WNO^E9OVpffiE(7D#!Y}5ab
zJw5-wqo^@BuS0%@<!&H|tRVr$0g;ic^U#}%rmeQ8FP(f(MZ`Q%IW5s72r4+cjMq^E
zM_pi)y^(3C8iaVYa!zlv;GPMm(50d;Eu4+cH0{y)YI7RoItcB=8C@1rzKS3EzE2jX
zvwrrop$Q`o@LSH3jZ8vDRSO++K)M5Qeq?)1tCE*&m(cK=3rO3x@O>PDwx#r+rQZHY
zn^Okb0C89#EJjAXb2GiU?d-t!1}FO$P5>}pHlNLR8#TI^cZ`^<+R{gXH~Ro5{b+#)
z*@swKmy_b;aig2g0+OrIV5%x6#C(Pqxt2YF^X5j72tSI$!J^F{5ZmPxdL5Y~EIU~v
z4Z~tdJP-`PuKMlr00CsH2KSbpDEVa<5l?HDA&MIFtb(=EPo{+<&oEzl*%tEphJ#vA
z`&b)0G}dvzMZby}mQajZ>PXJFWA@W13Sj&y(_d?vd7esVpS8`$*78>9M3*pMo|Mlq
zt>;*bkccIbl~gu_z@_n;uFA}OHTHqKY*F->ToL#vA!m(J^hlHNBHXpJxeRTGbZwva
znqHVDQTh<)kxFFxCUvnEcRlM&%*gdn>-U8Nq$uP1=(T}$7FJN!@3*|TanUCRZ0F9B
zIdRL;)&$C>LfQ!?%>F`eo&psv@hD8>x$lL@HC7dS>DLZuMF>OjxwfMI%CC|=T8Au#
zR|m5Wh0$Jyq#F#pdP(1Aq1610Wd5o`JC6er(@n?1eO}Mrhfp0-ecHMAIh>PYgLRXM
zCLRX>vf+{~qM}knDWOyiL;|g4FyWZzfLNK**Zo(6OuNJu-p3;?&isAv%|sAB&Zjc7
zOXe(+5BmN3U-e!H*Ty6#J^}b+gP&E)*gl8>!+z;5($mphgv2*C7+u{I^FX9+8doum
z3m;{y*qG=Sl<$57(e7NQGb|o$nNpv3i6h=hX`MzDV}-?k@bd(l6Dp{Wu5K?<6rLX3
z&#I=ztG!*<+tqyEw|4XQ*alLB=X{-hNZ&+Tw&m&w-u!U5d=_arT%@G4V}zzH=329T
z*iEqaGar@EiiS+p8PJ$$Y-8D8b=pb)MZiDt9g_Oo>qg^I4W_fXhRCe6CfCTNwZlvu
zr&l-E&&d(0b2bXBCSkAv@ZIbCbL;#vYt}RaqqD4AA@uv$?GmeDJyi3vUmv_NVkQ&M
z8>X33h_$NgyJ6+Z=2IXcv*7QVCQmx<#bqnU(+q~Ju-B&!iiJu)(X|js7Yq(<VOHu3
zXf)CbP$_l|B$n-=LB&-5b1b}A0aeUpQ$ZN-RtI?;gnwd%nE{1IN-HQSeEFUX8)(xL
zY6yY60Rw7BDT1u9($XgOF_eTpZCAk@qopwd&Nfx+q|6qW-?<EVWxaNXDt3$`=N2Xb
za424me1#f1ECwDfGXS$fV|(E1P)qV*ng3%$NsyH7ESau&K!rTdd!_jRR_oBzS9y}N
zW3SerLw(aLaT$F0&`~k&rd(YqS18)2spUxBlqEqzra`u)&6&|CyLN}0N~RTYW@v=c
z6+sp#^J@V@6#_nuvIk-3A|QJa*eDq_o^an}`e&|Md9L7`5xz4uMNirH1t9unRiBH=
z#I7e7p%&{mukEqM-isIqEOpP+mKX7^%X#{0(ivT`@L)Lrl0FxCP+?&Cym_kNWGFOl
z-{n2Q?5gRulM*jLY0-G>v-E?&X6Z;NiVzD=y?PyA<BPM&s}eZZ_QtBT*@#&%m4T{$
zgTYG%;eXJ^R#+sU3?U?u;7OdUL=uAbBYh9vlh$#T(U0lBa!a8IT#QIY^<(uTMeK|?
zEnK}k!{h*J=0ayg9=o>Wf{#XmsJCH4Xe72(RC+c@{Cq2O$&%F6uFU7@{vVICdGXB{
z<i`)?)tR$H8+YWFYB61^9hWOc;(h~6FD*~?i3I3!iN9|^3}AVz>l4+ACERkud%%U9
z)<89O0CIe=%)9p0Vq#Nq!6sqvkhjZi`6DM9XxpP-61D4XG0<*F=pC}N%qE}9IQ8eM
zO{&R=+m@kgL(AKCo27vN2GEmT1$uLw7?YPtM)1qE_83liYwJCHn^>-4uy=b=_7`6o
zsl<94x=E@BGDfvO3hSR_k{QE;2z=hAO;67)seKmt;2H?_Ql$i<X7N#b|M7s*l225-
zVwZOTb4B5^!_1ZWGLPOsZ>md~RR*aeSk?Kz)sLcH@hyy8r~?pfc*w`0g^zs6@@1Gi
zH4GW6c}Wn8Nd}O_8$d|MvIt}-(ToresKDRMgs>RsBrD?yblj$V_m@=xV#9-8k-A;z
ze1((o^ABnXBeG%%%%2?3NvQu+K08Py^*n`5qQ7My$XVR~A+szc`8^?s#2!Oz_=S@=
z>D`rZDf|D05qf5eC*+7tvP+UR=$Yp}lr7O1+wl1i*_Y70_GY_drDFAI%Z7>8X%y5{
z=93AvfsbLt0K_Si3H%Tl(>*2(HpLkexBwaXApE~dd%Rov$#pCmBzJT42vl*>PA{$a
z)%$=BGs1WTrD%^W6I*RDc9W$?bD(2uiLc^{y2tc8?b3HkRD}Y9=$o)gnMmHJi~~C5
zNmpRZQ*#a)zRl%oVsZQsD)qv92#GG|N97}(_Gp|6qfThR-{Ljahe|rSl4CMV*BwEx
z^xXAtbVZl(6%2Cvi=-DPOOQ;BE<ua5|H?g~e5qVb*YQVoyd9O|Pw@<LBvyseBk77j
zQZx6q%x^^V6GylFWK*?W7LNU|&~)WUY%fJYG##apaoRhaNys3r8XVeMCr*xZ6qfy`
zh<_dL#<bQE$NuMvrJp^ldO#B>80&tY1hkW12azNkb2&>?v9M<pc!Sz*V;Z1#U<b!2
zwR&_W5t4BKtU<Sfe)I3#-K0x0tp6!&)}Y%*5RZ!a>$~Z)=or~x3()?7=OBQ*uTK`>
z|GtWTvzrN$vfxIHXh%in0y#}<LXwg>aJ%dmAk#Z<U2N19joB?}J>IBx5NAb0kBk>h
zDUTeKU5%t%B#6a(u6aKX674H<V=?Uf2(!d%n6-WBImX71G8z<+RVO=sXTm+`nx*Lt
zoJ!xZHD)d|A%U-4FqITIVU@id#?)HthN`$|beHw@2LEu|@r<4RZu8||^>++Xq+IQT
zD~r@h6d5nMB3K@4Fzx?>Lv~YUzb=f?=Q8;Eu8}-qb!`FAG`4!^_Maf6)uk#cAeuSW
znSY{e{}vmxq7`-4A_>X*BaCi~6a6X*c)F$S6c>;werRX4)~y+lK_N~8?tN>g5wLl1
z(giGvUyC<MsI_m&BF>le^1&|J1A!>i(pBI%+nkx16Nw&%L1{I9pqGr31WI6qLf1+-
zO{GnZwM?Fsao{)DVHM_g6*wx;ePs1`udmPMo`{`hw5Yffcf4h)qp3!>nsCJr*R`AY
z0vr``STxyqmn6Pw*yh&a<L8zM6Dd;&B}Z>Kl{kV0v%>K5NUsttJ=h-x+%NR6PzjL<
zy|BnS=Ydf+D*dCkHXamtv%WYi_zif#uxS-nUoIcbzi?4BVf(EMqmifW3vN>Ggq%M#
zLQI1$ySMYSzjqs>u16r=pABd3G>X8O1K(#q3UYRS5vH-t0E%at#AN1|mn_j)NHTm2
zk2^nFX021wCJ}MM&7y|&WN@JTw8@;r1eROlxHpio!4X|A_|(WV@+DP!--L6P+-13}
z`lAtQEW(Iz9sjqo9`Qwp4{#HRvJ3792+Il5pF^=@EE}Km@kDYTu-cQQ0y*kC+1ZX*
z)`dp6(<E9iTB>UFz9dfG#{VWvx9s12Hy;;L>Cflsw-6cvdmQuP$`B=fTG!SGbb<`6
zC9!WFJ?6hI#B~49wxVHSX$RR?9mo~2?v*EQtm?N>ulj*p`L~@%{ROoPKP(3vFB71t
zu%PZOdV$AV8_q~nOXF(!d}W1=b=%8aa^v;W8<(}_f<vdU<r^=lQf-9xJp3HS_#W;P
zdt(-TS15_~j_mRFH9C7b$P@Gqbh72xRpN_lE$cH~#cQe^fr+gMBH~-ZB7>CGg2i1G
zWBcD*ONQA)*K{5(-w|sdxH_@YXT9_jkG!3RZBx8{ny!=%DyVt+?##J)z;#EzRz&-P
zbuWHqSl<qu_g3P1oZm@QDOzBD>)b1R!5>yfwucGb&;_hMp>l|#eW<s;xc2*+%Bj{I
zSeQrzDWk)=YwF1VW<VuI97GUa>0DDYi@nL{VsFbYoto@U$SdUfT*ySmpe(u}4N(Jg
za57RPs38Ws{mQaoNt-pT?>#Ld*Ray`vflO@MP%y*H?m)zLDNEZHbe$dBqk(0hWz<n
z6YS{aD5!<{RnxiQ!mLD@k0eEB&(}w+n5~2#>zM=V3hws}FbrixM2{8-#uWU&ZXpr*
z*f}2H%a<KEW2fe5He}Lb=rD^pFcbBW`3cdIWgSgqB)R{veMixgO8Hl^Oy=b?9oWkQ
zw@%nq*IE?+mKUyO{1z^AgW?{9C~nDBKhrtRpU(7bXk-Ch9!eRO0m;Ooz~xpspdr7r
zv+%}HQK%jeamP(E>}kp{=A1J4I^_#M<L0HmFpLebr+xv0F6_waeRSyg?SQ$|+c1|2
zJ<1C-p;E4T<>&joeP4tZ^F+-|xeQ-pYT*e$;6JuW6cz-+AcAs%+50u{q-A25Dz9xO
zV}ZB)J-k*>ZVQi`vpJxo?cuG(f$)3gcmy!e*rN%frKR*p*ziw*p_nC7)XgW$=zd#i
zYw;japTeQ&KW9F?6!;U|04KTjX8oC{0ouhRgNd!8xa20%h{kq1ppIdo2HIV)OqPWr
z4y?kl4*Pt=cc-{f6%jw*xNV(o9E(-H&6G0fX|*ryC2oY#<b}TG;iqM%j4oYkK^AU|
zfM$HBst-y%0z>E_@P|BWE&uabffXV%qS{V@L;*5eMc8t>6Vy{=`}7L0d$Z-j-z)$J
z8dyOh?B97x`ST;J_c!e6zm@C~kT7Bc5WjS(g?}F8$FvhMuj7|eO4zvac)_}#M9h&I
zo-9>8CZXj>2!Q?&Q*H5iQWS_0vWEPRvBoL_2~T%TXZwhsh^pyy0f53n?RqU%+UKkX
zP0SO+8=G`?&7kYVg?Cx~>T%%7s#|s|v=)h}fCB{2mrBgzSR<jc1|gP=odTnYMcZN>
z<KA7W|I>x?vvfOrzl!~ZrXRiLJy01EAqYZNY^0M1q;m#;154#O+4XvC{hnY00@q+$
z*&yYVj~8lq7*V;lGZ_HQWMk2=WF=HC;)Qu_*v-`)*AAzKGa#IxE17Nl{~UE!Y&e}%
zgRXQBs!&^3KDnvOMn*>#d%h?<bcpHOUjGVr-Y>Hq6ko->HeNg6d1H6|&Dl&5Kd*2J
z>Hb1uN?wDxDM1uF^yz!36VR|+XPP*C_vzwahsV%SebcyOkjc<{yP=NGM99(SGWzv{
z#=8H={U*&%#H=M#uo@dA@@z!Ugo>LN1bmnqUD(*G=Z3G33Z=X5=zBWo=vNq%xVr5l
zakrcalT?zm>(N~t|K2<j)I0KQm+cvW<uB!#>v<RotayD<Ih;U`wsC)DeqvasRTT2Q
zyd$JF9$>YXvx9w7<N$?zx;0YH-pyA9IkVuh2EnY2e{o!bpD!aoG>ADrM2B@_J|kEs
zQi_z9{?q43vr;2D+A7*H3(<sUmn&wq@mNV-4Jwv(m<LdE-@k^Na}l>ifdtwn`9KUD
z+?!qknC)<aSmjF?Mx==b-%2{jASBHW&g1(0%58XFH?x7<ynsefRxn6Ew$Ar(BIK~A
zEhFsHsAu^lu1lu6Cr+y%e554+bW5E=p9h*IPZ#KW+7Cvneo@UPp>tZb!-Ta`Qgp7`
z@O5B;YF9Odg0%UptZ|xA1TQOxL4`PO!Juq=lG?;Gh&^v9IC}if*iID@_T_wVe9jPI
zAp%F<kMPW%;ERBxQQ`&veJ1DYSEw7JjHSYQDPNV{w>c2?Tx!H;mwA$nxuLpIG^<>5
zAM!r@t$ifq!Wu*sPYO8Qvln^55OQ!-$OmMG+?lI8h57e6y5VRgkg4<=mWWf_-Hs5h
zj1GyKYA48#J(0j@<Q;xIVqD}PhYO}cd2}`sUb%;>7`B`q!IG?lPo@VQpfoqdMoOY@
zReTP6%0~e?Im(Z9WR!L7X#bzS$|_}gVW$DX49`E5^BPPu?27^?zFDM-V|er6`cZ5l
z_QQpYPVQ;~L8D%KI4pU6h(=@f^(yDz+N#D1!1zh#u$VENrGH)f`=(0!mia@j(Kv`}
zcx5lvkb6K&lw}?!QuPuG>N7Htz_&i58gowBIIr|xgAivAifN!i(4WB7J&VnSJM=S%
z<XIBA<Mg|}=DKp>I6Ou{G3+A;k!Xgc>3k=45sMi#7_n0-+g|xK!^C46CxHW0<yAX6
z8Wm}&K^3<rC5A%HRE}sjNQH-5&QZg&DFV~3%QiITsSz9!BDaXQYEw`XT-#Mzr%n;z
z!-MVDh2W6JN|uGuW!$(V^z(pXz)bJQ|NXpF44W73DQkeh`a=W&#WY;yME?L!6YZO!
zri`3qP@{#`bZq<hE{y5ZrE_ERuEl(dRjbD>&XFuH3&=vO|NHm|@owv*>47kUR-L;x
zD+(U???~>4H#EhJie%pqWJoT!ZMD*6J4RLXru3!BSH$?K4kkO^+$F5bN-I4?e|AVP
zX)Pjr!WwW!M~J%XgA{cUMa(XFio`R>xLagBL`bRzAQaik1k`n<u|&e%p9%go=}LPu
z&ByCA%aIb;XSk2cdK7b@Kpfu)a;aYcjBmkn8U2E1WhH+@YRHFGWL|%V9^C|E0VYZs
zgXAJNzO$nxF%c=K`QZ<y48A^l`YeK+dus1qByQz~RyzC}LKf9P(taZHO~kt?I-WL@
z1KkkdX=(L4Ejue-S@=UHfFyBxdZ5Q5l0zQC`RzaR=PJUgK4Ko|nSJ5ddeu1;Vj0J?
zb_R!6f5&?GRL){MmLQkd8Ah6moq+46{Gp==NRi$PR6N4*#pHxy{n~1KqL@|Bz~Y&N
zr6sFIoeAWrX=-v8+Yh3Ro~)N=7Qi!408$gy`8hUv&&3G?`&&{gRIZ_4R7SUy6R)Zk
zPXb%r@6n@6LWnJy)s<KY_4CD>MhAWwqUTbuH}=*6@Y4Zv^3@LBVjLpz$9L8$38>yt
z^5I2ZiPqWMFXOc$1{+z;Pr`reT3Z#0WJ8g}TR$3iex*cfFf$4!&7b~n-vBE>)V~f6
zwsTXW-(j**a>)TUs4nq4N6yY&gtpjUwMaoK{gK}s$?6|HZ^ux72$V*PRM_XSnf-3D
z&5FCU$b6xd;SkGn21oulsbQJk4n=k-erXBJgn=;XJ$18p<3_J~-p#X5S~jZp$w3m=
zyFWCXE{hZZv&NqK16SNE+^)Y8C20}2{k`6g3WS?hAtEnV_8a&;Gan{XqCR6c*-kGM
ztgS66EjndGg>6EcfqsgcJc%;Ih;#0*v3S<m7KAgZ$<wvciU3KuJH7)MSY~iTwsrVe
zlrx$8`gM_%$IkIo6;gyPP3$opW`s+M6%A-x0sA8ofgHpP<JKzeQl}KCGJ}6B=>AW5
zK0Ih2yh(4DPMa~~l&OhOP<RrhXf}j?1L)~keO*PGF2vC#5)3mvh}RDir1-2%_b-`C
z#E;kdbVAr^Wborx{^al91c64}(@?F4v}!WS{`_#flzy~YqjP9W=H7V&2%gAly4<rW
z+R7CB!uoC}A``*wVW9`B^2dSlIP8V1vo9wRTANFzQQ0o;Dp^p49*X0_zkY4Gj@3;l
z1H38jvQ~EQ-X7LJD}dt(|NJf7tzKvC904g5*%-O3Nny)@n`em2pBJegNevwCnOf*A
zk1j3!)#BGOgiF66yF^=iNOYZNq!VtY)ocHe47Mx1A!PF&TVN`1YDi>Xsp7waLwVex
zQWu8|8)(S7@K^@^yom1?H^Y3kh<Q~B4?hjKS}W>_my6wPlw0?*6Y94NX9RveT6XRS
zKtN~$iuYWJ3F%EdUSVgonuDw@$cfluB;&)3?Zgc|4$_1LaD(5W_ZMp!Dn6iGrbCI+
za@-%`z$-2;(|(mu9kZErp*n%j$?RYGhi*u+03xt3OZv+#gpTZJmGb>88iry3E%zG;
zQ4BX^kV4D^jnyFn2w<=|8~uH6U9tFe#HwDAPjbV~fW0gSnQL5-g(n8-N>kUh2o0Jp
zgOWG&9POHH@bOpb_jmCq2|qOTYrqWkOgxmxF?A%qFC{Od8ctE8SBGD2uk$^5@198C
z=MFM^XNinBah#LqMybElziWm*UE+mZ4;w6#nb$eILI6d#D;avc6p!rBg{}lP2}5_p
zm8$M-G{peE9Sj+-Pa@*1#wqwYW|^aX-X_JRQx7jjfwlZ!NNH<85WJ77q-zo3(>~C`
z3?d*dBVr=~eq>5wrD~=>XVLF{qG|gnrdUOy@^AxDEB{vUQF9_PLEFctFWciGKfdIg
zF;P6x0jk%yX*gkkgII5ldpAEbfMs491_0aD<l#yr*2{sMEAd;m38<I*-Ud1y#{?_O
zWZ0`4T3kgfW}79-L{dfp!3D%^XlJ(RDf%d;sZ~DN6M7bFGE8HiaTj~@lbV0^`ic{2
zcey#_j`Prmvb!P~tja@Zy5`6mE}GYNmQr~h`i`vF{}d&c5Y0S<t_ec<Sx(&rKY5n-
zFivhabqlo5(-i4RgAc#-Ak>2*VdGXi=rAXcyLB>EGHFD{y@PZBU=VSXIz5QM<y3)A
z;8~_Os+?&$wM20!_^a05{6494!gD~8psi?0pY@<BLg!FvsAkwskRpW+&A-A(7p`mf
zv1-B8t@<A%nDPqJKBBY#rDG>1Hh;VG312E2B<UoDQ;s^vF4AE98@D^%tZHW*<spl9
z3!V8UBTRdhCl-;7(v>5&5|V&;jL>`Gj2=h;y5h4Z6qI${+zNi9YlYH5@P0k*0s+-w
z0s3nhg<hNp;H)H)L5yYKOOV$mLy6rO-h@_A0!}to>ka9u%8ZTX;F59iPBSb7T*g>H
z!UoeFfJTQPTw9tV43S)U_+O50Aqg9Q(E$bx(@iyTYc*uc>K==O8<{TJ)W#$1nG40<
zpV!z8His9oXf~`HqlhU6ps)8lEM5c`hn3UnY!4wJ*44|+7g-It9X{N5X|>O|a`}Z0
zU##Se9<+b8oSF$ND>jV^BUVtMcI?uxG#Ov{g&?(|7h|qWD3-v5WBi9PQ#DHwlTZ9k
zTA{DZucm_ygIw|3^~k%|=vr`ag^}d(rZA=k$f+QzHTr#@H!^+O`+Ps#)E?=L2*Of8
z$&>(A$YGRm*dpl;`eEPwFx2;=y`aRta9SJ=|I_rbi=fJIq#;e9xA$riMj(Ljtj%wZ
zUp4kO#;FIhKow-}=CN)LUy?>1Lo~{i2aUyq?E{}^YEIt)hW~uLB4jiU^17)k9`Q=l
z{wnY%kebsMJuVSH%m<qIH0lvs(sd!P-OsoJ-IJS4LBX&G<>P12YH4`M-z1;{ZwY){
z8M7Rz!Ai#rTPKmFcAqD|nOsyMc)vMGA4$`)YAaD|icRKw0>GH806)j#4MTDf6MK<H
z`-*hPt1x-qUX1qV-}{miahq%?mX`PLp|c1z)k(O638iW60Cdq%B}}<Fv>IEdYbGqI
zpG2Y%gft>30STZA{a{-6gW<rpf@<PKLQ*O|5$Liv;j?IZr*mTvIw1e~;4w7B(jA|J
zXpD87(=@4Z)=$nIS9;4_gq&`S_c<>A0~KNWd$YU+iS|l0-C~P<!cdQ*jfyF{nx!>A
zI_SE<bsi<Dgiz(8XT{WU_w1NqBI}L*ZeN~al(w|Z;03UETOEm{YchH@C5nK!l*Pe#
zCKP_gx4s3_p(v1H=<j7G7E)nz@EU^)U51}e8$L7|DFT*fX{7;hrw8cQqPMI%qZfZ&
zKh1%J3i<k2jcA#eTYnUX?pubhqjm>mk-y%i^@h5dgxJ=c3;rPQqr68IZ+?VjWx4`}
zM2YG_rJnuNelsKSJJmQKu<V4nw%VZ1PG8nXuEdx!YG@z}_<y9C>azc{w{8I4B-wW~
zOh#Ht;QAu?sEsGcCtbK^Q=SQmKfhdL2mE*svR-)gk^!S*p@quzKqkVth?UoiP-gld
z*g7g-D^1ilqede52zL{p?Tv~}WwvpDSdBk*^1$I%d=+JqATX5(XQ?}j3EzcPV?ykm
z_bIc;8Vn}2$@<Rvi|u=$)b63_9!*P_ug>$T+Agoj_JYAG`E)s+d-6@D&Y+08qpbD6
zi5QfxkRfJFWEQ@8|4{^=|4LHx0a#@`AaPkb3o?e7{Lr?DwSf$S^2h+(P7Epo`(#nv
zZI~w7oH*I%x&$!nx1i}uwz+=z!Jt+%0?T}(BKVMT+rL!LVCwcjpg!8Gz2rj=Lw#7*
z`!x7$RSY>RM`%A76gL6^_VabZWXfQ|S#as-h)Clb4zJv2#jGNgqXV?u25xCYC_>2r
zs5v_K*2q>LeMvnd#V&kLOddkL^iO^5HwPskpboY03bIq9A*Z?_?Bk?+6-mtpUK`+&
z$Qs?8lcg^CT(7y6_QgV~iNp>goL{!;ae#_-OQKwDR`C40yj*D3r9$vF_jb5%p>Hh}
zC-P^6L=t_WMBx&-@))sE_^vxncd39RW|PBir8K{ms3p$);=rt%Q<qo^XP}<VD=@!9
zes}xAzjP#~z@Si*KdsSWzP{1wMW7z+w{!}?XLVU6JMc~!_c^#h$o49XBpPy`>H=<$
zzjEtP)<7k_1c!m2F9~&PF)@}1CpYX_qakvOL#cYZ_}XsLqQdkoAmE>)co(pt?mSZJ
z<URtR2d_4WVk4Zl(rY)_%B41<u<o4N$_V|S+ly|j4V-9njj0wzp|heNGnhi6_&+fc
zCH?~9v4)2hU5=guSJT`kTM)gPHOKv~ELt1P-`Ea!F!2|c6*_d^!4>M})HQjccUV%V
za2j%6n{N$XFO)px#2`)tBf(nj>pyZCmN|G^H0Ns5F+K{n84IKB#ue8c1qhwq-W8Wo
zGh;|-9QASRcihN#HiYNa{d#mj?mhF#OdrfQN*}wMNdZ|-uz6DGDcWErN|dru={2k2
z@sKpa;r=I!ugg6=a3xe%f7tx8v7Xc0S3d+9&$GRas71|HB7|19Iu(B8lGjbUiI3uA
zdAd}|qcyb&&vK#Ioqaco-`uzy3X5OOABPhJu#6k(I*>JApbc^ed)O8gLcSFj3%|Uu
zq-RLTH)j?Zh;XD}d42HT5K@V+go{Nq$)I96V65g$I8wbTFQZktQTzK6MM{24dn?Th
z^Ak7G^tPZbGdHzpA_3K5;HyqC7W;Ka{8sjfWE$_5vA;30HHRf}OLGgNSik9lYzt8y
z)DP8A8q5{KGvu>}06<GaU1=>!Ztm%x=*D$QJW{lnagKfP4eWsg=!*&@Az^sCch{i~
zjXkRaZs?S)&Rl~V@dV)U`D=x40OE9phZML+(OSu`#13o;11MPwN1+?)xtTCP5w+&D
z-(A}1yS893hky?{eW;Mh<w;LD=<~5pK4x3<Wb+m6&sqH3W-njJj`lcj?>%~J<>CVW
z%|GEa-)W3Gwk(d%<r_*fQ?FakhQi!{0JJSF_FZ8Y!gtBLA`Ad!slUAL$|<clL;Xd>
z+2Ve3fF!V{lwsTp+2uX{S*YbA6313TeXS0k%m+VM4czKhcES10yGzZhV*Fjyx+;Sv
zN@;&-4rtDsf9|v_0ddRrN!&~aUCIx%pRPqjbnI(d-z687#3t|BDsD!PHuNmr_kf+#
zu?mY-D*BI!H_Kue-|x+y-H^{78bOMygW-&$y4BLUJ}R-J+1B2I`xH_!uKJR-V}nWx
zsbaJl^grZWxMM3!Qq)mz74yGm0XdHNLLv-yeDi>|_RxIC>_SbqHg)STBF*bMUfc%*
z9t{%?REqH>wtgI#@B4P<$WB?IK2M(1M-GMxC?M4~$&l<|+>z`C>N94Q>R8us;Tlt7
z4Uj^GcwS=jZ6xSzro?`4Jt{0hjr`v<?%^!Yq()Jr*^;)YJjxI?ZS=r#sB_qwr93k)
z50ps;3RupC7j;K&Y?Pgl5o1^k#O-lv;;!X)qYOB*RZLe<*@JT+7g^Cr;n7w21Q5cU
zElROL5{BWXJC#BI&~L>Ldn9*&piKatOw}dGD|{WC_?+nYt3Yo83dfX_o~!Z}HvZ1i
zjARJQiSF`(s4zN>t@n<cqcb%Q0iu^(YENGxMna)$#78H{%DGI+g}~atYOKqrEH8xB
zp0Cy8c4Oy9+HU(|_553K#B^b<5L00jmU(W~^h?FZJ5wylz}T@LOg)KYMqCC@PuJj7
zs~SjThv*^G9n}|rN^h@Lv-xggbm^vV9k$mYH6A@Ce+w@2>Wh98^i~+@Jli;pX}sM1
zY7#KV>&R+Ydtx$k?sPV(i&9++aiZRIv)VM<Wsd=-;-B;158T48A!SOic9=T{Gc+(w
zsL%f4OgCeMngOW25$DMjStZ?Vrvfp?|J}OJIt~b2aS6&CUk;lqNI=Whx#84h6?s=q
z+pf47@q1b(Ka_K=!_nRy6LGub3M(BfMDX6XYK5k)lg^F2UpXchZ7w(C|GXjDBzYQ%
z<eTQ)D1yx_x1<>dHcc(hrPK;eKm39)hCr!+oP?l#V?3Hn4qx<lT6$>`Y|@gI4-FSM
z5z)DFx1%SOKLYOQg`I|@EON*Tk!9BZ<)`wiESWvI8%{WG0W#|PvI3W0U{1=0K8W3-
zM@N!ecZR*oI9;xHdc1~=V&q#>k*Z-j@DDEQ|E3%+qjlNwlxit*x44g=w67T2#%f)k
zX?;C*ftlFVCxNtOQjHsS*D2OR{@-l2@u(>JMxDW{D3?!t<+anm#PV2uJ<x%n{;oiw
zNqcK%fjMYBej|7fQ~JRr9TJ~QZGW#$SvXZmFw5mSNa<>f?24v&+uh(#3ZtE~r;LNG
zpdoe=3ftw=AcRL?hu6aAn+pgJhAuhICscT=`izW&K=98D|4%+sA4~F%$l%VN3kXC2
z(LCDC#!MkJK2qOp>c!R%Yr^E|^ARnW+JfCSM+ZJtoSkHR@|}XpHo@1z-eUyVnT46p
zqL^#eu*z%`?DyowPFY!Lq^pAi2UuHjG@$nh)UKI<7P|Yng%bu(&^lO{UD0Y7$j@`X
zBP^PxG6E5zIv4T!lb^jGaSrQPbpLXflTFmp^2L8Huju(AuJoVuwi{5xB_gP`xrNfH
zB<k3(DRm0m<)P=BwaMGc(rXbfYGLC)!W|220_eG7$1I(%!<2q417Hx`w|CTJYTk-w
zl3RQ_=gPeo`Spc<=g^4(k8Y(`PMw{Y3-j7%abUfEtK`+57m=z9z7O)bGp@(k6dY7{
zI+`orPU)tDhj)DP7%kQJ-cWU7p1z3Yjp%IANJ5anoOVq{nz6u=+DETi_Bo8<NOro<
z6qSs|>-3$`j_zyEoQjs}86bF;)I8%}Ps&O$C!PtafC8eepFn4UlDR94JYh{-(=KpE
zB7qv?_d`i5-S^H8^yau}YVEfzI|kql9MWI*he`u;WpXUS1!)+o<IF|eg{u*5RR!>j
zJAKihWKPN$aV3c)wDtJMhJKSdCa^yR*U!@YgG{|&|2Gam^A|x0u#uhHg5^b5DF3@+
z9@vHq1(uxHzi;$)=8rM#p0CV1sBM+DcTqXz*Ah@9v2X2EmFR21<BgM@M6F2updOA`
z;8kwHfP90tPeySxx+H(X5S=IyC_)B@6Nw69sJVAa9TfcIGzdk!9FCq`D=FM!<Al<1
zme9hop6X@6!bs&bpilO$l`=(vv!f+XfLo$~^6%)*43o9}nFane3W!ye8nyM{eU&Gb
zFs#3#R9S)^ZT_tM@nypc)C4uwuO=|gl6^yI?szgsiSf|U4}i)Qx?nTdray*y!yQcv
zo|M;`1Gn2?^3`G7-bWY1JM+|e7eWBoYKv*EoHW2wQljn9ozPAh*?DvY%_w}$eOzPz
zAp>VLul{&PT8<<dOC$QFnUrZZ?x^vKgt+T(M+Bmy+tmi@?~P#G#JRRgD*(hHDKK0*
zMXkULBmF?{jV5C<$BKW1qzKcRq~Tt=;}pQ%aeMW*y=J7@o&EvCF`2=H?aZjX3b$)z
z_4dXZYD%PnGjx+XJ?C#zVlbxxQ^@#^Olmy0`=lMR`V`e9+=kt+GcgONw4KfL(eALM
z^O~q%wPs4S0pB~<{45BRoIgl1fMnqK)XeOHi#MCmj~xtIvN?P|bNQ{of>v6nyB(;*
z=pkfga(4mGM4);>9%~4-T$bvo3g@vnh$5XS$Asg65mNj~{-%q+kktza@LjW-`PG1y
zN>tS~5XHX`cZ}f;dwW`UPIOdx-s{Gs#A-@iS2G02Jlv2PS6FgZ%<-f>@jNteXTm4*
zbO@!CE`w;VNDd0!{Ao(NZn4QwTgRa%Rb=BqWD{#lrvoUCzUk4yMZT!H<<@0~Ua(9K
zy3r#l2LrKO%}^>NGnk{-qlFQ<q1X(ye;#crKU`miiJfEm?<1r2Cn9AwJ)mD)Vp*ST
zBS|3=XFeG3HCCk%n_*?D(d2XYhqR=!DFuVcC5Vc%72QDa0h%dIMVF^4J~S#_S-n#r
zwIfp+|9m17dU=M{wHeH)$Qj1ma{k;1GuanR_J`)%mu-&-3nl0k9d7BPoRl4oJ^iwP
z#_i<)sEl=!<Lr#}%h9D!B;d9aWdBCm7p!%4eIS1>Ks<Ziaf!L3q7{EbGHqn6(5C5Y
z^L0w*LW0BMeQB4A*O~B4G1U(5Cq6G7S!k|hZ-vmvF8w_`&hc9Q+C)=haua)DnFvJs
zi__m(*<c;1X?{UbYGf=n(1D+wu%s|jjcfHQaG#kHh%I>9HOeE0zuQI>yp>?Qw!|EF
zn&?%o(bgA#JuaB@m>(^57^R0yU!6XwMxJzo6fM-~Ln*2{KEofnXJW=chTs_I2PcT9
z1#y~2qii!=JJ|Do=3}_($U}Euby-EbrNaQwfOb>BJVV!=1+t6qoG42q?A?=9qSNsB
zf`p7qMthp?y0{)^#bBczcel?N1=#Abp8x*!wL_zRgMjLhaeX2l60N-$q@0v{1l%g3
zJ*M;FfU{Z7KLL7#5BmV;aSgdhV(2Hi(_dL)ghwai&*k3dR$j(tzli`nb#^eka7W)i
zZ09FKt)D74F{{$#+5dlz8k~W|*wZ-6F5nlimj2UsAyQn{l?;yxZ+)+gO@^!%CRy(0
z?*i^{`dg8AkL7%bYl<|+MJ^9Ldf782Qztad-!dZHGaA6qo3t`RXPJ>iXaz{<)*W@F
zqU0t>Bzr~C!$BJ0U2>3?jSB$Y^nOkngB4_?z+a%@H^zq2Pw()Ouyc52Y}%N*?zOH<
znC6ZHh%eqSupl);m}eI1tu1WiYn>8dV2M1DyxfP&Z^^Pc;T2e}+KnVQa#O7sm}EBc
zmxXl326@<UTiPvRSebBZ_TG4|uQtAgg(tS8Zljo#UeWBW3?#9ovGUTx^5f6g+WVBw
zxn%ur3`<hQaWIcATL?92#<2mN*cAJ@lmcY=>MUD(au>`cBX)?jO_FvQyB+s=pb8!<
za4j&K^A`d?3j*)E`LbSVW?Q{hed@iF+WTwvm5EsrR<?2eRuFTtrg7~<!^`>h%(NR&
zd?FO}g~nXjIgN0>``86C4@IbWeFdpTGWh-&wAsaQhO9f+!3Vz0$Fxz+SN3{nLd~@@
zoqK9Arfp+VE@iyYvAr#(;a@q?>LlNGi{+zDezAg8^4^q_8(hKKL!^ei{XjN%s5zFA
z6cu?VIn((R@=9mhkw+>Oaz!PJ8)k9)spUhyGxq=oe7nHok|+HBOM}Fv8nSkd$ra@}
zv(Xsj@56?n%~raN!x2$d<rohOktvJnLGlAo%^K{$RJhNqFWrj&Ia)hAQAAs=Dc7{r
z+t0-Ru^EUyEh*rED~OFRLdFq;Qa1cpMiPL<f8KYl*<`w6&Wbs9HH~)V$*AU|b6iHu
z&9>3$fFC>omwQz~=lg>w4n@CV85MSiXArYzAy8)X2igW6Ef6m?H0Bp4gkyqqBC+&3
zP(%HctW!ody}}A%gZtUthZz4<!sQp2^LHF)TE^1adysZxy4=RxZrbW_U>^v$m*Wd{
z%HN0&M-fr$>tQeO$KaV)XoxImBQ+I4Ax)2laWcSG?f3C~v)1b^G?WNIINIVxQXX^@
zqCPkh>xg&}<TY~g>bJ`1;^u|awN!C+wxMR#RJUDVk_}H-w6|a;fM--Ia}~U_JAivU
zHeBt(l(r^E!e{vc^N=-~ZOiU*UeU8?cOq_gClU+4)~2+eR@*OB-lih%HoFCAQyWc#
z3*|^OoUuwb?W1#{sgfW7bn0-C`cKc{PAENyntMxjns+2X;Vm3q^i|D&@*5Nly|<<w
zR0)gl-Nz8%^Xot>IRRpP+5j&d3T1#4(+3jR7zxK!K4b%9A=i%K@+X)>K}6t3+4vC7
znZx~g555RmR<P5$U?YE&7K4&DG3Swq#P0aerkOfU^{hgcojrR>3LKRo%Q(yr`@S}x
z#<r%e;wb?!MN}Gz^zfl&*pltrU{p*LwGQXE@AFOu>zCGz<-!OjxOc><OMoNyPNroc
zv`#s##&Nc{!fEYrM_F0}BkGwz`k}u*6>v6OT%rwfFXO>U@Gftn2p?Si?NQRjkD6nx
zv`R;jbU(<vD)qXwh2Wiv-szNzfMumW-zD)H6;CIQy-~hvSH2xNSYpEE&$S5+wggO8
z|Bg+^fO0+xmr|~_z-CN8vDkVbD0Dc}2W8s=8k;eyjbJvis-MtQ$_|MGwUgFkCKBid
zPI;2*i<|wHVBPPFr?z9<o$dBxZpGP6vT#B9EvTeXTJe<3N{Jf48H0A;@Qu%v16>0m
zAbg3z+yok-X`n2o$d6aAr*TrTI6CI4Ua6KqG64%!Hute%TZLOw&4MtF#J7M$a3$*D
zfmWi9)BypmHvZ*IY^Nwf9}PV9Hb^ccy_r9e&VX`1$niF?Ia*d=a<K%ETh+~^ieWY>
zx9`64k!h2m9UhnrCSi+Ax9}yi3SA<T0l?pYC6XWx?i~-XkVg0UJn`M9UclN{qrbc-
z1(5M~c;l&2TA@Z1ZlP+u?u2foir_WGY*p2jh)?Y_<_Z9#O9y;)RKX>F=~t2wAcc#H
z<pC4ZChNmeA3}9lY=u9+Bs&}(?8ND1A;E3z+u%_Zn<lFFEeJhB>4DN=Ts42ptG^k_
zwQm=LR4N@F2?GY#&a~yGP2;72?o{L(|E&yRtM@^0m(tH|ZTS6eADsD5`Qoe`P8vD6
ziEo2pkudq=uBu|gZsKnt;f(K25_ImW&>4F;HVJ_K>y!e5{iUWfaA^`W5(0uw+QB^l
zc?F^b<KdAu__p+|`qE)VktZ*m5^33<FcSH{&+EAzS5FL{6DPg(xtkxem~IeA$Xp~z
z-S!di$~~-_Z@3q`uTj2X(a1_B|0Z;OD}6*iQ)mm!a^$qCfqU_BTLA$&(_xb#!A51u
zudvHNEy}nkL;b{fQpu7#OWB&f@(cqLyJ}G-l{UTO3;<6TN5~(pff%U|2{9q5(N@!O
z?#Ef%ZCluH|GY}T5Dki}-ucm}z(6TYb(F^tRr0?E#<_Wqh6*87*XEO_5<O5V^L)DW
zQ4S+_l9w0xy%L5B(Z|HISGyBZRq8yvk?@0+k-6!np(^W_67x==kg9;MZ_SoQFx|_^
zcmiJ?pPUbM9eoQl;GRFXuZ&`5effU6>jT^dgJo@Fe}Q@2wVPvy4WYL*qzBpyimR%U
zLu2-Yfmxvu{n-Si!$qlVUKX-7G*FqSw_9K!!;$VIblFmhPWyF2tVQY9T`_$C!qhEA
zfdk!BQuvH0Qzg=orx7zK$wUs80ABnwe14F}$IpdCX9h~UXl1Kqir#^&Ca@o^r=ZE9
zf?EM!?5h4uwK$VvQSvhn;=QGkK75!JI&=OYJLaiZfM_VgOI#CP+dURF6=16~N<)Es
zRhrS+TGI2^)ElzaY((F074B_gqyOC7Fd?Kt@U8_a&ofUQ&<%ew&vjKYtO=+?i!pG&
zvIGaR*By#Zr4$F-GM*<+=Mx4PI<AQ>0q3*|s``5B+>1uvj;;TSN5vLdsN8<8voLi0
z#>V0;QQ6H{ikd_<Cs5%VhLtd;(&rBzGR*PLv;bD9B*`kMX+_Oip4f*?@BTFRxxZQ5
zUqC$lpk8u%j<a0=ow*T;LqaU?@BR8v{W+)hqB4JfLD0p{GS#$X=r0n<Lnqfa)6VRH
zrOWP@-F_^>M9vjbEGN*0F4bl_7txB5rmU3IXL`FV(p>apxZO4<!KY9wfhM>NZx1p=
z(-jfi0Tp>WGNl9TOM3;WH2NH~FG#HcVhc9fed?5a{KL60U{kJmNSYe-!ipz4bovzw
zASGozsgyF>SQg!)&M%BtFk|At&v+{Mc&tAcy6+$2S(ZrskS-IIa~ELl{-D*E0^kru
zGJ@vK1HLZq9x)s+xI+VX*aSd8Y%>o!`+ZL$P-j5BNDRly$Vk8u*(h-3G};UR=&c4q
zLsN`QR8Rn_zovIP;K&D*z46pf+i4GQ`HV%fpRT8NqbA=|6pwGIeFqio^;7_1BCpJz
z#o+c?m=nBkzC7-GM)`HU9Mcnno(<S%(R{PAMbp8!KrV&5_gCjNa`7SE_tS%kqjBE5
zs8TGRsGwTXc}V!XZ|qgsHJwo0Dm?eZL#Uq8y2XCFg;<M-BeXD+RF;{j`$U4E4L9C&
z$t3{j0!ZQRCzpJvvjgWy0}d}clMnByMc^6-l@%D!)P}yTXWYoWURMCjb|*@;%Or-M
zrQakY+GRi(rkdHKg_GUlg<s#y%W;su^KMgCAIxhwb8m8rw{>HKl|40AsDzZ9)+)YD
z#~R1EqYYKs`fuHzP1i=87qn_nMN6g1!L9j`P~hgKq{&cwlyCYu;TS5N>&-7GF~Bn7
z8S;GH*7xH848P>Dbs(<TJrL>E(69z?dIoqQri@EBZs}<9(;n-amh%BP9v2Qzi>)%8
z;;2v`V3FY&d9Jn`+C2eCI%oPQ$L5imzi%lPC<eDY&<@X)+~`t}_d__lS%yDT8o*4_
zTFI;gh7Un0)u2X5=P8eMS$YQt39F49bgEu|#$Rw0BgLc?35Ak0Ie?Y|oDU@ovfjzd
zpHzqh%6XWYPF2u8mC_gnF-}xzGHd4rh<N;pJr(xeqNaV~gN|bnOC(|#(+I2_c*C^*
zP+k>hVsHn_e$9EPJ7mU&@1ao*6a|nI58SXqcy~lC(gzLw5PHUw>qp_YGXtd-y%F*>
zFn<(h|MA-w(}xs=3x&6P&S{Ry3Ry=)qM`9zUc*P$FOYm%;BOOK>1db0xTqd^%V$f?
z5k<N?B$sw30%j&2h*nk_bH)G!uSGzNx7SNM)bwcf@n3pEMN)8|Yfx}HonmnDBa}Xi
z0dO;@ssw!~=XqdV$D%C8rBd0=shvZqqF=DH<vJR{b+9x*GN;amyO|G&o{)vL&U#Ta
z6ZO<F|AW+?{)>ixA-5u671nLlBv0=U{%qhRkFeE_gtGxs>+3e!@Yr7Ut51&9K>!>W
zxIWNLh@5^H;k&IkawaPDKwz5-gTZ<i+AY@IjB~1pJ9$N6`(Kxz=GX|W%hQ+(*F@yo
zA3_AF@=$$ckyJXRg{9(qP9s|W@SK{qbgIsVV&nWhMqVFFhv^GKy)b_Y4GpKl%gUm<
zW4!YZmwSo57~C2s64W9|>2|mQ)$Z3y&Jzg0`)C`e$-v$D8R&+)f}V9DeQ!>P0t{(e
zrPoz#QPK33$nSUjb81ukt9C{8{r`aaHv5k1CylB3_?R(R<3N&#b~{}HQLsb_MXgT!
zaAENC#G{;0q;1@=6Le<-5C7LV%U<3c_y3f>Qyx|<C60Ev0;0JKyNCh*Dk(k!-Tpi|
zXgPZpXV$=;<O~UdS#CcvqyUNI{FXve)3%`BSq9F>+&FDB@Nln+VCT`t>eGWH;HMo|
zU%BxeH<a&S=R=%lLg*AH(#_TsyH!8sSJD%fK+!(2>&GCTz~LcoY31#55NLv7l1?ha
z!r;ok`(4`m0j?==p}=7kl5%C5nA>a}I`t!`Z&xZrctzt#tR5&KBoq38G0^l9Yy6K_
z2i#l$iD`^1t`H{Ek<p}lFl>3Pg&Y}$>;Qb&+gATXV-l?j_BHfNsH{*2xkro{2}pqL
zyeVhE+|#dV?;)<A=OGhoRhhRO7J`b9Rj9}~cWcT1yx?1m<OM>OgQS)cLw|#Qg3Pz(
ziLi$=Li#`N#>KE7Pjz?33vawXdVlVv3p`oB6>Lt&u*KHPLt;=XB*`FG$rJDDw$L6U
z>d`hTA@xjhlkmY;7?}Gv@@YmM&!iquJ%%!pFbSi~b2PI95tm)(Sh*whxAE@Un^i@C
zAo~#djLv1ae>V9fNWR1h4vwjC?zO5(NNKrSx`oE2sK{_G(00tFwlvXYL96JiNZfD-
zGW`AjHy_iWZ5DO^iWt9OGB3+LQkq!y=w3*!Dlqm(lXmHL={08!_N7G671K)@<54{`
zq~a=YmUw^0wgc=R9@xJ_ilLb;P^Dg({46Hf0X9T|t<u7%NKcVxUxQA-QvQCghrR0a
znYCE*GHIB!aSXTyQzvp(#W8jd#VKA!ZNX5znb=aRic!COUgj<>L#nqgCQHIBWkN#$
zLQDN3t>O^S6yM2s@6eW!FCXDLOH8&0oYL0i*KGzrpGn{k){3J(n!rFWioWHUy%(5)
z#U>ETVyvBb_tQV$R-ey1D?bVR{J$hHHz17^SNxH1l?80bv37gu$_&>3>>x&F!r!H3
z$b?j7X^ok8FB;==n~XKnF;#lK3?SxbrMu;eK?rVTE3~PYY=Xiv1{nlq$P2yQb`w_r
zu#@$5M7}KY4k{vH#c6JON=*w&)OD7n%_<X+->uL5cSe8Z1xa@t1j}W!ppWEOP@qjw
z&gY{>!wH_-Q|%gVJm|!;J8N1TkWkO9{gtZ#B{s5^iu15H<Wc>KsX7yXqiB}w(hlS4
zxQ@P=Ln4Kg6!N%>$NW9@CuWwo;TZhMgU!hVH#VpyHC%MwQz?9>73nmKHQHHLSwv1i
zOAE1~%Me2HEKfuO_hUkkO6`<Th}W5Mh5X$<I!g%uCyoftum7K;^;`u1^EE=F;DtQc
zw{7;)TzpRF`8r=YoMT2j)J??fkRr~wh4A>tLctT*=9t>emVhfs!_HvgF(foUa7DK7
zerpgDxKI4CUEM;j+^Xjj4fjAKYjhfo)~OF6k=n({N5nsqfkL8JY=ylRk6-Pi^F|NL
ztjpUr85lQtz&l^Xjr`;%`%)wD14Q?ADo5N6i?NN7eTLO8mq#BiiQi0j7d?@oi_AqK
zm!R+R)PKa0L6|>j03tnlJV4R#<lbY?Fnd+Gc;ZxK58RGH6!!B@G%q(6$G6!0eS3vF
zB~2y4tg1LLV@jMF3lR-RTdhZ_{qJgg`pLt$;3sF{8nhwv#CpC3(<Cn0E?$(X-vn*p
zqJXVivMDC7J?6U@c5MCe2*U=p3+1%+b(zZ54Q%3)_*P8V;Tmwp31zA2vly;P<c~k#
zf+UY-{9W_bPCA8eqi02|#c>|_5`Pj*g!oea?-yG-wHG(6(T49C^U@<%mV?RQ>|p!Y
z4RyF&4Nl@G62xzbOu$D0Uinsv|2G^G<wo3#=XerU28on2>Yr*bl`S(7CZraW&vp`M
z(E2sv`~Wt)#A!vyA<;82jP^9H1-0qBe=jJ(O(W*2qe0~SbTvk3I6u<y>0$SK-}?NT
zda3cwu32@Q{b_&^6kl7xE$kynFy!M0N&0H5jk4x$zG*ec1_-*8n_dd!x%bsyZkl31
zn;7*j*SQEoqjkZ!Kr^O%A8Hz~A}M>P!blwHx)&T+2uDh%F}A=A%Nh$%)AX$?Vl`?)
zoeFWN#*B{f^5OY8MV6|39RffwLg<K2CHRj~5K$L}P?r6T!u<!zRv1N9g`vBGfkAxh
ze8gIbrEla}Z}QU+mKA~l#6a%<l5`Bf%PJCmxwOsGRC-4`uwOCFjCaPxr5KN^rx*~r
zNs?vK6^h~-25|sx+XFZyI?G#$0Q!n?Gy=@{tFDTarl!l~*fe(P^7tq4VxReA`8o@!
zK^z<(yFXasdzA*57xU`sB0DbPF~wdI;_)XK{=vphwg)HPhgm85PbMB{GLGw@xW_&(
zu+&r@T{q1qCSh#-OOM|9s{X|OGhy=0lo|384V7RmCqs{70C7n_)E|ilQ}vAH(cPl4
zBr{LUln*R4O?F@>ifP)FzFEWbHZ_rN<ioZ>Fbd-}W0_g3&ucQ^4pmnk$$8^hWd)ia
zDW^*>5ZKR5tLpLx9?n0enlT}1XU4dvU90oSK=fjRN17B@mHdwgcpY4niJca|Ms>&@
zta}$l1KAFDaN?c^l2?NzUx{53$BRS10_tp$loVpBF?#vRX!_GkE(ysJwntloE81D&
zW{?}@DI)K#5|<k*{l#w4AU-s?k3l`NcXcRCrgEIV&z@vc;;SQn|44K`E$d(*l<Asr
zV3mh919|M=AU<FPf5>H_9FzG?-ygY&Ast<UJ-b2%2OYPS`}S#MBgs%-3-nvZc`kY%
zdBj;CqkVKItbfJ!pe)`J)q^=W7SoD9VsH+^CSJ|7F!h9iiq1Bjg@zKhR%7Vfcb|=V
z0b0Ky=28nZlE;IkY_N^Hdw(tO$B<a3ih`DL{iAl9S}1D$q$G24mJO#&mbZBDuEv%W
zvwx(=E8%#e05EWd{WBB_#+BjAPx2$s{(p%(V{92QNi?kSV-Tu+@(U75JZp+h9limM
zv4(ebYPKh;GliW_jJ!x75k0#!nLau4#k$RL{Y1dUn=JJv9li;jHIlK+S$~^ViGB-+
zh}8m3j&b4T{mxoo&|!|TL~4P(SH7m%{EMtQfm2)h2rA+f_WK*f-vj%c=M_UP-2+RM
zfcm}T05uszSl=VEtojd+5AS)a_pgd}gAeUZw|sfY+AO(dvE8N=x1BaxL+Rr+`e<V?
zMq--ke}3PBxRRJ6uE3;ozt1;js?%u0el`_p2<tM-QS@=5JNby0qg=OAqiskjnW(E?
zEx|hD3b@aN*O9rg@(ej`r$q;$zcY&$y4zTkp((ep-JpKESct~+H;VBrnfXvB+Bry=
z&Rci(Tc}j=jBD_V6BUw9=x?QJ)Yf_zWTfO=Ne6#EiSxgtcUZs!Y6blI<C>SkYba+X
zsw1w6zd!3$<nba?g5)(GnKEUo5wVv3T^X`Z^3(rM2n7}<!|Pj|@-pBmZtJG*_?8|V
z{MszHK=(h0dp{WiC)NCm^YlyEZER?Td*9>+Pe@^Di#Eu`__#@6f(`<ODj=w&E6U(m
zpk+;%Yr?QmC-b65d}}7)ddC@jY=rHtE8|fu&pLADJ-4H%^Ir@&XO9(Di#k8fw<p=p
ztwcE!PI+pwf2(M;j?JxfF~I`6`BwL2^OgkbzSI?eq1++y-&(3~smhc5Pbovpa3g%S
z3cae@HLAUlg(g*k+SAyR&DDIBscE*BtC`ir$7qLpcYll>uk1N8ZUE1AZ}w>oJVp)`
z->7KG09~o!TmT5K@Pa?@@}v018x!V$4MR!uM+PyPtKWu3Av(eSq?w-i?h%6(zHA-X
z0s$6WeR#y9>u!;QQNSR9!V&9%B@=iDW>erBuT0MG3Fn_uQT`6JC2emhTQCUe*yX8X
z!;2g&HT6fDM}X|sV6Zet(TyHBiH;xxWN`Kbbn@-kxaA3KjHs!JGjC;DN<12f=KIV4
z1vZ~Ke}wfobyUk&CE_9xvATi1!R+O?XpRAVW9>O6S6;{3S68&GYO)XfTHdM0_$&qF
zo|g04+^ZY@=C2i|eeFE}Y`amX8xROvO@DC!B`44*D<T0sp}M#40_&<%b86@{R;sQw
z&nj>dO;s=2k|Z-rh_avUnCJc4N?kM{Qf*S*JA)xvit=08t14ZQ(nvlw%mpAL<1Q44
zwRq-aa3s~BgFaK=oKdJ+tACPsd*%F}dOqxXDf%!`0p7M#KdY-dktx#B9YI_E_oc^)
z-KS~*_jT3p;6{$f^KR1tYL@<=l%*!u=~W*?f*u`H!fyuA@1>mLXZN(<WWU8aCYfR!
zq7=?={z)>LRJ&kqRsS<q8~}NTYM8Mszl=5^54JK%`N}Ns2K6qOikvi+Gi-WawT|q_
zQ$mdTn_fCMw3(`<wH5u097!b@@MEc|3{|K(o1w<&e!AyJ_f~8@DvQG=9Fpkj4{>lY
zM$vKo&1D@+(ZWZ0C#?1(-2Cr}dGp<SD<ae-llw5J5+!&AqQqz$eug^&Po3mWCjsHY
zL3R7A-pM+-H0Kqdr(rxcwZSWq`H8}e`;anvG-l)|fuAR<@}6LL7LhC!E(Kxh@U)+>
z*@}`l+5QFoeWT?q&cl%UmNjZx@qE6JENFW*neS;|@O=LAzfswCexPWUa@%4A44L(o
z+0!eX7%zsjUt>I9;=>_>;_DEhwPcZ+o2H0WDAZvhiYWbU#i8jE?cu$YMSm(Khkkmv
zh%_i?Qh2R@%T^c@bE=#A=t;rMj?C{5_Nwbwss?pe>kZ{83<C3Q%Is~b`}whBw&XAm
zg$=&=M-iHcMHitH@E(3kfx@2K(H$U_+kDCviE5H{PAi?SHOTB79c_J)LggxX2-B!l
z0NbLO$L@m?{9<cvyI6S)wn6BqiGWXXp8%#qt4x_}>LWZ;ves_O$`VK)(n{6ETl?d7
zvR8{CFExqO&Q~)K4gs(LC^;9?kO?5HOSj^1P^qJv+1qc!C#}|Tn%%=?0GRxyplr<z
zPG00b@@$lecPp2Z*I|hEqnt!D(@h*^&tR==PwaU*!eCAFb@LQx&4z6efZ<>EF2fFb
zcaV}tcIXc7kqMdTzYGi1v^y66qBamyRHI+?yw#mhHRrJ>90aO!!%ngs0EWFP+?V{%
zY5Ct|BnfcXJ;A$&qds3tF04GHf8AA6kKR@lJng(1n`)(x$edQ0g5aUa%|c}SpprL$
z_e^*3>J3<p>(bi_URu3BbHno9BrYxCM5`qkHLT<T<kq%w?h4NMb=W0m${DR`PS@S@
z#SRJw;U&w_O*FItR#p<IR&eeAd+}})t#L<kCgviL^;@qQUUr`myP#SEe~^bjKXJX|
zb8N63DMVn>K98x$5wROQ{Q<jfSd?N9jSK=f3u_|e93l$kz4q{Rkn>#EuuNISei4WM
zq1PlFMNhj~NiDh|3xv8z7ym#!4QbE0Nnlbu1a{9T+Qak5XM-4oS!Hd4>Y%iBuMBFW
zyc?7*ZMM!^B7b3yX-S;=4rW@uzJY;gtGj>H&HlAGf}6{PK-tmP`Z>-Md=`IxW$4+@
zdTWgiPj6`Att+1DO7GTiig=Sqaom%~hI-)Hl`|kMDX?JAAGVkAVMAE^8!l4~(3NM?
zMOpf{w4LDk9lE3;7-_Ez#s%D+v0ljrWA;pY!~!cK9IQV8`WOAD^BR?2KO!T!?#T~>
zc;nL@L$^iQfgPB-UgECaNeq}WFd$SM><pp;yz$o<uPlF54SZ~jn>gKFx(;n$GggoZ
z2k#)rJ${4LvNj3XXX6t24Rf6Zwrb9-1M-BIb<$aL#~#!m&(&=3l8I$Z9V)i1?F^lo
z+j!g!41jr9jGW><*uG~qK{NCyZ&;y}QzhxSB8#<IBwuDLyMNP-;9BZD>?Ilel)-+!
zVAg43%c#P?MzL<!ae_NLwvdVctY1NW{`h4P=TSs8wx(%8a+fV0R?CEmj&(CehVxyq
zq~;TAdvn6X<gh39v&4-MQ7;C{Fyh=os#U5J5nm(^ZS_>Q7IUDXv6cP{eFlkDF`qr!
zlkIIXT#0dE+g0AOfM%<mZ0Au3J5gmcZ|4lLPV{9BViw!KD1WyRJ&bb7fZqx3Ker6Q
znC-N0fh^i;3!RiF?YXXkXCy)K@<e<fBS+;G;CTEm^&Br?FEG9v4Q^BP5{?#0Sk_C4
zNLtus@r*Z|F~mT(OW_L&6o+f!+~E$1`!-{J|Kcaw4bwnRtKQ50KAZU_$hKW>Xs!Zl
zM{J356vtF^-MJguc&RjKw^xhh`&ftX?8|u3Y&@Ttr}TDah4z02?WY4hE=tjD&^RQs
z5r>0+XQx|h6Qbh*7ky8e9eym>l4nE!U0WT`sC2qOApK!S$#N7aQ#Xvz;m03)p6lOQ
zOBx1&x@@<F^)X$DzZ*)iRMr$<y2H9*ch@ei=G}%?3o<*^;nnuv`VMbo4CR5V(WYdd
zvvLKoe8<11>>k_lncM3_i&Hixevc#AFyOpEJ`OrlnL?fKX@Fhec-{QrY(0i(r+TZ>
ztLfK*xdmJTobZ{&s_Y_*>(;<(B-I@^j1j908yHz8I161Cn%{9Ao`;gWyhaLEpZT#4
zwkPy#rmght0?=>*5vb!vV{O{NKc3QXE*yTFcats=b3oZwfqLsQ0#jUW6gR7{qu2lD
zs;fC<vOJIc5IXp!nR(cY3M%`pM4qMEPz`k%H~ps3t~d`{KMFb(Vnx2sq~Lv~J};L2
zWx?1s3%|{v6$<a^Ws>divU#Os%-p{cEo8iQ*`XG{#09Hh72(qBzCMMZLy~pwd31CV
z%F!syu{TiD!0+VpVQ*=HXO)iXGak(s^EX|JmvwRDs?GE{miyG4KuBH~M9&V%$;D?Y
z5Pl>wg~YUIe~5%LfH5w(1aP;(MN(3>hE<?L$}}Xpau>N)q<GGN2>BJ+K1Isv-d6LG
z!3AigVEqWDIkgeMa=m`^(i8*k-3LVW#|6r@`xpCOX`<T$?P7m!)#>~tu<&6xS=`zP
zDNPW<zFF$m8fZ1}n3}72F#b=}LbcWnGGon1Jwi&alM_mAuw$o2$^V2F71M2L;Ii`x
z&WuLN8{3nja;UNx>|7`in`YlB=OGODWaw@?P$*n@R8G}DUseWg2WD_XL7X<o2@5f?
zqw3GIB$|5uGs1Fu@(ool446)b_oYZ0iqu)jSNdPRWtDc9V{5XVrY<hqcy~_lO3;O@
zu?(O*TlIgA4MqX@>h$XcM%68&v32=KJu@Zt_GOQv4plh-0?W|<M}4;wPXLNCM>1Uk
zp$%KmYG7LdZ#<qBQ<bGe^$gg(-26Zl!7QHKGYK?pk7(+t&FcW(ji{331w|P3-%It4
z5)Mjncl4sroSnQ78cpI@154hb0g<z__-eLvgij{tbhqk#n=QM}H4{6#v*0V*Ubn0o
zZ{oxI>%V83Zc0Z-?}c@l+ynjP_W8~~5?Y4_ZQotz-W9BXmAFOrP2VaHDWN8oZ*;r9
z5d7u^U)QVwq<B+OhJCYAJJPioJ>#>rk2xp4BIH>OJs~96D3VlQGaT^WTZ+Ec^Jpe;
z=!KMd<=e`KSy_Lkte<{r1*K+%MTSraw2Ns~QGO>VLRL9e*Es76QQOr{&pAD}#_e$C
z9eQ%r_DS)KD<a*6X--h7u~(Up!Zu{Qn>2^DBhbMhBN#B<s<MiU%5&~VHH3lOPR)vl
ztiriaYIiIr_g(JmrMc})eSAW%HFg;Gv3RmD96*$+pI>shkUex^T|@jAblvzVb~Nc}
zBLp}qsE;0dG+5k<l@ukLx^cGlgg?Iok$sX==;r|)G7}{}OwNk5<x@x^$(JI)0Ae4*
z*(&*tlh+ljO{W+WhM5)Fv=BP1wtW^&TL7clz|l-JA}iWq1XyN%kB}`A43m1_)8$^B
z5q3X@H=$HRm1hDgQU3jOIY%>A#acHv;fUU2CG`hhJP(5B5XjLILA9vh1#G5V%2Nai
zt_}d)mdZ^@yk@o}GHg1ZZgWtst10&@5GCVM8e!gjw#CU+L5eP&8pmiAWf1ZHUFDi>
z=SmajYd<%>Z;48Cd4s&_(ivWqQ<tP1HH9hrxm{9=ed}BWbMQ6D)RK{Ddm#pVYBk$3
zK+K$sw}Fgf+|*JoJ^mR#geW(uNa_lIt%J`gs%~mD1(^a#?NRjlT}|}knYUF%V8LI+
z($H212*p)s(9?#eP_-~}QgOORl<8EwE1?Y!67N8MPY!r_Mt?Wiqo<owJcgRMUNnlY
z$aT)>!FaF!D;84=^a%R@^AUff17HgnBnfAzYuTzUzH`1v_nhJ+q|tAwk`)gnJnMA6
zAqcT4;(c8HIfAbwP&Q2t4Q#m83u)blRBZl>XFlkJFW|a!f-#yep1I-Yo=Yc<ax(>u
zy$_3d$pj`mr8vVohuoaXB3ECNiB?Xc&Pp5<rgqjcL_H6t__BN2eZ0t@y%G&=4J{o0
zb4;C3a6myJjJrQwf^QV^v^ifVLvEPzl~s#>SWTyf`X{F5o>5jw=zaEih5luIT;EC=
zdi;-~8;2|7DSKp4xA$+lIkiCK#mdU)A1K-<Coh%=pC)lYvjMo)ZWJEecx)0pdOZV!
zej=)1zE0~A^aJ&hMo;Z#bzL{7Vf5{}{48$v1pq557ruv8l56y|%!@mRcu@<*u@clp
zuxpCiZlaaznOBpvrdU5{pP-PmITVdT!zNY*YFm;0cHqgLx*7=>s|awk@pQ(Q;}RN7
z6QXaHg*ntw+K9pub0y5!9jCjfsSEBgbYO0{xEwMQ`PA<M%+}HMOe@*LJd)Ki*2Sm>
zwl_UyQA}CN^fT&>{_*sT4%%e}43C@kT9cY`_&`^NqjW<*;#_5yuqA5}u!8xfW3Qxa
zW&%i-w>BbL-x}@qj=g63{YNm(O1l}%*z%$P7j)t%XWvJT>2zvrAiI1QZ2NS~!05YM
zP~W9bVHHf&O2p^c?s|u8r0G(d)Z0Oe8@h}ACy+IV!nuwPf)@WU7Q3`&soqeq33eja
zE=d`-dNXH9C#BO2nA?@S;O068)+r@2nQLsx#FSes(~`TJ-6Pd2ptQfj*>(-`!RC3V
zyM_QYgd%)6n!h=&>MhDpZ}~%IciQ$HMDKt1!*ubJp6&{^=4pP+<(9(b9d1MRy=4P>
zSwQSzy<>3)r^=c9sFo%Q|CYZq9iRFkf8$S6#ED4?zj|=VP-C|)Jju511pq%lz`r0(
z+5e{6J@f*|vh;EOBJ=oi;a^$*AN*sepl4$hVFTavEFlm2HZ{O<06*`Y$?5CD5KH;q
zi~=|lWg<$RtFUhiNC3<;Kw&a!o6}tO$Os6|#M46oK$~B*Y}k{q#B!E(kr%_(^0%Om
z#fXE>fc*4t>^QO=FKH|tl<_^Ino|%|htG%?Z82r`f?HXd+}woV4^kj75{5b~*7C<1
z{Y&|$tM9$*+qP6kYn5)UInfGYX8G?amRYw_EsmjcG~8AP?{J^x=)>AL>a?ZPaw;|n
zA;InIWMA@RhKL;0<jKD>I|6j+Ng(}>p?~8@QY<gq7xqtJ&dFpXTd;1r5tu=qwPy7^
zKBSCB0o?0Hs=H|ni+xs<iiwx07orWW{7vQ-6k}^mbx~d9;#lZ}GN+EEoV(9;B@-<}
zPxYnt>%#6AZy8t6hWoN8+`c-mWrXY;h<-4J^7<pGKCrX+thg6O^wW&e)5@MyY|v)^
zsV}<gjev@~|ITgM9MfIgE;G3rYz#5?L5_=if$KH$mak^aDUbMVt72q?TrO{UALMBm
zDsP=1A8sj?y*l(H{vt!Un<(Rq$3S%KIKVN80J`9C873KM{2U9)UEau0jnW&&rf+k0
zm!t}}E*UIG=QQY?;O4ftr`J|?{L9Us7l=d;5xgVsd0kB+|3AQSa1`4nNn&Pw?ACrx
zjl98R&4KAfD{4rX6;~=)pbuvN?}|}lHl)aH9GpfLcRYelmgj5`%b@mE(h@g&OVCWu
z<+PhiAI7Rk5H2qCfCy)I>#I5U94un$?m!&e6{<IgO$x_SOX}DOJzE&+F*Wg3r}N!D
zH>a+92pC2uI><KNi}yQej=;DGWZdhjT-8a)--WOdJP&lL<S`6%fc>y6E~EQeKZ0IL
z)eL{#%Y&p@(2$Bx4EICbPf<nqnItq(XfSB)2;qA*%iA#FiqC6a<x2P#<qJp_EqD@+
z?`Kf61z8#H1zsLy&K(5|WDT4;2i*j%uU2qABIdMEEsQfs72|h!rI1x=jJdgfIyIJ)
zZ*Y`EtHK;+vvG(D_F~QS4;?&P238(k&l_%EGVgzy(zp`uKGRb5R#)$|7#uT;@el1$
z0OguBTTx)oi1rqh$v%gMhAGx6g7i@N-o$0sY}|566)h;9o*iCtdl6(;+8G#H4kUfX
zUJzqRTC&i3;q<EZ*;j~H_wsq^strNi<W4Qb8bq)}x_61bDLYID012Mx3smbE`0nR;
z4*^b@tA(Y>|BvJhS%pZ}43b0YWF(K4{gN>8#a22E%q{IXSH&K`Dn8XE`mIkbHtdt4
z5Nof2p1f@XcCVWnkB)O|gA^3Goko2yT)t7EtpWL%+c#o)p4a8%=$OlyRZ)K&3s_fZ
z3XF-j@t);*Gu$q1$si1s<66?B_RD6Ao~u<R5yO@(VjzL?dV2#+ypEyH%JT2#-iU~H
zL2a42B&;f8-=_=wtWA!<GVnM<jFGH*A(y2eLy-uK5ZoFXr=NrcHXXX2*7JZZ^&Y*F
z!c$*8lw9IPB2K~a9;LBK@;?7U_(f6J3CzkoS3bGMK8`Gaj54lcKsFBg9p6po1Jc27
zUmt#B1_fqCX(%P1qDqji%pGo>h!?5y_u;(cCB-AOB3lMhmMS`%jHk}J-?g!oZ(rKU
zKw9!rUsD{R{v`YeXUQ>s&_P^}9N6LyHIk`jYJ9DBH0`n8Iqge=66Bg3Z0(<jIFTBh
zpj!cnNjS;yecXl5&ciuYL^I}+S}Ac&h-aly>VRG&a3CX2n;d!)Ck0q2(q%r6IK0fe
zGp<Ki%k#;|2eckTq#Ee`&41yXPiM2;cl<i8!!z=lUl(j18(tK>LziZ8o0i5XM@LpB
z^}O|I>YkemLTw$uB1QD##0KXO<fe1fv1fz>eqO5~g7F~axk1k9_2)cUa>>4!RBt%4
zalv&5{<a4qWSmUM>;Lj=NJ}MwZ!M{UV-0E$<f=^M^^D}boR=c;YtFds6lpB@uQvW<
zx&isqfda*#DqV<Q|5I?o&bX|+`OM*#+x))6^D}~p=&Mw?Pf68xI<|g-2Tj0@wpIXQ
zyCM-m^h=y}0>rJWqGg&hJi}A@-^Kmix*J>m0f-#9i|(Y}-KqCCV4V<%G!`Y7OS@JT
z1_K4Lu0d@x@K)Z6Xf(!l;5zh=_*D8VhrEq_BX4OyRe{OzY9}7V+SVw|bKlk1<a!#H
zyU)n_3Ta;mK>BNl5Hk3Hq+yBbS}z7Hq7oNLHo?2?Y~qqAOkskQaupNwq#{(m9MbLk
zi9I*zjU!(I)(SLx@x93pUgjT5fZ1;N`Pb>S+5~v`-z=7?qK&dTogTf1ZX-6+)k`YA
zHMjH?(fjbtS6c3fVWFQ95V0v>cyWy-3Ph=#GDXotfa-Y`ikMhXBh6fwN`PVY6|DFT
z3HhPSoVI9Yuqy3TgIznb<h@y0)0dZI0)C(cA)(u?U6Y9>(B4LUeV;r=YV8xhr9pRr
zPsXspxE;rPatCf|PcaS5qTK8EPU<nzGmlK^vwEJXyw$|DaaDH)S!xhpt)ksU_-&20
z{%XFXWwx2Ax{ix?>qx0!cQbyQe<W$TfsO!u?7`X`kSK%6X3e57dFigjs4EluOD<|a
z(|zvr5{TS8{R#uyq$Ga^3MF$NA_&3m@WI)?lWA&IF+HjZte4yi0-^I7!1=~iPnWdG
z?QVF^B<K~`Z71Vm`v41~FN)~m?jcW>(@Mm^%13QZ!bR<yd|;GpYy@My`Dp@#c(ZG)
zNsS8Oq~x#5hERkjrJTyUFY|LHx1fIh@@bwm9`f^zljW%8a2%)^>sFQb$dtT^%y3&j
z48lS?FgyDed&Jl{<scnT4ZnoX$_t_rg&SvmG8pyLt@}8n4ovh!LD9yi+l{TG^(IAX
zIZT=TIE8(MGI9rrN+U#8#`Q8T8$$H^el^PX!W383N?J^zAmXk&GnP+NNvI%D?fv`o
zF#F1cEsO@(vMbmN+-A#*enlWqx??V6U?J<%bYE#<xQE>~aSVW4CAFI_i9%&p*a=Qb
zAGT-t!+l{h)^=VB9-P}x2(A370?<b(ZAK;UrPtJF+0{^^!%q?~NuY-^O^8!s>ah|+
zRGBCugCGqrE<$2t8g_Vezhuc6`j!&I8xpGzF!B+FBuikQ>mOplsb2{PQ35P?_D1T0
z-2%ql^XXn2v;Q!$i?D;<2yTP-&1rCVO&xClH3(zf4?13{7(W%_-YFcN%bOD)=DM}I
zL+&|b+e1GhzBK9p(599M{%&B7ZbeMJDGp+#tyN1Q-3W89M1&R_?~Vz-Vi3FJ<1<4n
zk5w9bk|ha3=?Ac4QWMk3h#xItSFvA0@IXrOq?-8%8o2v%sU@dcHt)7o@$YD`9X+hI
z+sN~pJw0aj;fQz_`W<XbSYZ<E2aAvWTl0krl$f_lLsiU~#T0_`oA4qW;Mc3lT$`=%
z*_K?T^3Cr)prT)@i3?fyoDr$-mx>jer{UZFY>B)yBr4<og|%_m88djl8p{O+4wBf+
zOXHki9fMtr)2Z4)cD--}^${Ln3c%#4SV<N}gzYWhQYE(+X_ap8r<4J^&Mckdklh{7
ze+7m9y67@i{VbQ9zfYS6cnk}@%kWOkQlThDy}bm4!+3BY8O6=F(zi<g{M5{uPEA5R
z=kf=g!Ssh)oShyUHR0xi706<P*Tq@Xlq7Wv()~D_08&-2a_iQE(*!=iVaU`JkYrf@
z=G~z8SM#`yiVT*aumB@bq1^421JFSEPU1(p=uIO($nuqZz9wi&L4d=smJ?<&0IpO2
zrW%JflO(YY?V=Oov#89xJ}X6o<wK&K^cOe*Z#d`)v~N*kRuevQEx62=Q;ok0ctPCq
z_v=1dWweS}%{U3P4<xD-#~=-ais=5rVrr)loGQB>7`({;xvkkdFW14t;`k&|^$IG=
zr?$<rW=-&179>vA$L2|v=hM83Cd=h%M4YCfOj~2Gq<d}4S)7vV2zj*|iw1`j3M?3a
zRc)ImD3hlnUezER+JJB^22yd<YkC#DEE*$mJG?QXZp9KthvIrGPyWH|_2xvQj|7`7
zy=dN$BKs^-A9UVBL#%;>`nuGeEMg2d;ztsVa9pWq?OgW{u4p&mMpkD|i<Vh~WpWrL
z0?3jYH<vET42jZjT-vhIpYyfvEdFdA8bo%ARiuVc!e33Fm`YJbRGR0f{rDVp7IbvH
z-sUC51~I9en)<3@aR0wy*Q2<n7t^JhkQu7<q_HK=m$=oFQ$S{Z6lXs4rBV%my59)3
z5l3zQm}D=sf`H3RA`EN6L{ws$GE9g5_9d{Rwv~dg=EiL6tGTvY5p1H#kcV4k4D40y
zWizTyk4T&(I4or*MTZRva9#|Qk>u*EUPf8^P^g15^S?biC{l1cfNY2T*m8@ODF^0C
z>Xvn~)x-+#@Xk;Lip2NT-H~&|!!Iu$7AgDy*FSt`a9oU))G#{9URwKI9dO)y{p_ML
zCUN<9*X9<vbwO~C$6{z1(|W<@%8oI?TQ3K&MwuJvHO8lS-#(2Q^g2Q~*)XiR+7_Rt
zA+VH%pk#KVBgVl{k~FriwmwbM=W}dw@SCzsH_o9w0QVe%iJbj@0Z7`ZLA&4o=!~QP
zx*cyX%WcSa>16+0&>q>9_V76G<!_-h#xDf`r{Fh{XwHULWyAs=Hl@si01u+vbNtHf
zuNn#;kjc^44tXac#um}p3c8E}=tpGWF_Wt)QWQDexPJ%2`;M7f7~4uRt_*=1(88PP
zJ@RGdFD@W_tHH|HVAuOlp`8D`qOTicSeg&54UG?jrB|ahV-_wE%7q)SAO*k_tqapQ
z#HYY8%8*zM)bF=ss*WL%Qfl+vl_r^8JP+3VlwNtTsikzU51`_&C;!0Is?;3ueKtEJ
zU#&dkh5X*0n{e4&!VEzRUc05!t!fw&t$^F?M`qG}ET{#-+xs_1!Ua#5O}qZO5J5s8
zU3em4GU6!UZKETChj7n<NomPz>e&Jo`9QHOA@Lb8fj@re=yuvE@eL`h&SG*o*a=om
z64a#bP!#(J1I*^2;yCjJ-*7%z26n`i$E8|a4lFf+vlk%cFGcZ<Rr)s6{qTEO6|W^_
z)349c4+5tZi}#F3%_ti9JF$Wk`Zn^2$scx?v^c#-(MCMg3}tMUKQTt8k9v?M|Eo$s
zFRJuR`HWW&JHSpn5`|16zi$mDco>CC?Nh?NWNCfj_J;OTnyo&eX1dvmJr=QCX{i-G
zm@W98LPpvQh)Nlee^&bawqxaZppzfK?SIY(Mxn=1^p@Pn*je*gZM*Dmy*3toti4)1
zkOVfGJC?5vg;9bEXaj5v*Z!I4NO=i4Q#85pm&WAw%8?}_lmsJ<x(x83)g_^?X(1|9
zUvwDG&#mP`y)jSC;<1tIOLW-iYB(s?#<awNpS%bT)m$p+?HNkHz)+xmYt$qO$wrmN
zSJPhPahv9x+5qJU32-BT)cVk<I?`i$k^9v)=vu~4UapT9lNJ>a=$)cC6Jvonruv6a
z2IHUlfzd4|LSNi%9l}2Ga&*#@d}h<AgZWE}*t%fFhvWbJ4YK22aMH@jXfVu}eD`jL
zhOOn@8ywOHh!>0#S8J$XGrf_m0j-b$ZGE2NEkAX_i}!@nN7(CozUk>wzC1sP9ahQf
z>9NXK|DLvN+!*8mY=jN}!#K%8bf`9ydwfaQi|}YV5ojaWhxfE{451g_)Jfsut)8sw
z{~#|lcs&6ZidismR`6=o<0VKu<Y|w>0?^q>Q@Iuw^nl;4SQB5!{if$Hb5%%bSfe0T
z@qA&q9y3LE1oYa_{sD5*Y;lP(b#7YovkG{-AL*?Pa``LPQJfiHQYCyy!aC{u(ZjQJ
zz0-M9isiVD?ffQ@H9GoI>@;b2wQ=>BbT0<dSdgxNK*LxrWwdCis*{wO={QJYXSm;?
z4v>@89b`y`Q+}~vSMb|Yja2fWu5tPna~%P(91CPT8TimLFrcAjhV9g0se{Oe*GZv2
zTP-SO{lO|1@FH=~yB~k1-gofM6doapLTQJRKQF|Dmvn>OVs8SSlg@%lWhnRL3PjP@
zhje~N7&mBAw(699KU3tmDp8W2FVl4KjBABTYCF-){+hZRlgsuIiwt}H0I!O#$U3J@
zi8~_3Qj|k{)`1KSD^o@aRDHUVja0^i|HglAyg@XmJ)M#PP2Pl6oe=_ui;CZneHh5K
zp&-s^U?|zKT<93Z_c+aHf28G%|2ip~AhofMbmP%EK0Y_CMO&V8Gh)15s<}w+48s_T
z{a8*x>KN`|eir~=VVDnZV;&Rj=TOZ1SFc(wum7bpw%8@Tvp;GLN!}Qh_&?fcN#(!W
zK2jD27Qf_(c#K_+j`iL{jo>r_uY1!SUKz_@J9yS82==68Q^&F#@Q31nk!=!AdaFe~
zt{*F%FZuI+q5jiSJZ7Xdn{e!*K@Unj%86M*s@>eGH?vA33#>vwhx8dhM2Hi<ykN3_
zjk?2}QAja6oBUIJmeytq4ITpFH^`X?&>OSC1Zg$3Yb!;m88gv?yaK~OzpCY2C{U@^
z%h2!Fp;(lpiLC~9cv7q=^PCu{B;K8&*pI~<6q=>2K4+2nxUI^`c!d|xYP<+C0sFhP
z2uUhlL4_&uN`(S9wr7{*VMOOZ-Jjnl_+pAx+vPklj8#!8^0+5SfNP*No2!XIA)lK<
z%O*Ylee=ezXj-PR_bK|H=;}hlg$R7v*4GoWx&KJzx^99i2yR{Q@sA%+Au90aq!ysL
zX{_)vWYX@e&%)k2xNBUrI6#;ATgvJ)EXs)QFZ@mf<F<yR8xR0PZgx)D4sYoxykB_9
z1D#Q#tXWu+i9t{}sJP!R>S_Y?pOlu}!yJQ!3<}?Ya4d5tLD=6*q*8-yNkKcuFf2lx
zKgI8=b<M}&>^Be6U23g5qLU{A@u8;FgWD0xQ6E5enG3!q#xCt(IZ%V4J4&uY=}C-z
zTu?SL>8DK*T_1Kb?&eCVl<B;>JVuW)ms!vY=0~?b0FrAQOLDjXK|VuPX`7@dLD<<v
zRwCk7rHcTfI-ym$JC!mRt>&6}&~;cV283lq%v3$2cMq$ca3zR8axV$%R$7VRpA&Bm
zP2#L>h)}*5;TR$;UZU!2pLmdaa#Q-@tdLFijo^BYykMPyRPOP~?Y+qNV`QQzGL9~y
zx0h8@1<&SHn|&D!F3qc$7Hb^kS4>FOVwxa@ua+!rMRiY(5C!JLw{c3=xAOSp;SR%z
zSVfolEzbG~D^3ZWM$ntVzP>deE{H*gD_6TLV;q5l;{E;Wdbp?$8=&~+3HXiS9MIlr
zjR65|-As#YSkzT>2tA4MUyyd?_sdk@8AwjkBdQTXuQwpF-5s4t^uJY^IOGY(&rXo-
z5AdOqni(YJgkk3tbrjo(fuvTWiI44k8Fqt_=^YTjw-wduxZwilSYV%Qg>dH8Fn)ik
zNpoywQU(K#NMeHP+@D0Z=1WlK^aXx@!LkU(o50O_%#yWQI*S(iT>ySvdBXk--qMBA
zMU^-gEX)RGiWPmy@T|`L-i>K%M~Fp>C8XjDxP4e7BZHE_2wAH9&O;R=kXk+;^~h)c
zt+gQR`7P7b1+z4()TK(PpoPL1sw?W|JiCUGhj=p(QaP`adrl0c?|LIri>Lz%7Or6x
zd2p!6Y9=q2bf<4{vFdZ6O1KrmY43fW-)GRM?>1C7Sth?nebF3oyp?H*7qfoqtru!$
zAmGGR^&`*s!|r4IC0q!PxggOccPez;Dx4|L4jPmRU&2?{Eeikcar(bS1~x!UP#UW{
z^OI|e&PN_mzIC=iV<LP<&Y{-lg`=O5jRd!M@q$97xrgz69W2|-=IdQg$v&BeyR0&X
zkG+BrP#FzjY_OJ0>aiTgGm>rNTO8hMgSuNCIj{Uqx@+8bWIaw)CPH_9^H-DKZ#)L;
zJ>D<@q7TEEa=>a)7b%dk^dz<tsPWu8Fzg(96$!#_P!1h2M<&~;ws0lQKHF?XIZ6*d
zBB1-mg}&}?-Z*&iXY=MHY@EY{%T6vFoVbg#4U~=4!LP})Z@DoFya?D*MSS$;JHHQG
z?g2M>tGYsJ20wPCn`0qmW)4uQ)XDZo077tK&h2Bikl@1pil4p346PB2KP3TU<*NQu
zB<KJeuRJkqEuhJ7j>$Xo1?O<CrRxujA_q|1IVCchWuccBClptxSx2?i8mh3y^2<;X
zfD5#xV>ICI5ypyxO2P;5qZD_TFa;x*oCtKE2qk2`<=D1@9OEQ)o0Z=6_2jWes>EN=
z!+|g?fh@~~0+0~(I^o($oFFYF=eJxSX)^9kjIUC3;4^wRvJSG>6}Zxmku^@d>5s<l
zQY&_V3l--4g2lji>2ov@)v~)Tft2>JQU?`rt(Bi<L1CJ2d=o9?4fAS6qnHl{A76tG
z(#>pw%r)|jTZ8(M!cD|$ID*iB(lH(zJ+wN7cUE;$^t%xlT^SWiJ0ekiUa-hm7#Jk8
z_)e3-azBi$-G~%h^PQHdGu+Wg)fX7|xae-*w6G^&y0E=%Drme#gCVtU`#6CCN+^M}
zuzTqEgJ<)SB@ow5y=doicMc#v29>$p0s2ec8Zz&E!XMJu83C<B!d}o!sx+KRQrrw_
zocd1cTD1n_!9OU$p?x~e`<-6i&D798YS^QM7E~sIWHg)ypa2_aDyMNb@i;6W4I$ov
z^UkFw+(L{t$EwV3EOMgpMifHGf7><Lo-Om01asdurq#&}l)CZOR`B7WvV+7XhW`#I
z>>Qf0!3nUxhj*7FMoDR3b+UbE`(nMkV^0jQ{Y;Lhsc{QIIndTa(q_Da^_43aFQE(1
z=pr%FcQ;v*OuMbaDTkaNNCtrCbbv*Or4SR{TgnRkUAb+0hTb@flrv{z@3ra^fV{~o
zC`vZS6x21uH+1U0d|j*%A#teue7p<>ZH}t`KcEkGFS!0WfcF+FtehP0Rh&kVGpGTf
zBR`t#HO4m^eg}*VD-&$52}Ja5{u2e<Hv`#ap>%%Ya=yEDKx9&yjdp8$VYhO%%A81b
zX&DKIQ}D0i8TFEmdH<hO!kdh`5sg+2`3K^W#Am$vNEIb@XyaRK*Hl#TYR;a9<-XfE
z;qz)oIG=VK%E`diK%{&LTB_@?(Z0GyS{MRCUtlLzus4*FAej74^Dz(tC*)w$bcQ|E
zFmwER5uV>_F^q^way=f~zm2RKc$b7*W$N%hour!Xq)}lNAl1@HbNSty6=pMC?fX9#
z!&nwmdTL!%RrZ+0zJ^+NmqLlEi2g&CTzhXNM;`Zdd^?9z_(UD3(DZ-nkWH2&CUm6K
z7cyS@*<(o(y!MYBgv6V#?EVpd0FQp5+{lp$5hB1$9Xsd8Qm%<RBAX|c8?`X?3;!os
zmc$c$0+p*6@N-`yy$^(5ClhxnZmaOO#WSz5bmEt`6V6CuSeV^gWOJs-g!J)GFP}}=
zp72(M;e{SWA$Y<gqaLlB?w$HUsBfR?iG{?CuCGI)@q^M0xeI0<1NY}r-v_lE@rLlP
zuyOk;=oKkR1F=;_;A^Vwg~$cR9IvGXfi++D!*1XO+b0Omq9M~O=|ppd-?P{_CZaX-
z)_=4#lJ0hgQ{#}ZNrqX3Yhj2NSvsVz`24^it;E=m=aa?XuyRZ~K#t;Yy!JF0KeJnk
zjK_a24N$3me?AY83vx}r!pj{wh$tlSyxMMIk~xcFLEc3qO=)4WqB0iAAUH??p4t=v
z^YY@QkWvzIRm<A@|7ziAr_LeUv&=++XzaxA#!)8tH1>3?Pc_ck6&%&gR5cJc`Knwk
zUB@>8!$?8$&;K6uY}*Nw@a^B@glXmU^EGE9T15HoIxxG%M`<lU&vF-N=7@Swz0V_f
zi;&x1pj+;ii7)Z=9qxj*#t&-sys@nEt`PVHjxi6w+0otsX2{8x!%H95j@DHL&<b_Y
z4y8@b7v(VxB25{><mis>uWAO<$3wHdV|0cvcf$W+zQbI$%KghAu3%uzc!J^gMnbJ~
zT2vm~&%pLEV-iX3=52UY1L`L<GD{4twVaA?Xt$P~%B=5KoG)APMd$l(k=WYtFyVZF
z7OP7q^~n2$UC9~TmjVgaiG1$3|J0uCj2swCk=sZ73jy85@a7C0mRPlHlu;#C;{`*>
zd&L79pQ}K~p1Q6%Hztvx6XNdJcIskCAR376zhwRFI%`}R4NtDH-!^3L*7wMW#<+zW
zaDj)5R`E63BI*bNpsdu`tm4A676brcnV-(lf+J&w3aD>3Ne0fjlkP*eb#`ZPyCgw{
zY*5p@?XUNniFH6{AZxI|5(idP7cc<>p!^Oj9AVN9=;DhDYY7g_A)hod&M$j?bl_VK
z+17*mJ%i#MCbN+aIFFdR%hS43QbaGh%O3nSC%-pu?QD3aA@AV3q=9XmcrAukNb-Dp
zRWU2T5>Suyx&R#|XnOXehF4~i{whsQ$w4P&j|%g}s@L7b>0*7M&LTSr&Ts19)6x1k
z-BV<T?R;sog^2fJP(u*;VGj(!$C6hGA`c7mI@1}bKwRE8OcaK#T4&a?N0CZdUZA;R
z;N9?bF|7N^hO@4%oQZ_}Frq4KJxk&Ep_ocf)5Mw+Pc420oUz8LQr093JjRY#1AEaS
zKXe%JFXg%cK*3>~7WDke4EaZa$Wo^i6m8bpC?KXERr}@9`&7C~u*?qjdB*HLx?OqD
zO3M|)h)MvZ{?((inKrYkqR1&5YMHV(jO6lv<^u)ofPFPL6`-73N=a_AO^C0pj>mRu
zKu_v5l{9Sv*&ns3S;tG3dzoldQnJnKuiwLr@2idDj14M@g7OqFsBO1njeS3-EfECj
zR#sPDU=x!Mq_LZUas=o$iAFOwNy+GqPVdRwB4*zk-kC^vb$pmT7Y_j4C7V1hkwXSY
zYssz;&mGkxCk8~ee*drk!2N+iyJO>d>1Gr%etByz*3e49q2-WC_sPW<g%t|`H;<$m
zu5lHpL(6rK1y?jUF9IkG2GCuvF)$#uS+9rysE_}@2BY8@f;z)0oK~sq3hi?ZbK@1{
z1dx{RY5arZCA55u-4i?N>7W?2;;k(PvFJcLiZ|!yF;A30_QXX4cg;tDY?$2tc5gmy
zQ2ApMSBj-=p*WoIeFnZB*O0#hrLvkE;m@Qi2-XdE6;kzAK(Zm44wjuYgNX>?XekW}
zu0opIPvr@(j)4P4i2?g8iAM7tYP^uT7ED3fiQ;XGnvc$M21RM@@^(cwjk{4(khfmu
z8b9IhHFtcr%gX)#P-eO3I*&Tv>1seC+%U&`TR2bic_jVa7hx`6mbA>Pkr<;*(KsfF
zaL4vI2xHJs?y_ioD?;FP6oOl9_v?t-E|ge??x;MmwV*<`Bp5od*^Uw-qn<~idBG+B
zZR~j2cnSrsyLuP5oM|AKhKSU<4MeJb6d-9I`={W6?%|hj;Ad)$$|KL{k9Coz?bh3h
z?B9mQ+52<-qwYkb(i7i<R;T#vge?Up<Xj?UAP-^hJrKQU9Lpj&xhLU0b=S-=tKZ$Q
zZ{mWJUi}usXjzj_CeQwT?(`U<Ubuz&v(L{`_u?l4jbT=!VXy@R=g%u1s&1_wdaBe{
ztn<+sreK6{5pLNzQHl5anur2pn?0rr6~k&kLS4`hiGM+~=ea{`i1iy=>YH64bj1JR
zN4HwEPl<c#1J{Wir#r94b?n0;8%cc%oNTFZ+jWj{p>79fKK~>uU4%z<`pMxR(DKZM
zvv5hg-M>&RV+3TOI~L@B3e;<bPq~)`q;t20Vb((<n{3_t+*L!0AzokZ+0SVml%(@@
zxy8;b#0Bg;&L(YPRv~VH@AVaV{^>qWLF1TsO*p4EOB)n&EmzKQOu7wMluPGH<jyxv
zMxs)B>FIZ!vpz?S1+b=fsLyDlH$S7Le_4|pRJZuL>zS-#^bXD0Vd@2bcxPo0so@hH
z1njbNYuwgyuAV%bJLEWJim?WD%A|I+x)+vGmZH`n#YM8Hgp%+DE?WZ08;-D$3z(we
zZW}_JE>n#ui`3ZHcBu$XR*23!0cG2j&W|=qu2dU|@(x!k2Y*}t<1E30u)Tf{5<o#S
z1Q#Yjb5Ep%9zp-$qolBA23|blX<NcIp)%`a1;3cT^=#!_v4|pgsc3wZ<^H0poGX=D
zOZUMDjC*0-0<YDd*9pHF50?);_8BR9mT#kgGP`%^8Tt8CMgEk_k@m`Xrx+_zQ#K(x
zu^QQpjVvos=biM=KC<{DJ2eU<wBvC3)QKW`^xfo;jpr;PC#D(^YQ<<ar!i{J?m<V{
z&MLWADtwZay9GZ>cZ%mK9(b*F{DEJ)i#e^nuU&%^Lxb)0<UPiMpJu<9QV78R=E*+N
z4*5j1+tDSB&m(IPRRC+hPNsOYm!c%RP~&0KKYaI4bkf@`LAv)9!fy1YELQA^6KN(f
z8!TxO^F)VagNm#|5?iok{b$aZ!*IlYh+OC*`$U2*(^mdSQsNJ2b_Ex)w=z@~t(Log
z9@K?XZ%wy=28=SEX^gKeUY%NOd~bC~`MIaGYvd}r&o_}BUmU(7lv5-{icJh{4Bl=a
z^c{ZT+2rLBXNU-lb=rJxLF6gIyuB`x$Y{j<E#yw|{dhY?#?Q`xf=t(LAYI)_cj-sT
z?FX2TLWNfdG7&$5?9`0@8WggYAUA|5)o$X}8u8H(Sp_3^q&}ut`PQnmFR;#;#s83%
zby`rmw5MH5+ckmrbM3n2`5<y_u09D93pxx+NnBb_m~w2?5ZB*#`dj$BV-9}bP0>$>
z)-qk`U+eYy1?W4zs=`!Z$9p%j37s_;5P%dAC?gzXKTFCko`x=d2d1VmB6NNYAK|8f
z)%T5-WD+i_M##*WHOdxZnZ={=ExO5!ULMu<V`>u!F?Y)m0&><S+Ubr*^h1+d_>94;
z!G|(nJx!`*faqr`4kyHR>fHx&;~7FA@WsA$mQx+b)v6x7fIhZ&GdRj1mF)!M>Je!-
z=Z_(7W<2CooEDkN97{#`tmgbq`*D|tf-|7`21w=B_G2MR+tW*A3MZ!?Ad6n}0Btnz
zS5!|lSg1yr_FTEPV`M@?%DGcxq>c(4-d?gwh!e`NBu!3-;Cfi4<wIL%898<%0Dfcq
z??C*cIdL}sDi@M*k&w#@v>5Bs&omv%6%QZILZNNPy#`P(?Jej^1AG1J#1YZ%sSPIg
zC>57rtE5M2HJ>pyAN-w=UN0|;1{$J-^N4<{3GnHLM@0@q$`f@WMUcQp;@Wk4PEJUN
zrB`P}qc+}B*8~VElW5J$%z4%br~FVRv0-9%C>GD4Q@$8HrxTpxuldmqXsdst;xEl^
z3u?rvcmp!k%{>c%*sbA=Ptvub>z|Mv>VHVvpLaaK07H+wnHJIX4<(}dfHf_K0f~de
zacrmkvc4~|j8&WffY7tpD?}L<^^5V57vFiblo9MOW>Gf<cur#^?t<!$DIL67&6;Dr
z-&2K0NHLFKIuoUf_T)75jN8D5qbqlde_cZu5bj^*ozj!tdj@~s7jDdwF*Dkv<{&Cr
zMRWem+7hW^gm@eX4{xSq-q{;YKI;BJjsj^MMe9W!(6q25s}b$BcNQKlOl{yNi02*R
zE`9)Ls2oRyCo)_Mixx9tz>Yj?$DEnm?$GVNK{nOA-|M?5vYSQg+&OWws+bkz_h#@(
z%ba8am+mZ9pgcy8=oFX$GI=5Ib5^HUXMZm0hB1|Qu;0+HWe*=L2s$`AFCBHQuRjMY
zJ7%O_+*x#h!!GauNK&bL0?~bGzcG(aLe)IY6IXV_9^8kt3;`N3l+<~NW*8G%>e@^Q
zdrXC}I{sh4c*i^h9ib?m{QQiLR?}kuf-&b<z!tppXY%K`HesAH0}$o?`rWz#bG(m5
zidf+?p7BSq=JAC(wxU_2H;J2c;2$q$!GOz}5nL)0{NL53j$kY^@TDnz9>(O*|0HxV
zG;}*)b1cDc)t-x=;OVVs!8Fl6au8zmu`Es>bd6MfPcSp1)%(@4Kld|a^fm!dYEhU!
zCf0fjPRUt4<QIjXrkA56_B`1-$+2e$3_@>1UJO*AaNDa_V^)df*(=*;k2e!4?+YW!
z$FY}kPDoE!ofm9!e^*=g@Gg<|J|rCh1BSC}K}mvtFW_*Z-V9Bh%NWLtW`6D@3vLG2
z+WQd1QH{tN9KY>jB4PgS7z{-AtaHL<&p^GP@7TRSCcA8Pn^IAX#qubS^@TC?Xq!F`
z2KtA~D6)N3yRnBeJa^ENw?G~pgTq_lmcjeUw5jL9Q8?PzSwc-_&mT_b!aQ+Qs%6P8
zGluQ0QR4G!YyLihnr(*0WHYx;f_ZLfn1!2?PB{{46AASH2z+1_5Rm7`5^=6(GQ9<X
zju-4ixqjAIdCg)aoRtiJkf^Vp9LKc2)?{1Wo1w~Vl)VM)>d6!3ow-pYQ}Nikvrl_#
zYF(_iea(P2t5o0A7o#{A$`IW(TFRQkq=Jy7m}EmM&{y3I<jVF^OAZ*i0xZeG+d}Yr
z;jf19R5Mv%mN_uL{&=d&961fg*bU}bP8@=6@o>7KS?6>p*5TaAU)NhVy!vY}1V6?d
z6}DyduK1KcyXpB{Udb~@HDT1M0uH`zqH3j^hzF82uU>u-D7aDkJ3A~YF!IuLcrkT*
zhjSxTj_6SP+^53U?_EI2Q7e4fmc~uq^%AP&d*MNbTPYJC*Ir-6WQDLi9bApw8B^M|
zr5Rosw|)_E&=D$h-pNm8o=J%j2<rxi1$>D4(&n25LI6bg$ZF;4_#YaW-ZDo3@oe|h
zzj&v`C2sz!?~351xjIN;A^~RF9FNXXNo|tzmw1rO5T4cdg+1sKEEMT~85Qb^0RGZQ
z`|r3CriWh^Xl_gpyzC#CxHdjl<2ExVtRa5PSL8RL6QF9*q{0v}fXZTCXrX|=J!rZ}
z4wW+{q-#*-u*(>AFiglL|7#sE=n*Vx-F5$o&R1F9@BPU%DS*+q=rWGoUQE+u5Gs`9
znH@gplp<w%yWACt$+omi{@@HbZU;c{xOMG3Hy7C`$}f&{@)9J_PG^yaZnY4QgJ~rr
z-iEs>R++Ag|EZ+mVO>>w#DbJ@KT_=Sr)d!O20gI{TU-j-Z^;!Zz&5GYs~P6M0%#hC
z62W2%qxky#($DZ*XlQSrtbJ}<0W7yK{`8Nc+txa;(3=;)T#frGo@Y#xv0o^|q==R>
z<##=3Gtm||miBHUYIz&~`WR$@fu(c9Dk8t3$J<->bGKc2=LN*1H~Aij<}vyp28McV
zV_r^^r90ciUG}mqs9D&z671B1sg=1vxaG}pV7wP1c;3I}F_d>%@b0N9gSJyMwqhNf
zk+A3MfHQ1G^bQ-->Rf1-X>2vch$_o*Dq5`k^P5<PY5EYGsmbz#t&ZL{FTkJ#Ug=m>
zjkmApu0!(0miQmh7>Ymh^nX9=FOLf}@4)oQ@H0b<_m%X)yXx#3j`9M&X&Y#SCUOSw
z;kjci6Z_Td9t9!hGiL~3vyrpUs*&S%E-uzRRw=H@q~S99vMS~i$MMf$Btno))504A
zGog>?nf|YnQ98{mlfOu=r@Mj6*jzUYVPg1`b^SkRNY)uQtg#uG=xZ_>W)Q4tKgxa!
z6+lo)!52Gaa$<{#TKgg)g5MIYt&#Tl2s~4s59X<fG0o&UmI2|}>e^c{KV3>O44>1O
z#%I3G8U}vyM}no^1tm=wdC+V$_au$Ve{2gUenQ^2Kq@+5dslokjzxA^js5nk$wx3N
zZ|J=&OJrI;93w0w3t7$W%Z5jcZ)B@#AQ4=Nf%lkZGvZ)>xKfN<C|D8RPX&L$(1e4G
zicCvBc&N^9*H4@98pWr!XW6VTaJE#AdXkQ^YT^MA0J%r{EcV$T;4%ZKwWU-NEi!Zg
zI?BV&r2_bAM*OLt(X<iBhtxuso#hH#SmVU`UCDC>DP1GcQ0nrj(9A|I^uT%Lo%jw^
z(wln%c^5ctVh>b%^leK|Y>`vihj!}ND-!BGmyAi&-!VZTrZW;iGR7T_@i&AU6iGWq
zN3)^><61*8rM_cs<_lHRi)e=ry=|MgY%Ii){U^z?XRDQr9H9y-fkC}X`RCM~@eZVc
ziWr3U6OSyJhi%QW>^NsD2;R4m{}n#)o6KB%`0V8%$gie6qZADW$MEpbiY7DjEq9~6
ztAQq^yQWXY-r*{UKT6-tH}Qs7H?q-yV#o5eb1DU2OQ8+kFOQ|c9dg)e&0@nB;EVtc
zqb=n-mx)!Ma9+aDSmuIwrCC(?T9}UTv95ZhQ##m3GlAR>*;R@x>F#yqg;FonAg4ay
zL;)n*3`B;Xa=$rJV!2yTJ{t63?~YEiAaIr!K7KNJ`sv$@|K9oHGB0>p7j^T<0SH9l
zyW%sn;G`P;TG<J`hPFp+&G$vWgTr<uVTb`%q++|BTZI@S%a7Q^_Z0xe_~TU48o;$o
z=U*Ki3P+!N<ML|axmF!d%S~wOOviwng!VmMdKXX}K^GI@w{<_LG~l~6anTtTV;sHo
zfPwk|-Gh<*6R>mAIi!vWYZeu*vXS69=aXCWX#G?E!8L{z*Gs%^{SA>%1f;5;lWx{E
zj4OXT-0PgZW5h+4l4C#}Xp0n4DRzC=@ndT=BTc-|zFcJC{E#YyJeKZ+F4XDsfd3@{
z`zaq!hyo!9fQOr6;PW3C^k)Bx?%4v@2E<IAz;64A8Ij!s8<hhTXYHC^L5;uS!xv3{
zZlzYN4nD1v9@~(1yG(W_vC?3tED8X17+ZNsDzBS%6|2R88@Vi&2Mss5gY!F9REGz@
zr~1L7+;RT$Zuyl?jtQg)j`gj*r}zj)LrU{5C;*;~7dQ}Hzz_SdTuf0j*lErLNdUWv
zvNO4EGkFC$i$~%7vZwssd(4L`6E|}$A%hYq^V(wAFVt(X043!H<K;Up5Sy7Ny~z$8
z9NDpMen_*zo(*dfLd^i=$nAxYr+HD-yu}#@_c5h82o$`%Gwx5KZ_|Ge*|-V|c$VMH
zQAAWXN`vR+(<fKhr{4)2@Z{H)qiM9aG2_Aw;F3wl*PYC-HX^9IE}RdyINi1}12hT0
z4c(+8pX?1b2Qb%dQ6G(yRUB{tkL#*EBPB%!^{|D>goXU$Z{A^Dq_>;AIS|RWJ^u&^
z@RB=Lc>opDBVJ<<1&t4A8COKCxV#EOqcPubV#t1To>a4^@<>y>Uh<l5;+BHZJ(lw@
zfM!ml=l@ZFmtWIxSIvWzy%sq+jHYUi>d#dA9Yj>yBdch|MjlC5(?AkX-wW<RAgOB?
z5R^C$(il07=<O59weJ{&8UhPFksl$Q@T^xXgH1qMYDU?+cgKx~Ge7Y$_xGW|g);%H
zqtxsQWEAN=w%mK~#JDI*gqKtjt|Yy4q;LZ=vEEbAiDt0emx~yLJ8=ehpo-1*!^Wqc
znB60I)1x<#ENAkOJ}G;#)Ou+>F^z}bPwNS6*tR^SwpKgrqc`XLr=KiTd9IB9K~xc8
zzSVKdDmZpoXjV<RkCOH>^Miw?NO-0QZsNg^34B9{<h8B6z6Z3!kC@t`^mADLw91i-
zS;spdWw(w4tlAy61r06i=clnvVd(uTh`~CcVY+)DT!Ux&98FMWkTq=@ezzZtlrM)H
zM_^WCNt%4OI56?V5%GA_66^v{Kk1Ke<@eKad??Y+F+LgVg!vjv-nYOR{zMxW$@87B
zQWA9pK6j#Vu_C3xO4x5nJhbM(?`>{Y#20E6OT$7Z9%jo05W71w-HwwX03wWNxX2k4
z@OmmG2KW?o|H{M6uitOOHpBHws49X=<Q*EB5NpiH&g8gcIt-5nu!@oW-ovH@(@KgJ
z`|<pnXEvnB!9FF$d{T;AB~NgVnoQ2O6K(fHno>{&+*%Ie-qITXMg~T$D2ZcyDTn45
zxZrFib0Ey<X})hlV2%`o#HSYY6}n%J@3g0}>#L$xZkQ+kJa!S`?cydsK_Ci5^Vk?h
zHV_~s0_%eFMEBz<UF3AxG?`k5J9)UD)94w}y=osaH2qk|yixp3E5}$krK?p3k;DBV
zsW!VyXv`Q_O_b~<x-5kjpXf_911^UiC#bi-jDxBIWWFCwVM)vqj@wGSI#DN_CY)Z4
zsfMM0DCSByEDsDna!Fark>;|}b(+y+dZnY^vaUufJY@<0B9QAo^zi8{c1y&=E=h=3
zLZ{_Gdv(fn4z|nGFJb+f0_0A;4^rNX8UL_UO+qUae|GNX-9Xx|!TV&#BIA_$5D2`d
z4w8q3y1cDe%r6g4vBmE1sgEE;#fw}<&$NuYLa?JVle-&u^_`o_qBAzMx;H)y@ck+k
zHt6rg&fboN)@(&N=W+}pDM-Zkn|{L1(JE#mUElqE|2kr6(#wMgT?f0~u3r}^-<c6s
z50#Egfun<v)}zJyhwm-eDtbE__UC0Z^yqhfM!E`Xb3Y0%F4``6^6>VCL;B7$f)=ba
zIo09&JEQ)p(Fxze4pbA&pd{2`6zHzO@9+SZ;6){#DEBOormKr9C6wx<lA3u=WCe1j
z1K$L#Z*->_YQnSZE?kv3rc_ZgnChg<(7qZV5s$7u<&94N6=1uDPAo(|mwwPG?2Z><
zzzlTQu<hmAf-?RSyMu~pb}XuKwI)oT`}MY~NKFuU38D`;+}dtj?3V=mQ1Qh=U=1J5
z7(Ex~g&{~vF0i&R3RI=)`OgEb*C9wJv=lg#dW=(6B-I*O*HtHcQ$~dPpC=r7r{OBS
zJA{dC6~F{=Nn**CC~@suYuFSR=b~SW#%l;49@>MbH@pUl5OVb}*ry8JWGVcGSI){n
zn(MKK(;n8z(Gc4Dwsm$ZcOcwcUL7P=TUk8DZ(9+#=DMeh)T`|S{Dw`f{#~3z85I+@
zKj-~E!28nlRccYEu%;)>3`fWi)0};S!0e&9OCnjeCiF*A8hmG?cZ3<lYa2~<fNX9J
zCnG=Qn5z9E5G>|!XioXU?wpM}U56-!w5`fzQxJ6P@AW#_!0zZ{Vm3s$fuG$$mZYGd
zXr2$}uNN3{)Bpwy191XZxs^PYKWuiRylFF9TXY|}=HN3IcUCpHu$&?y@&6`Pv=!nJ
z=IXnpTY1SeorI&9=y{c-kTiw(RS;EZwX>B3qA5w}67FJ12mL5snt)#x-DKVja0=gG
zDi}!}VJBMvARV59mz&}GX{-N}KQ5%^O--~u0nD>mted&i>f8s@hcWb(^n4H5H>j9x
zZp=y56bNvX*2ZY`2)*wcCK>`0yWh6ToO|uG0^uaoT}U14W_}O5Nf2icV?as};2x*f
z_5>b<Cw8bb6IzjbyA&aE3H<-6!-a+I(y{q&0aF1y8h@rTeEX-+YkN*#acICo)HDT8
zNzsX~Pr6VNx^(AU&$Hd{6c=K1=|`p6A-Bo8o+vNA-%<^}kNn3;sU)U0_y7g!QMKCP
zSzF39ESWQTggSk#^m#+Nze44dLIuJ{&C~Tu`4en0Cd~(Y>u@s^BIQ%OMlwt?7pbtH
zAB&+qu#uO*q9~;(PT19Xs@lz9&!el8Q)wQ#)A`_2ZcUX>;yu>9Tk>nQQtx`}-GB^U
zz@3Uigl3p|M*3=o0JC&2kxDTbfI*fOS3Wrc>Fq(YqjHVRrW|}eZkpksn*PO#Cr>+=
zxcoXEtroEuW}J-Tg2}VzSq&_GE2>_54-54mpY=|VvO5!&dV)2wgKIkhJTI=k%<=nc
zm+|2;ixd)l`sDA?qa1-4)lvOKuj{Ei;UAAtQY!{e4U}1ho4h3|(FQETRi9F4w(-hR
z!6=!N$7`Tj&~}RFSyaxj@1)_Qu3#0HmhxR5^jsTjrK%&iVdq?%|A{sc8{?Awp?bEf
zLWUDZ!r3d+Fl;hFz_xF%N8fGSEIK@WAo;R5*+yC001kiv!-N@Y!uPX8Zo%bZY`|Pr
zBC!ns0#_)%?8z^KU_t`E%j2LSb$Tl?M$mPMMq{7V2~$J@6ubgGD>D%V!#2Y;EKhe|
zUH_uo*|&S9Eg_;wez=vaepir9OfO|Rvw`os)+C~nxZY36q28EFto5#yRG)P$grvR>
zi*q-Iz(g~YMuCt0uXPBLP9IID*y@1*TsGzVz8eCHyhMx#F;<ckjc8iqB9f7}OMO%Y
zE01~5B4F$82nKv6;q>I2`1_EL&pxr7r;$M0EDDMG=UqPK;3#&;+DWov&O_I*7lnQd
zRr|U{P5d%hPc4IlIj!s5b10@euGn<Xu5N9DFo2MSI-XE<#5gH=YZm3L3I@8k-o=1A
zTD24(EHw3sWd=rcSRNQ<%WB4b<LWFL-%=Nh7a<RKlW38D)#;PPM|xp}I6(hhL`iF(
zC<yS#4Jj_70~eanU$=Rqm_O+%WeS1Y;9Ye1#aa09jnw+~AKDy#2T9*$eI@CSmE%KE
zm5i;WD78{%x=t7T9SCw$KdTyqzBzNyV|qE%WtspXRFTFXnnP`?W}M`=Cq+8!>DhWi
z)>B)_300csV6i+UsCEkQ*8t>|^XK{g9(NramN`9rs|sGGjU>_}t_`9a6P7ZF_&Se^
z(?>;pJlH)tf7?a?-B>xKPe@3L;-f!*2m$_so?&$=dl8RJdtPRaGS___oh+4UY}i|Z
zOvl0HX7RK6trX}vCofVw>W%>(!ivs4YMuFVX8)MO3x;GtMe4*eBZLSHn<L#dE5JM(
ztGT^FM6-y8WfKIH<X@9TB(8b$X&EQu1acY+3Bqm?VtKf&_#615LSto5`e}A?k15i+
zbyz=H4r}}jXxs3yuGh3ofVJ8!mW5Ep1vJcn(xF_!4h+zm>04eWsz-)Mw788$S9ts4
zF=UQYF~Pk<HEJIli)h1Wn{oQ#;L`{WlG*TBWJgum|3s_TR^8R8$`1PT?ZnU~yzVba
zON;K22auCt?Hpb3;>u><q>Guk1T9{&?Ph_{qnW}43Q*4ly<Q)_?A=&q_bx7Dic$Mf
z*jwy}ZGD3+C?l2Ki<8BIe%eK|J@FRODGv;dv0rQ%?Os&CR1S*NLwLEr2+4_+TZM08
z8`Ss$?)}w6@Mr+e76qw*^2}beW=;Bm=5WaUTZwmSL7asFdGdes{rijr=6{}{v4+A8
zIt_P&4-9<bC-KB?8RS&V+XWgH*E<xX_4As`+@B)!4F4&+LVt<dHGvG){EM>I;ZrF9
z-!{J#mQ)muN+2RYxQQ>FkKSVxV(!+Bc!4JgUA37xIKTyf8Gr_@UPAvPX7J#~q_+!^
z4(lH>XUubR(T}%yf`?DPoStrrs+<0sB&0DrYQ*AuF0*1wqx^Y&nX<ip(n&7FMDPk%
zV|T3&`5>?|Q{p^r1y4qH-6E`V?QD9EZ*&s4`A}@5Zh(c`#gZ!(nk>g8Ac9I%8Y@Sj
zzvMCX|FH6opoA*|nPS+crb-~_Nf*G~Ny^xU=rQ|hTuI(xA%yT(C|4Ov^p^2}@*)bY
zwQ+}UuL1d&2Ca=b$k`07(X&f+SuWtmSlpiNu(y>U9z+3jN9G_4<-6&gW!>(oA)thT
z0$S$qF9GT~4yD*DsC1^tb6Y$K7iyr?BwCMnru+S*z}A`-2Rxw<1d1VW3JdSs(gHB2
z98{6pE1vc2#>SzqP{S>)k6p+3C9iafq4%scGBv>F@^$uPr#=gY!do9}$O`n?(wYo4
z?ed7R%mqZrADa5CtRvppDu5jiO$kxXdUU%<etNx>02R6b_w4>R<sVT*V<61xE+I5i
z^8ix~L8mbbHYFO!jccTXuJv!M$X0^p0hw!>nQru^$Gk!Wk}Is#2Ir!oTF0R&L^D<R
z>A_-UlDnslR=saE%k8DclhX?$^C_V^TvEhTl7u%NV7;?Ao^az;WyMG%j8iC;<*Kuz
zwL6x7x9mbh5A0ytrvN)Z#J`9wzU6EH@<R}OLv$or<*Y|V!3xtb4kx{uRmQG&?%3FX
z;A#a&)7;!1N3@<zE>tc}!BTbQf9Ic6;6fS&P@IVhzD9Z;Kc`phxH^~5K}Ch@3|i+I
zhxkI6*=-K_jl+~V>D<V((dkDRu0rBdy2!mfZD1JUR(z%J4`^-0YZ`$9VQZ{>rhGv+
zxlcp=w=2vcOaX>F>Dy$dX>#>j(cb8;upe`5L-wvwr8n;mu*@%iApW^%jiW|)dF8m%
zDwp*2Tegz;na^&^eXHpUZ(KWKQd)NC-N;TKQi;gW6X?PHO?W#Wh>$~|MF2t4Tuy7-
zzp7yPi23jt=?nC?=M&B7yq%^~_wbif;@`5drsPRJHzgIQ>@R~nPBe&Lk)Z!89-59~
zd-|T1&wqlM&NC{Vm;v?qi->+rtGTJ3&pW=jZ^WS(Nqi$ew_&jfOOPYr1FjBg50o-c
z<TVOB>PqL309=Tl!eBb`KU2vL34GZ;fG#gNAZskN7prQ%4vs_cw`(L~fX3U0;0piT
zI4K3mAu=@r%~1I2PNQ)eNu%=&q!s<!V8w;Z5x|U^#XaV^!8<&mM%_57_7KrqEmr+R
zen*%{!ScHJHCSdobHBKIgfBKzr}!VV@1lba;0B`jPiTCrtHPpD4t$O9mUJ)~+k2`R
z+HmpLR2J=B0#C}*Uo)&8%wyI!$VyP1RWs8RoLe;rG75zWrUleq+5^@VM<vnk5}J={
zxbNNPYZIr|CGc%;1s`#pLTH%v<$)@<K`~M!8U^}^DTfLFkFv-&tmg*g!ur*>iO4=y
z4qq-QC8hESYf2S`HS|dr^hbbJ<d9ObQT67dac#8T4LB8>sycRP`_e6xydS?3ML>6e
ze6#Q_Spmvck<q+L(j%6T@#}NX_lGsfPMSe+)G!;TWl{sYqX=}DPO~Gu>t|8dP~?^&
ze~aIcWKA!)`>xu0f~ACP8j*24FbD&wbrjPu_w{F9md{#W=aK{ik^>J9TgUU8x=#z%
z%?PYS6;Ohez4$fNJH=tkc<lwEN@RX170A>XSkm2<BN?z}>94dbXw`JBWgN8xrvY1_
zg`*_E%(i_%jHVtIKZ*bpY~@1mTzX_4J;aj(fTn`R5#qBq7@d7|CyL35d21Q1VCEGk
zqRkKyHAfz*OGbEn+aV^PRt}`8$pHS2Fl|2N^hp(B2lG&&TO4D@`WJ?_2rWX%UM3;!
zMM|KRDB0VjF)lB$hsm=}lAZ$%QY+-`*(DPEx-Zm}3?XU3=Wl0A32ygOqlne9xlMbe
z(hmFYrT_iC@nhce#b8-yHx@Q12~wn;YS?rFSKG(g%TM>kH1I<jVa|?udyjWu?pD-4
zCFtZ>{Tf7)_~x3L(Mvcwx)35H9fvfxXIPcJW0KGd4uRL&WPn`4K%Y}gq^V_X#Sj3K
zMM+YJti1#&Ns1n4G-ccUFQs>&v7kt;6}3bd)^DJJfil;~T^7c)749(F2XDc4@`~0K
z3RwMuD2k<LYFBy4SduOpQTq4*RgT1>ut~98*3w4X`}Ht996TXfH_Hwcx8DNtQ;kAk
zX9J6U-*qIRR06&H@jN)bu9Bs@<8azn{LHzfi~>g$ri3w9-pCHwkYNq~UQ)+kC8@&X
zCvUAP*ws|~8bw&_QC4{TbK-|=5C2eGhx2$i9!+}ZtbGRGOl=q_R)0S;ERR4+{FhR*
zYF2QBu7a*mgI1{2`xEPSH|yejigX`@3#yhbbIoWt8hJcP{QeyRJP>^llBdYEz;67D
zMYFlBdZWkK&d1xCc&D%<LGjtf2uJI#)|bF7j%7y-ZaUo6U?avpTe|Ak!_OY4ujNE<
zZL;M!<IH#9;R#`=)8d{-r!_D&1z|Hp8Xk&}4ZFOMRa-LKUmc@#0Uo~#vILPw$>X(J
z5OX=YOy@}b!Kv4n+->xNU1W{@Qb-@~x?~LxBUfB39_C!rO$-sMkiLcCN}Ow8*x3Mi
z()^@E$!O4vFa--+E+zO_(d5{Rn&p?lemQ69%jj02(1<+_1t{!Imbo2BWJ2VCHjdOj
zK$<__ypPo}n4c%jA%m5(27#F^Y7TO=p@5jm0gS~mUOlQVu=d~(e42dVO$R+|9+Lt%
zzwXmDfg<3AnDZZ>rg1XP!df-?Ez$p1i0OTY3aU*X+6>p(7J~BB<$lM95e+HY{}l>a
zW0)P%$+g9qo7|0XzN)B~-o8Nd#F#(%7@7*`NWYYl^d$&C9QmI73!y4qSzz!pwog6T
zk7Mf!x*qYl5d4f>cy{6gC=2@h1PoPE6psY7KU`bTWH1==7j^2e?>kZu1{WRz_<<-q
zPmm>sL?8OOR~&4ZS-L7OdH%_LhEGjIpmEcyyL0^APEZ1|I&?@~(IBV6a@AYT9cOU-
z7EW9wqrep=D427w{LJL!t|YVy;AJ^-;~!Uuq_-E+^pYo<6kq6v2c}Y=9!tW=?;65&
z`W5&K#h}GNkN2$uGZ2Fp8eZ*54KLx;mjFI0MTAeJ|FL}X+ODMcZW4NAhb19P@_KE<
zq^80<f9i~QW=V2R5t&>(&ZP}z?Cjes+Chdy<HUf)!7J{NsD)Kju7CR+b$AYb@Ef5T
ztK~A|-@!alMw2i@bwlN`E}lSBKqJ-K8{r-u(uj{0XjlD9v~b%D)R={sXfgPYg7PaJ
zV8mwKR-l^aj2M_?fL2eQJn>F$6l7F7CjFjv@8s9cl>k|tJcX`P03xHvI$)7`<QKN(
zI&+qg2x?c);t;6bR9x3`t<{2iU7YOxb=1#tvB<1!&_sIkD!gY@O2rp-W5!kO33;m6
zmeqV9C!qY!X0)8N6A^Ct7er#65kA#>QE(@o;SSCl2~If;jdCTC59sRt1Q_rs`GqQL
zHDza@NVq+dPq~GSHn*}*%^Su;kDVYK1tDVd*a{uv;7GwWMg}&Lp%}t~i=9gSt5?Dl
zz}&qIxN-7{CSDAznuH@cJ2C;MjR$qN9O&_9wN)_570{bPI{vsYrxknTPC>1XUDtJ8
ztB;V-Zu#=L&YISmb^lk)QKb&aJGXX|X}$i1CfOlKV;1q+?n1^DCi(wqLM(}6XcB|g
z`Be?U3Ic6zn8-Q?<PV-$789X`E|X9Jm`8yz4gredEpo57(>SjlI1Oo-3FpgJrM~98
z)hG<Ew060z+vZ>`ygw)2z!_86pQRM3J|YM6T(`CfoT2A@VgVpa_cwxP@a<fj2L4<8
zNpM5h2D}4u{D4PZMwRV4f)8aL?=<M!WlulR952Dk{P>Ht8?51QUD~f5-H8|#@u4^K
zyc)YrNqmC3J6?=Ju5RG8zPtf>RI(;d&NZGYSX;#<^EA`ffBoaG_qi3;bl|>T1A};o
z#<^QP1!ubI4bS^0B4cf=@!Wel8#hfU{r=uE9bQfi%?MGZ2ZXjMthSLge4T&_GkW?Z
zhx^oouaW$u+G)75HA}vc0o4PR=0so#b3{0B7wH##2FbRd=$V7{XeH~}=}-@rF$)@g
z_Pn{j<J+l6M9)ky#KY{%84Fyo@dAZ`l!{F&3T&Cz>48SLFCW!|?z*2x5p(HE!S#*I
zzo5PfLS_2Ig0P#7hr+**;2p1zbf|J2$Al^(>&2&j=lP)aI+m=p^aU<8CE(s6V3Ps4
z<xKXgH;O`eJLBr>;hN0!u#_hneT1T$A5TvXup;3&mfM1dpGRpLz-CQagA1x-{9qs|
z_p2Xu$0m5)`H98Hp4I~aC#F<a!2~X#MS*ERTa%!&#1z#!G~xr7R#i<xXX9bjgYuGQ
zs#%inI{_=y+=?RU_H1Kjp7CWrE5y7Xh|-2yS5jjTI;HS5>-6`VV3?e?b|R0?YavK^
zwc0F-ia6=t51q@o43BsC-H)Kl<tLaQ{X-lGHRs{O(a{$SvF$P%CC;%-YjM7ybQC95
zL%dvpuGOnWo+gSbbNAHn%8_u<BQeRFAgX9vTyp9ld*Oa!8>0qdS3M^3e_L+bRG~&%
z1}2Z<gN`o1EXo~Tw8@j+T96T_FQ|vZlPF3tVs?NMk2(#5ZY5w9!oEoC2coau7=rH}
zLOzvKXlJ-}q|_X{P4>%9rfp6}m+_b6^ulEWn$AoE8Ci9&<50(3?pp@<ym{JH%xz68
z+Bijr?;F0Z(_4gIFjRJ2x_Us9pDRWA+&=P!lM|Mec8}ssQuo+35<5@nEfjGB+`Oz7
zG;tmZnnL#N@D!hb$q5EE?4qIZSP=6x2C2mC!=c-r)02m)1SmOgBnC&>Xc9uDkA=I0
z3PU~7u$GYOoB>m(Od??R0zR(4HRqP|xSS;z|H@>Y1v`*Y6!QJ8*@;@*^w<6frQV34
z7tG=FAng9&MPCX|g6*5%n-?eroyQ8Zf4u$%xYZ5jM5Yy|N)ynfIQi=#<h}?ht&R)_
zzNQ{a+-t-dZtnV=Pk|bLXa2~5xrWF%+5LjSS@}c(cc42L`cY)&b0jSY1qnD<eo_8V
z_aUVv-y(6{(ngkE0CD1!cc}5;V42LkCd$`fW?0!8aB_-!D8oNxScDKTQ?V?jS&r4|
zj?*1h4$YlvvW>mO?%bOosR1mdE|_uk|D|}@rbN>#Vh}LS&M?x?HGPJlY^(3>6<MkR
zucWpz_ebi8?!ptfI$YM5&eCiM=2AJ{U4mQK%UaCVO6$w@<Es)R>E@2fc#4{|Gpg-R
zuw-FlzG!J9Qmg9`?cAC<x@^TOSntT`3#dW4q-PCphu#I|B+|xB;d7oT+Y9T40Pmb3
za4`>CaP!l|rwUITgr5TU$LXQnGoJ{5F<7-MPdClBr$)~m3Y{2?0Ark%_R-5rfl9Xi
zRN*8l@$#P$RJq}T{_eHb?JFNGUWBzWM&F-+SHHwZQH^K#_Ki;78IZHrsn`;JJ~iUg
z1v#S=jXQ3gX`UzID|{-wx-+8IriZzr)*?uxAy4-x<g_CqQ*#lG!MrRYPC6<fAI(-v
zP3t!EYU>&+SKaCOeH9xh-?!tiV}}=lmw@O!@k-x*@%zW)waL6*uzW6sc>s2z`_-zY
zKsRsi`ZYCOb|<m5tCZ=zsiT~2Sa;cn+zB>eWdqtDn{Tb8b62C@7?*AuhZcTJOjX}K
zP&xc{Q~;6Pzv>w`6Xn=^i#ZrQMy(7a#muOe^!697RNe`V(y=J+xOCaD2tO0rEN(s!
zxV*Mgd|D&RLVAid@yxe@!HYUXlh%xPYVYbg|5(Hmr}v+vBhIjOl{j>rbVFn3%4%If
zOakoEIPpI3y+sB#Q~6-!kxtMg1j#-XZ1ulZ2(5pi`+Api$Kplob$&F+QbrV2KP8@I
z@_q@aOC|92xIgAPseuw3baz8y=@^EA{EY8;^Kq54Ed>WV`$8gFv*y~yUXN_H=ESwS
zk_M$F-+$nv#TC<N#LA#6vKe-(Zpr=T0I6AFdMV6@adhioW(a9)h?JI_=h+|b_>0oT
zW}lh8Tr2>J;MZcQ3yfpuptmE2l)B1pJa|JV4m0G1Eh0psD}T3*=asS``>;%cEg|Oq
zs_tbh=``K<o9d<8Lf0z+Q{?nsMTd{ueB!F{zqokR1U+-kUX2nv8gHc!pyknGwA;(*
zH27x;5bRVz2k`YL7tQDwgzTb~e&ROg0kREzJycJpc6&Lr<D+(*Hz~yb+>kE~U}#F{
zg&0mka1trIb0w<J$h|Z+gMNsEn~3ZFwlgCALt^BKVt-(N--KSN9Bkv%^B|Oy(fJ`m
zH<Z)9x6P`a&(<GliDk})`@V@%h72XNMoPiUU>-(iItf*z37_S%<9Fi=9VlEWKm1=z
zqEcIItq+QAN6SC};#DOk9LfR!-}2q43IeLVb#BlZjC|Ub2Z;>>(&(!KJ3nA$PU`d6
zVXqf*1CY4trDvz5M@Q9{q=8JFzKYRSz)_ga_2O4}d37@Qb!aEw0Ytw<0J7i<E8blm
z1xF$$)CmG45T1E{ryuf6+Gz|LhnRV-c2hqc-%;W$u9{oGV@nfqpGI`;>IeC&m`p<h
zum<{4`|9a_P9Y{`%H@H=ak!_{*+DMoKT}AA)q=v9^hmWG7V41;%nbFtuHS`&?;X))
z?#?Hgo(t<#9VW3z#ZDsls|>^m-O7Zni2M5`VyREPd`5A_!=gm?QIt}6akWDG1r4ap
zD_ZSY9o;q4vL}%yNQ^i>in}Z3ZXrAHDwFr3sv0odH8g8e2p@!GRAbThIO_$TME$$*
zSw!@q^r7!<Mhydes}n;=8#Mw~K8zqI%hdXQbN@W2Um2z2Nup1scr-b5#=AwD!QMUq
zXtGyPizZv@?bHiA06gU&*ycR5PR(o+0bGK8hYY=*iz%X%juFEiKM(t{nozYe+yP2v
zg%-Dy6f82nG^@ZHvV+6P^WcX>Po7u|NkkbX=_7RV0kCE0%XM}ax5iQvMd1r-f@FLk
z-Bmdv)eyW@hD*!%so2cL4)v8!tn%*;(M0$RY88(d4h&_MbY-w<0e|7B@Bwcj7Ceej
z0rCk+4Ug4_O&Q+}?iaL^!ZAmx$0Vm>P=ww4`aLyY5~3}2ovxX-r{_9l6dUxIze+@Z
zG`K`6qrw*?fg>yj2}MqzeQ2nyTiVQ<j&yXTO<5c$D#pdM_{-YEyakar#TvfiHXtLw
zF`|1ywhU~?6eY`(9C{Z9F--7aR9qd@7Ib=4>m*XfwI(e-O3vZQpW2%Zot&zZN4PIh
ze3c94BAd6>1?x=jqTe86+!eU|w8j&h^D%b5+!HV*jW7i`>s0WMrP>>f?tiN1>3Z##
zINQqf@*Xo<UJMwA!oYp`FPAf7Ve2e_%xAqS;5a!N`)59x9i1ey$&gKNSnoKDtd3eK
zY<Po7?sWR>ggna?ThViy(Cbfs@PgBydmXjfK|@Mdc<ym_!70}@D$-E%h35~v7>>y=
zLsPf!2#pPnJ)XgdQ~IRd|Npbz(~}cXLxep?CjltqvwF{PmnJv2vNcTCAL^R_YF!%z
zZsxi9!fQy+;5gxT-<i!wJpW5+$*LQR6OkZCq%fl_ROkV0Z56iZ0R>DqW-v8TP=Iek
z_HmsT&gF?s05iJhisOv;U(Wg1dN6I|*J18s3QP7|PPTn${T2xa3>fz%L1|JMnyvlB
zSVDhX%&SDJoc~Bn8&-qEMCMwz@wzQfilmQC__d~g(I`qh4Pb!l`JJD1Oc4MtbD2Gx
zc{p)Wk3Uk{O1m-6Ve}HBu76O!-NBW=hjFd5En5VfY7;R|5m$VzB76QqLpCnds~>IO
zYfP9};Usx{-v#OB#!Q(XZF*CLmV*cm8<<Wnp07A)mrg=TGr##{$Qk_gSiS$r7$vsx
zIlCrTJGJgtg7t$Tsh_t~^(R7e;er&Vke_dsbzoR^XCxGN1`VILu4#)@<2|=OToj*&
zjiA@YA_j<$I};aGZ_0WWg_ddIk~I#he0w`A>-NkXNJ{pFCIR#~6<$hGwSIvOLhe;U
zD~NhMF3#Imxp;|LCq6j}yRK4AkuH?AIzOv_B{xQmWP#A+<fBT#62eKwG`K_|88bRP
zkD#2QZ7-p!Cgf_pG&7I)KNkk>Q0A1zZUN4pZ^^lI8;3AZkI0#Yj0;uQXcBjD6&7&z
z1nh&S?f7~3ZREH-FrR^aalRxX9F~x~t=Oe(e~5t1q{9OS?D0&uFsh!lf8q#F6<&1i
z-ywZqU8ma_b?x<_p(rjee{@jU&}`oDvSenPNj?`%t@}ANyq~am7&*`<&8~VVH&q}V
z5e4s0!P~y_J52}n|3rKfbLEYd2zel1Ksp&(@5)1&dPUu+`SpnoE8BSg?lhWz9{Yo-
zUM4cL34eX?Jk<$1Sq+(>D=;)hERH;YL!W{s!APR*0`QrXU$vzaTl{3T@7}$VYykde
zfkO@kL*FP60Vz8SBl;HRVF!)G(|<Jy*+H`-=JtvNoVp+h93Z>Xe-heE-!SZo@cEbQ
z!7FNj1C^cO?&tj1PhX*N-f*S~`-_Q(T3zhcC%l@X2?Abd(|QKbBJA^r{X5C!glODh
zqr<O*Zw{h5x8MY_B_2$tlU>h#{cP3LmCZ!wguZ@3#Uy{Xm6ch_B+@i5sK=bZG2B`F
zy8kyaUC3Wgu9o$!DhnOW9(2?xQ>`P|Tu)@4GC&bZdtK6QYIhUgv<HSPvwxzh?&iIl
zi{q3@NJvplQ-{7;Dq4to4fmtt3Q}F%=V~*ewy47QUv`pU-s`vQO+Bd*)MQqan5rd#
zT%d0gz`+RiB0JWK$L-kohf``zjo*@SQA9|U<xm+gy4yfiB4gFn`Pjbl&Sesdv&FLx
z*FyIwd-vx_)q?#HX-~{48OkG?Qi{M=4}kV^y=53P3g3q!3YlhowvG~}L>mbYZ|C3N
z)tur5B28H|BEXq_Z~zE_@QanTOX@59i9fKJFsRlkJ@FYRTuEUC^^5@{rXTT`MVSF;
zNszSTBHn3CP}+f9fCPAJS=vP39K|C79Kzu1%<z2F5;v`8k-Qm!2f$|1^ET~dCRC^w
zzb+Y&f-H;FBOTJmc8I6gqf*Zey#<6sMqDFig!0>R-S{du!`vk>A@CeF$Q?2mokX0u
zA?veyiUj$>B15MHopberHwPA8BielrhD8nBvu#eB#Y3d70?(fbUSsR6?CcSB%5kB1
z3>8XyZZPIK2GjypyIYKXQf*KP)g^{|z1I^=jpSiTRXAT<GMd=D3}8(tM~)5A+`_Vm
zmOH8Uu<CODL%u`oEw&a)UHcExj9QtaR1SM586}!aCZz=E4YhlC5NV6OKFISu%}lTc
z^C-wZ)C0z)v9Ii_8{mCT2a=-%zikcC>SYUt7sRr76x9?hj~0PJYESi=XeIq+L;rRB
zYqC;(j@UJYjenfGj}dI5_%y$0r8b4{g^Y?iu0xn_<AU1RS$#h9(|Lt!PQQrf2Ns4H
zpKZO-GWc@?rf!kTUX0exMVpE3U__j!H2CYp@@c3GF_N#qnWXX$cg+kX&=B#RL$!EJ
z#MHDY(C<8+12>GBe?bnfEc=zeeBu(<Pb@?;r&Z#JzJ3GcP>q-NCDo9!uaWQ4ZlyQv
zUs%{cZEQ6)hbt2ChW?TGAweGx#F6_N1Ou1Cx;z!ZeobjC3{7Wpr*R(DWpVJ>SPfJ-
zHh>-qWM4Co49TMq1eXuiA6aReRYAPOn9RWRxcjMI!9zmP0xPu_gp)<$hOfpqs3*9?
zg8IT>T7Z14#0GgtbKf`*ZS=aUnn;Z=xHQYz0X$N4H&`e{*^TmN`5fa$LPL<GevM#|
z6F!llF!-Oy8u#E;U#+=q&H8sO7d0z}YO*ta$$rsOOSGoF!0~MAuyP9&1qbLi$Bv8R
zSEMW=^NLctHG+J{)ImkFKE2nY!5=n?7_Lp2m?Is>Yz4yj?A02HoU?;Akl6Iwl~`x~
z5RR}{6#H37ptiXyIt6&8NazPZ`v*!?dTLn{7zWuMt>(nnLqBYLHvOm*hl#-pOe~t+
zEu+Po3U9l!Me;NnZ1H=8y7MrNUg#>fguAI1c4xNflkNs?yKCnuV^PkB-uhMCuq(%~
zaby!}^MJPo+^2XR9O=a0IN80;Ck2b8OUe8Su9Ij^+Y$(OMggV<ycR5!RIFP(2>-np
zLU|+(cjO4KT`KP!7F7Y-_JD2U3g;;sz8Q62Pb97;Vn`sCTA9q82hmC}^gw<<^uY$h
za$G1vlq?N#4YVfZ?>qrS2R#XvR1(wa^mp?;v1r816GuAW+{r5{_5h=yqc-<DJd!L<
z2ywANX@n+M3O0Qr;H@Bmdd5lHS%gBAg6-7_9K0CT`fSvblXV@l9QO7@SB*Ek@ypAj
z3wh)Bw8qwOAq_A@G%zuXnMCvX1RP>$ADZTF&vEGl_k|4A*WuoKxlp;SC8PQ7PGDXH
zAeZDrZ4t(RiN~W)Ggw{*uIpMNu>yE-Sd-LFBRPMZdgtf_J$fNM)o^15Pyq?Uc{C;8
z&(koSO=Q`heb8kT^Y|RayHc^7F13>V4PockgZlBer+D)pdo22po3oz6X9ZX+CFS&k
zT-Ji^1$TJ!y=Zb@h;FNn$K4m;iZD^xn$GuE3$iFsvuxg6==+tK<)55vmdF576ku*!
zX2~KOO9{v}EMew=^}PgK0q9Vmw37YE0X_-y+HY2-v$iT%hRdGm3S2JggqQoL=D8{9
zJYOC&#a`rCWXZT0-0T)ti+<Pk@vHEX`#7yXVnQ(|?sgu0Z1>26i+hB{wx5NwG^q+T
z_-F(41JvRcsVN`cT^&I-Nrg+6YXD=JXW5C7#YY=?B}1y^E_A#@Il76-9MHutWB9z?
z>_e)2Phf9`__4ar#SNiEl2*)st$YnF1sZv&2i3V&^91YE)mp>#l)Me9m(mv}nIUk;
zkBmIa|Mx9C7XMKi9tGzeP3xvS^X4IWlufw5mhzII8=M6i|8Uu6_kh@2``vxs*QsI#
zI%mn8)UdRf+uYa>T}Ha3s^sgMUhQmL>|v{x;t?l(-lD_Yfo<?~z8>)`RQ)$X@@ta|
zC)lQY)VHvAWd|#O;4xQRy?^4(AOmJBb-FK-_u-pLLb&-*v#4tL!`k?J;|a~x;iKih
zkMMQlk0vWRg*Ur2;dpFu<r><>AcZl$OBs?R^?J-XB496tDzlPXc<IlU)HLmQZd)-M
zBE@0(Y$GtcGGGF^BoCRe+NR?F3KE44qF6uI2HKV0uj~fvk*C=d+MoU9;<hr8KL;_N
z;2%d=2e8p6c4x|kF<>C<y!RL<+0HLFujtDw@Yq(Ss;Boo@<sm^1cO(RK*K+t^vCib
z#`bw!{u6v%yAg{5pp4rIJA28*E@0!uAQO=#-j>l{52gx1&m@=xq7{?mAGeNzzmS}e
zH}7<4TUhbuEiGCgo@t&yBgFTWM`2fCC7vJto6ueh_>K+oX}M50q`ci}xl)>xd5`B>
zhE~DLeaI}%0iIH`63NKh#Ikucdd1Q(x{QF-b<kKOOF$*}tNa>klP?k#q~~XC2)o=F
zW#`}wwCK2x|K8v8PijB-Mj)qhIVNF)Gc60y9RdyoYQK@W>Wz^(bz<bJfA|-c@uZ`W
zS-7&Rs}dlBICG&H&aGXC{S{g=dX+#*&t`1G!jCpp9bm%OjJgn`z%@L1NnUO9%aoXG
zNMis<M+}kZ6ZZ;wHhTXN5+Z2iIc?`de9P_$J}@>xQnXkvod`4n-RSm)j$Kz!H&=nc
ztEA&PFh2__OJ8%9leM(G=>-~yu=e^*(+;>ES@c)*4TvFFESI4!>V=?qhq4V}P9-&r
z3WSt<>4;vfQ;VYg?7F$XO0Zh`E!{@RIs?q5V-%`S#oTAvOdoqxY{SVc6$FBbkY=HV
zW~euxAC?Xa4y~a@I(kAc!S*c8L?T|CYqP`+E>R6YO5wQ@!ZM#ax*-9CtYr&uEUsGm
zw=-JCWgZPi+KWxBR)G3IW$|JhOt{tDfaifUR8=`XWawez?JXLc(9uA}eXX?&t+i`H
zZ2~{;Sc}YRu+rshgn(90e@9K5vhMDeg-^QC>m$@(*>SD-h))Zr-si4g-aA5{5KOU+
zR!vvFd0z%4u%YCb$uCNFrbo}W;M<tu=s#ro6(_Bf%L|Rs>(UGyV1<!XuKWBYO5qMH
z2ts?v4e3{hIjzFQOC)78dq5X6t13OEPN*9k%gP$VBtP}NK4SEPB*2r!9edDg621*S
zQ0PF$j)@MG#2+&%{%?Hv&83mhY}8I*-s!}rS5b&u*#`P%_2S-kTEd62D5{zYoTMw$
zi|f-u+MCm%IB!ue1ens%KmT?*$7SL7w;No6EUiXBKkZg(zZ#`J*rJ<akEHpdn5|n+
z`kewP!2ONz6USd{qsSvntlb|;n~Z4}uSXnZRfa|>w4k4M4W}K+yNk0)lhE<-J%9jd
z!DZTevgwH=LwKO7`BcuJD?f|PCC<Vy$>bs88Wde86%nkmcL{;IkOD1APEUH;Eo!=W
z$Z-#4v@>FdG1-{)2z<eB-tOmL-ia>;jXRj@5QvHM#d*nvEai_sW3RRnKwlrpyHF<s
z^&=yZ3A5vFRu+SKwNX>7C*>|#aUuc8^*8un;_SEyn^3mn#XKh5oVJ3{!0Z~~Q0RYw
z%*=dcqYVl~R7ywD4QS<lXx5TR@NWj(d-i+>L1}DF7>{tZ#~%)Ezx?<mkb`ji!X5!f
zt_;C3J>VCb&l6=<_$S!WV{<v12}Iv8@FgI9f+#<t5zf60m)hhGzy~Vo5(A_nt}ryG
z-#RXH0mGp$jpLb{Z>#$&xlyFO)|sJmzKDk2G8ze0#1L_|*Hwo0TtzZ<!^Rofy3$zU
zKr(<#|3*f4TEd|IsleQfrY{%KB)-Krc?7vyWcfqp(`O;y^;gM`0*TzdnMagGqV0jZ
zJ&N%|F|`v(nZ7L#4I}fYig=XXK4V=v(^0v3^e}Gu6yTnBu2ie)@MMma#gRdTo-uZG
zP7&ry5!ttknGcv`&UELYB{1h;0FzG3D!_RIRr?;LWTC<R?6z4>x@k-|Guf%?e6Ok<
zDVJF6xUP?j2j<3Fq=xCSK8j7=zNxNTw)4K1GgVVYt0uOv({RW^dBn*3CIS1g(TQPg
z<D<9)Jc@E+NxIqHmyunSu9@QJBn|n?Vhk4L!`)TL!2u7SO^6hR>waTOqE#@DtLz1o
zP|{&z6i5(9?8-zFibmm+IIW|N4i(S$?dny?Yp4^776p8L6$%!U)x=0pO2P!S{C=+H
ztb<qc#>WP4T8erq<^(&Q4_+TLd~k4ZU%y<!*DR<;;jUT^Qg=!>mBYZoxq3Hr`*`WA
zn1Bl+xgLroD%Sr2ZeN+e41X*`=&IX!rQjqC(fsL4e2;vvVXHEPP4>8J(g5|ZYSYiL
z!1gs`v+)<6H0?Y5>({>j2Lkq~;)3|3+vL_S1;e?|A?UJX-GMX78EIy`e$i)jOiNyE
zDnkWVqtgVgBx{+RbqKc$>AumWBbpPx+_e8gl<g$k<AxIo)aZOEQJ@D<Na#VG21~~!
z^y5Gf8=M3#aL*B#%#i|udSLCGHvGv#3`NU_pax_;ayjgVJ>2<&LzK&Y?TedTNQQZm
zz1CxXaLC&HVvrG7%rn2Za;=<I5)7#EWW$P2zDF)M`30V7Wu6Vww5V9sQWc3fq|vB_
zWl+>0E;_`qW%1HzI9jquzJfk(7cSMr>RwLx&Ev=Z6@Nr$3Ev`ocv|??umx7_0RYuf
zSPqq0+SXmdPJ+a*t351r`36Tbg$1fq_0&RhECq%H!v^zIqjp168WFntk@WnqHBD)_
zZ@H*Bi`Ajt5}cv3az<%2xDX`TRr3t(p-f%BL@(Nkzsx>GdxhQiNHyu4gBNiFpV_n-
z1gInc4=)+D&>JVYAf%O(xPJZ2>aYPKQ43}8=XF!vi=FSi^Y>eSegCqMyhvC!=;O&(
zAOUR;>0@7`6RpSl$>SfFP6&}`Cjd8F6M8sOpVF8zSC~ZJoo7FuA<m-txL*O3S`mJQ
zOZR=D-iBm5`;0Yktn3;Zs#Dax68)h?{fNqe*r5mn1tdOXZczq8)uYN2zN7#0;qby7
z<CN=r>y{Ik%e4>VqDhf0k)BaVf+1PjCt;P}l^ZHtl866fLibyJr-RsuS63WVgTp(i
zSk($4EqU=cMjM8j3Bx7lMdBy&(<oN0H9%3GbNZu&CXehmB2Ml}&^&B@Zc*lqT)3xx
zv+7dTsgvCLtbSAkbG~djtXHW5+xClzf`G!X1bmx+*t}(}V;!cu4T}(3x_xIXW=l|X
zs4*wnNt>%AlxIpj{huu`p)ao1B0bHRj;>n`@pv@A5~5!@stt#qwtX5~5jYxBk2WT&
zD}@nc2l`x2>F58NB#(#(uxw@hzPm9MHO!U7rfQp<EdI=9|CS0Dxm*vco9ZA%gyE4<
z&c1FfjX>ElLzAvAO}-n#*6ANJl<}<V#zbMzj?uUNMD}KTA!+KTlzgBHU8(RMVnvOo
z-J+6D4}=^glftw=XohdaJJBCvpY)E(g!P29lE@33*Uy+h4wYb-xYt%_GEMrtWDhF`
z))Fx9d09@^bzMQ~l+ZS19n!eeZ9oVxo<Gcu5h5w=qgg^F5Ag#sK)FhTVaFXD)S{uX
zi+Q9#k%*}L9buR>chHWh3XNeEMutz$`)=e&5TO^6)+*LEQgr9H(0>I~$JBbC;y&!Q
z$fnh0c)!!b#Z(}?5m;vdO<qG=wz7igQ>vzEuA?R5_b9sT@CSw(a_=gmemP@2DT|6>
zw3Mw%qmN6DLa$L-^rt5qo;J+Z1j`RZ{A-5yD-U!0Tnx^@?|gGVI=b9TG<>9Oj8V-r
z*Q})KUVJ}TWRA-F8s6F(hWz8?S6Kc=Tl^ls-z^^b5bPmSISkYkTG#^_r(4FxT1qLM
zP{%<8HJw`eW;#~GP)V(SIISMfvK6e>(YypK;)6>0Q#KOH63ol6gv4r)_CZJ_Y!E@#
zuH+hDpM)BBkYEsoy9LMYu)umN1)j=1@r~l)@%kJ-!O%x+$yew2s}1>F|796Sd=(ee
zB?~X8j4ajw2UB4f360=jzH?T9Ug7>Wpl@<xk;{46)I2&9fQoMy^g)U8cT5a``ntR~
zL%S4()_#QX0<GsP_Ls?hkEW7kgqSvoox`#9FBM+Vg(Z^bVI2F!W(MtqGLAn(;!-8B
zHm<FBq2$B{;;I8^;5}cd^wu|Nz&t<f+|4WEUA=%ub<fHLL2R<NA@{ZQu=Q?*6luJm
z7gH}Mer_VtwlbM26XsuW5_7UA+7|_KeDcSKwUK~;IAo-HgyjrOzE_8l2S{R}KwF=<
zy^>|w{lwU>rk_dIgm@II?UkQIXz%Q9uCLmI^jA>Zj5yxm42mb~OT}D-N@`>XElf}}
z;xvORpv$r)C&^FJDc~mAZ+qn;8Nk@mZz_hyTXKl6u#FH|yZxllFkBf=^Lc93F}iLg
zH7p=_X1k2-ZO}WJ`1qYzVRyt=>D}WR$!<J$&`Te2j9moxgXVS3=XBjXthHoe%&M!|
zJR&C-(IteUuyqQk$;vRbNSMY`C(m1BEerwgat1*)zgBKwC!?6vIZ_4MW9v&Pw5uFz
ziASK>opLNc!W~8HWGnTmC#Q(Xub5(RIfj33>Zb)XX=@r#xQ8%5G+a}gm(f{$KRqi2
zfhtc!YEgdfkBD-_xE9pE3|Y@XWKyYl6IiZ(FRIpSyRaUl(knCx;h2wZMOv`Cm+%_O
z5bsKBuG^iW+!@c!sKN>i_mzJ0uAGHB_s1zF14n?;TAEe?S6uA9snO$#j`r8p0l3aQ
zP423QiLy-Wvb>tSEYi)|L-4@_ld2Vdv*p+RrlkxPO!>8y$fw(^aem4C7#jI#O?RVe
z9vCuaSj+;4;LHv83jW2iPRphg5t=GBPug0Y!9)<(cP~s@+)e&U4ShW9Oji|L{8Gez
zvp$n+x!FAI#Qam(L43iuM6aTUuR`yPeA{%Ld;JT0NSlq;fKzs>`J=3&YDHs+tDO^j
z4C;phZ9|fFNx5HlBuLz$rKBEK0xxSF6Gms`V1_TH5$A)q&zy1E1*$V9%!)yvf?Ayr
zL?KN3*q%hvy=NRCsW3(>$hI0Y_YfR<1P3)kz1&6V#5N=gMk9^-#kDteP+tJNW4R2e
za)P-<6UErN8`x*X@}Pt{<iB@7IN!{;oO)a#)MLWhU2=Cq%?Y4Idpz|+<8U;bIr^BZ
zg8GVZg~6B#aJpHpc+g0-IUrXx`xS8IyvGv_x}ZX~4$DK!6iUTtro}Xu2Pt|VS3P2g
zUpkXlLcGBLPm@!8N^H4KBkC6$tC)6^{eg{GDXl)uEQtLAAB;rt^71!Fk^d@7Adg{L
zXMw}eXo^1p7*v`LTAbuB!jURt22-xu9*5Z(&}2q#zgE6ZmSJHCA8DA`mAtoKfX~`h
zgB%TAsXv{*V(SG|yyut^Tc8~=oki=?El71Q3!LJy6_Q)+&q=dKb+wFBP<5uz@!iu@
zfkMdzX9V!=c#$|@EgZ8+4hrwW!0tfA8@265H?2W3g*Lf0w;8_mN$f3)TH1&b@0E-~
zCxK6&aM-b?>mXg*YFVP|*~b9Uy{tX#0wj(79bHeiaU!PpY9WAI50pGk8UuJx*>J2&
z=dqCD_6~Xn`^Es8&v^}1T%u3{98#&rXX)ZC3Na<gnB)=DY0bzt-IITgRNJ)In=4`I
zndT>XDJoxSo?}s`L75u*h&&u2EiVRhG?c_WtkG$B&pUPoJ2O`2-ov238k!WE=@jbA
zDEEF4G0Y({v=LM*cMuPOD*)_BRRZG3e&?!F5g8dFxMB3w$-v%f`UJ*>F7G*#LtUpv
zkxvm3r>BTB=(q`;9J$^V1W$?<zauw4cyMuCj?)VB-&|^0N2h@q-F(7{C=_)D5o&aw
zAOSgZCS}!N^w4QXWfqmeywWEmI=Zq^GsU{dQ9A$fnWtwo!izCZi-rFIAi?qg0v|I?
znx@UoyKOu<5>TnW?9#K+0V1iJ;-xj)IznLfe+4fK!TN#=v{i+t2=QMtllm^9LBK;N
z5<LcPBS!~Upxwe6{*A<JBvOfEkjENGGjaLC#paH_VAlPo5je!KKfde{Hf94L@(sg$
zUg8gOwd?tg!VZQKCeu+I3=DQmz8pRr&naq9i<_i=6BNv&;qM%+NRMI5wJoXkkc8q6
z<)+YXPIi#17|t!gmRjnL;Henck-uTZW~_?;35E)G<;*|{Gd^9Lp$mCGprGlwT4Y9D
zgi-&Se`?&w{=dwN&jZafhR4jgSKsCTCUzHl*2oTJIR4Q7_Z9l3yKWJ`M67Jhh$?1I
zaWfZNYn1bNyAofAES-GcPKs%zQ+n8S7iMh*BLaDkG(p}%o=!{Af_v`}>#eW#3^ojD
z>_UR3Hqxw*1BlXNd*-<Ep`j|B@vyG_QhigUG@oyT`XIvN^aY&s?UI2+Q;VwyVgtMK
z-*eQfct!E-@IcZunvehID~@Ay{=C8}v6(vtN|x$`y2~?pw5sWMf)fBZYK+aucswB#
z(BCOzC18?SG@^oMH*#tQ$VeAa$mM{qWLG!8g+sXWfMo9)u~EZa!ZokZk{Wg$Tg|TA
zLymKjq!JF(n~%7cV^JbX8e56+QiBQ#c{8)$Q%0&<9z|3QVI$lqX#y7vHC5w#?KnE*
zhfTn~qPHINS;_CrB~3#~2-tN^BwReip_R1^BX=dVMp^NU_j8&pvA|!Gg?R*CN*ArN
zmv#z>QXsa?KpVk~D|6c(JCg1{JFaK#ZXDB3CdWR#Zq%dAlD~^7obvLT#MArG;Eb;M
z;iAP3cRMh6K0+Z9gd<n=L(-sCjc)a{tCR#JS~ft8ce+Y~xT1kCYc3ab*tbB2=^n<*
zx_c;ULpvI5;{{{An0wVv#+V$(*Tfqsdo_1iV}{}S!u@jb!;w?P(B<ZP5Dd(5H&mm_
za~QS%OkX`FgTw{u-@>ow>!yuaLZ2{?w<ZjGTG(>!0e;kOeLoEDf3w^gcc%AZH3RO+
z;simv@mJ4Y)+!<VaQetfkY>RcI|pCim`_o~!x)oKxU_QSy6bRZsOO4`&i_SBjtP0S
z$PgS9mEC?He!#!K#B#J~rTtVXM%u~{20FQN7Mrj0If;WZ5c$_IY__JtCa7u=Gp#6C
z9M7}GSeu__c561<Q%M5^(z)KCAj}tD1;|b?P22?a^UfDoCJ!t@((WxH`4=OxDh{Af
zUvd@br6i_gH9hvyU*ED}KaNoi1(r=GvV`P?+Kbt2q?_al-{JpvulYRDajxD5HEIp5
z(%oL!_1b2&sFfn*KEs*y`^GdNR@^tYN}7gR9e5TM=S4u%v;B#<{ko#2!tz)2FuVY4
z6vnQNRW&nq8ubaX*RvQX9LZ+(@Ue@g%IdVG-#R%Ss5o3Ry=$uyx1Ze;x^*af%w*91
ze=3`L+0JGO{BH*8EwF5|8U4Ot_6j$*aGn?jH2^l|5nnN8V3$AX>^p>SHeTTcENH)i
z2)k|Hw%QyC<Pcj;JxH;kxS8~sZu}ha#25n5a)96M9lg+nfvP8pvrno!EUv2M_oVY3
zawe}oa8vMM&Fn7kM`vTA|4qwu_V*4%=jJ544YDA=@o~)?CE8h@=1@#GTKV+O!a!h0
zF;i-}x|o9I-IrTAPQl}djre4grF`YrtMZQ@4FS&#^CpiTeuv`+N4h=zmaa)z+J*K#
zy=5kM4=PHsFZqko3W_acMopRS`mJgE(3>{wECcH&f?7M`fEYX&vK+TdTr>5<B(Q$N
zC1xHSwe1V!(ag6?u;zT?4F=LVgs#ou*nm?mwLwW$5S@9vGafRs@Xi1Os#|ng{vldB
zN~h!ITu=7^6pevFvLr7NV2FnSh)bSE=mux>hx_q5tiZRp%mXqzs8K(I_F>wCR>Ik`
zvN7{Dq#2d)ykX2z#_bn^>{=7u=@kh5l83_SP_u=Ze_b%;dWdNQ<gU0IuPFOzBiSs{
z(M0iU3LKODR{M9OkMM9Bbgsq>$!0DZy;ytg+au|7a3)HwlfwcSzZR5wwt<D30^y~f
zos|MxI7R>k*Kg0oPTi%@_TtTug)J~NC4S;&``aXK0B=U#ChT}92EX88FFXl)Z%<8Z
zS)<XgL#35#{LomvxLzx(v3;fMxg}O4%jK7t=8qmzSVvbI!yy5xxd}-C!!Qg*zZrR^
zckvF}kL`&o)R~Dox!gH5^qX}Ck!2b>EDN|TauL>!2V1mjOW9i+n(1f56`)GXxcQ{`
z<3kN7zi^CoSNOm@^uxlDO`YDv1|)W#-&-MgPxEF0Q^1La9Tcg;R4rzwz^BO#);Cw$
z8K>(0Hq5n*C<V$j2@n%|6z<jJ5QV8iv<Qm`D7clS!)<@N{?k$gD^mCYYvssmxAR|t
zd8{R2?4>s>OreK+VC3KN1wS^T^Bwh!Od{Y^=i(Ge@lCa0Bk;!Y)M)6pmx=`EJ2cl;
zZ~_`ZjIw>^0$U9|AE1n+M#<|zBW4M3@-bo{(seF|Us9axal-ikFWT%{{hp?il+OeY
zj*d!97vnLM`-1@P-D245lO$k-Ac2m+1JO*O#-;Rk@iV*0e6ZMpGWdI-xxz8tt=;PG
zVcrI6n#vDbpxkMgsoEk`wfuX=Lh!UNI*$?t!i2$E)6kvr{3X?<rIio0jF9P1cg8eT
z>6d*|K&kKX9K!6RdzGz-rFK5jpJqHmu%M6GUy1(5K0502ae-_UodL*j#H?2O-bSKx
zXjIIca1xq?-gExyp%SV(xjVm>5HtFqD!*bC=A3-=;&znpxI~xteN}C?R;>J{peo7V
zKJ_Lwbn5_^<8XiDQ2;UN5iuj;yqRlwlGr`-w298%r&3bLDQA3VfkImWyD@Sah>yXM
zz87c{UB0XX1+gyGhNo910VZm$ySk5kgRK-Ep@9NXtvSUYuiM?6l9Cc#Y1sat7BUrL
z2z->Xp_sw5%TnL^kYB+~!~N|;W|yP1&ed<3&{y?y;$Mk5K)|7(F$>2*S<-j`t1N_9
zbS@@-R{Uz|Ydh#-=Jeq+X^C7$Dwcj{eT&hP{*>n3=R>k#H2Ro#KkH!#|C{me<;hK}
zm?E6@3x@w_0qn3^=Iln?RwoL!pNc;-)3wn6MPoe_H+nr#yfNDtmJ$03DW$|CPP!dL
z*&v0t|0{me3HpPI9M>L_wKernp{p*C|F)sK4Up{4*<vc{f`q}-UoKUJEE3^nSg#~1
zrtfEOyYsY!+qN}h`liaBTwob?=Onu=IR-9VV#-Rr0hI!!3Qtmc_t(q?ag>9QEug$b
zt^%e{t^%Urp<F`xDBrQTll(Tk_IS<i*d=2$Ag12UU5mr_8<V)GQK|_(&-(dXU`((0
zOz|@g89uBV^7tR<>VN?<KI7xRKnPN;g06tSF!+IZt%D*`8`rrm-w46{c5;@N#i|Zx
z!krbIsV3esWpShrTdXd)Jv0Mdf{r5hIXn&zfpSq9;a=V40-qH*hH|4@)LI&jw-wL%
zmxa8;NW4e<r1^v41Jf)09hZ6I(zG>|8enX9>~EFsN<qQCgvC>;JgJV#L+2=yjev8x
zW994lX%}q3Q!K=jSrN_qRQ4ynDEhpqLURpw3V;jTJh!{1@{oeM`vY4v+GQVrM>u%N
zEL%E_#<?ecE{!~#mk$)t5?YSs&jSRu%zi_c3H*0(MmhT{Iwaw$#1Apl5fmcuA60UW
zzo_wGv_{@{rL7c`w6}G?0j*H)-DS>3pc^jm2MnY>q9U5#^}TvGsZL5hZ9@}<=r2bZ
zKn-pHneRc%E4E$Qycb2W#1YO0JZ^E&?s;<T<&KWgb}sja(Kb1Ndq>j@W{rYUi&R(3
z!mUIJE5Yz8#XYg|yF#b=eIsSwT|DY0FGVz5Q2MG?E0hhOln681?`av^U8cEEumB?^
zU_(cQN83{kpbu8H+(euTB?G`aY`8FJJsr%_D72tIy9SrVLD@Y6ClW@Rgw~c72ZKif
zFNd{mR%S=>3d=A)H1vAi(f+<|!|q#c4T3-jF`g9=iRbRO)=?#MBRXf3W)r0bhAxW&
zlf)P3l3BqZ^&MOudvDUc3P=p5O)Lm5`$?h9-C1Im6(_KGkc;Fh6snoK^f)GItufwr
zxe}~_!wI-^5w***Uc`TMOv@8F9<%9&uJTc377ps&qG;zM%+|uAC)y4JrJsPU%Bs2I
zF^u1&@Gr1c5jIjc#F^y%*vFKHbXtrHU5;Z*MR4nrWR8~Mc!>Hu9?ZkdC)LyUX~RkV
zxA;8#piRU}9~<u#%*y9G0i`{9Jf?=Xlkwm=k=xKSV6_uqxbc`#gByWQE=h<4nGE@N
zEs|<bfYCEKS%a)eL9T_I@QvcWg7t$Q@Vq&loNfrT5jVObEZv%5zw?gAwWvO7w7pw%
ze>c@D;vrIG&ke(|T+%;B({%F>bK~wy4si8Huw(M#Bl|-Q3I+Pm8pEa9$ItYN+-KgO
z$=-t{acfWe0i<P8`cJxh`%*#~<9+2HQR$RqI&FcRow8j)xLxJVH=7d(g?HC)Q$6K8
z>gFfR?{&2z;;wk#_Gb^n<H?5rA|j>6x`ESatsi3|kNMfHhZrqPPYzz?bBrlmJCvvH
zwVXd0-chD^m2GCqSWU70h~$>TvgdKBVwrz^w`OtBArm(#HJVqjf}5z}wZ53_1gj(m
zmd<RKi?bB-CM72LTRVM*CB#F$0t9(@yj^m3NMyM_!&EVNMtcbM9nQj{tr#0#&Bz{!
z8Mb7*|7!ap0R}o&QNh-(-_zy3bc#WeTIH^yX1@_|Duztml-fB8?!)OQsx~`wO}&NY
ziep86vH5ZtjJ618SSm0WrGU6yjGWs)FYHD3vtUn2Y0?Oul6(xOuBvt~FW3!mhpCXo
zp&MdR$af7ho({w|M`sd3SLs?z3W4rIL@nW5SNE&mh-86O6(B2Hbd8}tEmoE-pXh=n
zUTsWvkYNPLOe$dwn{BjIGZQwVSiU-HV?~LAm#j?Q?DGlK<W*B&pEnru0_L+|pqFLX
zh5w^-nny3yx;M7UH0Y=MN)nHwqh<L4SCc&Av2C}}NjtF%Yjm3G%xf6+m$>nq0eblQ
z*{W_hIZQBjtf_5GFjR!813Y17xJV71v@AsEJUs>kM7F=$Vgg?50{&<q;)O>6$1cD@
ziY2FVFU+TC*^U{GR?qNAth1bl5vqjJ<_?-hxH~f4u{SVS8&E~sU{+r^sOB2MdnSzf
zd64l<t}HxX_9rGOC*Kf{LD+=ydDaF)u(sz)ya{l%!l_Cks#ecd_GU>10#nRoUs`-m
zj8UlkiDpkKB)MtvUM&tz_&&us=ztIr4PNKf@y`iQhBezyL;(LYp^#2w>E;!;eWR5)
zDn+CElN?V%o0Wd*-oC4mAbKAiY&VImbITf4kpM?PxW9*i%gqlB0_kO4V^hTs75_Mu
zscaFx>47n55BN_wu{K~;*?6J#RMy(fGa9b)Wj|aZ3wyc8g-kokdZiVD?RS5Vbu7b}
z^O}{021l>tqyGjzSYO^eb5XkH^hmA8pKF=rc*gYK8W&M^1No06UQSYMz*P+qPNv*x
z)yhU!jx~^)3?9b}sB_RX8u&ocd(Nyus$YcCADFtVq>`H_lsOajxgXkQvi%gj)2xQq
z@pk+KVRKxDyf9c$2#g4xBkz77@Pa)XD0Z&J0|bAv;?nz1K?AuH>B`xpi~J<fVv6uW
zaM=l&)V4eP3ZG-so;xhvi^4p;330wGU@#9mbX^7hG~qGJ7LJ_c=|rDRYiB;jh;~lq
zY6SE@B=YVV?wrm`Ce6}M$J>Gyb39n!+jP|5J{Mtj(hu~tl3+~M5ZsI|2Nr9v^^Q}f
zoFY1#=bWnuuyxF*H>2~aeD&?I4D^z3YJ`O{kYuRdJS4AAKFi`Kobp@x{=w1;98UtB
zX6@X9ts5GBr!P@0S%{50{7NY#=;!{SD?-Pxh=+z71|S@Va~ahx$I|Pzc08badl(gJ
zWxD2HY0-hr;=M@zD(uq;luFmovcrrSiWg-!uQv_PKF$0yU!6WFb#%(OnMK>nMxmvl
zD-v!HFZ##dd<SZ5GM#JAi<#$(){R5Lo9mg5TN6GTU160h?EIGmHA>Df(IfHr-aY~M
zc4!gV3sax^LTn_P@mv$83XL>z{$2?jVh0K^9*GP3j1d%y63*pY1Yrw!ETEc7<sM{H
zP_1#Qc<h@ZzW?%n<C9twyqVw<ra5Qp)DQ1hzn}N7RrF3VE@Kd#0PT;*lHviW;lb}!
ztLYnCg~v7m+e`sVSS^JwM%U$<K$C36<V1Y1)+YUpLEj@4T+uj~9Rnlfw(}MH*!M~z
z(uzWF>fdP>xgq%L#94Eg|83anDLcvc1TdN|2D4)Hv0(4uv!V<o6jvD6L4aRXv^9*X
z84T2VoxB4=<iHQz4P;)7v0$pS;^VWbe58lD@;n^YtX()>9(Xj(#RMWdXNTEH<a<nt
z$inJqk_xD6Erw1X7DdJ9b>5$}U*PqvRHWbzZG|dkCltXL!vv-Iw#T=X<7Z>SnT~De
z&DZ_CeENZQeu`DBCASipzlgLWh|wOvh&WaDu%n1T)tY~I5(PxQ|3iM!<kta7=xlzl
zu8S7r-zloJ%}zS2phog7)-W@`=4qSlwWLBdbg4wzq@}zBbS%>Xf+>V8jd;}XN3Fn9
zw%3p;q)Evix}<h6_O+A>Ht68B+6{UrT>a@d#bobv(~eS_KJU4`XvdS>sPD;@8Fr+c
za&GtX6G3NxiLsl56n7Cm-PHyC8Bs+lt@q|iy@?D)mR2^f<~OB3Nx0N72_xQ{G&B!m
zyHe7Vvu++w)b@}|M7^CJRlv6xmODa<hiT>**R}zFRV;;oie`_!dL!RfOJc4-Qq4ck
z4HLwznK}ZP92VVd6~a`Qx|1Wav8ReL7L}8%iARh5s0r74`32Qs75zgMnVsZT;^~B7
zPvAa}*u(vAajRmRiw$lcix4}ms-EAnv&O2>N4;okdmtc^W)CN;%3wwiEHc!+K)ehn
zLTe^HL16<4KzW>RAf<ZyJjHcIGcCm~d-pnwF5GE7R)EF3K6$I9^cE*Y7AvGXXRz4P
z;`o9ectH53sz5(V0p_g}##$TZ-1lkGoE^`rbr3&vc28g39wA)t16>9*C%TTc42g4v
z0@*1U08q|64W+7&|1@b|6cICpQSynR)k60rt1bWa*+4V%7dLJ(+}P!?hpgW_Hm~8h
zkkER#V%-+>Qz>>nVebhI-i>lWS?!6Rn)8*m{;UrlMw>Gqev+W&=+5bo!J?+tNPGh;
z@hN0-FtJW*JNt~v1pG8Q8~Yh5%_gZ2wUf0T{@DP4e2b`n7RTXB2V7ZF*~sA@h`Bqo
zKcohS>5kz{;gqr=MM5o<L5pK4ZGtwK34hE@Rx0IUtYnGb!URSAwiNcU?<nb&af!nK
zhI3&NzN?+0jBaJhv&rgY8Jhd(wn!{&70faGg28#vkB;!m^T$|G@S!M;jcnR|t@PLs
z?VdSC)G|U9FEtUAqz#t*Pmb!81fP5y#-P!e>4Z;~Rjy1fep`n7Y&^NFTpK<ueVaGC
z65ot0(Wc;~=0S^jpXt~M{p*V}Gp}!5WFNmH?<=Km3iOQ0l;C&DYhvr#fuy*Zn<ezy
z#9nuCs;;sx&#h?Btsvhw$pR!?bEQJAj{#GLS-jZ=oF3am$H<d8g=YI5kfLU<l`~V`
zf9TE=+(7)?obO!wZe}+wAL?tmFOv0?eXC4XN}gXYO9kmh*L#?2TLre^@gUK-y6~wM
z{$kM6F!I&RNtiT9g_Hj11@|YEMDznBvQo3QaFw|s_g!e2U_#WtPlI`F7yhndy{Id<
z?P=-29KoW;6Q}UW4T86fwNU#sxGl&4ad(x8m5+@DR<c@ERet}YmYC}{K4a#lzp(+X
zhcS@C>7)@==mL@}3MGhCp+FzoX3=kJxD$ZGsz15nh$M2MXck;C(`6iXD*j6_Ma0n-
zSyyh$1)+U$INdmEBq~iKwRD5}i976=2uasEsMqrS_ZQX9Lf0+N#tW2+XmN;)Vj|4Y
zGNq3ts}zuBfcewDCjr0^PNj1e<fUMby2bdHssT6ab&V5`kIc6??(wwJGwGy&SWL<P
zy9u`!0HBHs`Uc|PCU1Qj{G3FTljXp`&eOp)GqQd~Q@_d7r)j^7<pMLLrrlv0NZn96
z!%>?8t>7U-vPNVV`Q|1Z<Y=g^qcN|GB&%p_vMq|l#HT{8Ytv%;#It$)g%`Y#fuqN=
z$&BTcIJptWD62AJi-Q}LkvCW15S#+RGl<X43aL(a4YpyeK165JW`EiZFD&*j>(*De
zth#i!xGAhXB6d3yxCB+IAiLg$0qqCMVTAuN_PgbVpx^~WsZ)^6EqO`axF4+5Hy6WI
z+}pAo>a)wsz6rT=J!3ZST|)(yKEwX((LSUyH)gEx+CfDFhZ%2i&6#T5mvu10uj-As
z$4162A*G_VKFZ|iV!|&6nOl4)K2+k-Y|12ugm-44(-EP;D`y0+#`8a?@=GUR0d_-F
zm~I$SdHstTo9CC8Q_taV@yurD0GRNsoyZu||9^JVLidK)cTAGIz>dZSj`AI3aXKj7
z;{XyL_WJ1~;i=d+@qu@X_%s%8pjKC?wwCgGe+@W|8s!B-V=4A&)hjWHT%v5^NuaVw
z9k<bjChL>;f~<u>3eMNnh*&dWf;)GI5m__NQQ%+u&gg@5b(=~ofUh8UfZ3&<=IdgL
z4;+b}Ya@8FM}kg51cm+`z#Yf}s-j@xq!APvO=Wgaoya@_(5X{}>RRX;)47&?5<10J
z$Ig0KuSE6g&DBy+dHdvfJsA41)qz)*xtQvyW%*l`S6geRW9J6`yPCs`RLuQ3?UOYt
z4~;0YSpBvbbjqU4$Wz<%R=_KHDD@d+gbFJ9YU)Z5=)oc+%~g5a)X4G6aPqR$UxBb3
ze$0^SM3|I4_v6gROn}}|IRXX7vuOF}4c<jX+05&3;Yp2jKQ1TFfDP>&4I0^_l(CYa
zB?4DTgQ|}W5z#qj!YH<#g3MWPeF<cPK(wKP!}-CeM}$8*K|2Ciwb7;Sy*5WMxLNhj
z6;`_QuYEmeC~4B{6Yz5`htGiRXfX4*m6g58hv8;F1c*?!0HD5Fno3W^@$prU;A#%`
zG@oVX`vPS4s*Qe93YECO<(}4zCrqeXmYzKB8lEQz?D}nPk<@KngSrstJi-hURohtc
z`D421>rOStV)Ty-cm3ZMoGyVEjH>P}6yS(6dPCI7sU~_6!i^0|bK?hO!=YAX%kY_D
z{Bkmx2$wj}fV=vvff&>Nx!9d%7y$NY<vV^xE~jfcsQj|uxO^qWa@w4&pz(WrjdTbx
z)tB;-eJYa%jiJp5$>W3B?D&!}XC#r1IWCNBK&lJ&)Ni)43-F9u+k_W^g6}$T&-cGa
z^SxB!4ac4_-g8y7j}+rN*`9MP<J_AHr|^C*c5cKT{~&F8*+VLme4nA$rmPRDSV?b$
zx}!sc?!7sA;ZsZ@iV=vAehTs(<{v0KRE=jQT#IN4zzT8-f&dQc#~FB%9y~y*SsL>|
zrE~vWKs!13>)Mq~pw9Odd3&0YGou~ECirlWVlLz-yMVikf*yA=c_`hKZ}Sf^i+9L`
z-^-O`3lRah(_*8CE5K&&`lv=E7)(~_33vGT){^6pZz7mAWG95QaQo-93WFMm?QnCT
zsrd-%cD8Sag>}BG?{!@yn(a9OS{ANDs*9~bg0r2Fq}cX_(hFyL#|zJp?fUs-#ghW#
zc78!S<QkJYRONmB-G60VA8r6rg*nOCwQ|2?=N7?tN{+7KJAVV^f@!A*{3BN<h>i7)
zgkgXID(c^|Y3DHli&bFURTTmBB3@Iu*DVw?W4<-)xih@P)3xOC7%R^wqrS_7qU{lo
zPpTHIf`Bj<y)l*+C*8$bk0?LCdI{D4zln)eC7{UjyM%o)I33Gul|`r<AU@?steZjy
zK!iXj4^L)7Xq`jatqa-TuQd2>hP6e)u5_Z9Wo@Bn@}!Ia*!iBMtvCueZ(CIwykQwg
zMx0mxQTjyhrh-mPN`4|xP%icBVP*j($3n~{!Qa%m$J8bca~ov)z0?Xs8PHchB_5Rk
z1Hj=N{4^t7#f0l=leerZ_Tjn?Ri-`8$|6f36cLi$>hH&x)7If6|MKSfAAvma7<sKC
zwrxmX>Xfx%OK&)Ddb=X{w&ppcwIbdc>jY|;Hs?-#e~^&MKLOvpD8?(E2kWGFEpNQD
z_Ln>t7o>|A+I|}_bDIU;y~gU!oEWl{|Igs3oX#O}n)OQ1TA5IwzU8+)G>na#UIPOz
z@)*6q+n>##lCgc8a{Jj2leD~lK<%lZFbU!}fv6nS90Yc<tq{>H8RxbG(IP_0+W-a?
z3&&N1!0i^vDodJ~4pb0EOF*e|ccsXzt0v5X^2vKRc<i0>A`tZyd{><D=bHiF+j=&s
z7|$(7A=zge1qQ|0J0#Sw5K`nHz7*R<;sA>Eo}R_r1>7I6V_0%}w+xb?ge6<~zzp30
z0axfWG^iuJ>yxvh5b;^N*-lj~>Vaq6@A&}xDVXa-rzA&rs}TLAq><$yFOpwHiHyRd
zi1J=*kNtc5-nISxB)5joLT!j|gYm=W8Og6~8sGuWE(i(Pt=TNjHmrtcl2Tk8E+e;!
zY)0|m^-ca^Wk(qryS2M};Eq#QR$~yV`;)ru8Y6g}CTwT-?|n^s4#B3o{WbK|;dx~}
zVss-@Vew2kUV2>7lhrt)-QX{3CcPQ0)d+O4-(bpu+0FY8sZ}bA<SlJE`KDabQ%0XM
z=4sZ|<<WL;<kJ%DtNI+>M0t4n&9AN36ZQoc9WUw7XhMaX#cxz$zM!)no>(kM%-d}o
zLORnp{P+dHp)k0-m2b!rb-c#qc*o8R;GCb7RNicPCP+ORI4@uUTu5b>93whiu!kD`
zb9(5#ST`YPQkwK8Ut<X>{vUq<8p)w{(=V_3sHvua`eD_U!(}Zqr!%$GKlG1-1`$4>
z-$FJN<emeA^zDK|Tia(Mf_90!+O71LLL1r@TM-X^hIz2b)bM+LzEj<Z(A{0VGn8>~
zn(AVsRCO^rjAQ0ah2cAaog+jtCEnBibi7RyYl#wbyQJjmL9#9^G(fI}lj0)AvK!o(
z_NYY3loU_{=UOzEgEkN|F9->X?yW9!bE~b62|0fN-nwB9yIrur+&N4S1i}T|YU4DQ
z#dNSihyt>)-JL2Mo6=gR<2gf+8{Gn|p+@SYqyQSn?OIJ#8T4C-=DgP>0hq=vUl>kf
ztKz7y{e&WW*p+n1KI>|$+oQFIh4D{bnX=t(eu*!Ds{fml2A|^gHJ_AqZDV0NWZ)Hi
za)`^l7dKRDp(!v0yk4LXevm}sTI)Y=;frFD(8N3VzevediFc6I;aELaShUarJtuH?
zrHfLX(X$eEh7urY4LQM^B@G9CUNyqm#Ur~&|H9v^>ErO>p9zbX?<)e0B`qfC0@T1i
zDBPg{YO%?C`|Sc2y!sjlLbq~~?CtQ0;S*14XAR&a0-o_S*0_@~i7D&U8%Bow^v)8R
zEHMd7;SNB}zTe}qNT^fMw~m~_2d=yE#-p-a3NUgwo|#355WoTL!~E-f$_OrowPB8q
z+Wj9i+X$$bib$n=jAgB;vyQePvCcODRwpWsIa)HVmY$VqxXyRKXOoJRQjT9<dg(a=
zCq|1P4%>(;Izm=0x9zdZJ5CZ<$|V1>kEqs}2YXg6Iy=u%e;AwN?MXUP9()lNiGh()
zkL$jc5rD{d-=kYw5Rxe5?FkH*q;Q-o)*M4Ri%^1!=wY)5)cBMPv#LTZ413{11W*QC
z5GXPH^^6FyUieg9C7sGUTX)te>R>+my*3(2%AaZJAb+Ej6@Bm8T$?D>7tPa76`rca
zg!b~yJCmb208Iz8XITLxte(exq1LXU9P+dZM{%8GAX&suv*+7do`d_JgxM9Xy7fU~
z|K#b{+0#ygX)>ZaU|LKOYX4CAY@ZN8hjS$;qPH+2Ak4TWrUrNWtOO@;qnp-Sbupcw
z-$E?1sy3uxgSQc1>C+rAEb;v9L4?RLlpK0P6PfIyfw2tlT9E33%{IMAo#yOO$uuQk
z$QnEnAlIL@>z0zJ0<@<LP_GT$?T`7#K3{P48Vm>M0mm4C6d%<ZhOxM#=CCFrGc!YU
zh@ANgRXy5@%u%kGX`jMP2bwMWr}uG;1YHKheoU1Pwn$+|is#3;?JuUxP1@CQ-l=Wd
zWqcyuQ64p3I}Z8(Mi9#(n<pn5d{c*J>7>WIQB`ucm|2&?!xXQp`<`!=K=vid$IKZ>
z6MVKB$aa+IE)NsN);w9MD3K0)g~1;T3u&iNf1bFm3G^hU?`gvzyp5i#;j09&VxzYl
zmybHDrMDK;e@TDNXO*JIjiL)phKTGYcPdPRV==?Y2;Oa__kBP=lLKd;)^$;WVoIQT
zidy*+OAfOiNNmA?QRv3(SK+Ytujka8pI8Gc2^`_QdBwQdhRG>4=aEb??k93f4Y4e>
z!oij&L6_CakKoQ4)f@cDSr5Fz(J{%R6T)&b+r6>HOEKGqJ>*+^PsQs0up|cTjG>;b
zcK>{%Ug=l0`X8n~t-GYc+&G7<V$&z0$dRD%SZdUk-4|`adO31@-m4gIlZN36{!Csd
z6l6V%|6Pw>;a|DRYPMAFfAehtP<Jskvb^%U5dIbN1N5@dgmE{0iXSN*i}qEukgKs7
zzAMh}TI~y!?ZiOC?^T4eHz!<<vv9ce@&rFi=B1+RLDK>M_Mr+&A1I|}w&0~yaCUU;
z9kw2VSW-1~*RqFGlSJyVi*2EX<9@1sCE4w*@~v}E$<oxi%g)!%UT104jJ=QwFfgnT
zvpW|S&>*j|MXyX)dS5ab-RS8ioRZWmI?YfcXabo)@T}F)vc)+@?dR5n(;jE0gyHq*
zSdTRK=zf?(+1Dh<q&VuwKhqr9788N*DCrI+ff}26+~EkvGTsG;{%RvbuRENV(lMaU
zBQp6GuFvT1+fZW3R=;=yG4!=Iw40kM+O;E@?NsaVwO)XryLgqZ0gwy=lSiShe+3+w
z5!^bKYdF9!C-3a$Z7G~z?_t`BW!gro=#PF4FPWW*FXtR+cV#p19FZ<LIh6^IVtil$
zkN}*_RHG-JI9=v_+k+;+vk-<cZ@M<hq1LU~p&daH^eTChyLWo#h}~|FBYuk_T<rI3
z3}!eqrB)?_iXnjSsm}<H^rB7f1FXQDn<ePk40<p=6_y!SlhBGn){L^#3#~5qd61gS
zv)}UZ7sj<t&~>kt5tA<;Yj(h)Q%-X<LUIz7-Z!2thF-tFNFtX8!H#mUFs?qW?`E-<
zuF+mM-$C>G(6R4G@hI1uu#PAE*g|laf=lVuNIu6OCY~_wXkD^S=n%4h;xM@uZ5lwp
zc8v9jSDYYXZb;_^*R1J0Q)8Fr#sBjZ8<A4^8yM!=i0CmZ1KAIrPw$xR;Npj-Q?Nf1
z?v$-d=3p2W?F?3WI_Pa5(LQKK4e@tKsWO_+1dF^W7ca5u?$h_eH@=Ug5T?pl8y%$u
zfkjF{s1s-8j1<_(XiX6kz%J?LUcpd{PemexPX4pq!PTk`Ppj^U%gPNa;Pp=7?ak((
zjvlAB-6)98Fc*|Eomth=3m2<(hM05BNOjg4>>~P?LjAHU_y-cE9arK^eI|&@ve5%!
zBV>Xg5$x@tP1<iomiN#l&E`=@U!|&oO)4dE%wPHi09kz$-lSKgxCq_xWHP+oJtOG|
z4ab_7hO0_U#?Nj*E4oEOOOxGl=hsOulXDJYZNbp2G0$EQ)`|m6y(nC$9gIY8w=wJk
z03zJ8$qP7j-Vt~tlmi9Akb7Gm_h#Ql@h?bM&;UP|M2wJbX>6;zBR?a7XrU(G%LvSE
zBIu$xBx}`@!Xsvh+AZA3{z)~Xu55Ak5B+ib8QD{wS2#_mTwom!Rgv6GymH<b(4|_&
z5n~580s$w7yaKl7>f5lVuX-}wUl9|ref8XRp}rB->(0J<B7}c;_IM~GI#a{1?xs7&
zHW51zu2P3VqKX^TeqIkL?(NLwoH_tLklgRZcH7U~+|A_@-6477VG)RlvNE!2m7&NL
zOiz0FW$ShXO2K$o27P|Oz-LKI!;O^66Xwu%&YB#9Ik{~oD2hSagsVgYs#h774=acM
zA~sfqgaK6{4?jj}oA#>w&PwWCNE?b4(Joz8_Q&l1;UMZeOH)tNcBYmbO#aHr9+T>;
zpsNdeIL+yq*GULKVGoiXSz<0=@?NET-&C(@)W+4J`QLuvr=}2w?6l@mow%YElW)2w
z${+_Ml$5or!TjkrGRv3pT2S!>Tfy+ZE))IZ)BAyuv!Q)hfa8O*@9|0SEYJq^=BUrI
zro5B*>ccjUPX@~cJ5)qZfZY5QW}a@-Sh}K$1~GHHfzvE?<9t`Sav5dWb=t8Dzh4-1
zQ+HXXu3)#a457k=ba8-W8plrpjaElGp3GA@lTC@W=n#W6@VZ;AZruAc8v0mU4K1Ef
z`4_Uym)j#tHI(6UnbyDMtC+VTnF^Xo^%FDSe^;Vx^0^`}7QbsB7t24RM`UG|w)>Tj
zDedH`k@xR!NPSaAMg!gbQ%%<{b&<sa0sPfWG1#CzfXvh>ysl<}N9hj!*mG=wqN*J}
zg8DTQzr*=+0%6{+i13p$x9PcbnYYB>-f%IphVZ_%ova6SjS?nWiHA5v2wR#8@;Zot
zpw%o20olvt@-Y_j0ESEEKPQDpUP<=f<Hlbbb|r2OH{+dhH?FK7>&$q6#IP+@E~#M5
zQHw`A_jdec<8*HA8ZGc{h$?F`NJYRlpZ;s~Ury904oVy9Eh&<8z1M*ttUsqQg;U3!
zr)e<D%7M;eP35IJ!csSEky`HPqT+6b&$s&6e?z|s3BT1q0j^$;i}cLPB))dB*sahM
zEGqS%b?9OCEo3M#Q9CN}C_CXz4<@0O`3&X-_%>%gjw2?Gj`soo8o1_xjF8DDwM8~t
zy>wnSmI3ron{DVv{L~#6=rzmOcw-@sR)k0oN|URNzHEnS+K^y|O}R<`vUdb&o3aFR
z<2#7b^+u(r1Ad1ug~^sJv<p(;R2;b#VRN;`ve9f_GKMy04CE*~)hKDQ$+6EO;DKo7
zHs7%PF2T@C8SBtWtYbYEnVJB~#0-aRB`8`OrBow*v=V$c!_XdkUx@INiAe4+En!uP
zr{5gFlf6P9smzk<kM%fw`#6ED9Jr00A4VfMdu1!&_Cb}+RL&*Pf+?h-)_J3xX_&gw
zTIpF%@2wTgZRzp}q+#?=9*1#nuUG6)l}IQyxdns&Jsq76eDS@ml)F334`vMC)lqm3
z=|3`xbCw5xRtoGtuTZyv+bS5e`Sk@^485j<Cg2rZUnP|$ZyC@|Upyk>1>G-PGU&^6
zo8u#?yGo;XM2Fw_K=$O0vBKm!-5gHjSU?UVq5df9bdTRX=Ne9n_E7ole<cBT0;>o!
zl`DRhvu#y+vl9#jdmA0G5#zQTU;pH=7o1%sl|*F&_bW5ht)FCmkqCdWpB3CD-yaR|
z#;(Aa>F-zcidm}jS3so3C+xNQY7)#Cb>_FGuR2!-`_mjsKP~y5#B}9QZ2T!p9!W=u
znw8P$!Bn28lb=G>*1;<6xcZK7XlJfbTcen5*|a@bnnr&yY6j=_8iuFhDZ9Mr?Ux~{
z(bW%7(kY?Xqvc`106ovWC8@T95cucf74S{uPuOM^zGTb#ysww^^i9(aZ)=hW0nv=+
z0nALze2==45lzuXBEYWGtL4cWqhLYgomSg#`dJ8Sj1muH4mo%ys&!-+P+Ev(l+NNW
z2jBDi+=*=1aIsQ8eiWby;cfP2^+`9lrKIGi@b+3F6Gwnx3&-ZPlnK`>A&l-mCmf-L
z47V-<Vus3k{v$393?$E4@Efs#T&F|9#hNeVHPpw`tEpnpKc<>(1-GG-00g8-1F_8;
z7A`9Z>@jL}{tU?G^}hO7nasnzsXy`1hrG7t8To+`lb;P)LZ;G-$z0Y@QETVUD4B0#
zb+m{LQ$qc}QWX-iLQ=vX{KA3)UY#EI%khsYnXQf!4q1bc(7j2IpNlgZn~8WR5+^8&
zi{R~K*V2a}&{q&pXQay>=-O2haX|<|^K$*jJ9wBbizBmcNyzq4u|<A;6pkJ9lM5rf
zKF-+3sq*8~+373?9mG1}%hJ$a%muJSp~?$<ACCx0svnpET!=(*WCJ_Me5b5k+jiT+
z9eoyTzYVNJ8od{sy%BKSj@^$Ui@lUp%D|W;6M-!0H=HhBBe8xn3~L^X<arj&hxi)c
zDI*4RcH2jZpBXx=7AP+Wa5dpzyZvFiZt(nadc;tuT&Om^#C7TFax?W$+`|KhO5KI!
zn8=+yNsfjYXE4s<4wB37sbYmR92(T+Sk^t*aXo-{3Xm=B88BO}i)+}Wuj)A*w>j-_
zQPz9bUQ);USK`j}=;b^BV?*X=&}ePM>{q~lU2~T7Gu@nb4vgSku!^gSLlMUxl?kPD
z%wU~j6?AHpT(@pq`+xwVs%s{LCXWo1Al0Iy0O0yfo^5B?AZzn^;1PN?nTkL|7AL)a
zgxPd|dBI1Cn{a1S|MSCY)UOI_Z33TPo(jKZ(2wu~z7LdAbLg+)WIu}%M&0b4&sD;#
zJicmv!!6_`V2XIwy!luoM4@*jo4~_IZ^cGJ$c4*5b(6-32femT|GUXn!~0O1;4ge<
z=c`R{>P4c@@{}S?xR;QieJG&fNP+<Kjdz7uRM#zDcE2CN#X#;cg}YQDIxH0{Hse`L
zb8)jN%}h4IKW6u<XSP~QF+-PwuvOc$!guJ&dHC&~RAOK=$x+;XDd3H!b?uAv@6Qy;
zcfdOk*Q%<5^erO5&NxZcIp}5OCAbdLl3p1$--D;moz73Ld6I`)=YKlBgIY-(<0wA>
z6cRB}sXpCSi&WB&Mgw#Fqbzg=FzS`NU57~z6Hx36*%j2G`iEI<e#B~r6N^)1Lu&C!
z_&LgT^3(EKbdX1ER82lvV9RHb$b)}K`cqyYd^`~{Evq>3ixlb-3!3|MyVW*<{2O2n
z(M_7NsG33rzf(yKr>GLSmr-Z57$WkZD^~}U+4psc+`=1)YqE9MSxy5@a#GL(6iZBt
zlNiVhn)1yQ-kHM}dg1j6A{(fpoIC!72vfl!bNV0hiTL<aGxrV8lvJA#HqDjgX{Lfx
z3=<`1ncmA#@(6>Fd+yAUuLGC3pvSz6jyZ;PbV)@($rTAUjQr|eLj+qc0^UpC{Ruq>
z^*u27M%6_euH02{x^JpR_D$fXvI^XAGSnKM+O9;xJcL)4h;Rv^%tgp_X$&#o@aEZ6
zifY%O^mP{}f}$Lz_Up_?fjxRL6PcSW9TbbiN)`a8>w1P|&#y3NJy1^dmKK)l^j=m9
zZ$RWK6EswkYYC|o+Px9JbomY~3vcL9(!*ZfbvN1X!x#u7)`hjF&=)s>GH9J6ugAga
zah^j^jPN2lP?X`S%$NnJ5fC&_((Pr(NeEkx>+<_D0|C;KtbAsV5L0mCB7cA`XQRM(
z0aGRyHh$WbMbrohM`MgOgm4O6;QiwTc1VY*QZQ}fK$cGyRlZL8EzK!Pp#Alv@(c9W
zz|E7Ab>v9CbDl53aK>oNGTi2H?N#MR$mMVWq)p}N6m4~Czs3NF1@CFtz48|m0bTiF
z^HaKAV&^+mSDTIBku#guOntXB=1$}ek9xIoV7#3CoD9`!W)h#KsIT<g#!f+~gSfe-
z+m{!pG;o<NrflR1HLlc$;utj8C2<kA#g`Qq+vTsj4YOKlY_VCJYvf}aVOM=!qZ*CX
zU%0AGkdp;Y2ooi~Nhy4ZxbCx&R0mL2G#3s==!YWhw|4sXg|Iq7qy&)EQGtDfHH_(H
zAl+cJ`-VLMy|0hI8dDOQt6IHk1695a=`<@}-;b;stpJIc*3P!DNDtUveE46vze80X
zRUs&=utq=a*vxY@AOZOQL~FG`BF)7;L9)h=b?EHukE3D(+X5>9FZm>fo6*wIDEJ)(
znia(A{l6s3p_A}W=@LAj?dNU9{kCI4ALOpXg3w+{kew@ieImyHXaUK+FjR`L_cN5c
zzP~oup{!dWcB!A|BCshob{Zep-`coH|J;y&BYKPl7i3cJX11k-*|~ga097dl&pA`;
zCLVzsZ=j>nwnSn@*8Zz{!1q>A8dIoF;r%3Ir`ZF9+~pxr<GNQ94#0iol-fDCSM^+t
zzG=P4s-)!~@;qU<2NM{!2KlPyGj7ocWGU*T4Naj=g@^cY8MSEl0zUT8xepU9gR)gF
zjKFBKDMP&J<2bghCK5@I`%TW~$SmaPKaUlNy{FObXO!dct2t&qF~?ZNchi>0$|)ul
z_}a!XS7>ssU87b19_#I`_@0vFpmt5TzBbI#l~cRj>kUj(ali%LJNth4n$#q_r=sW^
z%V|SC*3bhIhWs`t|KX+^ZM^L!6QaZ!e}%~P(~R6sc~Pz&b&mtt&y9g@slxnNpYcu9
z5R(iKDC@5fX;-q;0tr#|AG#TlOC(^(vKI4I13V0+tzvHaoEt!lBCffO;i9w?yd_ml
z9M<QO#zn0@=b&{dv2!UkdJsA!6z6+b$c2aOfV+O78S-#dy(cnqGhB(L^Xpa6tbGRF
zD@1K$ba0$qVh{I`fW*D$E*u7jg%*bzp?73G4s^1CP2I|B_8XlW5h?w`e8*EE;JAY>
zI8zIhH6u8S_Xz~`nTE#3y98UFXOzZ$H;Zd;=U1v0iwG@CGzBHlG6QcbTJ3RL%5ez<
zbT8@5JL$ryjxMD~5C_^k(4aUg`lL}G4k5NRWa8z~2&dN(DU+p)iYo-eZ?%|dzD*Ru
zy&`5t*Hr2_gK%-L3O3Mhr{CiND8_+P)x>~cgi%H1hgt$%Wlz%O5|7a{*`>!P=^1q~
z{ZA}foJpwR=G&gxGDFg{V;bxy>od<8u1$(8On_)xay)(!d(?*UZG6WU8kZrC@tzTf
z$f!<Mzfo?BsKAgHj1O;!z?4i*5lFfI3wPW@&NXem6q_MANXLkl<2@ydS?N4nK0qsR
zQ%LSd1<&;lX6=&F*6Bq|t3b(*KfD;YIaLmV+bwbUd1P3eLaeiB#)z(o|8>!mt0?&K
zBG3_ZuFm7mciWH?^ffKFT5<+2nW;x(a9N*!TS?NJKd5wik1*Ap$TanZTDZ|;+s(KF
zLTxDn<)eTX?zM@y7U@&`KovMCSr*{#gNB-i-rsebf)jj5+>}erU9_VrX}ZSMVi^sh
zb{j&I9Fs4g*HWJGhDhu7&f1Jupy$q1^z~NM>j`q1+$GPPBJ&KC8WeMCDP>LYQ7{d?
z(E|L3VQBOI?r8zw2Fi-Bc)rH;7WxBEmHCOpDzFE8g%iFYiB0_w{DymTUSU4Eeacsg
zU%3+<^LGDV*gg!M?aRVGJ9+>c`9Q|rktV4$A$b5uTCJHUKhdjz6MQf~2tJsY%`ikD
zkmPvjGeISipl(ecSrWyvViuki<SrYaZ2)uz$V%s&(VWMTym3}6{mT&FcGR-0pxfB}
zMxDxYYlw>i{Z2vYl+{jKItethXZxs;{xKcta~&V>@34f@oGsgGxRWF-%*aOhp(&CT
z>G8(PM)b&fc|*{Y*iU5X7KZuTl`%JvwaSUL|Ernz30hJ(+su}J;*=HI*zGdx9VkmD
zGgIkAo=p9U=>ZlP7pBo+ODkRkI(3okEy2KfxJ2I=h?EPlvEv@5RQT+<E@f&$!Z#a!
zT0nVOzuvk68E>q<MoVkeU_N(SCwgRpHHi$vAqj^rs}|z%R9^-kVZ5xGdwCXdi`l?Q
z3|>>62vIB*KIEHcJhn3-EB*`Q>^X)gkZ>zHneKJPZv~Y`IdqY_c$rDsRTzRssH{a4
zJ`3T$wPx@=NbKOh=xBBVJ(TA$<fHE?6rSwGSvu9Q%O^WI%c$I(>oY55XN%?thsH8T
zfB{Qq4x)!>F6C6`cCDX&w97UZt&RSUI;F-U5Agx7;z-@+(|~gZmPxsjx4D<v3()-I
zK;_q&Xb<zO|0;}J12AOxyQX!v&~)ZiG6AN=zv;3AH}8mP?bO=3w<+|yzskD@0BzE&
z6bV6|9|UZGN|ma9R2O0lle7vjWTNhn#j~*T8zXf8oi$f*m{s>z+Ra_v_<3lMvK2#z
z!D2YdhO#m?L}Qq>aHeWR4O;L1V`zbjsGs*V?ljsq<_Isn_wWWxixYp_Z*#)IM5^J6
zhu(PL3(Hz_|Bh);yLAI&*34z5qqs?}?RT%kr=nc=Z<rMpVf{O~%bZThD`A9t!#8K4
zakpSH&;S6NI1olQ7KEk2*3wXtoDCk0!D{3iw?B2-LW+KW+Nl<ToSa=`<nno3XX3W8
z+%OC82Q6O^U6tMQ_3)h-^T$t<l(M%gM+15b&jLhSOqX%^rz33v=rlemzZB18#$Os`
zp4(0s)OVyRw|4#&|6<w^0O;8J3~l7tnW@brJo@@)SIQa|%ui!OPWII#KS<0+YSFcc
z9y6cAitH`?nJV|!L>?Y!3|J{6EgdQ%zNQf)-^aR+F&EA$%YfN-98>4ov_BoISXW$U
zgrxOAbc}xF8#c034}q)CL*;WKb{+igcThDV<9pi4K2RmBqE5u~yf*Fi8G_d-LPxjh
z(v@FN>5gBy7>08x$55LHKLnsBUTi>E{aN5g_WEm=a2s*n2O@PajalKY3H2#Wb_f{f
z?Vm?8$JofsS73>RHhy6XV7ydcYOg~*?hMdkVs`01+?o)fBg-?LImTt`fJ>bddbJz{
z$QzLY_VeC4Z+FlO$_sP*5H3PM7Dl=2p;>0$?b`5a##^ErB3ziypOzjxt8CG?jw=FO
zvhfFUNRKQKm#-N4ADwKCTrp!P5-UP4%jDD`Hi`2+nN8b_p4c(+WV?hTy4Bx%zl$Jt
z(j{=#^6^*Oz6_yXNk&}&v>A$(&%af4eyRy{8g4WJh}L{{YGX~TQmwwyq*p8JE0B)$
zEt(%{vLdG6X4-d`H1ZADbzKA9DTXsrc%;6QL7C4h967yDrmPW410pk1%-MsIvUR3H
zjNX1ueHobY>eV~prKIMSg6^jPcxO>9;&*zz-r-Ss^2Ww_Js?fTDY)eSpiwz~f*2N+
zZjf4XIklU_@x*|_BnSYTkR#kkXBuf7+-sA)s9u=LM-kBlcYJ_W0ID5bH)}P|WrU7k
zGpk)e2g?Q_H*lon4e8S4e);>FVg7y8cFhG*j-~$g1US`;ee%wui3VA)l}pIl^8M*N
zOT0KyH6gNn80y?A*bg5Win4Zv(SexLL15ubEIRjA3z#MRy}-t#NDT6DgoV2Gl6nKe
zxUfYc4li^CNON2+S+Rn-KxdZq0yjBj#zU@-O?J2MSl1p2CYwCY+DtG&L=nTp&z^1`
zIP}PV-@ksaO#=b!W4QYQC%!KDniAwo!H@Y!Ky4P^*FhG8V>?yYx-?)4egO>r$J=<g
zMNEg&tKRR4)vdNz|2<zBh0z00LRl`QhY^@t-=Gd{NqPvx714r8oZ8Ekv8I7OHOFyf
zD`<$IBQ|upk+^b47VUl5M$z2)GUINJeDN}z;OAfAeC*(ie8lER7XyFGQU7yo;ZPt3
zeIS2$8Z9k?kdJ0cP6W>O3YpLOycSsvYqcEh@Qv7+jaW^kK<9G|MrG&f1fN$W9sv%z
zT~I?JPg8qVQJui<jktvmemd*mQP2IqpKOlV6q?9pm*xgX%1@`ol?!M)PS0a1^~_jA
zJ#k^#z0EP})y(8LVGeY_0oltWd|ID^v4yb~T2<)$8%kPVd<eb4#3pV;Xx5aIU0HEp
zPTNTqGy^Clj`bkU)mA>jN4v!lP=qZg=s$%hN$4~RIa-{0j{tjOx&@h+1$rB(gcV*P
ziRow_u8!*1lT7^S>ED1$k(gE*hFp~KS%t!*qJO-XDEU7q7d=rAaaA7x>Ox95uwC^q
zT5s>|E814&VFhNa%?w*7=vOSE$ci%0Ci_2NNEhhsKVb@+<5fwLMf|a2<fRC9j!i4j
zgEx)G?*Agl5eXAjj5VEnkgHZbh0MvRojAS$+ptPBDaulmEnkl(csCZPB{X%A3l!oB
z06r<I9#NiqIt{1hZx=i417g*rvy!EjU#n%!2029vk}Dy6_mgCjb3_?c1Xp8OTu<8x
zfL;&YI$Bj%5Y>#o5?BVl__Mb4H2iMBQ^F_sr->dAqoKgGulLrfZ(FeYrqtkhLVG+c
zW;J9K{!It}Rb<!#$1QyZr`1I1tRck<(O9V1SPr@o!Phjp*<$NN_q1bj&4Io6zKa~_
zsquT)52z2TLO9?muO-e)IrB{rQo&y=*J5hUD<x=mkjF<@QcH?!bens7*VXVsef3~Z
z8PzJD_bZ3OOT3LwCbEwQBi1TCf<ar)^;=iuSMMMi5xAH6WFRc-!5t<NKiaW5DYs;9
zj)n5vwBI-QdbF0T{T#^uB`PKULixejS$5g|3jGYvr8Ogen=-5ULhReY7nJLx42bbv
zCFD_I<MZH%2M3lqV}vWlG-^$+LjDM#*!Z(F3q!Y5G>md}^(m<@paIT6S+>>uiUx-P
z@sU5Ps_V+~A7!Ocl}yqq^#oKQ8ZW;fmEjP|-`^>G4>JdWhWJh#T(i{Vf+NR-ka6Tz
zOJ0}i@kDgQ6DIc3``~^bt5|$L>1<Mc?_W+%pf%ia6^P&UI!zMlYr-hj(>9irMk^oh
z@nn0I1m}6B-J=ZM*}e~-u!#y1d!zbRzOT`Ezj`qeB>4@bJ_m?I!@K($06>x%G1A5J
zD_x{k!GNFv@GpA{0LtRP**elkTcVo1p73ckRZFc97;I54j|5^ITrV!SrnLS_qpp4D
zHO9ijh2ix&qXjyq>p6?<Q9j8RI$fXnenva#wNoKYJO)@oLf5wr*Oqs7;u)g`2v3!g
zsv}T{81_?8d6DN$x{=C=8vp9yAF8K$<vSy^B@o+c(^%d8KY~z}WMi9bX*0Kq?}0i0
zhH|fu7teVUmw=U7Q-#Nl4*;im!&SkWxaw7>zY_Rv6PXJL(h}<`>{`J-?san>D^-|Z
zX5>gW>i%{VG}>$hSFYm|*d4schY<YDuvAB6W|R(2p(z5FIEJ-zj9Z~(JyTffZ1QO%
zO$T_^PaS(jx)RUb|4Gba?1i)zzw5o&-OD;lrL6KO1s?jQW^NR&z_cde|0`DyX2ROn
z<VekL?A+3?UIFcns64kBcs;1{S*eRdM2hj%LH`#CWwQFe6%BOb%Kv$34*Kv+oLVVC
zinzC(+zxKOf-4)_Fb{3l^fZsMBG*juc_7N3*XH&(Y4Y|>K&@}&Ugr!mH?z-JgNNXM
zqH;(iK#rBQffgC`VJ5Lz<Dl@r#Oa_OTL^1K#a~894n}bpO?&{4qzj^z8t_HjMJD<2
zJv6%r5Kve)xlC(6oP)ri?aFsraswAP#vicGa{DI88##f)CzD7JhmjEK19g`K5Duq=
zON~*ObK_u~@qu-7sZ<%~_>G>j4#QX|qF{eDOkU%Ba7s;tCgAvOgO4&DR4d2q-SS~N
zq!1)JCcSy<!@)9bQy7_v7F)^QA%qisqw`_DKa_|ZAP(rE(&d(2y+m@JFcLr89}7PN
zVRb&~v1B@YOYWu?4ShQx(j){F7tUAk7tdz&rtu}~L#7M7pWWk`(=V$SHu9D@BC$^F
zActMQao0wF;tjrKMU@1m+Mj~;Hp~ppy2Va2-}|-6PX9WJAq9J@Q%q)b39v9S(Z|u;
zjyE?nu~gDG+h7D(yVm6M9;`PIRsE#3SBBjmx};yaWr0dirl&gBFQ7E9B*}kvfIx2K
z7rNfi%zvdGQMC;NvT$7{P}`Y<!qY^Fj>_|NoRe?%LlSTY*5<%1xIGgN9S$>T`N_WT
z+pW>ld9mxC9;-97XaVXDsL5{J{0BXIWGc)Wfn7rG#1f*uZPWA$@g2lwlY5l4%!;{i
z+))i|`wkD7EEt`rfQ@rI>-&4&c1NwgLO&~w<eSM+16Ysn?T1J@S@W5YdYc0b``fwI
z9j;1G0SQwBvfD<DPIt3Uht0W^2S+GK57fG}51%&m$LJQ`%PW`eHcP=VmVI>*-~+4m
z`Vi8hr1{Ha;5`dLYS3GL_gN56LZ7i%m(Ocyx&(G@q|myz`qLQ0*o*PC$g(+F;Herg
zqiaxWtFuBlIAC;Seu+7&Cl<pn^aY9ovaNV`R#`zPvh3!~8!QnMy#T<02JJbwm&l5Q
zUM9gTY?W+3jsY}I#g39MEJ<B&=o39I3(q*vRN|T6?R=fRzGuB})$uX*J}WE~MS2Ap
z&l~$AdtB806)we<3vu@lRBMVfG!9s`LvS%JqXEZ8AHuQ<&=+^$sQ+(l>5iL`LL>O3
z#9Lz7RXatMTnjrO#@44c)k<?_rJNdr&M6zni)KgCWU+d4p8Dp7zlKKXh&!A!vP0^<
zLS^vF%Ud>yKO{a}F1!+cKJ<AeRn$6dJ$x^?64=EkNo(9<gbhA`iFFRy#SdHSeP?EM
z@wk%)o+J%hOp3pq#n844UeE0fVqb$d<G)iA$bdQpK`<F`GIcn1iEr5Q$Lfl;^)Cu0
z<f<^ORS93#-ZwvX4t|n2A_4H5Dl*2zAQ#&X%#sYs(;q^3k9x<7S|x{z&w{yTJmB@h
zNCe90EYZ`3y@n8Z4^Fe2eHkE$Kh1KRlS8r$jjmu)KOk-Z^isU5KO%pn#ap>OSjv=P
zuj!pZ+(n}&t{!cO;|#@M3GQn2KO;eDU=kL~YiX%I)aN{4`Q;j)U(fe^LRI;W|9(+I
z+4p6F*b267iKs4s*jUr-J=UO1LG~16D{!)ZsVE1lrP1dLp%H%_uBb(1vF^oh+B0q=
zg~#X~!>2~+N%MuFUKP6X-3E44npj32FUwG=WaS@;ux62rfHHpr%4XYHRS6<`>2$^s
zT69q;?^}1sZ2`&<7LK1-%9`D>k@904$2xC^tm<i((|~;m4$0zx!a1Fsm&=~&X_v%b
zf0R2aZjUCeYsXHiT$ed~f1925vEtXh{#k_vePk;>IE@88N1b*pl*GsLb#SUJv?zzw
zfkNGXLj6MCuVdchSuj-g4;1K~xQ!K5<CX<t4_2RxOAf3K^2d3f(VGkaC!odmXv?)@
zNy?CS9Uh|wahYdc*sBD;!O8^~z6#;z&+{_sBFqgvzOE(bSH@UMjnpgs^VHJE&YemP
z<#Xn{gz|ci`~YY03qYgcAD(*qD;#`&Mfky_=f47u^n`d?Rw)`M%eH-!P$UmPkU2~y
z7VlM7;GqHJ^0DiTYcvIR*O8id!+$=6h1XI;hXQ9B*HD+-f|`wt3>Gc;j(ugh`z#fJ
zn1x*>=c!{iPz&s1==DDLO-n*fnD)abacNYIizu#fob^<a;?pY)+4KZMSgm&X*?-IM
z7mzueH|bW<j+TM@ghEc%Qfe%`baLq7`pic4a`GXGW`Jt+ammGa2OzY9Is7j)fhJOc
zeRE<95m7aEXH~zj(L%D2&UBt}mbxun@_I%zfKGSh=vp6={`}Gfee8(~R(3)<-xHAy
zM*z9qc{j<ipMVu@WxWRlUl{#U*D)lkJ;C_MmKj%@y*ATSMg7kEV=iy9u>eL_3E|o=
zGuarU(PI5p_oA^d5o$YS9D|Gd`iG9MCGCjh1!CzQNT=7D84?b=4q}9P%ByLovB|ui
zuX?SCWBg6)yb=aQJ6hi;0=f+{1nThH1~ZT`+d>Zwm<|e?HW5Py&Po=p4#mN%g_JyI
zssxGWhnR&43i6(~dUfX7j0|RncDOr!xsl-j5Oh)MT?NziTZMzu)lOsy4MiQCV4-kR
z!`n9jr`7o6K&G@|9y<MLzH(%>r~`0_L80bUO(uK@N|aSH+H_?3(f8Fepzqn7v5TSC
zeuZl4E_z3fE6m1l9G2g-*C=*)8Lm{Jhz$wEPH6^}pvU1<-B4sBYft3>WGX)v2t9QC
zfo<pKtY1@1$;-RHG}oV31M9_$`|GS%kXLctrDEQYDY`%IGXOJf_SQvuMYCDOC3-G9
z>vX!uqCx0&V5GHLc%ABSptuyLh^fJ896f9pBkwUYBw)fT)el3u%w0M9MKrTUFM>gp
zSzgA7(4uOgq@w$e-e_(J6Dk`HFVGwRFA`~Nrip8z0Fxb-WZyp!sd_$78KWA2&}JWa
zyc&g{xZVPnL#Q?aWqOK6l2`kax@3x4N9{_TqzvlT4dRLV&`UlU&OtS$kB3;h1A_KX
z0bE8M{hba-qQNq=ospXl4NY|A!MZY>dCzqq6yb>_Kor6Ul0)wrgD<p+V*Gkehw^Fq
zu~;_gJr47xf1t-6y<|;f(5szuhTs%P--8{0qr8gcRCI6q{$!eiUBya2opTHH^R>@-
z7ik~9wqyq;o+7S9;?=e)8}Txgf{X)!wh|XGEd4bsd=@q6!Ke4Q=B>=x^wpi?wm$=D
zFJ;o&<ifW&*}3U(<%fz1d^{`?+BJu^hE7YrPw-4CBO6V!h0^MNB-PVE0RPI`hyb+=
zf$)SOH-iY$t<C<}T|Sn_`|5#_C6HxD*E#-3@EZDYxdLdXu__{#yIdF-YP=-DCEYB`
zZV?H9NfCr(JGHOz*ybs@!6q`mbM^Ho)2Z*)H>%U1Q0ECNQl78Scx(qLzjA@|UhVqR
z8@!F0beV3ZO70ZTnO{5Wgd1|dq_YWk`@h>HpVErqDEHN`WdcL){(vBsj1WEReBfJ<
zH)4C5JK<X%EQQ&<?1rAyZF*MpS5{)}n)C!|(ZOgpp6Dxm)bJY46%n2y;hh!n(Xzys
z1nC5cXyWv%*@Q&HS67VM^f-xIe(!`lZ8lJU#2_w+cc2In%$DE=aa#k4KfOmtwIQBL
zM$pdpWzZ;c+5#V_l(NXDxB6+D;0JbdBm}=Urj3V};I{R#BW~0=NP`ycz{HJ_Kf}~m
z(QQ>J453u0sDTN*QZpx>8}_MA_PEK>XZwrtRDjr`+#|0}1ATPA+>0~VCRD7f)#ixg
zovwy*Dr8DLkv9X^s_eIh#1cuWg;v4HI_BPQ--JUVwuvy(FKY2kUxX}4kY*RVR%0gn
z`buM1-HCLVSVunI*D~4$D5lGQ##zFm<cao${p{|Y0zK2>j?I+P2wrklF^qjOkFQ5~
z5QRGa__mGlY#`k3)XXjgN*T^#Xr0c4C*)Q>Rpg(P{Qp3uDRDaU$RD7Lj>Z2ejhQL<
z=f;Oo+yPl2rr+}XeM)`%WXuB;N-y7k28JZpg&x0C+pDCKJ^u+c711V~Tty;?0tR^o
z?6u-jnv#p=aD8->zc;}Gl&lVA)KKo;&a|uR-MxqKOeNW&AQt8C%9uI3F0N*#G@jJe
z1}Mj!6NJ~RM7?Yp+v-5N@Hx%*zpv<zIP-BHF0A)dwz4<eBbfS$^{WZ;Etxk+OA(ht
zdL6w-ecEWPfY^P^?pqa7LwO{qbXMI@Iv~ipinhCg9AQ|xb@o`a3JUd@_g&|C)<aBD
zm$zfALvmWH!#7fa*9fqfXV2-cnG*enPWOEjbqNm=1gJBs1Z$(#x6h9KU(KglSQ?Kf
z{){-xxmw)am>UP;daK$S6)@$eiN>?5g_IBpD({dJ={rZv;j{@zp#+e4;@hG79>9F|
z7($yjXa$ayd3O7HvDNr|xQ(?!&ASCqrm_fH|Hu~~v3$UgS;0L|v5B#oD~(?0V^Hb%
z_IWii88EDBGLtzd+ZO^|L<0MJ(EGodAO%*)j0C?Y9)4~ONa^s`-#IiPO}%BSwxvDr
zP2*dYLw(7xHaT?|2L!v%@L^cM{c205>3&KxH-e*SM~GWRkz`cR9Fv4=JR{cBj_20C
z?Ks}8&b3<zC2kdiLi8-Zto$dL)P6^z$qXvi;dEDsi{-|BP`w9uUWEt2QdKC2%!#Ql
z6Cbs24XzdE4@Tg9s;X{h&=*$E)k9GbS^$CL{J4G;0sYRKA_bCJz~gq;6g8Q5tIOwz
zgHMrK?!b)F06%xDqEA;>VrRheyJZ6;8kq_=igvxz(G6GCGy*}=`3n%)!XX1$o%Ajh
zqpp?z#=%LpT3nE-db~zykNdd;=iOdO05DKWvy+rs?D|Vz-tNxcBIl1t*1MwiSVf!>
zf^r_^`}z=6k!E%8h%0^qJuCGKX5?P6B~~lWSvSr~+vM!&6(Lv?=>wrC5X>OrwZw-b
z3SOd1+sg0U0Az6n;JWXTOpvf&fdpAFO{f||XdjY}*+h%iBCt{z7smbqS8)L}pE36N
zVu4?T2c^1>Bu^(+l|faU%M1)=CqW%V_bZ)2S30M;0^{6`gg^M_-Bh0><nX3*j8{$9
zceP=L{7i)<75XKhE5tMM5#J5#{_q0ze^J1_(x9ZmZWO*?sHKce*0{4&=yfWBSB09%
zT1T9*sYo=;?<HvK!Q%tI@Fsp2hgt0ceyTGk9dIW>h=i;YRxs^-F|c>}x~pvct|PiU
za~H~&7g_+a{6c`4m+D~7p~fCxzc&vzV}J_Ow|YX={sVUup{?NT5={C9WV+0vj6DL_
z$1EFXdJacRMhvPiy`7r4W`9Lp=a`f_3@}gKkjKe@6$b>and2$c)<>8KRvgGq_Ov>E
zz%afUSnAKNe^CBbLrJBIS^mYAx&)n_Db|Lksz&<}+Dbbxc#16Op#R#LFlmW#-?NO;
z0h?a85Bo4m|Lk#8M_~e!ZqR$Y69EVUGK3;GFsRPqgIKiG^F@pt!z+am=ORRKgJAC5
zRy*k%b<&=LUSM@%1~luARMU*7R2b#xm_*l$g6bH#LeeZ3L<bE&4;fIJ&zQ(fOgR70
zP+}*z$y5*4((^67H5chKR!?D3d0O`a-vXs9qtFk84h$Ig+v*VFDrgEOd**p3Vpe3+
z^B#0Vx9jskHlNaVu@+h8ko`@+iVoB~eimJVSFN>e6*oD#r0Obxz>!=@gR*xf>a7mW
zUTmVK)GvR?Bk7ILC|6Sv6X!RvQH5?Y|5dliwK*8Mn+c(#%uUX*5krCqS2yb*RV<BL
z-m6u#iooI?;R?h#M(piKWf;)^hQD=>!Ltyk)1Ck*q^iY3vvp-9weoMjWkR}Q20fNl
zv6Vk>>o1x_Z*8eNMZL#(Bb<bHKc~%*R*<ER{-xuUaeP$Y%|1Q&NJ`?|VgpYn#Y!@V
zQZN<4qUf(uRXB&ChWb|E=3i0=eBnwVj{{G?tz9vDRh-SYrct2^1SvB$`lh@G=+lA>
zDuynXz$U#mATltuL{n6A`JSpPoUqEhSu#8-jMM0@XC0ZeKy@t{cuMf<rxa3&cx|Z1
zEvCyCKofjXW?371oCPE^!#P9w7>szeE~;O18y!;znc`||tQ(2p90TNZ_4kO>pCum6
zInGK1^xEy5iF!+1eMbbPVCh2QVyskj!EBni+10sTv#WFzhST~O#FNcYc^q$65DZQ>
zVQ6sD-{)UM3oWqq+yv0{nLL~<7~F`<Z*>ye8tTU*Mq(Do3wB$tp&g)x7EkG?5Z!dG
zcpYq;ac=l^*EO<l@fwh}Ie?IXaYtW_EMMK>iMRp_h*j>*=Mc!GTg~Ut4WQ633>Osj
zXaIp)^HbnUI=weB%8k>giR?~WHrS3lqpKo5j(3!z(d_TcZ9x5Vis2KZZymNHeM|(1
zMhW;@l`Qn-KdjL%C_!``H9n}+=D!(Oe}@p~#)TK7W*?LQ65~E<nqpoTB?0<+Nk`nx
zMw>n`{o{w34!N^f7%5n3p*Uf{S$({_!n!niAMcgzb!oX4=c)eC!Yl|o4&1aTmQN;a
zUKqxxxpfv4%upJ)ri+D?oI*@uNGGG1*sFVWP}B;*+L&K(dqV;<Dc!L|7`q-&{%hy<
z%yvUB6}P$bdX*NtF&<on_Q0}XJdS?-(tw>L{=vv}^bQxD!mSCULtmm%O{wDqc<wxe
zvM0Nfv`I}NaA`8gdneor(LF#b$fp8yRU=OpG$j0gnL8@Ow^3X<svVeR&90C(g~+tj
z9!VtFjvGY(MXlB)5opq_+A?udq^E5jM+`AlVOr2&LD;gB0!!5-z&QoY{j)fy#%NmU
zJ_*mw6yU{pw<_&hw}UBwXmD|w!`Pi5T5s#3{}P=3Vuww2rZ?Jkzr(`%8*eOX_xK)S
z>+btc%f(0z`T;snhFOgcVjk8d(9|D2;@dlYra*8M^abc)AHSEiSB^?#u68wFhT_wE
zBmX26+<7<EX~*}^CpC-WRX4|IF^8J1`@P$>xAg)Hu7e<B=-%)4w|cLT=!blac|RyU
z$D#i-u+7#GcKGih_I!H_1v`e1?v#|HO(hZ}*7ybV5!BBThNbRy@uBYI@~eL8^=mP?
z0VOTXRUj<KqT`3T*2V+~awUW6(4INrrLJ?-bCKc8qx{PIMt!I=dpI_!b~oXM@$|V_
z0@o(E13%DOsn@2X=5YM&xTV^I2u{rb<9uj4_B~miGyBsM^GsjGnQvCxfIM%UO={_+
zLE}fyFLVFQ+^O(+1CxpBhvYz0Cr<t7wCiz_B(!7N*EKJF9t<QZ3jAAKXVNIs@$uOP
z(I0G%KHs&N8@Y%=2SezQ;tcVqVAgcSw7XN7LM%^5I#oJYqiojAKa)7`DL@5&%Okkk
zef2SHcnD3?E+hz<sevkP0{=yEW;})7ZMod+zLEZWI89-)YHqBZ{+2chX*zbft(G^l
z6{#A7ZPX{t?yVdf0d_mqSZF2iN!aY8B54tXVxSiD3MhVaeQZ_mt?M$X(;3%p+sR@~
zEHBm;T2u#D(dvh44MtA{_q8(|3n2^A7kV(6UXnL8S#*24`zr6Ks9M{C{$N2!rLq&8
z@bY9c8MNN#n=#<Pvt@?7sHTnl-75rbuVJW~h9vKzWT+|`_<5<Cp)3qZiLmJ3`(MD;
zQD@vKIWz>Rl|7R-;TC7rib~*^#!0tiBtIjSp6A-6-A;v`s?Yee$d)COd?oDovyY?K
z51<4UVc)Hy#gf*nt^W$8sW_|1HQO@#ZC6M?Yn1OAdS0<kan1W{=cXtdsuCpCqaTLE
zMisi+9E2^!#zV*bXng-b3XNwSRc9IF;F!{vL`u~V<~>h2*`PT2_nqY;SOP+=R6ZfE
z&eo(aFNRXPJ9s7Y7%||Q;wLwL-_AE}bP@jmhd5gnD@<7fumXTe+zo|*wLK2l?wE-~
z;`n1zA@ix?dnZAQ(18VI!JIm=sb3a`&`L(hc^+p>Jl3kMg{B-%=%}5JH6<CnfD#hm
z6hg=>5Gr*iU`zOu`WNhChwga(9kAkV<Pmf)(!i{)OQsXXSB^1H`sbGUIP2fpJb6qj
zcBmBkkR!pQM+UHaDyIRb4^7D^cE^G_2BA-VXjG#ScXp`OnpggfVCj_fGgH-)EzD*j
zXB5=zAUGU!>_?&1GSRZzclF??@?5+3>sGcgdKGm1_2Yj&4|l3_>SuVT6_@xfaLuP>
z9!?V4npBBdW4GOKuV*|n4Xj?a!IN5o%qaP(P6Rm$ecQp_-(lh1giZyW=6enJayK`?
zRn~?`{{M4glnUGqoGvndrl@0IZ%9l{k9A3hC5fGZ{ib=lMNyV?gg3XkP~Q2SuP3oG
zD%*BS49^^&!<F7@mx5T#e`vXK^s4f&f%msgD!BHG`aTu>2MMu}bA*wR{5~Liz`0#X
zMX_gEuOZeOtO3r!q4PTFIL$2Al3(H_j?llP5l2vXneQ*3$d0jbVu)eJo$h&u*m+q#
z^{WbkoEMobdz1c&#kL%8KfCE<i*_EMX<DR^%#(n5dR%+LwMU@eUk=<Gw=^hlrx%OY
zH_zKm0#0WJ8_p1989B^#!<?oa&lR1ie|o-l3r{|MdD0RaOiz2roph6}J3pGI|8oa>
zFV{h`J4<6t_wTasIz);8i%*l!;^*lv<DStwL(e@OnTuA+9ggE(B705@CAlyYU9vh;
zju<v7{T~QKE*a9beXSK1{*z!V9e;?jRd?sOHf21G{(X=haKVn6>S>r(rDSk)=fXc%
zf3PSM*#x*@%&tG#5Zw{M@oNM3DSg(8r@~uvE>;iK&Su$>bbrpPhpYvGc!&D}6L6`&
zl|fixG~*FwOFy941`CF!9<m6Dy~)t46CZmf&uqz>dC=QJ#u3*LsGDF6yxy+jz7;ii
zq}d@Pb_bq{7HC*$ziyH!Bouk6{pdx)Xx9KMh%*bi<Z}4vx&4@y_ay0@?Sgeq?qXJJ
zvm1`d?SJe&gFIs672=_VsTQ&eVTYntbaQ@jI4GXn8D~tEHL4Q7uUNi7>@u-`BkSA7
zel~uOU*xEd{vwO1fLZI1Qo611`hCsen5)l@jCj_gdU~%&@3X(01ph_YdKOgYA==v_
zxxOT7C2((KTR`eLfOp+w$k5c$bCyTt+C&$P-G&w`l&qRsb<SJ+q@5wGY{5xt!#fa=
z#@;sJ{UPS03+P?*XqxUeFeQU2o5wI8#_Fz5R7g3CA_4P^hXT}6i}ktRD+YD1r2m(2
z_Xn51?nmv`xZ92_{xp<>kTDEsHaUM^`y{etL)DJ?%!0E-8PoPrZRY!~sojEC3UT5B
zx&MoeZbSefUh@s1)4YFYck|0TsMa#HyY!wV+SU}-t5<2nbvZCsc#xSBGOY~!9IyOV
z%&SqHN30!Y+7nex0KdXKfXoxyRTGPf9i1dV#2|de<1onW#d-ZoRkO18#8+1$;eBV~
z8mX-y<sl3y=!16KeDWwTdyxnzU&gq~cEr!sz*%gm;ziZRrD0c>VBv@%<&t=KURTbd
zs2lb6N#%J5Dx%PNpqD1Z-QP-$hsVsK9S@`RTUCcxwK^7i(!O6oolK9R({a7Iw~T2;
zEI~)=bykA{a~o%<?u7xDg2q=P)PCPtt2B+>sCm-?GpwH@)CnY=vbVZ>SzP3uLxZ&p
zk7v{|^myAm1?_VJml_&pY3|pPrb{A#5Hc=-k^-qLRgbPELYE`jmQ0@A4QyZ!)?E!i
zQ7R9mDs{pm6gyAw&PGG~WdF{E#EEf-DX;?E>diGQApcZ<oaU`Ci(Er$UT=o4CVs^T
zb=q=iJ`&UeQ(LP@U)#cF(EiVzcft_g)LAqpqnItRYufKmri7@mOc%f4vF(A2c?j**
zPaWyR`nu|GI+D=p>(PP}LYKck!2_A}@O-u%LT{}^%@AN4Q(JN*vINr!gxhhTOo%<`
zmhcmZL}8;E*p4`XZ3r~yT!n5s$3J877BnfgZ7~}g)Ra}Il*wuqu4rJ<VVGiwzmYD<
z`<Z(0IA#pi<@b;O<6-V*jgs*h2H=OMXL*hCk{r3JAL=GvL*x}vX;O7nYaNfXVPfo6
zzm=kRSF@HOOa(dcE#PV7aH{Knf~_5=u6Hy{WJ~kog+xl=pJ-S*;CKneSwrW7YO?v0
z92m^(tWvezVQe2EgonCUpxNQwwg${QXe0#n{|WssN7H<5kp!)OS*=1D<{Mm+MZy9U
z!@Qt2kYn+_g%ZZmkoMKbdYS8!&*u+ApVGn20V1}l=llFv__zIo>!CQGIBrL4$nC;m
zuCi0Hw4}O^by8v(Qw9Q)FjY1zGq%ga-_A=!FSebCoPaH7;l)ZQN|JlZF@IyR_*7mt
zep^N$Ze~)I`lk1!zl_PXdL6y?;beP_G(?ek`_u~_8Y6PXyCMsKyL5r*7tpQvZla}I
zUSUhZGNzJaw+Ac|YLR5ftL(@C>m69z-iz60u9I~ly~Ck=IBTs?0Qvv%>aBDe%*57Z
z;0IpgF>xgfdnEPzjI`m8y9L85HJMBBIs$b4CT9k6?T4rynPU~8fem#@SJ9q*sT`k+
z)tPGtBeJVuuoe>|HMP{3NKY|D$hMM(>qWiKC9$qd#@C~#>^0z2ub_7soTPMYxiDd9
z4fqPIu-~a5{D?+=0PUPqQfdwH;7|y$U|f-FGg|<wSP7wuWfB=KYGIg)E9!yC{JPHG
z;DL<z#fv{~nMkQ9WGOHPN9Xzv2eplTWmt9;<Po3A_fx!sr*RIvEHGu|(EQz6J%?KF
zM5|CWul(u1vJuH4=lViW)dth`UcP<rBEKfBcu)1HkT0z2l@M%-wj7jS`kt(_IOS+=
zg~ww*0kHGRuJ8J7fnft|R+iH!YM)-g?O-HLG<+~(MBJGir9+PBqqE%l0Sf<JaOA_+
z!d#(tP(IP!+u#jfr1J{DFBYpj|5m~*asRw^zps6q@FI-OUx;cAz}yPaMa_QQv}A+K
zj;p+|GGZpgBCd~`-t}AZgGTsR^z&nz)J^#?Nf_SOaNd1jBR4D$Buwrb+Ch#xbQmnS
zBR6q_k(*jkfJAo?mds-_>$=p?l)VhWG1>x{TQ>*LIjZe-p*HM~>EYKO0bXc?%n&PZ
z#q#D*415%UCbgfZ&O-k^b3)6kPKG$oVlOP>I2*An>Kb{fc@8mJnv-6LxgEs&Mz~&Q
zW1$9P#;xYjFWgowcg8nOm|%RunngESkOj|0;qjS!Zmm`BEqr}v>NAa@q+&97J{d7f
z4;!dh!GT<Mf;aSh-5~CK*=WH;DB<Xi7B#vzb+>HauhziiQe9Y&T&J1+=0tFjPZ37F
zTn#wLEmzFYZ@Lq%$f1GlBN4LMk4brzSmOS%1u9s@5a^kb@&a`g=|UP7Y{Qbviw4k)
z12lkWpZ;N#GdCR?r!lpUT;Wf^d8{cH=eoe)ZXaMK*|6-JL)lhAx%o!Ad4eNLUwUm-
zyee6}08shZfT3=?Crwv9+{K>HEl5<Dp!L!&VV|`3gL_frt9hoYo-0veOdkKn(OowM
zJQJQ*05DiR(^VrGt!-LlU26OcAtR)@QQQI%+#>mT3xZ1DPL#K_Pg>9KEe!~*MHkpM
z3%;dmm=+D0jDyEzoTQraEu6XZD^(`%@EJv%Wd9{%9J5eaD-0hb0-UdSP^XBUWL3t0
zZkr2#C5XtR>;5z9e=6W7X<Sp{EeB%{G{fLgTp?v6o`<&helRg!XXm4arA)*z@!}qt
zbSS%R=gj0&Dm@I?s14wvMr`%ew?KFcFaTZqmmjGl8kbdifR<a60F2qSI_4SmvFmF%
zxkFvMF-nY*O48@mQ&d3MoG@-Awj1CH?Z9g)#owAOvoMVLMgDlRd_8+1OFO|vg%H4@
z5<KacWS%{(#lyC?l)%_j;7^>Wd__8{2q)g~3}V>$#-!1CHhgx-TkVteu2!k?SW^Mm
z&TrVJ3`UXrIri$(eVuI3?ZTXHVnf<W)%&+hgG7x`G?onp0L|+|jXsI4H9#|q_V8`+
zLLtIqZAbDkM0122V=4xC{=E3Q7jog6u<yrE;h-+i0hXsancA>#TL9f@u(4J|=|B7U
z|BsK*s&?^ealW5j<_u|94yzxBU2jPSO=*&wF<7m}U|Nc~-7xVl)h(cB9<ZxPPe2gM
z4}^KT0FycuuTAXB<tK5J9pN+C1x_~;oknuI=uIdf;%B_7ik7<<LFol?Yg`~8IvmAb
z2TICMj-3HgC$Ts~4Ce>jPNfw|x>tMsJds{K6U^fi-sC<#jxQ0>&f%^p9tI|MWdvG2
zIE{Q`<92}I<`|-9p=7a;U@t<|f-FGYd_r<}Kvzs^ba<%Pk6kAR71)dtTMVc-*QRRr
zQt(ZMp=!d}pdF=vV6cfD@k*dc<Un&2IEv@R0=OkW5v|z(if8for>XOiF9chaq8PeV
zU^7~iHzRIuvPy13EPv!@Crd7?QPYBAhn?{$+s|o$PPwF(fpoyJp@tK!0q?5zK9{cH
zAxD!Z_>OH-UeXVdir%VpHUzII)^M*sa;L0b{uSY~kh1hw@VFMkgIg!JX)fa2d@3YH
zYdKr!m1nUqcFH0BC4gBAi&0Y{l<A@Wyz6=@4v<I)8vLLTZ{2!qLKThm6L0rq(O^Qa
zwOgXAYX#QCd-n=X#7i1?QM73x3}%SP$_%TirvycltqK(7yw|=p!~XVhDE_6G8ONhm
zeClMhf|=oOO?oLLa)Iu!wa>5B^$U9vG1vbe?F#+($zP$u<qQhI5J;Vd!7xI#M;*5E
zC|-??9TloI1y#lbXoArg*={zAcwL|uXcB;Il4}C_Ls7RJ;LoWZt!|z4tqq|&5or67
zr6ksA$UGvOf36;l+MWvkiUl4h0O5vj?_`5RvXvAVQW)%?V!7{>hjw1gWF##6=Ll}i
zOFoEdfg}(C2A*HrldS(4&-rh<Xe@yvIC*0?D-oHr+b3w+*7=<Xnf+m$4C@zx7l_@_
zqMbhdjF%$(>pAI`&Auu#SG2&s_xA8ku^M@VxL)AxJr8^*;jrv~)Z2b&wju)$0q}cq
z+9u5DQz<t5BLW2N4`!s=tKuX$1Zv4!!yYs_-hBqr{i$(<4m4p>2TXi6p#X}u!g+k)
zR1NN&sYf-7vgzM9@1_OynWwvD9w2zt3XNyTclZz+A+W;}^uT#w(4c<d{1J349z_NJ
z?&MW}-gMDXleTo^_RKwG5G$;6*TdXO=3AE94eG;J(6z&npf?P@v&3J?rJ{X98OJFD
zK6zZH4F$y4v-c?J@UnDlA4*Py<BtSVe(&nO1HBFHjNUO||9r=eyHNip2xE-B*}#U#
zF88=Hk|K+cf2y2rzyr7AhzBrNE3KMux6&`h(~^&b|2+m|TCMniU{H+g1|=ES57a}?
zR~NbEL2#W^z!fMq9sfSP+E=+>+e!`q@m1VW$WY0;Q~Bq3)vu><!*MWx`LNAm$L~oS
zF16@lhbdB&JHAac%Ja2?@7rzqCHU5W>kmXDx+D9Z)#xhIKqI^E+F9%r-ow8(|4PN;
zJy>_^$F%hFYd?`Da#0K@(~{P_*s>Y?lKVg401z%7*)hDQ?ky@6@5r?&BU9Z7N}#W%
z)PyKKFSg-jNPzfgE%{q3WN(%^NR><r{PN8j5~`t=E1ML0WqLNMOoZ@Au|Q^C!I*1O
zg)~y`cz}GOJQt*=*#zCdXyFF!B8j-Jlx(u9wo^imJyEPdz^Zub-r*{X)n8~KDg{IY
zxnHVrPgXLbGlPQy69trJ@N9RfFicMuzCWyq0M&%@l@C}RVFQT)cG52>g)V;5R-$H5
z_n$W_z!br<2=;LKY1!r*eOfW|>?xFEZ1=HV%IMeJZUP?ma?5C8-ux0!P&a$C<-A7_
z)F!aXuRN!HwrYHsHE*S<vFBG8om@5;-i4F}>{j;$9Hh!uMZbq*fRob^<4>6k0Dj!(
z4HL1f6K~Mc`?3%_^sv|NjxdcCPo;&o5OHZ#bvIE!EPKj7!2-Q&;5&EVZYs<gmGN@K
zPAKSk(Klc`2X{3rP3@c<93y_N3-yyYOIa&hq0Gl(-cIGVDJXR@KjSxy_Pre5Nun*P
z2L3$@xr^`hyF{6H==o*7VIr)9trPGodEm<SOr|?w(J9S@4ey8!J%V07g?{JUMC5!6
ztbn*KFn-nLVnh|9b6MuxA}Rx_yg_%KQOR#hf6*h|T9d_Vt2-S#1BlVRZO0W>K6<Vw
zqgO9n9XOM;Du$6Z0rZ@VYPcQSYBHQKn3qI|f@^L56I)?^Jc3t~BU)jF@TsYvuf)HN
zHb7cIL=3k{io5|SJ<||lc@wx!U^e2+S-NnW_{X-g-h0ja*kg~eJ`|<T4tuRLk!R}`
z+<W9kqG73(XL7vAszx4T$1aHY^X{yPugu;mH$Bs)Dkw-{rWz7kMURS?x?d1vv1Rr@
zmtm1KbQ4BLcI99PNIVNyThGp=vXL2<!4rPc?B6J@Yx#arI|a4imb`^*a}Ir`Y&ZK>
z(RIjE$-oF|f)Bl+v&|bf`^DVWG$yxWkfjQ506lr!#kOJ`VETz8$jcu1_0;)J)1wr-
zqs}~|lN~Ar_%9@A-Omyg-l+;gUb(1A<rkTDY<Kf57xslCE_`m4oJl%3!OLC%sYi+Z
zjblEQR`_z*PeLS4YO{y2F=WK8fUnBtO;PNRJ-q?`Jy1{(kAF_PM|FhTC>Of{wA!E|
z<di*agrg+YE(7N75)*1G*lvI}T>x7kM|=+9uxWK4DO6&|O49QCEjVUgTg#U%J+XCS
zj(}I!;10)?A#NXBBXO*Bmcu5F0WW8UQ|$lUVW!%am4^MmH;lo}?6wnwFEB_(PiJoU
zWOoLR?^E~|4vG>`ra*&PGyO2G&}^-V#6ig{!+kG-@gvzz)LW)$%t0V~o?sU2tz6^n
zEfQqwG59u|Xql7O11Q6V%Q1GU(KHjLbJ&Gfo{f9NRRtSdGh;*sxmdNbDnnYI2O8+6
aCo%l3Ui^pQns~Q7K9S$>fVnIpu`Y?gi~zg<

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs.v
new file mode 100644
index 0000000000000000000000000000000000000000..6dc45e77e3071cf71a3baf987c71ac5828e68719
GIT binary patch
literal 234760
zcmV(rK<>Yb6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|tMMqi-ig)t4}F?!US2w3
zT|ucSeANA|>62mJ!RtCxtB>BxKQu*A@A$9)24Jt864oA=;t)ZoB!+|;-tkeVoNkIY
zW2wt_)MW2QP?t5xNr!Hug*40&KN1=fk`Bq(&cMyo$YBiUAo&wPukM?1Fj6xVdxQOv
zB<yGco~{AtB2{>uxau(7V{J<oPpV>KOTJi5qel#GPgMR-crM<@XDh36z(l$D`zxza
zlX!%0Lh|fPO$8<T`kvVO1f4)s58V%IXxd>eUoCIxSvqMJktF3$_TA?k;2>f4QDtO6
zK`ueloANjX-EvxdAwW@*UjjOBIe5@ZH7*8RWD{7xVOiQHB0`YqoO~3L!J#RKyYgad
z7PB%f8HX(AJ@^4~%kNw(eo1N(SCD4iA6g#^@4#BR(ds9nI>W+vB}*qSC3o09EP<%@
z9lA8Du@c5G;(Z9*qq<342ou;3Q)+(w-0+$<{7~dMl+e2m0CHv$C-1+<?c-ETZ0-b?
zIa6f+!p(L^HIm`P2)w$Vwc0Km`w5`qc`!k1s*}R6y)eL=h{}(8FepEKJ@l%@db@uL
z82I4;k9!VL_MQ>&oe2wrt)F~b)y#ZahMRCDvqskF3K+t4eaWLlyS)Ma%8|Ro+36nE
z6%xe$>6<R9p79>NmSYFz9wb;u`XZi+r6+{BPci#21UZ~hY+~BfisE|)!j7f}93pcP
zx?AZpz|Yl)g3b#`%-`?Wyl|aIz&MV1Zw8M6zzgHwv?y#HJ_>>Wlk4m=(J`6eW)`?#
ztapWOzlZPVclP58@Lcw#PT1>mo|h=H`{HgoO^RXul=WLpL}`?G*&!jS`?S-(!bKvd
z_23EaYZF5$we`Z^{W9&l+A@$2P?ZB^I1?@LEyRvLSl^%2HvaLknNVNc$cem^s11O#
z?hH>&<`k+|#tXm}1iz`0ao6Y+t3fFZP9b_Wru}1oeTD(0x%9oER$8fmSQW%Ro6CK5
z3;)(LTmNci9il@G@z+6zz?tN`8_tNfTWV-+SoGPDIN4MQA>F%&>iN|hu@Y!(%vUDT
zAz7pjZM^AiG{k-hCl+_x-p8<ZuR__<%KPPGCN-yulGsMk5|#=VS|`|E{G^GVrXG2}
zv48z%vhtiLE7O}I{jK>M2{qgR?ZP(@wht~eUB2I>F<Ap~*Ocd%3MqAEq#T`&2}gja
zxPG)&n*&Wg#60UG4f$3q^Q(hbr9I3M6rm&^wI{z55mY|Q=ViN^X<;TY7%r5{pKv<5
zfLY*3$3;{LV4z2SEHIu)?{(n!6|OqRdZ{#5k=@J18TTB)bH9OLCUJpBDn2o5=k($}
zLi;1gzzoF=8R_K3kr>@=5zpR5*eiVeOfh2xv@+X!>|3nYURiAB3yQNt3$1!n0=T1~
zt6BS`5AROpa%Z|<HZ-n<r_RWD4AJMW2*za1&(}Ji4{drnC-K8AbSYW!`ROy*7eiOh
z+IY?eyYa1*Xw1G8kW>-P6#>Y9=OFu96MpX#xJOSb4ykkE^s{GjR*GSjjQ4^aL)bm3
zt%&6#LtI&-y|!Z`%hv;!dUC)s(^%fa?wRSwfT;o8MW@sNBu7Q=#{C<HuK7##_W3~r
zx~t%hl+Z4y2q3S62zVUZusrEEA?_<zPm_}Hcpg>{Q91q3l8{Vn02lI*`>Wn*GFPTj
zqW7=%tOs(`uN0dV+EO2xjLzSxkmdqmiEYYCD!=NEE-q-Q0+sn4F^_W5%BSAanhc2x
zS3u>;VcTjIUMGe}vf#ROkpDtgcOb6%oo$v>+dg<BpHgM7@u2|i%_`aqTTjGqJVx8S
zn~#^@29GaYqh8g)LWly;mL8?ZzG!mr@x<0>*xfO<oj%Ux^1W6*;*xvbmdoct#tRx-
z{R~!3Vp~eD4@W8E<@29kJ|GTwavI$nWU_{F;q(ddK_nG*rw!&UjFO4}s$=90Q(q3p
z_TxiweUII^wRZ&HgU}k{ki{ahA5WE-g1$<%HE6I^@^rTbn%5r*=RQ(GpMQ0Fc&r0+
z%;Sy4ooxA?2F_rvx>X1<Aut%M{Cd<ef)4^L6d*5I%ze7uon`E=D)@!*%G*dVmsJR~
z)NQlts<W(Kk0uKTgLj9YZ0XlYMXuN{^LmWm1y8V9p_@d63!FBM&V3hWNbq(HbzrRy
zy^(&}LM1}?O54#%A0z`2PjZrFEu`gJ)H$*dx;NODgjZ;38K0HU*}66Zg*52sxsC2-
zTx5w#JG^t{t&j!q3!m(iyHgpKrYVYb8HJY{CasYN@I<zKSG+nN=lZ%als9a)lpOv<
zm)7mkXaVi!2cjI8f|znPs9=liuxv0I$+8Q_n4jrTmXBtU_j^DnDZW(%bWRpHT+h9|
z^=Bf-uy3vMv^Tsl3US-wAIaJfwCSiCz}12JC&jF~kVm=Lh!7SBMF9wW71nlgOv*(h
zr?|~1=AKM~nCtR)m<53&*Inv5DsX8%3X3vnzM6wg&CvJ9mNxX7^P+zeywxvvk_J$|
zPrRy=O0dj#2)m0t{UdmLvQsIef&l7NR2jMHa~a&T_X}dsG2TxV3s`B&`p<G`2J7ey
zOBSy^*g{#358+v(l!A{?vOHt1Xt>*ZonAL7wCUyvf!6=4ZN(^rqy@d`;=rh>@LR72
zvMqT*-Q9eWA(2o-j)77RJnreeq;husWHcTGDM)KWC@fHF^<+d6%=~XSa<MbnZdUvO
zP_nF`{9rG(g}A3(SnqVpWChx6?X+};Ek0^Ug>aQRH_Hu;AAw?I$jHN%Q>zm<)6s!w
z?=c-}Ey4?e#tkvAMc}cExu=rvg^6ZIu25>CHl!Ai4}FyZfx@A)LMBg702~L%31X^z
z6@lsGgZL}RSOJ-c4+g}xcL2C<gRhfs5Z8HFbYQVwb3{`iEiEGpTW8P`FH^CnQlTJy
zot79+g<WZ}7-@W2MU{s95uP4WbnC{crOWXKgHov~gvhA{Ik1!WpdJqv`n{SXg@1J=
zGba)8f1NFPrQ9^o6<SmgLjrUN1!}db?AAkrP64S?#X|KIjhJ95P#hfQ0WaM>C=bl>
z^6m6sPXpMXVIkrg2`F8^<YAjb|8Gu}Wvi>@kZ<21(GX$gU%R!|e!+x^`ULeoKH#Q;
zt1nX8H=@_8^^J2??;6)n3Z~t~FUqn>ta9}3Vsd;N$)8o6gmr!%1p(bhUV*r0#ky}Z
z;84OF%-5vdj-o%t08+vLqjdWE->FBX!gC;1VDJNx>B+y6;j2<G8#03NJ3p<3{jOHa
zOJD6A?0bn>m9eaxKUzm;CCD2KXqifH@>s_M7Scg5y8tCs^4hB=;b{7?0<q%9<0T?r
zZbWRlS9VWD-W>x^gzM(uAIaoMOWaN6Q@i_-v+k(98vkJDNfpRvcDm>>#@NR8QCBqA
zC{3?TfyxZ~JPu7YtRMW%to{^%))FCd$Ej}lzePZpyZ_LAriuD>ojYvELlHQ>DKc&`
zoz==oU2v<sKkFXl4_an4S}CHlSJzg^-ls27vJc0#{>fWxtGJk(J$CdLc3Md<F&e3j
zO8{T5C-9DqTPms8lamqHTF0Y}Sv!DC9tz`4t+yx?8|=4DkL!KADt{v_x)#H25tb?8
zjFKTfK%g|#FgSmV-Irv$GBMO?XHv(fLnce;1k%vJ4-qFPm<xf|b8K-}yZv8Wm7ERi
zf`7h3PvT+gHCv;>Uo`%K6dnMfq}0a#)7G{=++W=&?3!<B?!W#1WW07X0<jD{MK@_m
z9~UDTml**TEdR<|ZGsM60?7YakAx^h#u+LZLtIHbz03XbIZ=?T?>;`jsWzZDqz>D(
z!ffm5DHX8EQwy@uufAWn@V-#!Z7@wkuVfo}Y-g=tK{ViSnDQsN1)GjNzI=ArKnK|O
z@XrM-^X7uX^DPU%eBR95$ybfqiPg{Iyp%$ZKd<~}kT_7ZM}_wGq`N9T<vzo_u>0Vq
zoaP-#?^>w*$keCWibpUb+E<ZZ_jE!pwf_ZIgJMSBv+1AXH2qvTfWh9`FJ=AALt=nW
zY-I91!!h~-$=$7$cGs@hU_Pu<N8?J$gyF6WIyibtToC<rA1GIfL#n!LVG&_TQ=$fn
zZcz1I6|KpV@*XL!u>}qPN+VaVA+UiZ9U%y*%{4pt@I6+d3~Sy{lNCnao9gK$xSt1M
zAROjFKDW;)^^5>e{nPdXS)-}k<#H%ePF{2-AvVrp_pwvNH9ZNY{dJT7YhsVBg?YLT
zp9#3RhoCCWg+LN_2B&ih-q(uh2y>>%;*=CKu(b$TyZ<Z%e2IEp_wlu5R74UmzJo~0
zK9zi9eC2GXuf!)xi`i?|o+b2cU1<K4%|h9@?9#!+sRqjD7n(Z40T?9%-0N`Uu<7Y~
z;55W1iZ0?5FG8;#ejs{z1QoHE+Y3B(MlZZhrh3|kj^WTdgsfS^Felb00&tps82&nQ
zg}W*1@>?6mZ*W(sB=eG=Q@fmD(tTZ!uO)iLqKCCVkA|B|Pwj9IWxQJqs!3#o-Q*(p
z$j(2&2xmNrIfM#Uk%doUZAoF2ZzZ(!V0*BC3yJ!xbp<7FJdSiH#EwTcMXji*%D+#L
zg;S2IabtO`t<5n%ZX@Yl))*t{NP8d&cZMhUIB=-9L_Ij_vY>CeM&L-E$^aeOC}UDU
zA|2$jSmepN2_`obg+JyPMVu}P27;kmRMU`OXOc|S$T6W70E#-9=@32jf=dfH(CsGz
zdg*{GEU{%s=xolbA^Ou{U4-u9FE-R&e7HQ<kUS{f+g+HZ`&?32r8eIWB5trKgs%kd
zpr}=L&KKr{;*>Fxl88a?p+Q)#E(NR^Q3dnmf8_~!(3c>~^J=7ZBZ$)ogobvvd-(ik
z$XRsL>W5PNGc`;5ko|9*^S&tu;<i8WvAWMJz6O!tHzk9f5LK+~UwmIT3s26D>(c*p
zfZ8e#=1C3m>JsqMU(DM*E<`N=Ao0gncNph45vmGHLhLcYhr3SLPnr`|sB)AUsGAF)
z@k@qpc!@(UhE#<Jc0=wajoupEP7VGx13}oa@)R~=3V7;=J+zjbZu(uujU7bK!KJc7
zO0_38Zw=UXCBBDW@1{(3>a`D`jWmxwt5zEcEZ*L@>~GPN0|=B-aP!Z&gVlM;A-F77
zS~&ol8F*53nL$H_Dr7VGPqrR@w>x4V)kB%VdjdNv4!ZJR^`5A|ldE9Poi#t5svfF=
zo)O0mtjvClj@no!I!GQ_)4$mkERbxJaCG>*Oo~udyYHs#;E0zAAkbk<`WxefB5Cx+
zoP3U^<fG7TWF18EARX{>dr!<uc@40q;cLv_%Bvvg2&@x{o0)ka39LXh^x^Ip`cZhI
z;uxOassrU2*_w)w+&WYO(;b&w@yvR9$X<0R=*k~ZG4HT9!SxEjM09n$5|F-ck+OM<
z>tov?1WA&l;e+fX{Ga9L=wT|N%s}0MG?$3Myj-bA4O<540=IChZm--&R~%<??3K@*
z^5pCkFxu_IOWOd+XVP6&4o(_pXrH5s2x)NZrho*(@Y&1gs3>_yk*d?pLI#%R&5BiN
zJ+2nNL{7t5eq<<d*4)8k6~h~-CPBw*4Y2!PWtq!13N=%(q7pnKyRVfs#D*p~_En`s
z`(2z-w!gh*A2mpb`XRpxEM{(0Eoc(~w%`<#emk603n0g}Bd}*5VU_`nh>7>#X`D&&
zH?7jU;||`QmCTF{SK=O)pXuG1omWIMi=vSB`c3hanh*rRaEGIwbL7Sd=C;w$cZ1E{
zpl>#|PF`CBs<_O`P?o0T3hG4j#zqrGPGP-n-R*EoY)^Tekz*Bw@M`7i9yhbqt-uSy
z!4edzo|EvC4;nJQFMMRsU00NR@m4&2SIUia)>`1yBtm1>nBIAELQEuBWtBRT9%wlO
zFqCDy1l^rJ$p&ckVoilga59g{tO#PmcsCb?4}>~jRQl)~w_fVq`5bmQ`FACRji6N<
zJ@L_w(Vv?5RmyDn{9*V1fsPG6vS`d{;NV>j!)j$<p&pR(tWQ>3g!RG8%*#WIWm}ui
zF7bj5Olu~e<jqrXz1wOw={~{Gp39Ji(p|OKibCPb;J#UpQXUUw+c{k&cP=G(I)N!#
zsT1l{V7<R{^dV7nyZw2^4O~GTY>7Z`hviF;3ez^8OV!~6`-*>cX4w5Wx72YGT5;ql
zGT%eXD)8Jq7;Ckoex1CYUA#hMy)?>1YUB`nY_{_tMd(b$!f2<vb+*XvC<tBZLCd#9
z-=bDUW)EN{m6`NSIPPnzIjl!ZLENmUK@HS`at{hX5f-C3!Z>jMDE~2uK`4o?NbO(q
zoxbKbt5fb>KU%?7<lg%W`sJ}rAp+F-*kaNWW;rrc9JGDAMtHV(mFCQj^&_tOFE6)L
z(7-s8Sey1KQ&VLQa2^Izg_(U+3|@LBiZy0d@bGA_Zbze5>xrj-aKx=JM?jvBZ69<2
zwld9#+gD!U$YGRrY#w2Ra|_KpQ?AOIi`qi8eQk#_zuo;P$-f4W<jdwU)owZf#11{n
zg4)={L{Kv(_>KKnlhpy65S*i0$8i;u7JGSTx=ccSPIAf*ySl~mX~}LpRW*Sj58_fE
zCtj?J?NhXl4^Qx%;8SyA<zcl?mQNr=r%8JAl1BGX=D@j<)}v@AKGX?ee302FilLlc
zZTA6~>=)e5LWUOgD_HDq2u^xR(~i%6U~6y2>(u%!2u`CJ8>&T-313x=gXE~Z`3Ql;
zXQk9-s+!i+z#A(!=uMJmNS}iK{T9@aOF#+d-pBAG{<<_rTH<BkEyzzb1rsq*>BHh<
z-h!SvCcJcK8T2w1_KnMC-0g~F=J#GZ3-gjFA_a;W)@bNvf-lAKKc@(rlS!toTWGgS
zD(bMQb%}>Kn6@L4j~7JM)M$L5W@3+*=&;}~x-tWtgej(PK%}vHsRAd3HOc_GW<Cd5
zh<*xsCyS_9UxjWXyV`Ivqsp8fvH)|*<m>Rg6hEZD$vNNjOpczg2$GW-b1ZEPWemdS
zWC8asip2Z5^*apwRUOoE7;PgDZuq9lW5mz55X6depqt=6|0n$jj7NdX`w(L?)`Yh?
zl?oeW5zZKsYF+NWC@1H~FL<t6LV}e7k3wFh#sYFFQ_A1vVcg;}V1I@{ljVbAevV_?
z6P^A(yaEK_DegLCQ9?5m-S?`UbBUy#eT7QSr%IJ7TPEQjeYkx0mZUn(F;j_g>6shG
zLo6HI71)It^f{XR5xsQ&aS8a`PQTSU=#%-RH;-?2DSfsz_0S-6{0bkEo~|FN-1t;$
z(c0%KKz<wUUCsqZ)G&X?0DG;6t~tsri`oQUGp)C`$Sj>Tw^;tky!8HVyz-ETK=Ef0
zXqrw>_bzW2=JV;foT#*6pan%2WiSN{fUvkxi0r0^7)jV+zKzNv{_`^qhG25)<%W8~
z1&0^M&rk%{PFmqjX$g05ng8qcM7&Ca82IJF6L$#hEx4S@NRlam<P{l%{4PBj!Wn}1
znJwGW^vB+U9)zU7g1&ykIqn#mwu;?RdZ=ZM1)&&NE9$gy8-||@bj9iX@v9Iiwu#D^
z$qyJ{65dM-C2YH$<NE#FY%0+W@fEbG6OJwJdFc9Kq}O=8Kh;JWz&WyUiaTHoyU5-#
zbHpekfpO9>s`$I1iER#B|80hcZM9IIv|qpPR>ANCZ<N%hmmo5es<zvHER|%AR4-t~
zpN#Hx6NNR(%LC)H>P?HydTy`6-4F@qC~83XrGBx(6%oTIOUXaS8U$0WO%-51(HaLb
zE+Hl?%v1@Ihce)qi7y!R7-*%Xc~~xHped<PPsV0W5d*@Sd|!WrmEMw#!W!lqhqH!e
zDl}<;uFa^}*_buvX!*B9QoDqA&PNpywJ9f?Dv{D;KM`GuYkm~%tFvi0=AR`7dhs@J
zsCr2trZ|UtY1Wt51ZG~7=6V)z6ik5CSuiQpbBV(JUu>_eEp|y_h9c-^D7xiqkUP-8
zgPJ+9tfOnuWcD1pT0n3G+dlM3X8)70QCwIo|3t4Kq2hA@hdXnj-6@)}N9#`*??G2*
zjtQl%sVYf+g)t+&3h?uSOS5@cC7Rr`D{gNQRj(^{&Myf~R*ha52l@=YTss6$+DjP9
zv<P(O=)&0zlW>>0S#@*xl)-zfMHSljw5+P_iAc<Ag;jB9_Z-Y`JPB%X-BT8_Wbni?
zz&F<BnaAC;{DL?-4dBrJVFT0`@tJlI;ruRJ)X~k~rUi~q%e5!+=?qulhdzt31F;bN
zi#3a|rs5c8%FI*z2hoXaGOZG4OdS&Ookk7+<OC3-AnyflGNxw2hY|`<QlH^AYrsU?
z@XA~`$MHYvM5IRgcpPfu6AX|`Y-?xkN+%J!EhBHrGH*zE+1<Wj!jyi6G4UKPjlO(5
zz<>q%M+j-x=Nf;0%a@k0;Ho>hBhs^XvBTJfsSzG?)Ss};VmL$XWTxW3&W<xfoF2Qz
zV~37Vu5X<x8v2VV1b$n{F{`RB<wcBQ#(rfTHng$b0<1KeJ|R-)1L9Z^u`+t1B4q!W
zT80{nc_}_~Ho2jJ{uBCTW@?!Q?D%Wi<d}<y9CtlljjTkM6q1F8#R@##WL$dznV@O3
zUz=#Vw0a_1@LaNcoLju`f5XQCcRuAJzy@I3c@_1<U5tZ60=OW#0efC3&N=G`Jo=zK
z#vM#@i-&#4Vz=OOlmCh1F?(PGcEdC=1+qkJwA#T3bO4o)*xd`Kv}8-~ZR~p3dK^0G
z+wngU!2Et>Y@}nUj~_hiyy<+hlDOMerR#~QcZ@Ri+ur0%VXZwnp_=fGS`ExFs%>RA
z^f<NDz|6{KhtgtNM56`%bK5i?ysV}I>t^s?y@KAuc;K{t*?#AW|3=8guYP$9!*;<1
zYQj9*8Y6<nW;4xDc;SD+(K`VggHSwY2>_64Ocj->PABRf>bzylTD_UFdfV|A4UlmS
z#2fJEYDjmVPbkHtSkq8ipXX(*W~?)A!?htvuNV8g1-Xo`$`W<&^f$g>>yXn_{rMPU
zRoeX~Qn#2nGHD5CfP2g_2$y$cRXam{X8Qp+b&5^@yH3!??w4mQyu2d*6JH#+6#O5B
zfqr_`u`^IR`NWQ4zY}|3OzhWd@I?e2YsgXG9!8QBc)NFw*6X#}`!mCe5jn2(zxbi(
zzSb%&es_F!9R5@~xB{sL%tswzOHUmV*#_j3zyn1SKO`8w!Wys4jf8Hu3?D&_lDUAn
z_J7}?%Hd^ryuUz$8q@wfm}Q`uTR<0fY*0<hVL_r2kJ^N%J#*1mzHpN%XXzJNbO%<d
zq+20)ML#L3n$M9XIL?A$)jPNWU<}YY<J|WY?}(}Vz$z-Z3cVq2_~fR9&y75j5}0>>
z%4dgH%*@ddaYo9wYdaYccw>7Ft*p%8Uk`(u`_7f48Q1%<t2|^hD1*^PCY&<4^uToM
z;-(8Ri~P@3I$D=ZLmi4Gya(vz`#mPB14^s`l~0&vRgorgHoK|i`MR%V=T?uX>hgs@
zL2-GbawYM|fscXUk<b976sA=<XBCat)!Ty&G%IPlELw|T|4y!2=lvrbI<Lt@CST8q
zdgh-=6F##R|1ZRgS`)|#EbjT$j%mHs(mf9C&iwn8OM(AG!p#z`*=fd0ZpcR}KC?PW
z+zf;tDb-h8!&I}RRAz_}hGYU0NZi{A3unhf2?_D}EbnTS02if490RkxyU1Vi@aI!z
zy7-;BhIe}uj&g@UHwhjAU(`uHIPx}iTIqWA^1NSamdHZw6ekt0v+mXX$*@XJkF?&2
zRR&k-vm}WCDppVh7T$-(G$jfR#+Y{+)X_yC{s&Qe2x%s_4uA6j>yP6u9qt1PA(zOg
zDW$oU%^Ah#Bf(Ip#wnL`;SxplX({CRf0nwC)*7!%K81$W@DA-md?_di`OgfDt>>y+
zFszrUI`VrVH5s8p&UGtBPrP-8By2XskJ+*nAw1tt65Ril6-Zc9JtEK8;Z7Dl+_#Xu
zpEFz?Qn4KF>J9YWG%N_&M_BZ?4IL!ViN~%4f770U#eCtOd&&B}yC`Vm7Q*&#L~^dK
z$emabS@VE@yU!_oV*ce0g3Pnfxx<h#!@p+8Jj&yl|2>87*Mp@U$krlvT)PCsGR8DA
zHb2lCY$ewv`G4IpA@z}ZEJEY1BS=G-{=0&qOFKN^PM;PD>!s}~bITJbw)t(4E0Hp#
zoQ@5AKIyL~kV1hUQX7`2B;UjU_LZ450S0TF;>&n>jj7L$-w1RR$S+|s@G4Jh@X%9>
zLmmSex-s;-?GUorn*(%|gpUiQ9q=Xhf;!q+EJAH=WRe;!7Y#n;!U~2)(F9j87sJi&
z<WS_{->E*9JIdT%C$oXQS?-;&uj52i!f}W7z2U@dNOXqGd3TU0vQ1Rhq&P0A#m^Bt
z@1$2{iB8o7R>X<WVVW5;k6Z89ar$kiGzlY+MQZs(6J!)CoL1uw)_I_-D~e6<>b&V^
z(J<~)R|VZA8Dw~2d`Q*)jMU+fpW56~l%mkSSeJ<)J^!<A^gQRa8~LVut<`rr^G8gI
zM_Ei#S-UuBRL*bC_JQ25^-4Rir5}y(t#}@q)#>r?j6Q;dN2kksZhuA>=SL0sEsrJl
z*>ZzPbx{s9rH$lQ{R{W`QW72Y1pc{=I_Aq%tArM<WR(>%vBFw<fo-uliZ3v=Q7IZD
zitbd6?&IrX*vr8C3;9p~>$fP>ihK{6rqCa-=iwJz%N9Q$NSeFO$-amGX0F-FiZ7Ak
z>_%if6YIe!Qqdx(JiQ7Jy1Yyv7_Ym8!T-ROvw3+(DxKn|#>ipfwr*9MnF6vo$TBp9
z92`K9!@Tki1zJ3`Rrj1^PdCIoh}TQvB6-?6rU>E6Tldr-W8NGV1}TQ0k76<oeCXXd
za50_E??(qaxlCPy0jXaQ(KlUMTT0%waM>^0J*6X<kW?jkxma}-;L#MD0{mg{-FoD0
zdgJRICFqhAQ57RoHaKj4MOv!q3jphP)R~L;=vEu9qO9;gj|Q?~?`GULQ9(v7Le06O
z3DS*j&q5S#1|6PzkE=RUOJ5i_*XP}_J2}F;2UEz0XY2vd%+tRQCn3v&*kpbTp;HG@
zCixaMx5a6%@u1%hbp9Vp>%@~V-e9~gA<=y7ywW`kD(vOrjy?e$I8!~;3h0vWTm-LE
zv6fb3L}!;eOusSLE|GswS-7<s2=2Q_`xJ;B<*JXP7#u{^aWxCd@os-h=v6+myXb;4
zZ+S6s3Fo~#zeoH|nFPM?J;Vh3jJy*TKAtvG9-5eGoc<ZvdTq2Jf$~`-n?9F_$3(`^
zvh}Nk=waNWl5n3j#0*NwiH)PDLr^wh;3{;t(k+nbwXTA=WwK3j*V}EoOzMM&z?%xL
z?+khpmtbgUTGkPDOpzG!vVNcHiqQkEt-5*5LCg$8H3fWh;n^=NKE3L?$pATOak4;?
zQzSLu%jJUTI$V3y5J!7VCS(>y=Xn^h-gurvyAE8h^tz;z_pv<E0&`PLWa|az23lU-
z^K+UV1}f{MbL|#Bb#1JHEE9i~+66+baZTKzU={Q92$R4s@U1KtYnsV3Tqn_E9R7Gd
z^&;x?93lAJql<Zq1Km-eIEqc(Tb%JRl2IuIR%<|2F=}CQG+FZG=nvBv@%_0JU~eIO
zo!IC;I@K%%FcXz@nVbli_PUar&|=zJCOoc?J9FFWpt7}S9G>mAou1&#{7CuRo3Bc5
znu(1SC82S+r8JrA15C;VMV2MM{%F7WJ(h(q*^yfeOTS*rR*gbPmU!urTfvI$&e&!k
zajVu#06qoF4|Gos@!8GOAuF?(UopYA0Kq7Rm2)jGq_)KZN!yIO830ny1#}p;$D#Y+
zk&D28Pedu{Izr;MQe-v6iV~Om4KS6-S&DgI2W*vOpgdw5l~WJsY@vM%s4L};*);S2
zN5O*U62nQ0O7%fhu(`BVasjNHj9=>=0(t~zbGxY08EyCh-i@nJ+(^B3>WUT56>K?9
z-$6KiFwUO4Ds3xPdP}8Pf$MIcA3?=jafG~MLoi+nRKhwu)az$evRZrMq2`7PwMUU(
zgk)NYR9EZifCSZ`pkjYl-Ae~Oh!kjp({!!6MSNCSI*4L~LT9mD!h$Yf0rgp%%q3%#
zp}>y+4M`1o{B-%FBAb2T#v|*!02$Z5Nn{{4Re$;9e4sfK>aMC;cMK7uxsSdeCbssc
zh+gx$=r?Jtx@|c+#*b&$eF_;Fl88sn@v(;i^An#u%_ThT=>ogDc@@0u0z|v<XCu1^
z5-$Sj3hi!S^_886*kK<U&|`xW%e7tBed%+ma3z=B*7<N|2zf<7G(wGt$MDbV(Wq!*
zGvQhlZb*f|pYlH2TJcf0@|EP>z~I7mqKaz_aZoF5Rvs=rFnreUuKMCLkal7SLNtOp
zGmTq{MODfe2wt*xWGYEu)CrssxDY@ZB4)YR2OY=0%dZMvW9>$4ez!H1tj0uVLaF<S
z7tVmu)}vN7(>X++7jE=r)#>@;V)TwgG=w^}`XMz9YF%JdLuyl)0H|63D{@Ch%R`IE
z%IA(qjYr$E2$+`E2-Fn8T(4@NZG0;ZC}}gzpFsG`uq%)L)3Y87(2<lo)zL*m?WpHx
zTlXm2Gh8dW17=J)<~Uw<A3RG!!Kg=nw&Sz4aT})>o!<@%d2XorneTLu!L|8-DeEpc
znTBM9-rlbj3Ipd+ygsAm%sEm?D|mlVO*j!g%&m>pR4>E~9>)ZDx@jfje4(}kh3yyt
zH$Nr6ZgYd&rVm<e6(Q~+Y3X4R765&ntgc2#z5AQDwlx`$ky#gKkAYkPZ#u3R`no%+
zmVU5Tfti2qi3nBfN^`biiEWAZ2@XU?=gP#rUu(zxP}v}gK8>Nn3f!ri5OzE|xp-In
z!9Q(%xwmMy^wjxTYjFIq&Y&^>)$C@XFokOFUQX0VVzLTCn;uArGuor=y2EpyKrH`%
zmid=ZRofR5Z7x2LhvHUTLc3w^5%#Y*2&pL=99Qi6DCOlGEliG!*I*Vt7=W1)!C0k<
z#*!h5@Nw}vF#ti;SclWDLM~>`gTK4j<nmNaJ`a!<@Lw3+>UF5;a<;uC5`2w^8q`VA
zaq0|;`c3%g3qR_YhNaaAWE;>8S`%#KLF_}Ur#}XAbu49sxIX_KvWc^pni}-H8#UUP
zr8(3TC8Jdg`6oZkFJ&0KxgUsxG7z4E5Eu1GBgyOW$pO0q%q^rf580ew-eJhT2F_hg
zKi^7T(}9uD&PF!rfaC;>G~adJr_()%By!Crt4Zl7Pv<CN@y!5%i?ac)#M64z&pe~v
z#=EK5)?GoXFW;q8lMqbgVf<tsFn9s;Ed!MMw3d-aBO@lym=0yU=xSWfS_>OMRL+S(
z*jKoTJ2w}zqH+ul7QQ0+SQyMJ9>{RRi|GOUJR`xDL%{Hh#+LqKB!kZOClE8a!_ymW
z60<5@{7hL#D=(ZWupWgMR&xBwtXrXgH}(xp`O~syk&IobH$-_n;<5`_<6P}~XFz@^
zNHX5>jsp=D^*D9UHkc{rT#J|k0vjzosUX=g`71_ag}HJyDBFvbwqV_Q4JGV|VRK<E
zMPps@O#57rk|uAu>N9cqdboIw5_mxoHfJn1<dHM>K;7Rzhz>F}4PL(Vig?b(X0mp0
zAG+&`33SC0mOz@*Gv|I(%dR8X#%huYk9T<Gg3KRu_J4_BzGG|$hxaYBau5k~|B`aH
zEZ?kh>L-D5W_<Uyv>NHjQ&x*<`P21L!uBzo%xdk~ybfQBfK5=3aZ~HPi6sz7eW?^$
zj~bZu7kv57Ox?GJL?xn3SF^%J`Om0YQX=lY)XIwrN?n#$vSS}YRc?_QjHXQ8elB@B
z1epzlii#3#rzc0pwAB_H>mr+hW_Fp7AnLstp&<lUUjjxIzA;^Mx*Q0pt0K8q-Bv25
zl>c{D(R2h=BzWek%YlqCpQI28FbM#=9d6bbH5?GOihSL6F><;{Ju%5(D{FYAl7Q7d
zKqdlp6)M5+y0;S8S)9vG-;T}SVv3A888Rebd$Yj*2vDRczH`oqv#3B#`ouIW-ZNOj
z9Qa!j4PEh8MqGetx92<Lv_%RirtpusKcHEk4xpakA(B8}L>iqD=e2UNFYn{rte{<x
zLI%u}>|8pJ!K-M$1xsggm(>7mEb)cO%^%gT16*MrMU4}5;unmMJwxdbO+}CCBcKCD
z7a1q(`15`9YC`cmuS~q5dbV5Yv#c+@mJF+h-Z+NWK9%eUao#tY9JA<3Kk<@EDjV+S
zo;114H~3G=!YwWh@(A_U$;4B0A!84z)vdUnI)F7!e1@0ma$T26fI1GO!QMi&mz2x7
zH4{Vtvz&hb#W-_k$X+?}A99E4p;%)zqr`F4<gw@8sfn7DAl&f!2z)#{@n_`2&cn>2
zVPGTUMtl{L%+k;!p=47{trwy~DjmAEZyC!m;X<|iA;tIX*Cuk0oa%f3x?iS1U1#29
z&&XLdKgKMOwjFAHwKa1{BSax!wP5cZ_KgudoAs{js+%DwN*|>r*aGj|?XD?F&){{M
zY~U;!NqV4q7jv1-SdZuDL*#?a7<`XxErU(s)5*SSM+v%P-yBB(M{Ro;u``S;gYo_<
zC>Ou9BhLx*z=z>O_!pV?70T5pqGew6OEgP~w(lK9Fuxr_b@5z|%v{tYN*;bx0%lD%
zlQK9PYbuI)awKk8`&lnx19PI0iJP>>KRH!c1BypKTo{G?_e|H{YndYh?eVc|l&q%=
zOdOz9WM6(@QtN|D3Vllkv+g0xlgQH{j&PN6oOgb#rinSI<50nT>p=_+a;i4`H5_iq
zhUIrb-j-v;3@=<?ux2>HhJ27vUmQu~3!F_`X-Ej(l}|nQ!HM-I;sw>nhmp%y`E16{
zZfF+7F-2^XYhOqvOE&WtK^C)IV?PJ_nr_CcSUs4LU$(#V&OBeGG6{0#Y%sUj6UEW{
z{LgARHKFr6>shTjiTskKjwiM^S~i-$doGgTt*ThM8B$d9j*iH9<-Mdy$;AiDc4Dn$
zR{RAZ=uP!&Gq?f4_|Llf?X+_(WXl=OzLjF4H<2&tm_iG_lImCSepscB%?W}>XeWVz
z8RByORJt1(EV|-h)bSbo{S-whXnR8{cqEUDbb}iZ-*;mlZ1yPr?}yPz^LIXR5%=j|
zW_0{J%MR4PH5>4;62cpqaZ-y}1H*e-XCv(4acRojRw-H#NoO1od;u!CZZwUB;@Qj+
z)EeoH%9Xcyei2pz$J);IPi0Q;1#pnD3{_*K)A7ZC*d|nrbZOfss>vy+)QN(KpivLc
zrYmo4L9H1C=`QwG=7^Uh(rTLzeQ<6}^(zwdPtx;j4s}f*?uiMLx^v8aVAYncPqFZ%
z;z~Nm)Hr(|x=PZu$WA%<H7ZD5AJ1pghZW-R#g$hJIcDa%GT)?lbx}EvpQzmsd|7hW
zR`m*ueygw{NRu85jLTD-)=;9byp?%J2h8kM|EPQbl8Bb$+?Sga<RNYuh%77wYeGL+
z2{(?))-bBU;R1B@Z-t$50W`Q~@0E-O!k5wl4$#U9Mw1KwH(F`x`|b*T9rjOFIonhW
zAa{Z2Rh{PD?Bl*L?NN3Wjkssg8h_6Ub62&+n8F*pcFGk+N%<K986}WAqgd?2?_7G?
z!rEB{yKk2!{8l@$-REis`#lZ+ChM^}$u6EKE#?Q06EZsKMLnvurN=`ltV-O2%W~JG
z^*crIDp$r3Q<8>qpGKg@14^?(v|L+gPGL9$ThteOSP*ZEwUBR3@_8TJ3kizRF1so_
zQtZUKi|AG3#G~IQURU{|klLC)!y2fRx@&H#4P#Xy>E+$}?*a7;8=@iEjp0es$A&K;
z;m^n}3bzITjL*fq<P&RA9bUlgXcuXn>Y)PC$$v;bZ7uyMtouDs<9saJ_ywWkmrqi_
zF6RnG_6^B#FwJ>qQG)~R#p8E7B?h$?;hO5!iuM0d%r0W5zQz&~sQT?H1O!gGZ2<i|
zADkTDW>w~vzP}uO9l}y)Z{l1D$i;QKi4jNi{KHf$Y|fuyqKp~%wWP&Uxv(MBy2UYc
zaea2{PR=9;QH5jJ5)BSHs>*DXt*pg6^EQ4oF)Z{E?Z%t~MFL1hl0iJ{n*LwzJ{-10
zb!V}5z@C+vrKz2_yu{~BjX5v?_+!Mo1(g!6{5?qn(;Gu(dFNI8L&%o>w{jozNU<qz
zJnlc+w4=Thc%0_~)~THJ?f2c&71F#&ExQ;Wqoz<4)(NQ<Ej(pLi~y&Eiwj8^@<m^-
zbnWkto%jx^HRVx+;LVZgWYP%ESoWq3B)8mqP+b*PHcQj|@=q~uBwy+$8B<V9ydFOL
z=tQJ;gi#PQyhMJmvx&ymL!XB!_icLKIij6_-5IBVgWTj9z;^W~dWR~Bw3JW_q+_#J
zYKn&ILqKPa6VyC>zRqyGVV#Y<3|Rz1O4aWMdaf7Cx;m_>9;c{HY@*H{+HJ0HlBX=(
zADWv8J}$4er1>#DTb}pd!t-O?0(e0Hi_JhER+A@Yt8kXu@+)BE+<6$-^)`MYuP<sf
zni!$85%A2V?*RKh@1x?>VxW{iRTX+gY7t%7D<P`505Vqlodv;#BY$Uyajbps%Xfev
zDF>8eCFa$sio^)WvqViSs#Fl_y*rZBS*9wLY|aSxn!JY5J^zj3;g+-Vkqt2QEh+sR
zJ)K19KJx+R<RWys!77RvOatzwP=dV2xZj3OIgMJ`mTE1_txFc960bTv&<5)JKX=-4
z5EvFCK&B$!LQ+dEe|(2L>u?Z6OY#LIiHKB7n+u~}1fVB82ZVrE74E(?V32g0w?01Z
zcs{pt?If==&iSh#j-lmialO(4Gv`%tX*(hQY=8<rSldGCV*9sBaq;7aPd%{+nACgp
zYK!>d*PU311zH{9ZzuN1vfyC_H3z(z_>Z2grI|~o3%Z@)hliR+5g_s9LiH4F2(2-m
zSyoT#z==~@JEyy9V;*C5$&eV;ArV^UGOPM#GS)e--at+-b0rbRil8i$jX=-__*)<U
zAsU->tzNaKl@EdkemnbPaLpVuKbv~Ux;MYZ%y&i=4`@7j9OOGaM22CF>h-ze^qA>t
z`gRs-pStb&W%N~=2lij-Y#uw(nj}r+NXL#f(J7^;h8Qrnk-KADva|OWc_~k_jMqu@
z1j+@H8x9+rg5<iDLgT>*YVTZ?1yNG091B@$b6*kWEwuc48l=U{uG>qS=_=&3KK+iB
z<F-rixD-ThSr{p}s{C|{Qu0QE02_2(XN51t3uSH6Nobn6cu1}ctOF@M#%y#Hxaiaj
z#0$m#FFfT$4i3Yl<(dc}=6RuuGkrCw?cI&n#r?%5wE4JD5A%$I&IA-(yF7qj29SV6
zfio>Rg6-TKYXDnXmy*;IXjcBh8x>jB$Q^5G^w3E7$@2eW#$Gobnwju~L6v2#Q)@ej
z<vV22#3cbTK+8vYF$UAN06+3oqxiL@R@%XxeV+pQ-iTlXjsrZ<5&eFhzS&bGj3JvS
z*|h?RIaCl3;rTWtCD``Uz+?DDTE!ZCPfvYP2cNV#!|lsG1Gu;r@oVJkF5mXx6*9@b
zsW8Lub4nROU3vk)&YEg+YtAz7K_CDDPC#4g5+f@SU(iHc_*1TZsowYkUi;pu@TN)e
z>t?)}u2d{Gh{YtWM+A+AzmpawR#*tNcJ9BK$%!kVGP==Y4E?vrUAaX0x#&T`eCPkU
zZuG&1A{ab>tZdo*Fn(GcxhEr8BvTJ}Vd?aXeR17(?Khxk*O?K(t21>7?pphlT)vgu
z0+>FLrtxyJJ;g;3W6H@1M|@+gD19{rwmvw7tewrqXM0o<_ee6B3uPOh%FJbU8>IVN
zf~;^KHDP!ODVRU<f^5J=r}4GA<im!Le^XFj{Pv<5VC5r{9&Q`moo4n{CrJQS7p`Jm
z$$D1@^DXFEsiiUe%vn>FuouUN#xRjzlANZZUqP$>-%TtY-52I7Kw*Xm&Qo~l=+@4M
zA`t+V>0Evpqj`$+3G4BL5mQR%lDoQExJMtB<&kn&RFoNXbiPLeW;7u&plKYFqG63G
z93cGz#s*87Xa+I+ol&#=!-RCFpQ+jCsJX^zln(n^0gs#GbiuZeh_4OC<)wLG<Kk9x
zT3zTpr>Ht&76H_8%%jMR(=mzhmqQLMzHRL4ZyOLeI$)qgsuxnC5>8ECQMsX{Ff~@*
z8=1~n0vm{hoLF^7LJFu1!y0SKb=y>5WOfnB%L6COIByvET%xuk{qO_%3<xlIv6m@V
z;iXB(Dp|z-x#@8xFG7BS!P3GICZcUM1tG`s?U|t@2)ACby9iqS>W$dPt(pC6DRq9G
zDTuof#*U%UHX8<(W4Mt2M?W%Mq)LDdLUSd4?NRi}mMc@6?e1BrorH$B^_D-FnkHe4
zpxV?qjOB2u6;@}76Mhp%{LgZ_CIIu9pr-+<&xK}OT|MDkAsnJjMT|<eIYy{5FdoJ;
z6Iob=k5az?raN1Ln#ZHnRX2x|IN#$X*Er7+R-2kAq|57HGB2`-%egwHZwQR7@~QiG
z(>Nr!sSx<k>%A%SDceF{2+%TIasKK|Y|>ELQGmkmCN6qw=!}fGSZ%@RC>q!ooYXv+
z%&6bUP{n%GFyz&#e&VY2@~9i+eG8IJ&8cNOp*`#NhyJ(EH~elMiHA7%|BNT@L1^K4
z>G--3#vnFVT;{}WLaLfNNVIuhF)cC=Hlwz-TZ5oP4Co(X9`fi3CoAPeeEaamXt3}R
z<58DvsW|15Y2V_M1J(m{xk!MGv1Y`vpLW9Osx`Bw!hwf3yo0m9lB~(#f>Qv!rag$K
zoF^1DLd(IHrP5Z`7<S+gl>t>BrzLoR4OP40?ph4LtZ_I(1Qc2tPwy6#lqm&@rk}(q
z2**)eCtWf+&&_tZUtIdowN~lmD;#6?QjslrGzRo$zp5>CDWlgss0$bB_og|6gScN|
zAq_tsV^ZzXGU+_x=qZ*3M9rQyM&9+|EZE^4rS_^KmIJ*77JV9JpYNnb0%VRB9xABX
z5G!CmVLzB%O6b&cld{Yj3SD#mz)%rC-5DNs$QP-`rAHETc6b@$d=Z%ku3_@7gG^tq
zHmVavbmea!nn8%XJ*1`|U?YQ501bBn+cE>}T-wVoiwC-A1PQ)+eE?HJsvs}0ZW3Y2
zqAKY@l%9+a(h4ulKmT8_#1*;6q|>80Ivpp#yX+_=5H<M3cPMDs+O{)^4hzaHv7JOF
z93QF$r+V|(O<8y$M0QaKIDB%kU1e@R_y=^@Kj;DcNG+`y<~C?odImO&E~TjaaA@?D
zKpq5l*dx=@9yyu=*1S>ynIn{VJ)QXWy{nq2knp&|>?)VR+E`%ORchXEV$rmA&E~bh
zfPHFOqv@N&3(*{kwq2^UiCnobj&q7TNCi+1^>K)UCBYdXK#BydPaO$5PDf}eH}U{2
zks|OX;E;LOIiGf(&Dr!eC9uCpr8Wq;$C_Is8#yhj07fjOVMx*dM`yJvc)86CQne33
z`)S>3!Shl4g8Y259uTgne4-N3c-dvdG9cOnrSK?LF8#;ZEv6dcIGH$RYG&U}D!-Y)
zDHk7WU&&JC#-gk!hBdEbIkcX_$p|l7?R>)fW;|RO%-<ql=)cKx1n5U5&KVm<Gd=hX
zlcA%$Q`7G0$X`@4kh%=$-?4i+P8eYhzMQJS+H}d<GNmG$q%Koq`Vr+>4!bqEk<nI_
z!;1-j6bn;=be+)Enk8CaEhJ}cdiR433v|M<XCy*X%?M2e-9r8Emw8KaG?(1}2jbgs
z{_pOKRjI%37?oaF6KYdHcGmt1O3$y5g83YhJqxcTP_`_4UMehIbw-N@v(yCB9v1Eh
zX7C3z<xezpHdOp5mYbn{M6|H~NV=3yYN<lNtXmwI0`Rwq!_n5D#~p*^vdR{zZlb8B
zbGU>Mh$eRM^s51oCftRfZPRxgz?_1&4&b)hbIisg>LG8~Ut`L3g)NRoR0b`{y*@gJ
z6;h1MjcK_4tUg9lnQ6ZxWDN+g6NTgHa%k>)Gf?0t#kkNXXv}!Q&0Jygk1BdT?vz00
zA?}oBbYuxBjg%j$qC{9mMAYF7jQ7m6^~>Z_OXBcBP)Te2<JCS_Csoo7jF$RQu{f=)
zI;N5Vj4SAI%=vUJ_MDIF^Vl5WUr)Qk&8fA}(;4<4%4CM5-)T(Jq$+d@4pwDbp}a*u
zIUw;^Cb-fpQyi~Ax?1Ky{iwSm*{iJwr!n^S`U>ix<889#?;*iRFXKg4zZZ-xvbpl6
zWz1Ff?6Hiju<)##@b)-ZygobKq7G6?_2{McGhMsniOc?D=e%={#DPfd%HLu%jnz-n
zA|mthZ^HQHjbN`uU5@vhC1p^(jBhJ?jOoOB+a|FV4IU97C=@Zm+4QKXw99UOI`!Ym
za3szVyz#-kMbL3xSkS5N2AND>wISACN9!JN2La2ax(LLM-N{LJ0J(`$`#(S4`->>1
z-lw+)c)pXtBll7TNM>-@3l<vF6pQ5QHJiwuMtDZZhV^VPQ8cS|VR?>b<bg%{-&*NQ
zT5YWrm1Y!&YY>lNyuBg~9VV#qMl!`N9W~t?CuQ>R7enBvFa;SHS?`xY)d@{qUjoW2
zn=t}dE*wEK&6Fe84yguJe_&N)Zi7tDeQ?)2P%z>$|IXk^gI#@J&n=+gn$l7lcC$L=
zgyN3e7lWVZ#+owa6|S26Voz9`wUbLhEOWARh)Xu>{1ic2Q8C*$ym9Mv8!*0hRztpt
z(fWS<uH~XxPO->+Ewt7X<WUgB4i%jHEZ{S;5p5do9{2l_seoQ@)14A3BslU3?**L#
zJiRYqQ7KK_tMpr3P^q-^bN(J*xoyS%fxoIonAhhDv^^EA%nUmo+*}*zfV1M2nfVp3
zO%WuVd;Xjav8TQA!wqs<d0vgYAg641LYV|yNgWda1i5+@RU7{}i6a_rkMWX}QIAJ=
z?P@q3KI*euLXp&jUFNO=0nbxMo?$m{fxzuAB-!C+hVY6hTGbBG-PL~HrqjHmS*UW}
zsNR3XozGbi?m*gsvJ6P1K-pV-P?XPF=}5MA!z|<jLMj*%JJ>iqr3dCrcG69rvVLx5
zZeOBc+rRiEFdbWL^1>yl({FS!c-ly!<~ao07gx?!XLDv-m<R<7mE!J`P!aHwqRu^P
zX$^0N|C(d6sauzrCP+OfIxX%&=jun<(s~^*?5k-IL;sCa^n?a=j$zV;f9yN<vIq`(
z12GxSt=ajk-u(2AoJ>D!oG{61c39C|Pe;)!uOD?CFCr}K(c7I=VPuQbWVmuVtJrs$
zl*t^NibiF@_?#zZL|LJF`r{kz=rv<snQZF<=IX*FyrI@)<_{{z$K8UxY!)ao>us`!
z5$oqrFr3+sAQiHsn+$Ch9ytgEk5PFH_|UubhTf<!?NP>pe_z`1Z!yh|N1Qnq!_ESX
zk9ZxLxr!UlSAVIb1J7L{r`^IZhYqHlB?^BQRDIdb|F8ZY=j7ww_RT%pAr3Yd=>7;2
z6c+`5k@o|hw7jtJoIVvuOh;Dw{jX}7Spsxs05L$$zca}+s`P2t4?8V}1_g}boqx=0
zejq9gJCo}7^i>>c&UaC~N0?_}1I#l`)A5P0>mamKP=Niqnzd@Fi%>h6U!+*+B&Q_q
zcm|Xj1Z*05Nu&Y7+hX(m%i441)Fbp2?%{F0hu@;)d#S#6MoY4!FZL@j4HiXVg6REt
z3E+>yjx`R;MY79px!na@=hi9WW1)ocA;C0FOf&5`P|P|#i|Z#yn*udknBeS35@4v4
zMKNpsU}w~M*A{)vh>qYrSSBUGS1|Inf$wv!V<`>^9Hdf|mAUmviQEJsH7K<%T||(d
zUOIPrIXqqg3T@S-+<`40kowZ>p|<jEk1pyB&11_7fKc~!rfOeiG<>1W0$wW)p?)5c
zK(q|LePQ5S6d(X|c0CkKlXdL{h+(CE3=z<oaL6^%6%}%4Gk3igh6v$nvn#^J@YD=P
zbjVQ2?Mbj!7_@qYKgNxzy&<GySba+cTT~D;AB0)6b<Z@TR{~1@g_c5BEs+Rfm9W-g
zy*BxcAQF)6G1eXIjqwj<iD_U5SaOiF$KA>41+Cx&57qw74;a@1j{*0`;kPVTc~FCq
zn~;HxfL~f@M4kr!H_bl{HV^ST+r_8K1%8omR?s@Er|YY_-wsAZwlyP-L%Ytb`CHYv
z<e76qXtRKpI&x#<6DoxA2B(wGI4|`KR&TdDM208o6W5R@`O09i{@WU~wtZLxZ)R6v
z#48QI5PRvsnR~LHa+HoR`osI)6IH41Ku>)xcD&Di`%I84rgYdw)%?<&yYJ4c@2g>6
zj2Za5(=uEkA53B_5a(EE$p%Z}jlWFM!`mFn%?|SD3SD=)qLf5HEJhM1Kal2pjDx(z
z`{WT@32|(qzJU3Vj)Ic08X4GlgmjOrXw0k;L`#YcY6QYa)_Cr8)xzCs{#Lr|n{_-M
zUiTGuvd)yLnS#31ENWeznq12;YW(}?w*upIHn20n{{YvIc8;b?(|(5u$KNLfY$hP@
zrX?rrPtjZPRYj5o-c9DgT0%@QLb0x%3AcMIZ7B~IY4vFT4z-nS%J$Ieo$+gcop}{`
zjoP|Bl*9%Q*t2X**yK{>$Mg5XJgh73r%(Ysh5a@Hcq;mb3B$cpX7hSr74Y$92@o^1
zE#K5{AMtbI6ClD)(5#(!=vVB+CtsMPLp8$M+FGU#|B-EYS(gQCuHV~z?^%%KbE~eJ
zXmj2yUNcz~%0P>aFc1|3wY{~@G7W?)pa-_jf7Ku%2N&KoDHM%36<R+CmW)W(q(13d
zfZ;H8)u4I7EwFu6u*r~?&rk_UjlJV|nBNVTeB(skd;t~B7=ZBPV+4jT6m1e>`IS7H
zpdjfs`B-ITb!J5muwz0@Q@Z)GOtuH(`?l=k$w3E|vIN8dNgZ`eU*2CHwuQjRT$9$C
z%>31o!6yQ1EX6K9nAo)=y4qLfPr`8U@QnQ(Hkr{zlXE)IJz4#CUPZ*`kB~{xH>aLn
zG!c$MsWz2SIY;7POBQ*Z<WzJlj{Ft^x5uAmXs=s-DpxoXHB>g#-)*2o6mTQ<9eC{H
z;7JvbKWkBU$aXL_N&W2bfz6gQ)E6Xgg@HzF*9G}A+Xl_I+tH^qFU?AAn|5KRgz~cy
z_^FV(_bxI9f9o7`evi4+F}_D`j4XE36U~4?ZJ(?KB4Z+775&8l(cyBp4H}$abaL)9
zsKi9co8e;PjkUR4P<b_Y4&uVc)gOSH*lswHczi4Fj<>O4t=<{}H8U+bd%{av)uDKn
zP7!pZ)kyPP4Js>_OG1_McGZtAeJ3muG<Tvg&ShUAs90CNfuZql0(`E>sL?58F&4zO
zQg=y=;0F^#ghU*(B*A?Q{FLt68<d&h;sSFUA9?KA8np8wV0FXW13Ee`itSW6D%UW;
z*aL>CB||w#LzdZy)W-*<2+!vvH!YXP1S-F=8{Qi3^OhgAN=2-kDTdq{qqNK7p(#Ou
zY<~aF{9kGVWjrsh%6h~T17>_j@CDHBEZ?vvYOFFZ6V+Zv^y^uz{3~*jFv%N^DcuF>
zeYg>GYr3IzRB>mkj#mpHwwn^+BV%Zy6P{2O=nqRk0L#CO7-5}qm9T>a7oWxPElBbb
zzB3bbYqF2D|60u?u6V148L3kMqSt@jMvTwK`6o7}cb7~>fYseza0+f1N1swaBn2AO
zH{;$WoTv#cN-r9}je{-}Ct+zT(&nDAe<}u1=B)X`dSl~kjB|ZFy|B_eeG)pXn$bPp
z=d@#W_h|gges9OBLXx)?gA55)upvW}u(I$Se<MtzRp-MMl-(Mx84*}Q@|WDPFVj9%
zs_9Dgr{PL@9A`mY_WBBu|2hvUjLq_bjy2}>?y5AIxK)oi4OnoK+N&-y>e@{YQ1VUE
zX1bzRhhdmCehA*QW-5e3nzr1X{1^MX9yL))mI_gd_SY7nap|h6NN2x%;XBDw%ss;4
zBCtrscVj>1S%pD;JF7DwYm>PJHNjWdqKVeFUFz_<G8=+c!bEC2?!obhE4@pij9)8o
z;%V(T%b7dk9gH!qbp54-L-VsvQI){8TfCBfj|Kcz?FbFdeMWq@S77&Hb$uH6;JK^T
zS()TD<;hVykDdO&5*~J$t)e)N&Hw|HIG}UoI0h3F9KO~Dr!gP+kE!UmDrWfLU}zg)
z(>@rV4MvDv`yjA}`G-0HmKN7>_@BdCYB{epes`0mE@gbFA61a~=1BIuSBSLxBYaU_
zj2MR6v;ddAtqGo>fw?nJh)jJoqNhVl+8+_=oiB(gh{~xE2b61xNA%U0dn+jo>u2m5
zEuG$KPKD8{_8Gb>66U2*wBDPRXa@;tmIA{^#89mcC_NWwO{H1x#tK$)%IY-#AI^B_
z+w*SRuzz+D?xOWX;7~Jo@6AY+E>kBe&Tq{jTf6!eEQ$-?_VD3RwSl2!lH6lFt$Ej?
zSj3S3R*IttTbPI6Ko~Rge^m0d!tYt*@BZF!hhZ>#8q1FG|B1UrLqhk-SB})n^<{s<
zUU-jE9;J;jQ-+LeM^71h2Y2!U7ZCSPCSfCZ)KBxtgU7|k%y<N27<D#Gcx)^l#9k;o
zij=5U#O1z;wP_xHhe+Mb^xK{lCi2QD{x89rv76aMLtWt?U=cjqf_jK<`Y5ddSZ=+v
zS%<Lm_%9BMPrLUiyl<&^(r#7!e=kfebZhye$@+lfePMQb!+U03(Z(dVAA*Wf`Wx-u
zgG#_@V?^5@N^IG{WWsN8RjiwJeu3|nRMdLl3`;Y2z|09Y&FLv4GSyDhIkwI8V3T%5
z`qv^#>9LsV>BZm^oBMiXU2Rv&j>%*M=}ylW!WSmy0WYNAx$BTFjP(2QKRgNVoQr8s
z_R50oP+6_^WX%6T{81&sz`$?4XxS7UauJB%#!b`<A1jKB0H8b|wjn@xZRlRCywG)D
zO{P>k^7m-;@B^F+d$}X?%GNEAEgdlRZcveYsd2sHVVRK*!?eLx6yOC?tCAQI!J+0l
zsems*Wx8A8A<=kEw%UqibQ9}Io63#JBN>}sE{gH!qM@UuCk#vnwX=bu)_GsjY2d)F
z!+ioY=`AGg>#@Rlew9AkqjkF-G0LCJ<>`~iv*8ZVV2!ZgB@5N7Nbl(K#e;inenFaS
z*oO*a8xFXO=$r`-D)fw8ZaSqM8^gfD5&R%v999p!Y|Z;f@nLz^Ab!;0Yrak|nw#DH
zNd{FOIfD?=b>PYRZU)Z3Nv9po)_a1{KGa9oc)ytzdk8r{9Ro1Cc-n;&#rLb`I9DU!
z>9sC4a90Ct$OZo1o+TffMRIkdt;$#Qn>lYiEH%zd*HPT)teda=qeR9ikbP1nMYJ&(
znG>fJh1S46B5L@!n(mOMMw!LL0KE?FGFO_3OmLOFNr{4t=>Q46F}n@=nmLnobj7G2
z8o8>QFt`bs-4rPq7Vj1tkt4KQ{-CI7NxA2;FimCu5s?w-;dlaoMo5%tg&~Zc=Irh)
zKV~|?u9P_ClH2>tos>5it@`CpVAGE{`vk<5xZ!6E$5q@g`(lZk=UfZAm;TCN3SHAx
zW#I?8DOje{#6&gd1d<VYKHEsk_Dog)M1r0Rmz&Ei&V(9PYN*&!$JZc9L-$Sdj)DG*
zoYI7<&&bHp{toqvV&tI3d{t*r*COJv!kcj+bTan$6I=}0^LY7F2U=^<c76*{MOG5{
zMkq&Q_3niM8hckW@?Mg{p~B(b2Q%}cqT3`3mv22G9z6!6DLTHk8uu!f;}dmrqRnBW
zdssO`EP4&^u2N*YtB@#sIM}K*o>rn;kB5#1;KgBWy*8A-A!d(ebVcE#WzZ4#2ePc&
zhib?Ef$Lms7J}b^{k@k4T8@G(Ytm~SKKNk>kgoe0PkbzsYp@wab63@W`Ng2|6u@+v
zK=gmlvcb0&idtGPs1lXQlV2rW=<KRfptTlHQc4_pF~2^g20iZZ&ioYMCT8aM(z0Y1
z@%X&LB|t3x#_r_+Y*T%{Lk333eY>8v&^wB1gw}Vi+Z44pnIIP%R1v!sl0h7cAY4!K
zVl?Xa;$)2cQ%z}3^;lNHyn5QYu$J*HymN<keAUzI*XRDh!BJKwLu<NnwQI9EVwdjB
z1nfCDWE~7pe5#Dde2X+MQ<2W+Y66lKIw`c^X?GRdweZK_bUc|O)KK=uAl+t%b>8^D
z@RJ<RDB2a3;wmo{lv^My$s6yLC|+cm!Fd|#m6n`4=d^K=bF^JA?qUdSRBFyk4j{4d
zos!t7SwCbgmuj`;NDZanL)NGZ>TXUcB(TJW2O}G9+Jut<WHvB-s3Egbll=gM(#^>1
zJu6>w*XFXc&Ybij9JRku8Xk~4jKf)%6`dj}RF@|xt>*py1!2Ve`q7hz6kWxh#vNO3
zPP!h|20wH#Ep#VU@8&Bv>z{xtl8Dg8qsx#XvhtxyKH^H|)cyJWW>M;H2xO8(k!3hy
z(42_1Y4Pa92WtZvnHA`mNZFknxp}eI5D{%*2C#amK{dgRijrD=xnYW?EV_EOT{w<6
z#GSuu!Gx~5Q#oj#w7t3a#VPWv`A_I#KwcQ7mp-sg)N<*WUgo!8$MX=|DEm!>dSp=F
zu*lLJlafx21NCG4lFD*@aL}!trvG3QizVX21V-{OD>mM{9&aNrQh<0@4C{?g2<tp<
z25bj21Nw{*p%mRqL}QC_s~Y<jPR}T7XI`tL-x_YY@PZvL#DfTW_EWh=ywU%5{+mvM
zLDSgtN6;At1DtPn<>N{wW`t$xT9Ag=cu}^%hbHY^uQ1(}b13t7{*Psr+D=;pCJAM$
zzqn>iiC4L`{Ra_BIUv03S`t(%s<bBRc@ipIpr`rhfD6bH6ai5NBaU%%CG@LN;uN7e
z2u|o}nPK>z&A4A$$?`5Z1&K_J=*X^60SQ{$?gNpx#UXkqh_&&k#TKsxHf^$;0S~bF
z`cdHS8i`IriAaG9YkgNl{8d#;kD3PiQdXsrG#Iu)qZ3<?mJ}n=KE`!BFr^8?HlM#z
zVH>R+x`&7(*x50X(^sLI&oGMwebf$o37IvFP(3^UjhWyQHkro>hxj%vLv)i*<4Hc&
z3VV*@(%p9zmtqW%<~1RU-pA!DMGX(ECFR1HxD*7{5LpNneo7=?y6h9U$8q3LhIQOe
z#r%DIs&YZYm5s|<aIhwn>V>vtmct2ogGoIo=bG9RMI4C9yE?mhsbR)sp=M-1E>6J?
zji<5LKU90;nMp@xBBO;lDGX2N^yh*BWYTy>$&x;nhTAVN=;mHMB-A5S%_s`%q3*0&
zC5X@N9_^T8^S>U5wWisQ$Nh;jY5IRLE<+L{&39jNK%YVH{?=BA)?4#i&N)zQLB=lu
z>iet#un96=sa+J;JP6MSu+-7(-4Cc89>5@3c-eY5A#0MqAp$#MjJ{OEed_;RLIWde
zo@lyDV=7VMW=i)7iAf=e5dX?S-rTcB+%sDni@E|!d-jPZYH$7`!g>e`6Bn7GvSHJR
zuWD2(cxMQ~z`&SaN))Jt;1X8PgZ?4EZ`8)pU@%rdCmU8t!ch<)5&7Tr-OHi#nJF}A
zSM*h00jQMM*e1o`fSADg4B*qZHV$6?F7-~RU)=0Tcto<9dgT&AML20#Dj8B8d)`1M
zLkXsyszKfwNZnj_{4B*V)92rYKp~(5>G5Yffiy+ml9QWTcWKv^v1~COW^zh)77;sv
z6qA|ue_|*y^)+Rdk+by3WNA&D!2D)QEHxqo;`9o}_k5^9#bq60MLw`TFD#MaF;kaQ
znGa_=1X@rBaz<A!V0>^NMKRvH8y-SJN{Db}tvJ=CLM*#`bm1<W(9pEXknbR19UoH8
z1V=4}E@v$i>k`IMI#aJ*6gb?iED=(Fi^-qa9vt0YM9Q(9V@4Y$-%d*x-TDtI_P(~r
zL>J8y-ExJlvCxv_|JROk(R6b1&CH=FVIVJ<61ivwLBmvedd;$XCt+A!ixKMd>o#_z
z3WS2wm-J=XoEjEvH~6f-^{o~fdj|p*UG_-2r|?5n)591B<}3y7>#9h&&eB{C5F=%_
z(MfeNLXB(iINsn~q4BOb%glSEp$GwyM|Gv`=lS8-Zu0~}Zq>XN^Rx?<HUCOcC^nVD
zq#2%s?K_oZ=EqmDtRf7jWxV0(3PWb5{U9jB#q>V(46TZ~u^?^Dn;`7WUum6HIosCM
zZ&L>DX}W28?BEXwcF$J<2wJ}zHR9DV(;Wv_Oe2kNxXa_DY<Gtu$J@u8kCug<)*~6`
zWgyMM{xTB>Xs6m<9An+1J@(wXp~uXy@oHa-Ddqh(a`T(dQyVjh?7<pEY?Rh<;L=*+
zH4IiguITfMf+eg+3Xb?2>XY-Hla0E#S$3XyPc*#mf`E(PA@`*WyZ;BVlm0ewWiE8!
zFxYY#5u&>O5l0*WVOVE79g5$MO7-7XXy?d+{iL1a+F;|Fb+{8<x&{+n(xt#Y?!w9~
z9e^{)=|%1QyoL5s)P%AcF6NW0!|qJdVg(lCnycjAYM5oIAI;FO;l=RyzP~<X)7r&v
zVqC?okth?u!6B`-yX@8V9vq>RjseW@D?8;wAJGBLpHVE0pSM*mHnxeEsYZz8Dg=;;
zHogmiy`riC1e)Rel%Y^kxJ)CnnOY~3b_n(3Px`luaY0sHO~)3g>WXsw!TqiR>i5#d
z2a<6><LNX2mhM2Z$cul0RzBj))^Mj)A#SCMeo%Wy6Bu=Ll(2(k##jJd*M*(*@$wH@
zTglnM52TZ=&C-q?EtwaDI%VT)1;_7zZi)$mcN&x7muT@wQo7Tn+Zdl#g_aXV>%U(?
zi|q7jX5HRVwRo-5A`#|9#4|syx>T&QlIZO%*?>HS7TAS$0Y!b)!Q|o9@)B$)a4V5U
za~<fNUR`ba4P7jHTdtWqt>!r!vF=jQJQa}t`ehwC1Gj-d1&k~o0e!0tBt%#X4Y5zq
zz0Q0Sm2?o-k2ZlGsmL+yVk}ZL?5-P~`zFZAf;?fZnqB)a1HLd(#PmzZ39c=_c+5|u
zyLHfurIK-3thV9auhq806aZD*D2ekrnR$aCC=rkesUzs1bg1jT2xHGYsdr<#GSh3M
zr=Cn)!BG0$=XO9A*g^>xueVG8cM1R&8dr^QWA2EgX>ejB3*v`H&Xk6~fSL18HzhUj
zY_b+;bbOquV-c06^(0wVWMpcP6%PXV6J=@(?4RPV>yZ~>BwCxpP8c>lGtq*J=?BrW
zAr1lnzA(7(Q2nD4;~*q(_#cVjdNB^r`d*9~%=Q-%idm)+y!-p{1N!g8s^ldXNdu($
z!Mu~Hgb%i*H1yUN9MX<WOl<Cbkzam9BOqgehD7`jib<>nHW-`dd{2jhA2PP`6>r4K
zKg)J2a891ilb37?O#*nu6kpG&<?FEYhsBqwU!b*REJLXfoce!l^r_I-o}e_Wx+e~k
z_^DVT3?z@Muf<A8p2Kpnxy4%IneUgw-}4!`=i-TveA0Q(nBIo6Hp+Wd>wJ>h<blZt
zG6@B-gV*j)c<Dtr(jP2~UYc`TQS?~?$Zn+@IwMpB&%go^qhOHD3MO<4dk6IFi>rlz
z*vgMgaYw9AtxTg>L=*&q1nQm|i0cc{8VY5-kz&T*O?CbHhmd%mpC~Z1eG~Q<Iwo2o
z6DmGxad*_Y=wc6Fss$i;P6-yWG2;F;q>*A0C|lu5nhdZPqqcue-BJe=IJoeT`TP^c
zROdi{gZA3Zn`10@m@mG9>HIS_s+woBTcm<02J5#2|A!7zxZKCbP)1qIXF>TOpN|bk
zel-;2omyW)r|oZ_|C0h{jtzknks&yM$tq=Q6X{*Pa48<oxeDCu(7aXMERpD#F{US2
zpjQFl!0Wi4<XH!V$yj`-*<FNK&Ef~mzeXc?tUS=j*+d)9sfzNiKX$RDy%HsL;tPn2
ztfU_dXGYL#3-jLsJE88r6ZwNIy#-wRCXGv&hKoeiV(ZR~v9=A`0{`IBm=De1O#~~;
zjljVR{gwBlaK-aDYovz|k)EcAp@*UYdzBW?E@7N$tbbI)D0pVncN<g*9#j~yh5Kid
z$sUD3z4}!33<KE~sEh6|LVmGas<j<C4M$SHCu#HA<AFOWdb*{Hq%zqRo7=Y@Je`PD
zePT-U<H+PBzv5lS;E6pBFAClc=hpQadxUc3G^_UN9JK+$+94+;O%;Ha?O2vKAA(-%
zlC3(E`0+|Wvrr?SV|`MEs*Q=mwOl`GDv}gG4R6boQ8utgfwu5EH5WKk6{MQ85!P8|
zp5DP$v3OQ-Puq7RbQAE6dQ0TJGLvDkHi#2k!H2#xm*0Vz$veUV0WVZ)m(MqX4JStQ
z40>xjHg)l_;%WaqbfKAlQ%nVGFo`;zH(SW0;nPVgk69f%$Aum}OVntJwQ-v}hVd`B
zA6KI)CQg&jm3}lTpkwUx(U$`5-D*2Q4tRf$Ue)oOaz6M2A0>~&Y3z)NN6AB6_cB?P
z3&ue_9K$k5b{*8KonIBsoq9Qn0TnBAUxye319c(d<Ko6n>a`qx@!t{<9OT<VM*~Hn
zPgcc>A{MtMDVC$eJ#3irm?S2LFA>o$YXFPVsq<_AP-rOwiQ&Q<pkB=Wwk!(mxYhrb
z37youIi1|W!_yv3Vz#_9e0Vqvp~**2yzs1l0T3Sj*~xvxI4FSdxMs4R;}$wexfQ>U
zz4<jrD3$HZ#O@AEz;0HRi7}S*z8mvPc<N9<C-K9sW~Hu!Ui@7|*u}x+$6MpC3>!<5
zt&6^-xkY%>rOPHTIXj>bxgs^X_!6(oaDNLCo274I9HR}P0RbvM9Z<%^6rWCvRo4%Q
z>>#QGA$P9Cmd9Uq&NJG1l7e|6<<#w1it2-|JzFN$VGyT$_*=Qsh((M7Wu;(%D5#GZ
z@rq6VKb@0j1(OS$iWll%N^E?h;%WB6NBr}O&q}<2`I!X_lcXD`YW*Nc%uazwFOLX9
zBJPed%Xp;A=_stI{$2uzQSC@)5QoGmNE5IG_d<@!Zk_X3@NZp|n}-96<ybyejJ9DT
zsUcfP>pb<L0LsrHPq>v|^s!fxXZjPr0ib+xGc_%tBapj!HjJCxE>p$lsxTw{IWP{v
zd1c<D^iCxT+cNx?oJ)T*7Zv-H$G#`ndH?$^zI!t5xa23NR)QDw2Am|ea93Tn<K<{h
zuFfWqMK)(5;jr6tR40{;987@V0vl%T@J39#!)93uza?Qx8p{4RVRK&^EDR#x%#Q!W
zCrrk3#`gSEn<64gyvx0_p2iYU^#o$OU7XO8k!6kx_dUQcK^h|iyHk89xcE>*%>HVa
zU~*b@03#h@u9uh0r?$bCP0oI0VR~b7EPQF=)PXAQ93u1w!DW4k$FTMh*qr9jMJbtC
zH@aEE=3<tQnj%i)V#P<iC1ZoIqh1IotT@$jWhL<bRXz;e2JP<}3(NEv)(Grc;H3&m
z7Z^UJ2n+c^#{&o2;v%dhy;~Sb9eX5;w&6s<-rak>fJhw8{}a#P%^*-3ciKj8Zn}~d
zqoG^&2AAMUI~cQc?{ZoJWca1PG*|Ra8Y#lEtazHWm1Ow*0SX&lM}EZ<P+JGI=iqJ^
z>&6`)-fp^(DC(43R%~$Y%%*aW_~6%~&*w+p-YK_olRT6hD74w}PDAfI62pi-%<ds|
zGOflVwkMJc%Gx`}e&sY)c_T%n09Ft3m2TaWW9M|OHW9T`$MYXz2{`gzLUrx;wLJjv
zM9n7B0RRrlf|-TAck{sHQVCBRNWvqm|F}!yOf&)>wD45V7n5sq!%MHSAf|=j7=jzX
zN8WFZ3E#F{wA;$Jr>I&}jFN4l#@P{r^2ji8ZUX^TOa`ml@U|BDk4wxywQ`2mQBikm
zi7`HbM>1jSR)u#pvCW5=F6CsztZeZ?9TpZO`eqtJe1wnXo<2{*k6yFU^`MwEgTWhU
zPM2U74x15xXv^;Y=*$T@=Td|Z&<Nc?QytESj4_q(5$gUdib7-!ZaX#`rmhoL#a9vJ
zBxV%!IWNzw9MRu6NShCiFPEa&C|y^?m23C)qQWUxHpxk)5C^srow<Y|M*~QAE>~rF
z>=Z+x4xd?R%k?KcH-Ngyta<nZ6pUYp>Mf!kQRZzaUQ25LUA|~_@mXeX{Fs0E%DL8`
z)ld$#*-TG5l6L-Mq4Whvw)&2wBk>bWxazI1hTW$@3i2Ztx_ZyX>d0#bo_up2&MOw^
z2$ya#wK-NNS)xVP&PkjqN4rBF$l9mVxFMWqCEAoax+yZ4Jsz0Ax=Y)x2m6!dd9jVo
zKT#DhPArdpkLzC(;Gde3`{!a_2?koWCM!v5VQgv9k>P}^Sn2yI<Ij&;cI@gn4qB<|
z@`(J02A))&i%C{9B;zIJn>`A(&mI$)TqaMSWRS38T1ebW0brjuR&j9@WHTFyNVNFQ
zLE|%o{DxYV*32Z^ItnI=D61d};VC?6dj<Fa!R)`&8^$3siZ*}UNVz)*7fv(4L9&Ew
z26Pr~f6YjXkGn{SqYO8Cn39Sw<_Nt0Y~P;40^l@YS?a?JnSnfgx>mBQ`{UeJf)ok;
z?y*Uek-$%<`RKW(g>i|fR0?W;ga=IMaBR8|%pKvVj0Uh-I~2w5qGg4kO3d<f826VR
z9nwTP(v#<Rc=23q?uHaph%2C^KW0kU;;j?+f@3$=<8|=pmNFO>$BkY3ILACOGkbS*
zF7HgA@>`qQ22-%+{{>JDK9fMf8Ip*Ag0A?UV~Qa74tt0?{k4^MQ}C^q8N%1vp4Pid
z9kY9FrGX)!d_1a@#HDhL(VpH}>&J>vqqEM?)*W2HT#y-GtbU`&{UiJ|1Qp?Lvjxd1
zy2nWvaDca1sc=iaA1K3aDFk^TwHkxs*<|7xD>h{LCk;jzq-%8N8Rk>tTDzbz-P-zb
z%|cO4EwUA*RQpd)nn^EC@LYKE<ER6$zY7nQB;V-dT=I`^<&OmNY`Slyq;cHy@ofgn
zGW93h6Bpii)&=stp)>by(o`aPof-~@z3|Zrff6TzzfScFBn(Hw2qkPUL+dS;@#r}~
z?3<gv6?R3AitZ?^qG1=h3SLSiK2YFbfaxhO5vJ*1N?3sxVLA4aRFue@oaUuR&4WI4
zP&V+m71?prx?0HG2A4G?@I4~|LByoKa-F>1Y#>O!s3ooRZ(%I0nv$AG4<=XYz=J#U
zT;)MRyz!>_Rw!Awv&2!yRs<C1t|ExviJ$vKyruzSD8(j>=`^|j@w0O~K(Mx~po!`X
z=BD9*-QYP7L)y90v=rR4UW7#gvL=k*LRN(!{+QSn>acc4nL@^6lEBUV$c4hKC_C`f
zfyLR-S()GQ4Y-T7i|&x37h$hH&>L{9W4vqqVn)ezziMO#TvmvIXY9fuZ$3XoIH78`
zAQRMjQ`lRCM-e3lZoDv$(@=ey4?G5qF4(6owz=cVaQA0x>~-S$npVaTEIWN%Uc<>o
zpo8Hy|Jb<@kBlg|ccEvow%WZD;xKn|=pgy6eRgFde~~Y_hP%PEw1~Xw%DqX60FO2n
zOKkyb^FROduNpb(?a^hMp^Fl?Fyl_>FPLDzMS1m71M;TPLgB-PC0ujia^`zrFUXW#
zEmgJIRN6<(&}UL9pUvx<eb4vOA8K8{N%lC^;jp$f3x*#>1RVYu=(H5iHy>_Dfz{Wd
zQ^D0EoM63QP-Du2DNid@)V1xL3Tye=dq^|9(w7D<Cec!CPb<~ht56A`NnS;Vc%@eT
zLBNgLkOZNhaFi0^8OE--2WQeZh-0zr#@-ezwiA67)K(g{!eHznn3WgFoxLgiM9`9l
z&i_9_E<y=_xe%lk94s3Lh%3<Q(i@)Ao%(qpD+VT|Uxz9`$)k0cnyB6`a0@sw3#O_%
z&n~X-%w<vXIRAgJ*FyB_v$UG8OlQ|gl>Q|Bm}iP@50#N$KTpX15bE^ie+B&d={(rd
z+TykZzY>}UoS|AC^@Fk8oN$>v6*dU&{LV28IT~u!z>t0+ToZl<4u+x+)pMS|sOQ5!
zZ4)1fUbKmK@k{CTrDItHdT}JTKY4DNJ#EfAj@IQ^Wzo(WBpLG&K4nwO=hqf&F}rPP
z;uA<UP8&Xe7}HuWVYYmsj`mm6yeKg9poXU%kYcw^|D)qe?Hd(UGdJ?#g^XqOZaLvV
zJdhTYh0x<B6yvsOU79|vuolKAXi9Gs3)*|9NCF|Lep~jw;V+Fe?JT#jEOW)M6k2Yb
zkHo&C@LEC^P1=Vfc`VKZ$C&za4O5*uKuCbUXb=Kn{T4?0*7i2DYwA)4mHdg_K4w#H
zFQ{X@gkjnHbXqdrNj=5mSQ=E`*g8C5hd$!xfhHGka_9m&XXMVLLvQnIH<<ar=4HyU
z7y9Qav0tynO>S_K;hHV_R>Vi_D=Is}yz2+?BK~aBz#12?4x);@wUmr$ra-t-aT(wO
z{C&xp|CmZy3^~?C?QB@vIIhZ!%$}|AC&0Fk1UrY}UgD5Ah-O_ah;py^6107Y^Dwk%
znaIZ7Kw?%g4Pjx;^>>!D%75zlxZMoq*EZnz&48&Bi6Ls<ayqq_tPM&~Y}^xLe)TIL
zf0>mz2@2{tQ3OU?WATPnkP}1T9NsZ;@}&iyHXM1*Z?Pw=^`m`7qQh#mUEheUXsZ{c
zshSA+RiH9_cRhqf`G?mo@gUy(cyG9I^JZUz%5KoEi@}@nU3P((zjNFZElr?sgLiCS
zi+!wxTN)n8wUmVDTuzRYUKp#b%u~Z_pJddu1;?D}&%1HW21xW++Iv_^c^*@;ZsAB%
z#WLO5Hg9c8GrPPv77}|z)fHdS>F2+eDF#69ARSSm9vkt|6Nnav=Y(ESv=`EkBN|;Y
z!)YqCFmFuDpIsbovfvADEuc^v3f0R+ZB4qY>GXJU_O&Q_PEo1KL@C*Ky=a6pLetLB
zUDF5xKevHTcvp7ZMWzhtmTZH{OYrNlBR|`vv;n!G(x%dtgr-8xxJgN?^17XKbtz{G
zT4h1Ltt`QV){du6idBfZlI+WF&;o2p8#$M%?%^!S)!!maIOe1pBgq~KwLRIDS3W$Q
zbHVuo9`%XE*kI$4@Vcf1zm}r~P!g<wdZrfs?FB(eV<`2L&8uaK`BB#ySthPlc-N!c
zfnu|hh8~Upk={9L{$?Y<DaE3(gfG08RZFepb2hiVK!PVhnjUkD>54r0T7N^$;-Ng6
zgR<`4e`tq|p6la@xI*8$_pw9hXBVCi16k|%m1qR>DyVcnVwL#ltqn4}@Xjwmf8tcy
zCFBj3{F`O^&ytA#VZs6P8i^sS2SEpx*NauH`p@r?5X2HSWVPFFS|&0%R|9NE9}wYn
zo&XNy08B;nAvpA1W|yPA&JxH0l`M@>-K}zZiSEy;y`HDYv`@sx@kB=sif8}CNv1Nd
zvmkT`#O&1jU%9~mF*tyhR69_e+Mv=1U-ua^!4_1KkG?Nxr?9QD&-6Dv^PZwr8;tZm
zr%XLt&vkDnxBrN<E9z`YD2?#%(N=ep$fpmkMe`_(qi=E-%p)-mJ0N0}D`jws;$b3x
z<7T_>)5GfM(Q<9l@BeD8Eyl&-+_$iyl;3d)q)yi@K@p0W{sx97CCTXH1gp<U(SeV|
z&hP7%kcS|NbXYiP`KAYQ;Xsj=KJiKkEIRhD+9Sq*?<GS2_*#rjQ~m_<tkTx#|2v`w
zU>}n2mKy`SUBAFswm7Rw4-^zz+%!uA(16@0`Uy?4f`^zz9hU7&?J~uYX)6XK*lWpM
z$L=C$zAF+dyc?h5^$~Pu0)|Z|f=5(-wDN*m8O>zA1QQ8ibdUn}C)M~11Mpal#U@TT
z<^6fJ3o6XmWUp@e$ucM4UfpPEc39iz$T<sBaj%X)h7Ku1%^s(gxmrO@DMuvVSkTB=
zk04(y3C1r1OW7PVCk*mtmy3S4J3NY9iCD1QV3vsg;mihR1Qu-T!PS$1M#Ef9>svIZ
z`|J{S5izk08VO{8^#G)6K@E^cy6QIs?Ak=Np<Tqe{~Q<bRSMgd!Z{jOG%hu<EO4;q
z<sRMgPS)=UWZSENjYgk$6$05h^Czy!UTpJp;x$C@r|5Gw*W5FFD+BY0ebftJ@wj7i
z9EfZ0?X<m}^CV|~JqOlDdfJ}Pt+lNj^&G(=!9(@@{fze1U!lM>ZRegj-ET0@80oyM
zJOIEEK5-P@a5mqr+QCZieF4)wfX5^l8Y%RQ6DvMB%RTHQ$ksEETg#*YEW?BD9-$sU
z9EaNZ=*%bBSEbjG@k^A5v5F$Ap$q`WVQeRwdxM$p3<ufQM0!KB^8_DesS~^7b}UR)
zuQ^7Np}Dc?w{fC`9(-ucm#mr^8#%OJ|66d?nh+7Ldyn5^ekCL(yBkO=2(+gqJe6`u
zu>L1$Od8n9UAY|oMNfiNW^dv)>0)v<C2$Mz43#$XBU29m-0fks&b+cO1%;{~);{!@
zje-yr^Hp$=t-hh{?2fBtV{wocAYOAh99SeUx|6eaT@&K%iegGDdv%%PU_e)BBMLK<
zmoQHPQ@mqR3Tp^(jt2Y{T$_ur)<x6TCeB{Si(%+n1M$1tWR<F`FB={XK~3W(Ue!4L
z^kDba4Co5?%}7b#-+Hhc;g8keAqC#dgDLu6a!}RnpK?so+1Z>)Y@p!m_a*lAM*9A5
zcTtmc6<(jH6E3?nDAXXnp0EOGLf*qxe%$iR%8qlHu4(~&1|ra5bUn13-RDW4U!<+u
z`r9F}#Yo+~_vl*Sgnp7^Dxn2r{O%SC)A)LNu29H0oExdql-P=ng88g-xrHBgLzpUN
zk{hz}gVob^C+tox<H^m;_{0kvt{A{x=PmJ5YcZT#Q7)IqyhyB9xh)m*Wpp<bsvQ9Z
z81*tk56D<)wRZ6c7zZDfPDeqDpu21xEBtXVyTSdu%Fbi!!8}GG-^qSs_G}Rx%`adX
zp3?J6i(*P4&fT6F-$>=_a^LvMgr(IF$BpU=xO^;4ugbR!`4UEitn4oCfs`DX0z@Nd
zgtT8{$vl?VD4i4#258s=IA5%PeaK?44G_FC0SQ$e1b~TbCi(U>Y7ZfVU~)jc5zaP6
z7jZd~r9v@_=m<R60VSslmEm~F|Mblk1QWOe`ojO@`W7;BCJPKHE^MtCCs`IWf?_-x
z=Jx!IKXwb5xFaiVw}M*exWAQ!Dz%E&F{DkOEYLJPZ}wD*C4EE>ACAkVKoC5CoZUE`
z;_q9%s%%ZNQ;jO)UvjP)tK~K6=L{EA{@d??gy#LI*TMtdI4c8%B1p&@{Fd(w^`Jgh
zU;L!7L=OmLYg3cG7BcOf4CW_6jnzSSJosWr&U)J^!%4<Rw|;bUR^b~nC!Lmw#)`bw
z6`J`xY2yk9N|ddTV7jP+nPW|epaeeefSRzSXOP4C77)keV(1d$b_A7CsQ(Jogqzg9
zP9ds=CXn|ZP2NN|IxrSZ5t#KZd}C~^hs`B)B(r#mKd9F;3OUW`7w&bphGKfTSchR&
zPsB+TH}2Vd&n$DL&&-0XufGL6MQf_aFNOe~){6JRY7A()^_DsKf%c)F#?`zx@WoD{
zr5xZmS!RWFR?-gyT#g!6>?rwC<mGb6PxT)PvZF#aarz8JZAE~iEPhy{e{<*HcfE$F
zyXjYF-N2D|OSzVJU<K!IKzDDivw)o=#BdjoS_ey0+x*_1%uuNhb;i2vuG|G$8UOK@
zXqw23;M1_QB#gSjx#59giO2(mxJa{J#avcYA=n8{77TesejB$%MG}t-o~Kyc=$6eF
z*9AaUo1yG;qTBj*0d|ErFGN&|N8D5oG^(u1x23CiphkW{31HEDt$t+bIGBIz4Wu7Y
z^FBMm8-Q2N%h;g}S8@lO6VE@4)?csZaFQrMNaokF3qo7$Fl_3DhjnxzrIT~<kbc`?
z^^SJ7w<c@iNk@_Az(5%UyWw69xd}=_tHq;|B!vSE)%{-&L(0pMiQY9r2mvq_<EIPq
z;0SU&c`@ld8(f&R_^2v^aZix^7?Ui)9RB$4-`&rf^PH*PUm7LSU`ZC7YQfoaAsJjW
zbCTA?PFNYL$=^k$$M?OOh+n8;N}~q{@v4|!V&;+<n#~4?Ig&<eU?!lc$|lJ-!7#Jg
z%t9CpqcV_-6kmG$7HQn26PtUPsiqF`=qUKvF$6T?rXBAT)r{z>Q9BzFEC{qw<K^4X
zI1H<cCxj|(ybJtI3>kjGg>$Qx79fyIIN_5o+h=bJ93MbLn(}*<dY~oSJpVNa$jKc1
zAb_^dp*fL|6Uw{8!>7pa<-;ZoJ_uS1OM-r)_Bq3WpLDqn+LQ~TU&`Q444N0D$<lb>
z*Drxn!D*LJ5cJ~y>7!e;^(%M-<`4qgi?x2hfKOW60y&x&I)}BXgjjl)Wm_tQ=@K1@
z<vGlau9%-ASo?{Y)l#tsIc^^(a%zGhOa+|}eYP+bakZvQEiQ_%tXUk4eUdOz27ud4
zHW~hzIr5RD<#_8W4Hugloskwx>^q74QW|unmY;A;@jF3T5JNN$RZ>S|mNQN@WNoJX
zEsh!Mkn-I}k9m4BSp~wZL1mPRZCvVUjcD@yY=$hER_nsCXztJU0);uACvCDj(G-{>
zh4(G$m=;?o!ze~_LSeD&fd9h3G<fctfP8)tAjS7)8WZBWekm?e4n){4M2HyUo*pMG
zA}Gdt8Z*d%&Z35+vxz>cQg*TWlt%{9Np>jVL65n0g<+G_2e%L+BYfPg%-J0z+3zJ9
z41BPrwp)tGN>~5Xr2jBsyTmHJSLzqh;)Xdw{f6;9Jd}&@A5UV7h=}ULr4xujZIeNi
zR+oI|1=3I`NK6q^X_GBGjx{;S{HC2cOnGuQ4En1drV_y5KZhuVB`2@v#0Z)ul!v$h
z2$Vq_yn?Xy`=L-$Y0j3+I-TCW1#I?ao)6JNKD4=GA$D(-7q^GAF%y|RtF0?BMRp2K
zhsrLlL|tODY4)zBHPVKW;^$qf^s(TV;8yqs&;_^FzUc;lY&z2!@b_X`HNLQ&;VkgR
zJYvQ*_}$nFEplTCH2xxp(uIW%{#z7LFo{(ZcOPt+F<NGoVC3}8WnGAzmsNlai+$do
z-J_7vW$$ma{m>Jxmv<xIl$3JL1t_Z`Z5X^lyoL=9Xpg=M+L_nbplnHo&xuh-N=-JL
zdY<>uP<YvoodaqAe5iES`7`FdwLhz~k3=W^f3kYdJ-d2FZ8=BW^@)KQ`VS5wZY8Sm
zTj9iJ?z_L`69Xx8Te3gMpY8_pyZT56)d3&=q7&a%W6BjPo6AOBpW^9_P5sZ<+(EWT
zufaHPA2MZquQymYJ*PUW_w&&vYub(H@L_kDxNo%M@!i>Rf_ZGz(BgW_E=S2dl3%pc
zq@|ju9O#NP%S+3ziRcHYZEv6EjE&9qo}y=W)Jn2|G#D&cP7Y$4N*HXDL=q;lLV<A{
z%9rSaDB=;S8z7XKSKo0D8GvpCx<v5cS{$iOt$7)dY3xCV5Z@gMsPRrsd(3&EfN#_2
zh-=azny^Z7Al!KLeAmj2kDLwO66d&tpey?5I6He{`<Cl|I;d1&nQABBF{<7_5Fq*O
z6UMo1@&C0{j&-sk$r0_=JceY0djBOybEneGNB5HRp=w78<eABQ!%?3XE5$v?4P&*j
zfRzj027Rv~hd7vL{_m>8PKGC43O00;P-nD4jZG_X8q+gy7-GIoMKGDw+tQ<{>5s~)
znd83>bmk_JkhrqZ_C#~<+8;`~u(`ZzOea2=zx174h-LWHY$`_rF1MxWsv|@sr8oUj
z4Kpm<wS{hbxS;QOsj)cBpqbVENG=CkrpkkM6#!dCN~6MD72g^Me(TZ@sO*G_POKO0
zhc;T}3eHR3An)SOlGND@KQLK8fOTFMPbAWKm;jF+UcQ;3{<Rzz`%q`%L_=AT!T0fD
z-HdjftQe!Q|3WCi_N11LC!q*4E}UKm^3Nt|yd$W3b(Zp5@AL3}2#_k5iUl({_%oXa
zsh(6`w+_NJ*jVjv&e3IXiY%jYzV59}yU7?yI7t%5QheVzY)a|=mZ};Fo^F4JYkUo6
zy%>CTl0P!e<QGHaA+9HDDb;^KAbWdCySfUnEF=>!evwndY%eUy$;;Mnf5&O8^WbuV
zyj_Wr9E(SSLY+RFm-p(_{8d^;Q<F63iCSV7fGM+C;)1STht5%=tR?$Qp^_J)dUXLy
z@wi6X=_)=SttVCWu_THqeK^g@TcTrjdxv>1L%UEfWr|X!p8JWYNOmm!tAVu6)Oi$9
zHu_G+d-Dk_TA@9`sj$?q4FB!^osE$#0>xuL)T^;=xjS0}AF9UXSu<}Umq|<!LY#I_
zm(eH%aK{OAuGOOuyr>k4wSs>J4lKLEsiTnBe3B@wOG*>txWlfBzT4E@^<zEf)yLys
z*g#>~PXNTW#vDP{5X6jNfM1IcF#8!)%65qU_quY3geRWB*G4-E$e`~I?)xxau{WkP
zn31?Ww|2L$&tXCakJ!6pUVE^Cvk~Dtk%!H%y@`)%%&^f`bXvb)yXT{>Z-X-kT@DU^
zhQkw4_0ZaPY>>%eL_UhPi1_Bvbk9UKe@TxM)E*6H<2JGIuJ(p0VCVO*{N`sSJ?Jth
za$q1|eNy~S-`&S)mm^TX#T%c|e%(};TABDKO!VrZDI~=Csu%h<IN(&4(&e7=UO34;
zQ5RJ|*5FFyWx!4V&d@jb;?q@q4~?ihuDTX3eM{a)jrc{|im^^b%?ItS1xL9F<FtN8
z<YSR&sIh#HpnBGp0?w61;pGQMt6g}U$vWi?NFHeqdnjs`omwG&Cc^<h?$4VdHTe#f
zj!oek?}CCM;9B7m?T9rQEH11(g2#jN9nvZNt1{O7LwEmkEiKha4OkaP%O7D<7|Y{h
z;F%nC+`ITPB`PJ)ep{HmxYO<jxaA-Pe3u*+?F%jQ5}dp9_T9B9aBcEdGc~e1*8iU&
z=<L}=p}+BK!57JyKJ{T!Z-y++ExA^{gZnDwgiQ?1mA)bYlF@VispZ+fQuLai2gfLe
zIh*MU+wO+p4fA>n@bB_vb}YlgE+F?71tp<Fg*JeJP!sYZXS*|d{b*u(>%oVG*MpA@
ziSs63*zT8Dxx7>zO5~u8vNWckU`$B8ZP(c{02mL*JIRAxTbo;n*Yh7R=TT=DYQrC_
zz5kXsRtHmvLdW3*f;?r*jo0ku!lygQ<4}`&*gA5k1*cWgy_JSfNCH`O1CqZF%x3=r
z4!st0Z9a}3#a8{U$A?<Y2KXT=rW|M4W_VlzQV>QL5TH{~G<K<^A0WCVt~UwKkmEht
z+}~JH;=XsId{ofthg7AdiqRft9Oo&LVC}w9Suz|T>oAyd9e^#(|5U8q#MbR+ItcGF
z8HDD@pg9?zfJAOIMrQNy)wVt~LbDi22>3wLwfqG4q?Ue<eCv4@)KuF9xa_iaApcWi
z+1AHo5jcIeIQr*03BU2yt;(QrxM=yM%D@p42H=ki!4N9yIFnF)kuYzi2O=$XSk#jL
zkC6*vyBr25NjV$#OM9&loDMS3vtanS$hSysKIWNS6>5gDQLltQ<_enODkC{yARlqZ
z^uI_d8jJ?4M;-dw%cq)Wt6fH9J8<<>hfG**&G^fS2-2Z>BWHKKj?d>A{w+wWLw6f~
z4O0Ssyif1Cq5t`=HK&(Bc=%wV;MU3tgRLpp%*6`u7Wb#sv2I3Di1hy9D;0H-T3HLB
zK5s*%XO(>6vt$h=tRwUkENy-G+z>j@hMWlXlL7j(&-l(GN5U3UV~E2y>67jRidJOf
z{UOR`G!NlrYM$Z2*WyigNjP<hdLX9SG~HYuuXj^^#b412Kk+Dy2srxeXASqc=&%k4
z$Kud$^iqkWEn6c6T;=k52YaWl5i=?|F;&vCt+}J3F$@!$r8NwJbby)USt_Y-MH5Mk
zR>_dLc!Z41CAkobj2GP#OKAx8CY0^Zd=jhz1iz~{U~)KtA1<OH(wheHb)gs*BoJWt
z1@>%#*?8$I*S8|k4X;T5KR_ef+oTF>p@tNJ;UZks=EeJ~m>cIeDni(aN$WW2_MHwQ
zuWpxI*rs}8&QF?n^4KZB7)tRkH~j9t`zvmbkWKR+I&@9DclpI9H6N|)Pgy%s{pD?C
zfRsHK5UMN^q9_~j%4gj(Kc+R@`VqVM%<mGq^BWT_x3rn@>&q{YqS(+ySVr;{N;f+`
zYcZaxQ9EaByo^l1;Pfc+@U25PczGRKVe=2#@e^r7297i*zzL<ZZS7I>vNc@HtblAr
z4rl~UJcQ&%y;$5sFH^<~Cs1rYYn76mYhKpAQE##%LzrXlIGXC!j$`ZJW^2MX1Zddz
zTD8KgmxEK9<%DRQv^W#5b*aTXmh<IJr)bjsC;b&ugI*=7^0YDLqkYB(`b{6GsD)Yk
zKK~pGOWror7N`E<1&~X=lqQa`){E3}eGh6f4Wfa`B{eIvR^HJrTL@7sP2pcSR9*2N
z1kfxnIkR%=yw`)=+OzkI-yy>Gn20P7p8+acRKb6$ncrxQ4J`;tA$LPwv|4OH;?%&l
zsqFJ7n|cDj{}iaJX?p~XfN(;gxfI<SAG%;5?cma+AT&(kN+1Gr3x?_adu^nQSNPS5
zZc3w4jBgD9_M=E}+igTwglJ1tuEd}9C+bZ9kL8<oJMDI+zykoFCeC7`)B}~|3)bhL
z>HBFY!)H?$%C4CGIohvIw~w`D(5(C`l$45wNS|#su*~=<jiEzQ9f%svo8{gEIy)!>
zdpdl2XfY$6fS><Ye`shoO4#;O{edP)!kiKGn$|^(0l}$c6PaLbZl6TRDe=fg@hr7L
zjNP6!Cz)QiZB`%;_rUr0loGp|!x3L(=`6D}>=w2GAws9**MGjns0w8te>w>6{u#bu
zm)Et?5XS^#oc+jWJOC4l>x`?qEcQ@{=gNDgj(~fGS8RwD+&DqY&1OmP1UDESwV<(Y
z*5>u%dO5ZZo^kwQ_t^#=ZevLWN~~n}Ex`d_>{UK_g40QI7BXob-OYxr{KDykDp+sb
zE+k2!K0@@Hu5xl7$RY#?Fod(swvcF!8ZDI6!?ofTVy-dEEsz1?N$QkWA8Ma-1&Y;|
z$OFg0CDJANkB9h%1m^E?*7Wva)#;|mTpw^Pa7-3l=);AMmp=+<ln8(EYN$G+I;*!N
z4S{ZxC;XW)bZT3P!9w!oK~+;G?@80l1q7(n+i8FYS$l}C1pe$Tj)u*T@HsGm@hgIC
z5;|jchJO04W0XhEy4Bx%n3Ee~o&G$(PA4}5L#fdeH_H+bI2+pi$wdGsi$Q9gYfB-G
zJC9auDU0SR!UkguJN?$^Y4uls>VPD**X2T=UvMe^O(5waX>T&*%c6oxi4_!jKH^(!
z%gFy*{_{j8<~`i3CKaN_$GJU-#ACpp^<#JZZf$7^I(`5?K*7JW+Gs*i{{9AeHXRuj
zyxPr?N+t=^!cD5g%J*x-<@KIe)R{Gve5MORu0n`AadmDnia<Sw6(yH~s_vV^U@2Hw
zk!2Z%B_JLpJ?S||DapYs%W_f`No1$j?Agn2Ir?Y@+F!#RKh;riP+gCNu|=e>OJSXS
z?@>k{4*cI89WxQ_VO#l0FCsU;{#rTzz+M%szXgdyLX_}(FA3{JrebVjcETQ=n;+aT
z19Cj)rC{K$sejipKIW7v7A%2R5v1rtn*%u1rb74BPAcAU(*HnI4P1>_E3Q4jV&~OB
zjEOkkGiBuT$^Qi6+bnCL*FJ?=;wwbL;mQwd<zoEd9IFO;<elt9cdn@0eozWR$v%EE
zXE&P=zEg+#Z~mXra_uAQV6iR<vBfH>zL>6ft97xwjjrQvU<!+N%11h#_vXX`>)sW+
z*dI}jL+<Upv|g>Xiay5&&WUF>+vr3y1}`j~fieq(M;n=tZL+HhjGTl*Q#AHyl|vBg
z^WrBzuz68j1T7`VzpS>zdrG~n?x!sBFwhq6;<eq5w#G1)hs@P1c!~};fC_@0KhY4m
zbqqW<A$w&&lJcfAmRI?BaPjTI(w6LMkf}#^GE{vTVs(yfk~4Z!NSwd13Fw>%r67tO
z1-;t79&?`g^TOd2?o~4f<QA}?6ZVIg8<PyF3sd_SeLdDApC|nnoKCYwzuI<<OpPGs
z`Q{4>{O6j2aZtE{$LL5rwZXIqi&^&?sd%|t$1%ELG)K)>m+cn%0+Ad!7ysva!#sL*
zyCi5qkjh|$p!LegG&ShI7OV3->eE1nyIpmHG!-wC%MJf>5`DsYlRDhUa5CY3+_+R-
z%Z|h~Uh-Y_7<erbbhPMt>a^}q>UIrUWU7U$%#M)bhC4SPD2%Pkl;HFp^^4jcOpCs)
zT$A`d0VL74L7%St`NW?Qe1FY!9^onfr8ygs_{}@=#Sqa=pfPaJfsHS{R9p*E{wc@5
zSfLXKB$2pUkU|LOTrL-iUms9bDN6~RQ9zz#w1IXiUEWu;w5@2A+86n0gWBP=Gw2Ki
zB5Zf3Unf3R@H8wddQ$!RE~?#f%~KSNNz!rwxyS6Pt1t+2)*8*%=g3;xixsl%i~p9W
z>kP~w&^o$X7;*1$zgNoZ1>>}NI@o$1SNZ`Y-mLq@C~e1tcn!6Mezcof%}Dvwnzg4f
zP&r@Ni;MRPa#HB@AA>e{YWTxxSjx7Vk|0^7Hfawsz|IP}<?C0!PvdF7*bOwAn=d|l
zSaNX)YFPC*kapH2*Sr77YS{dEE|HgEA34}ZphGOJPacA!->f3yRv?Ki{T+-6YNH=e
z+6NT2?57L8IgD(8oS+w5Bod`3BM5{`S8OXP$3f6dLQv^$l)p1Q*HFjV*-w{s3VQ83
zwcNaPNhAHW$xyk#8R6XNMDaTjcgy>v71NJdT6apcZF<`js0_^Ei)H@KuLNuVF>zLe
zAxVg?f~$NcON&m*!job(=zXXsyOOmn)sfXW?RTPCy+8cN9wL(~22<mlIaJj*?_-L=
z7LUEb!Rq;HJ<1$Ti{3GC4NO1xkX-p<Q&v`V-?!_^4FASEFZv(CFw7m{mmrd7KC<k9
zA_UlKO;glSXa1;Pdi%B3paL}RxtNSHaWY~^ySX-}%odaK!oMz}z0YcC$C~>5U_}Bh
z-wUpv)Xn?B7m`EgL&p+3mh0=gy7rFPTMr0CG|vTWE$Pg$2JwNOBuvFA_KV(qJ9peS
z?PehYr}WFR0y3K<O1yg2&<jy!uIS_NW|24>%kWw#x-!3SRVz9}m^5OUL+bX*f-`^%
z_F5lsClLsFci<m|Hfl*lbw^Uj>nZG7tQ<t#;S|uwL_{tsO|6Hi)(&okYAL9=a}%)(
zmX+SHM4;Z`+_@_G2(B6R2TDcVSeb9svvtXr_cD-j5b&Z&-9n*Q^^;B*xyQp-|EL@E
z)zDND=j*tOL-j@QKCi?3{EJNe!HblWZ-gtA`@dQ9O|E~)+9)6_KRmNRqybgA8(2IT
z9w3(m^c;Bp?@s#6oAN%W!2<R)Ih?@@(v%3T@9iFjPjyi!vx=yJdy{N#PP>h&u_rU|
z;DcI_1+FHZhds!%sAvmcxs5F{cUSTaKv%$eiP`<mLRYcI-H!a*c)ygl|7qhizR^Tf
z(0V0j<Q%gUI5Eza_3yEDC8#1swNC!w);d7(B&;0D155<sZ^$^wW0sKKV4h}1mVt{`
zy9FiC8Y}W9`_AZ8Y@VM?qQ}%npbB@(^2y^0{kD=C!KTQH+)JwW4|yp%cm)7C_RE|Y
zl?MU*LS<}7P4nCKyKj>s##Pz$=o^l13JKB18u+(7sr{c+LY1}{=tZ-A+*X`PNV{c3
z$YE<$&!XBT2>7~%z6q8%MZ9~-43ZD6$GlzY%r!rnvOyCc1hizyb1k0tGEO++V|n0x
zuC;lBWI}Gn{2^6DlNs+DfhLM)V1$fldp6;NeH{zr!fSjNs>(#@8Fy#p3h;dXgmJFQ
zZX=MzsTGA5yi)1c%T5&dpN3E5%so_NYk6l@5^qL9gvuUlk<XeH5TL7*n>A-K@Q+|k
zbwx5`d_9{dtc_^fABp5gu9~-yQliU_`Ujw>)sb;W2lmWv6wG@-*bW)<YYP4O$Dj>^
z)>G=DQfM`>>g@+U-+bl`r`HBRF?L5FNqFVvg!X=~XkGSPaJQ?z*18cx$=`Z;;+ji2
z=P010ls{_Hb?Iw$hdT}`*DCVYHOME!i3LzkQ3;SvaxHH5<kzt};25d!8{`Fye6d+Y
zGRh$&Oc#(K77R}#jo^my$I#hOPzM47D%+SPi|lV^VL4K_B&h)bHyX;Ppw$mc+1~>&
z0RNY*{j}fbAZ2-F(WpoiL-6mta{pR+5#&ki|7%V-t$My$C*lX}Ca70cF!&XKfSE&4
zCZBEIklAo`6yP%OHR#4@Xc>+LYrKs$hg#^@;>jt4_cs%+6Ff`GBFc^wH7bdYQ}aY>
z;Z_bA*8@ws$&bScXLXtk@MT=%0w$vr_>z{3<S>(fq#QY6l?0*ibRIc4S7>iu9Hx+D
zyf{(NvYaBjAPqM)RQ4d@P0@TevS=r9gpgAwIYJ+8@4P6{)~Bq*$;ND3R~y0(0T2M1
z$3XMLYX;R+vC6LN(-|PHubU&3oX(JXjH?>21;v=95&LWWIEre?%#rO_UrRi&uBJP~
z)Y;-9|Dlo89EzPx&;R-3Pn>&|j)#9Qm%lfn7*i(IkwVR@4I2R5>R*CC<u=16ctnj%
z9a|%L?dJ2@odW@tZm0?dRwk1}>9;@50$CJJXB<gsQkS$eWz37ToOXk6sKyEC{vy8M
z-gVdM?OpQF9j?6!QDhH>B)cK*s8TgppQv)OtY$%vrlb+2@Px5Y^%r@IPd@svdK~ow
zlQ*s$Rtlz-;QSW@5dkZxbht%ZH|B;6>tbd_LY^JaMJKW^&^UlnK%-qYdyAs@I@x+J
z=bs*+E`#;s=N*rBK14WDiFYSA+}LCzc{7^>CVJ+;m}f`TE=nh7Nb)JGek>s_g3+V?
zR9rY+h13^oZm#8<WO^I1<7}$+>Tv9r9Ko^_B_OO3HTbE#1q$)eI{51871h-NlVVpH
ztgsEbU0QWe9BTCk^ir-OWUN$4L>p2Ok*(YZ-JM4rt7Azu3cbRbQ5tu~UmRgSe^o+8
z+__TI6#@S)FjZx*L3BFrtgSo@y-RLp%1k+ebo}_m*1*L+=PYP%@;FgS{k%I?<;*zy
zMvJp(?`L{mk!>NYMKte17bQOMfeEcpwt5=>vK?JSaNFPL7eLptf)!S-%|-pVEipjT
zSkJZ}($pPx!8@`XUxnh@Pf<SdOhT66&@x>DAS6_XRl`;X_1alKxb{ahvURr~L*wMW
z`~$^+#6IJoE&XrkV6Vw};plxXb3>&D6Cnw-WkJ#+b*ymJBRtlp#_8VfC3Ad@-`l+Z
zG(&K-ps`lf4*UKyFcmwg3T2q-M}6;2vr~X6U+{C@1AAdAxA$1xI^)7Sm0yZ92el7#
zu;S0Hx*l!t2HM*J_3RXCJ?<)FuDjs3tG!}MrM%6t?fN+f#Y}2{C7eHb+N;Jbp9&+|
z!&uwo3$9w5eT+=MpY7`yk$G`3I%$1H&!G|Ze)T(K9?KbBAIrm~lzCts!vynrJ@*yY
zW+#~$!bt{S{5ZjYP%=W?S`fW=xKZ8e9}iB2C8?BG9P1Z0AQNL28iW!`Uu4rwU1XSB
z#8`A-06ih!Z!|^J>R1T!`%hErgk=gPy};LtfV`Q}fv1+%T1vUmetc{b6b?||6L$T2
z?7keMO}(jaWukz=#Sx9r0LaO@L0xA7sWD&?fYWj0$7YDbz@{b5e2+$Qtq)vHcs7q6
zBv@buaq50a;`z0kopXd$CX$9l0b&&XS*k%@6Xb6GxwBL_H(L(<GYTLgb@xp0p$uCr
z9>Q-Js8Izoa%9=|v18Ei69%ZW5x3UT-n!`f&Hla<WAm&Fj>umXq&z6Ew}q<fzIWt3
zM<8HjiUfB+3ivDCU^e=HA0IfR+h$HcYB832QI&nuVA;<X=md}Y5KZc2CPR@4{{51o
z=P_rRV86?W#dT4ObyqW>Nj}bju6KZ)n=D_0=R#ID(I@N;H6?u3KcSqu%A@@H8-Vj`
zx!~_}#s9V^ng@Zqm*9Rdf$*G9s91ZcXu?uNXZ~jTl}4mWFlJ%|{`Q)Mi-8t&o-YQH
zX#zynbli8hGycDI2nKehsnnlC9@`4o70!D(BhW;B#P)JBl?$^tk`Xa?#F`pfiWpaa
z7<>#rr{}3ahu-pw;oV|@b98{1P;SWvJ{8l1>O-O~7Klecj)V8w+?qW50Fon|OZx(<
z`RLdAv5gGKdI8wWssx`jh(a)wN&Kt##f2BsTcU+x$$wfe*F-?JV{@a*JXwLr_WGI7
zmo@i<#$!WA$tlVq1n5vKlV?h+{=|apJ-ZuQ(i|_C-G{|{t};3Q8lskT@95DaZ?1|T
z?;lS2$9^rnt_a}-=bm#CQZVq7&RI^!tB(^aETClLDs)>@NJ-f+*s4x-TZg=js>I)Y
ztZ6Uo|K!H|eLEi%?!Q|k46sxHb*V-*z0&u{%daX`-x;tTj_;u9dp<Ae{;Sq|Yl<E^
zNC^6VW;spj3K=Uf!G?;Gv%OLFZkF-@+V~%H=qJDiea5a%rYuU`;&*aog|e=FstSjm
zw#sU2X7>L9upWuuQF#M?h>$GG6l~-ViQp1YPhlwK3^dUC_}IX}Yai*k1#kHX^d*BQ
zB)9lJ@>44TjL~NM%ir;)<LNs~vRb=<MKNFBrjM%kUJL}o7ra`=TYdt~B>2x>*8fqW
zQgjI`pAY+{I-avxKs3P5*s4Orii1|s;7S2Nx7$1roWyq=QU{P}N>~nd_cl2~8CF%b
z|8HpZLI#1wT+1^POv^tPEt>>u!EG34hV!%Vld=7GoAot<z8Jv!2~P3B&8Z`6v2-o2
z7mjw>W-qDP&g5Qn_`lInPN7y(Pf9%Lxu^q<>c5B9yt!X70#v8D{w8mZKng~z<!uBy
znIP+s(AKmSfo=JG5c^&&@1SJ2yDy^5(me&>grr<u6*)IG$5WY|(75d9SZF3ODt7s8
zOQ^g<w$SUAIouih=i(MSZm4XKByvuk@3!K9ADh1}X<N<4L&am}QYo%~hDanDe)A4>
zh|yCT9=cfC4vt6w&A~GMV~Ia??EuZVd~ShNTnY}+VSl~iQQMPqF*@|On(?jb9|crD
z;}wkDIHlNi?derxw9n(@cH0C62oWm=evqW*SaLgspf~f1^_#?7E(Q5U3RT`!FfRpq
zMun6_7E`7;Kk6l^9oUkm)_=<akvdR`R8!^lWP0@(WYU>tkglS$4L91RIe0{q{qMl)
z#fhs+Yc9k|pJA@&q}THzR!7J6&KH(XaiVdFR6y%71(PZRrUB>ENK$U~X&)hX+A+-O
z<!*%#0r=NH2NpK5El5N8+=DS6Cz?%~sZvFGTS<UCMXJ|XI3dTVRrp;);ht7A^k_5G
zsfe?pc`lN^1R6`sd%2gyk%`H5$W{YP09G(w8i)6tLK8d^;G<(CG&cTlV<`p%&Ny^_
zzt+5mDA{ld5-_`*D_>B;XT?4Z{&N4Q`SoSv?i`Jsrn#216mnxN{N-2BkP5QR?Wv+y
zKm{3yNP>I&j^;=CqGbX1^uQ<anoD{Nl3w2n&m>U0O!fiz(?L$KZPq~<NO@xQ%YL7q
zchQHevjqyppd?VC&1D_eAe>o2`!t_x^fC>KSo++D_Pw%0n<0vjm;lxfQ5umGadwl)
zJYRws3Wqy=ns2PD>VhdkqvLgQy;T~VbhK`<74A_~AT!CAy2fVULFa`*MYS-xl1OW{
zh?KPF_KF*Hu`9QrQ>Tl*C3tRz5h^&<InwtY`cJ{e7L&a?HgKu($X9qD@8?MeC3NiO
zeLN5(X}!9H9(fbkl{dAt@KlOfJtg=oAyJlE3|?pU)9@}^Tg$S}qvzAjU2%J+RF$;e
zJmN>n>=B{wEuPkH5mkXB%D5St3Dk%;Em4bL%JfBj8c}}V$8EWWsvvvPEB32zRA-zK
z_KX~q&Gb4h1t4*Segn1v?p@n=0gA&;cEfe9@gxw<c1c33I|*>Kdl>!saCM9H*nPXp
zxEy@U`Rch6ea9SYQpR10y+cW&Z8H6yNmeQ(WT~*v;eengeyV&bxi@&|)N1l?yL{-$
z0<7$Li}ixnrSz-ajZSZjf-9!uEEz5Q;7|d$Z)fQ^v~c$FbYqk$uPaGxtAo9_R+&>@
z`OxH;0Ar!UR|(<A2Arui1OYNC80o;dSF5^k<G&`?{GtZ$B+?cA&vl{`0BuWh^s9Z-
zfBo!(<|SV`JjK9jES>hp;>EQJG?b-jT|G0}jcgRK7ctqVnL50`#ndkU;`UE<cjXF#
z(!F)FBN129NZ#N9>>O>X3nDB?Vpl1j_s}Tq-zWYW;GXq;f81{Hd}ik4#8*AG%nQ)_
z(xoJ8)QuwoVLfft7h4MH!18}kWb&@z8|qb{!>7~Bu>zhThYvKcxAW`GAr%gZVb=3+
z!erzg<jyI!PChtIee3zbm;uj>6(%=AF)2~3ty)}(+rl>mGwJ{E9sDU@AXzxv#!!l-
zkU8tC&0Yycd(d#J;!eFpWD;Q8n|p-Z6io|4_}rBuF|JwbWn%FRU&7-8&@F~B<vO!P
zG=nBamjp0No-Cs*$_jl=9k#}b<Y>~?>kUt5apUZbP+}rFtJn&(5?@AfM?htz%N3Pd
z8eXLsQIV+V);5wO-dGIWC!49!%RSz)g4m@J7ikKl-;E;V7a@ffK&XhjfgJc?JcMe#
zkSO7u%*-qi2K_d&y_o+J+%ua(@K3|L#IvcJbzA9ygkWq2+-N+{df$G$x7X_XTe)KR
zJ+p6Z#3@uB8FdSqiG-U@I~XgL{WD0a!hF`ti4Y#7bi+m!q}1FQ6<w#KFD`B?hFpyH
z(P!wK*w5Q5X02Git>rzg8(nF}1gESt__x@?^xA27RJ5^!DEK_FcNXqoh*l9zcQDgn
z&!|hY(cc#qrnD?8$Bmi7yhJguZ@L-#eMVG_kVwIkTp4Pf)pa+4I>L2YWoA*iN1!zS
z*4n&&#{2fp!AN%`P4o?Xg28kT;O1#b<Wp;lrxBj|J(1pZURr!U2`06+{<3^>P)W)P
zYQcy_SD)oWCSeoI4V>)Eq|BG^loETJg+i=0y$ew7aRSgc&~gb-C;#hm$**Sge0>lC
zI(Lh{iuo@?1su;76t2Q?+}~fabMenrj$dXO7WeMrO@;b!R{A>58K?K!brlbs$r^(u
zX+bMZR_5jOS6+e;8mnju2B(Q<690@)b|o&<Li+t$SpdmLqh)ifIyDQYgIMu!M-p9l
z>6REmtiyZa+jja+M$mrtv@ACSC;IU>=2G8DAZ5b#_7Cgd?Lv`p6P`9ZPnzzwtqW`f
zkAboU80c#B{Dl2j`yJCN^eA%h-qx2IxIvn`N@U8}wBVf9j}8(#wnpz~F?d<)VV9CF
zYS4D_>%N`;R@smgEJFQV5eY<Qo+P3PDE7Om<c?ZyeA2RtPq$FH47(7XrtX+5yZ3mR
zk((_$_jB?cWlK(BalrfI6Qbrc2)1JkD9DArEpmcr+ID)Bs!=26zWewKjc%~p;kBBR
zLzDMcN&XaQDS2&NNEoivZZ>;0&4uoFcf%V(Ns`k5FAavNOudJrq`aqU-nmy4Ug7pl
zxL3KfVKw5l1x8o^aaJH&v>}vx=DX}d@f_qx?Tn;{$wbPBbxY`rp!tVd48_sKI0Ogi
zEHbD)5bVutL_4Zx)Fm+9HBaj?$ys8)qpHX>nCNa(HSD_<me}7(4dow~eE1={Kw5-0
zkV2Sz(7<8ztX$t^vjYivsaKzib)~xh0pS=4NpChx;i{9lWDNAiu>QS3M5)HM6~%b@
z-14u{nT>ZeP>sIH9NBP0U#bwM13sgSgRoWA={<O127e*R)!##Bv{ky&K1#n7D$WH}
zH85u)q<1Kk^07siPCbhJ7Ryr{>1p|8l1wt*Xr|bluPTcD^Vk{8Yw5;Yu)0R<7g9s-
zSV(wQvr#{A0wlb<Y<QrCA;IbR0T`c@W1IA8@_xQO)VH4)^@bq6I_+D}^$z3OM7*s*
zWjit7!#WNkMte)+0=Kq(iJPy)I{HK8iVNy&<lfXFuxyAv`+3WW$leIe{}_W%8kzB$
zhy*(w+{GRYl^08sNrnF|LZ!~4t%KBXPAm(TuN)=zT7sXBwk3l~bOkd8D+={=yj09j
zsm0x{zm`d_tWjpigdz%S_%^_(6vErR&((Es4@Xezc?UQ{c~UCNi+Th}+Axv#*f<nv
z=u)BxDkPkC>5^zuXQPKoP3dhf79LiT3e38(ZnW9NPU(f(3G`=+hj2aOTL<&hxgp8%
zVgn7pIRB$r$5+*sR?vGn>lC1*Upvt}<O%(aE^sZ$)z@3x7RW!5ABL<I?BTW#gcNAG
zoK%t@Lm}KfpGZh7OcImzToX}(HSf{TvWlcN<}tsB(m}jC`<h4gC~ulB9{F6J%`N+j
zJ}so-81&2}!HaYP3swHHT0}I&r-Q*rQ`uXy>zFN`${Vp=$v!a!$GU(!wYe^>TBX<J
z(q(xe9Kdmv0J>aM8Bh&7!;~_l1v?h!TzhCMD7@9PZnqWohWHE|E}=?Q)>4#jl@FxA
z%NVVFwoU@90+x~#{qg`lp?S)q5&KDe;w=Yz2-P#03SM`RvGl{$-jsT;e6CKvS+i0-
zVcB5(3qo8jrzXBZN~Ox=AS4OW=Vc?ELU>(FCBK=L>0s;z`Y%9UbzwCRp;TwJ|6UoB
zm}$V|&nw5*k|sQ$he^67Y>vWNt||!M9fbPb8nx}j+^)#@ta*q-a*%a|FWL7zd?%wH
z&u2hIgIhPD%54#pLKWzn$>;F@^I=q{6mblOTfc%OJ5%{xOWje;6IM+{2iWn9q=N4g
z6~2~YGOx8QR`ZdMYkBXCp3!*}%Hrwqw&K|zy+cXWma0K8O3#iZpCB9Ez;<3sYVPnU
zRYKf*t#r8oGb+w8ApSCc8v0|&yA%!^46pF_{PMvfx`q**zIUX~(b;4qdH2;8x`xIl
zy&sZs&ZT|e0?vv0PcoimK6GE>FVMDVm)IyO&g3U*_|bzJYTC-Inu%r!KVKEEp-Bs-
zb7mF<YmHj(K!T9$CZXDVb3rgp62ZKaNr|(sXs@vGP_Tuycik=xZYs-ZN@hI*tYZhw
zSK75p6sMkJ&_{E2Hl!@5US4TLF5}||+GV<~Z@zuxxHh8`gro}IQ!Ks?Vh+zy+%4+y
z?l&%=;D&T70eE_GfGcx=39y9c44lN49R*JS-Z^f3YE)jw67Ai+#!j0l(p!a^Xi59e
zU0@nNw@nae9wD|uAcr!tEQKn)O|aydf^IlG-6&2kd$m;HvO&U#4Vf)X1lL|s`+tjK
zYf{Xr?N{*)MDQ$F4X(6eMPiHh7ULCc)CmMLnnu6^wS$5T5)ajO?P!*GvXs5+9!3@1
zbAEuie<aA_yy*=LV%5XD(%=x+ANZh~2fB5q3yVDza^Bp0InB8UbJKF*hga#|$jfTv
z8g$)lDwl?Nz$zTzHo{D(09$2F(l#+bCJHi~f{z}pj~x1%r>Kd1GwSMPTphx;k%-tr
zsNzo~26f)0nOUG-P8^b_RWB5td0$si7XLs99v<3dE5TT~m@Q)?Rse{-i*s}4#JZ*;
zHYZTnz7p2H!94#C>&157l@79JfdyS}bo6*-In%h<%8wtX`&ftIJM~1qY$NNh20t1=
zLz^>rjt#%?0v0P>^RwIGLFfv9=F@TnvEo;Op$=VY2z>uUhD|yX)Et0$sQH*7w7vY`
z<qnreZjEMBM){y=B)|!<WRv$pNqDgnwC|V~S>Q^p0KWFWwGkClH|I*SjUb-La0`Ky
z-mMjZT$*rn@xtQkwp2@&7m!%a%SuE<V><nHvFJFwo`2x!AVQeHuD}Dkz;Z&RG?eSI
zYn0%2OrcV-N!iE%x(u4{mW}tu43mM}o(^7x;HO<#s9eLQp+K{`ugiCbeS<nYj?i}L
z04FDnE$;`L9>6rsv1qgj8rY%O_W~BNV;p<g)I<<W!vAKR#Vg8gG1ulA8yDNntB-Lb
z-y)`HTdSL5Ofj^?A4rlHH08I!Vi8@ZgCn7R^M8;uR=pZ!vD0H*BL+=cg5y{8f3eoq
zCl&ysOriyUSdM*mA(?U-)=B-ZcT(vc%3x23?C#XND{$tl+8l(lj*vjSW9y@6IE7>5
zTGt2nPn)=vUyrfY_A7PL=))7f+JTn!*g$q>oEijJjI7-H`fvYI;tjw6d|Wev_w(dA
zU5A!ID(G2<?sA!u%Nx73hxI{OZLlShauw5W*S_8RnZ1vlHNe+p;to*=SLg)!S&nyJ
zVejxqXi>V-=S@(zec~{{vpVS`j5-N#o|Fbg@DmjqA9f>8Ru^xrT*vH(WV13og^{AS
z1&Ab;_AOpV_6g}>q5y~$#@vH=3iM+Z$`!ym;YXPI?~@Gz3&570g`j?1OJ)YFbs<(?
zPn0CFx7Dqek6gB?Ie$$)L1|r&6C$(&5ZT(eV^3{eXbCnh6xUuu8^P%^9brh;8iNx`
z0gk*uSLublcceYow+Pgui1zt*oC*_MDd!Y5d?se6SWQ{@y0gA6sI#^XCf{SoB@@9B
zpYSz;)5AwYfLeIx=ULqX-nSTO8VTn{+)pTe{O8oOd%FOZ?)67(x*<}~Re{0u)e3Z&
zA)B5>cUUIPL4yZ?)9;~Vye7)T0?}dr?e?E5+y8i1m+Hk^ZfxM4VObdeD3+7m)}I*1
zO+!@H%&^u~W<QoR{3J2yFzLl32TeuinHwY|=%LBr4D0pA1+A$M^XWKBDE^R~n*n-k
zvxH1=ju)@4p_U5%3Ys*jz;<qe!>j5J;Ce664O3hV`z~=p;RPvX+miJD>K!jo#mB;4
zu>+O|<gGmFG@!c$oTviB_iufcEE&iKvJvGqj>kLvSSw>c|F}?gwcJr0g>H}bWH%|~
zNqH)<8<aV&B=KuY1*__~{_N3JbcM-v{){Z7lvvuH1PaJ^a&uS>Hy1KZ&oJM}Hjb2#
zT)?9JY59A29hCUswHt!94YEw7L_m$r+z<n`#O*rucj-NP?`cDLnh5ZKG%n$EQaX+@
zcelsX;(dz^O`Npm+EFWbdCzBQhN+eIKYa5x$->2tal$C+H4f8G#&>Gj5d`hG!w5Xy
z<Q7lD+O+n|KD?=nK10Z_*haM-Zdf~G<{U54z{^Xlg4}Rc@>k!uZ3v%DEs353{+#ie
z$t3S#&S!%u6qgP?YkC-rKI~0j10-Tm_p0$`lM7YARL=%>bk1%B#Od%T4)KT^JT4Fj
zUO~=NIc0%c1m;|3Gt$6REp66GnrX8+6I8?}B4MI_1jQjHjxCkdtRV^>z@D^w!()+F
zM1?%Ls7stuS*mA0dDv;R@EajX9g#2=G|m5gRb8p%7*_z+2>8=3>M1<iPl*%nJhr)H
z`0bnlqeg~ExES`glras2pgYcE&+-E_L<#6GFeEeI@NI?=L<`Vl**$PcAhN>L+_4x7
zX@4--chMB13DujP?1nU?-J8diAN3AhYkz*O9XGHK8Cv2K+3^0zsv2R*$Q=$$7D;?3
z6SX}s{b(12a4_VfA)*RVR6pE9Vd3+G;1Z$Y8Ma+8ATjQGeP;G3N4kXkG(}~a=-5}E
zS}kyg5t7f5EC~&wmbKDn2^u8rPyBYY&Hx5_cw@>>g_#|QW{NTScfRPig8#+MU>>-c
zS(X!tuVkgjRu{GpaSI>Ib*+|P9{#KyTF8Xkkxn5}`uFo;S|9(v9rJx<uuCalJ-VM<
zibHo}^Ou(L1v!Vit--}=iS!W}3^LnnBoXP5-b)T%%9u2#1oIl^wsr`IvWb3+Bsgsg
zxQxN3Ds&+b*h)bj!3pmG;hdi5=N?7aljJI{IX|(&4*tqhJm%1I$#`9%gAD@i{O5ol
zs>$d@Wm)XbazBTRNahYB>c1u`_f_#_z|N2LEml#L1?=aGr*~Lw6(KYAPTU1N8GDmx
z#u?KKtR^r*rOGTCUibCU@%PCKE#+zRHb+V!{M@NdUMJwf!v-+2HyYMREaLX=J5j>q
zLF3{s@Q=h%!|_9$p@^l2Lr-xDA@mbke4X6@I*9PqTZTwV^ji{7ohd;?O>nYjx2*89
zpxHHx*7n}=0Sdi^O6G<BvS_=*L^toRzS^xxJvx{UBVW=#%EPoBLWVtccsF&ZZbA+8
zRMPl)$pude7DK1u6(7zFPdw5gZs0;zca94fCsN0M0MzZFM2*DVr%wQ)jcf!Y{+O(U
z+!0VplJ1U&9<kQF{(nZ&AHphGD(wv7l-+D2xh{%=)Hc@^^kExHZ_!L7M3hWNg`_rl
zj5*n0A>lj6Y*R2nH||q+n{PJf2fo0E7|lnkB{cFI1fZ!q7D!Rk({m~J{{3gz^XCP6
zftg5iUE?GA)FUu%`pxK&vk~gr)XKNDznMjsdK$vBK7315c!Heb_X!vknMeT}3onR!
z_>%~9F;{xbC$+|K^w%??y9wwe9~yO2y(yPTO#5(+NLe#Ab;%6z>qZL<R^UmjP`CIo
zgZxP#_yYc#UIj<D*0c+iHK30r$<%ip(KJI9X041p88kyW&X|VBP^#qX>175yxR(-)
z`%G+24Gdf{dPUal86i}jP==N%Vl5QxL?}z1hxou6sO)4x5&*f00?Y4oXg=M|pcOnp
z6$B!!lD)C%qoEPfj<mGlF=-O4yD}e#5|$<NZltEzIIpm3)I~{<UHCyr;wV;6zRw3#
zz4cebgBBvx{2Se!+Nu;m)?l_w@~5TmHMx$v<?v;%KXHsHJldqp1w?k;m7(6>Y*A5l
zqsOx@J1a#}KI9I980#V80=%^zWj9A?cPL*{UqImWSpr%f*sDNvsXx?Xax%-OJcWa*
zlZ))Mkz+yijPwpj>hG|4WA)=Tn>XY$tEittx4{94WY-Mdon#z0Xx;%FCvWPv3b5mL
zI`uhn?b_4Da|uASE(_Rur~?WxDVi9-Zph`mJdi;_y6{iwRBY&aUmG+&uDp3*Kq%%;
ziu;Fyhm8N9P{#~%x<(M~@j6NUX{^URBGW{{yY$29TiW_~d3>cjN;E!NJA>OuC6ag3
zaq;6rhY_=BZ||p*PVA2Jvc<PMGskum*1ZqqiX(DQhM%W>RaJmJWAoJYf0RaD6wNls
zC)DPvCzRaLecWN^R@g_nrs!j>(MEqmSfIA@zRr;?{kDIJYD{b9tjR-8V&QSSZ#Q%Q
zb|yc326@}9SZDH$`Evn6zP6xJixA_EtO+bQkxxKE%skkW=zmT_iJ~IZpuJOLuRl1b
zi$Ok9JYmbVw2n9vp3&tQLD3KDw5-?>F`#MWB;zVbL||bO{kumy!IJ(digN)}dH~E4
z;+3vw19r8G^|gzzV9KJB4MbKo)3*%z55MTY6#bDf3B&nTt?FarVOA59km9G}WPI*{
zmL(b#lrT&9QWL59oXp&Ea`uwF+aH+lmZB%1i|df$#mG5{VyCwZHJ<$YhuR<$B7o^b
zf8zOO2h^PV!XmC(80E?{K$}7GjTE3E6WT*IgG~FaQ$PL~sbbo8yK`6aheK%%<n<?S
zct@>^mY8$3Y*`PfA@X!-JQQC|h7fWL<NS2Q57EEa&*zl5xXv(+5&>Uix(^8_FvYgG
z6(hDgOl<tJ5te_u<r*Y?p4(^<fLJ7%Xd-_2u}e1m9hXYXT4sL6zCLKXIULcRUdNo2
z$@`wX3Wn539ezDuAE8GX0^2Ua>41qtOX8yEkdnBDD?AJ%Qnpr4;@MrI)LL!fRHaEh
zj+i-GVJKN-;HRKFvH8z;mI_J)IvwvYv>z8nFiR1Sh1*9AJ^zZpjqbwd5|jIM{Jlb`
zzpri$>F{Q92@Zv=e5Avu(ZfQs*!D>`4l-&$ICpp3c7=78*-)Qe$&q~m<%H^N^bBq|
z9=@OI?3n}m6QV9sgngC)xjVJGQg8=%l}~EV9)6aD-&ckFItXd*H7<2XwV5dqVhxt$
z1SesS4_m5k9o0<|b<-A2|8{oFKZlIc%?Yv7s((gv{ajBi64tr(=GIfSwPJTH`SJ~s
zlCdLmXZm0iEF7Jo+_6c!a0zvV$D2q5|Lp1gad4*q3cKbMqxsA<Ccb~QTaFzwHBWVJ
za}_}C&E5;C_={>tp9WGd?)^x(>MSO-i{}gz&HW3W>tua^%=c82@37*IFB-idMbC=I
zzmXe=!~B>3#YF`oz%G3^*Toq><fu`?2u!*gT6jzu7m?CVLASW@#8=?7!2m#UFDw}6
zac@20zpapN<3gkQ27`Q#f|rY1A_lr_krEpuI<0G8V7;MF96uVMy|F+8{UBpmQobQI
z$wT5WwoqP>ngtxo3$kz^&O$T0da^lJnQGFcezas;DmM&hG#;>p(0+)tY{|%)!)&5H
zF^0&B^d9qX@lpyGXcQp7_~p(r8f5qd-n8$#`Il@aY{j8X5(F#32FIvnx3+YB%g*TV
zkTN#d2jFMQx7#v8Jx-63?Oa`V5^vNW@Qy7%-~!{{TACI?ku>?!60WtquKt1dYW03R
zUd7=BPsSNJd_pzS%Ztw*^@hkrQs_jzzu7$(wUN{)$SXp!If+fTdB}QVFmS&E?jo^r
zSZ>$I6P07O4+!7(*+xPo*<`GBxayGeDun%b#T6x3PEh3QIEMo1qIK<rmmqje9=|eh
zRd10c#bZ03Cs~MsEpArZMA%`d5+6~d(a;=gnb8^&c+HwY8c%|lhRWi5Oj)O&$FO@f
z5yWM?U_+wbnbQZeg<t@i%1{6)k-^7TJX`c;KiD}{nLFq6oGFWYy70S9QsD!qh+tFZ
zO+G><XX5(cOE)wiSm<We4rF?!7JZ^R^wE)+5C_=6Yb>(A@Lu<VI<Zpe)jMjFYs@nd
zaXLV44znN7#!>b%F3;}L3JGaKVWp_tx4ijxpy`-9x>AVdz*i;lirq$85Dw`!LgQ_b
z&Et*XBaivVAw2TWHb;z7*ZU5XNp@&L)Pbok)N+jyaJQMTY_JqJs`VGzMO|%>$9Hm#
zZkQ%46|O%R#I{?Dc6y0(1zFk}cjNa6$AoLyVp^WYW5C}OEW&;w<hh~c_v#%V#4cNW
z|I<>+E>nh{2#xABv&oqEJ4pm<e2N>cm4dU<vFy)L+~L2{g)8xxr>G%&v@hZ5|Ev)*
z)hS1gm6;S%e4i((9QpH3)<bB0vlg022P0tldH5=39=PwNz3!&g#Vmt^<#ytF#KziC
z23=k+P&s%`*;?u;NuZx+p%k3Ks>ZVZCA==Vq*_93%PUSOqkZJ$>|l38J{9_D5#<E;
zAY}rjM#KsC2SS>mcT6?olR@92ul+Q5Jrx9Gv*dm`jKMb*egOK$oc!X4rlh(YDEtA4
zVmW8{j9Q}A<S0!4f_flu_!|0k6PT?WXMAbFh%8kN2BB@`&v@*HNb5e8L6ChvW-vTn
zIwbY1$zg(}mq-#o@zF7m=zU2&Fdzl_p6mB!y1w^I5bNIe-D3-~9O#Ohmbj%xV`ihX
zHydwA%b>rAN3}yTpG>+g`5oWVGlP0jR!dbTsSwY^n3CV)@N_`H;Iz_O?G((Nd#EZi
zWZMa?rATdKH()SFHw~46fvm_~j4^I^O8x_d6JzK}=y<tFgA()`Pz)7B**K-^lUCZ@
z2L6>)ZZS-}?3`P*uHK}N4pG;4U%C2lF4D;dj<ZX@iz#K8G~7rBQcz#@C}xb-EI;yo
zo+F>}k@Wu-8qzrd0p2%qwprN_z_qy?WdUFT{=Z;(vv)mM$MaXkUQ<p9a;fk+u?rRr
z3zFjWsbY2N10}eK>q#suOwtBt-kd&hoKnB)CGqz|8=Y_h)+KZ@Gi*zHD=)0|Bc;$8
zI6=)1q!AtJ`IB{pXUQj>nb@~cuz(KLhK@->ZH~qU8q-RMPx~9<!@{j(N+!A{B43J`
zH{IB%Hr4rcCE78yO|umSE8<4z5*^~8xu&0l;O{##tyiIP>-%6hQSV<q?z&wJXAI~2
z&X>g_56|@AmQ1Hnj70u_JM4*LlPm58SfQQr!dt^`gSp78EVkk`&|toX6#GdOmqvH(
zE28AY1!HPgK=H+bMBc3_7duS=;#;JXCUQ8Oh5Inwo^0_nL7o_G=x>hR187S+GgR+D
zHNxofeJ`wJb&BN8jM>;l@avBq^9s$IDoECd8Bv$i!FBSwk}giHdfWu44{c`X^`xwD
zYm?HJ-4AM42SRFq%1_>rn06XuOhTT?3V0-8I^WphQE?awX%%Vxl@=7(7+8c@7g;;^
zJN3hBITzz!gA11cnx#frbG+(9(RfDZeDd4evOk0(nR0W@$5-#Ylp3>7<ne6fh9qs_
zVISsMvTFWO-Yj&1!h*vf0MBQX3xJH!(}9z_P;<yls3Kw)+EyiRArxCmp2hW;HHCdC
zzVQf3Bu$y0j2Ymuvmz!8>lWhk8B;qpfS?Dtf6LBW$qxpTQ&*$;Xj&p7kHEu6hoZVi
zp}hH~uNF3+VOmZ_+tt$M0Nkjb?0>D=yud3TMr4rEcqxh|lp{a%s~Nh<BO91H#w6xP
zwpj|a3MiTAG?aTa_&TwKotsoL8OVFy+Xwq=73{+=G+$kBOs>EQ(E2V(J&6roX1UJM
ze@?6j7bD9o@(pFyC9sr*%&1vcX+W6+=wDXcec6H60Ga8l{H2nr0KYfY)%o-yh>6_?
zpYB=Es5I+JIG}BH$Z4awcNSg+F|<+`4bF826`*<WfQ@h66g09!!yCM;sbJF}cpnC~
zKsPck=4lSED+utTbx79yhNz;eK6Jnzn}W30@Cl#~N;ryf*-#?~_f!+|s0b6~S(8=e
zoh{+JK0Lk|uqxLHnSr!b9`tWha{BoEkz3B1tt7YVmMR(-u_21r5)?e<tq%60d_|m#
zD{BHR`mc6=S^9<<0*CD{ZAe!3@ebv#c|fbde`f1w(mS?znwXD2bk&KWD6+?-y7-%<
z$+QW5dALCNbPUc&EP**2?#%hr?vhRZe(1xm^-Z(Emt$lIFxSzYXQRTTq*6oi?-F?Y
zyH^5CEyF<8w;IF3E$st~)h|+(qjE*ld4!C%LQXV6tN5QAFVKUZlVL4za)YuZ6={CD
zsUTvn@fuJ75~`F9EvU=*@C+7g8Y+r&hrpl2gU#KOFv+=(vhj76l@KE_-ia7&Ba^NA
z0(1t+%F3!?266ME=?JO-8pA(F0a3f!B$7=5%6(y4^ll|_;+Ngh^dsTI0E%5?v|paR
zj>WA3wQBK%o`PsKX~7%E_*d?SIf#?Tf#MlHyYVWlkzEZL-ov~C`|lX})4wak$XQQ+
zqLC>*(BVE%y>rMH&}7xOY}n;Mrl>O;=`5@Phb-mXwWiTJO&YkX_kg>kW_65zCO|+h
z(1|7Y>iBw#OWXp@CzZ5CIK|q}?n|SPL`A0sdbE=8xFXVChA$I%x{ua?n;GV1mGp)@
zFRY9qdB%}^rNAsG1R#<)c)oF?7m0xd)j2Gp&<>wc-L}W8G%)*ibtPNHy&U`+AMZ1&
z=Kxe28vHa)%rAEe(@)93L25$*@+M|dScI*}uzn2>eE{8>El_<no9b|z=tLl28=`O~
zO?saLOaZGPCPa4uVdzWZr4yX~@Hd5y+@<LTTSwE-GFC(qyVE*imiPgg&oqIbCRJXv
zE!e**)K<(kIrkO%zM=QzIW>gP@-%zvndGzg6cKo^ODALKQr|f8dm-qsU0JvJs^0%-
z0PUn)mIg*6AkIC5{6<|Wb~nd16TI4_i)*pBhu<4#x56w+16j2@t3{3o=WLwQEBXzf
z<*biQuesclYzON%sya@~#mSDF94@B#x%+4UZ~+`uB=0RxAxy%SQGcf&!*<%^10C;v
z5;?f<sUKk?C`A9FeV^P8k1ZwKdDRv$iWBY`p*`5Z=oD7E0$!6g8H9kZm{B(ok9Xo3
z@tm`Zr(j`(N(CRFEJ0GMdDDiJ$(jx9f?w6AU`&raIw%9uku$YJM>MYQG+e&aT3qYK
zggiMCWFUpl{LX|2?wl1N^RD@CSSTj(#f?WGjiJQ%(TiIhO*yeX4Y)m&b*sZ*oje6K
z#5gAycey-JG4^@nr+d1M?NRS*9gexfi^a1W8n{9dG|e7U%A&vd)oCV1APOh_RhFfj
z_bCk^^pqkt=x1`;zczcI5N-a&TrN=_{C?SWwb}*>-f;||bQM$K_=sNk^`$($KQ9}Y
zgo7YdKyP>V1@I{}njWR$lSC^2Dnp3srv$7kvEKSygWhsZ7q->&s#ks^nR~$u7um+N
zhC?~^G1P+ZCto};$P)uISKI?x6KPj<<gS*7`$)*XuN9Dm%BOylX=1nP+BbC|`K<mU
zI-bs5-%o;rz=+>lEXrN!Nzki2Q=IsST`_%3(wnnDP6N+RB%ZkC96M`AG`}Wv4DXI!
zE8eOg2sJEeE><)f=VS6)SL{xqo9f?qrz2jqrq+4=#-(~sP;RH?i0o&j?DXqjDBcB|
zpBRGebX}OH)tO!d0uoj02CqQ>Ud*Mv3R@Ok6Cw#)$k^3uDf89eR}3F!G+@3jT3r-&
z4T}P1C0L7<#4X~TLn@!sfFF7^f{}Gr*TC#ejTU%H#SFiM!iefK>+Q~?EzB<O6DiPt
zg~9fcJ9jq|g(bg`eutQv)mj$7)Kv`K44N%v1v5;X$*b1858WS&f%F5+L0k9Z`#dy5
z;D=i{^}5NXl^6%4?3HoNz;NgvqU(@K;oHC_Ua0yc_xMDi4M_(&3{_Nem0D)VF{>=k
z3{xmSTTJ@`Jy~=h$2wRC&o>MCM>loC9Ux~iJCpE5hVCz<i7OHTOjDLtww#Fkj9m!a
zIfIFQ`7b?3$U@$ans-&G8E%4T9|8W=Orcr)hDLH`)NyFb9rDBb4$TA1;228FROWzN
zy{XFpruUEWzJ_NYYdwR8g@K`IaofH*4TO8nB_ndCEa|Yt$14P_KK>9gA`(nSYEVjH
z2UUrHbym`8=E#G`C={&p)#*?%Ovs@Ty-)c2Tks_Bu#{o{j=k9_%CW)|(iB#6?Vu6l
zCn1wXv?dNgRDj92r~+T)jV6UnLg`vVOLtcpO;2@P**bt7b&q4{0$l@!$nrx@16gO~
z{^~<+05Daj@LReL>FC8uRLpA5p1a0BgX-O-RZi!PYBvb>SE4rQ`abmq(-UsBzc@zb
zJh8G$2OYTW>H=HF_SY#(Y?(=|!!nke?7~8annq!K(NiTkO3?N(LU6jBi$UueQ<P8@
zfDFKSDrxx{)!3@z-e@s+wY{Dx_4;(d!`A!?RoEhmE0)-`XQ1U)pWPJ}fugr_vJ7b$
za1@fX7POCyTzb|2-9z{5n&%_ne?CUvHSZojmpe$?mn$YurFHQ3NILN?K)PM5ao`%h
z(kucb`SaWevsQG*dqf=?^ZaKWTmPT(OCwfV<89>q@J4wpUbYRCl`1T9^b0PKHUMqq
zilc46t~R;%YQjG;J!!^FxG~i+U+{u<w3)!llahx~E&i;w<6V8iLf-2nv0zZtZu6aG
znEF(^i?fN5iLy!#^MyENTIbpz?<qx@NKvj0xRGr9z2O#=`4&LduEefquqsxF<1B`X
z1vArGffKw}*9o>5vhtSk=>4T+IIilPvzXc=>ni9g={FD)41v(>YgjJy<cTGwn6~4=
zw1(lt^kx+fym{MxU-i=L!u2;tLz3>*=FqSR1^{u6qoO4ZPX1_6xGRY(0*GmT6qiO6
zH&0i~OZGw4|3`pqQrJ!>xd`W3)ciXAA_W0uY;4wVgeD?4nvYo`86R0~Q$2U59G(#d
zgM7p?rq48CFt=MqgcV&%ffX}U*9g%v`~+rS-FS+d4aPRnO>bGg>_v+Y&uz}`z;sv4
zLQ7QTQK?=CoyV+PY9&qXtY@<gi>u+v*yNG#gP#guIO$vOTMWZY$%q5fbI%0Wm`92|
zJ|K`Cm@dA(jIIoY?N`}(x4(U*P+?++XRS+|EBr_(Z^K)YdG;2cV4*|K>^XVQppvOC
z5puS^SR8hHs;2Sb!5J&MuZ4T1AQtT@Pp3r~K5AUc54k_^Vmc?sSOE){=206du`ntg
zU%!C1Jt#Eg@Q(R@OXrSpr-tVDNoD9;Uzs0-1rIW69sr;fOCx4rRGPY!pJzrw-N4n)
z%x<(whQwU3Ryk_UPHsg=l^K?_wLoq8Iv^1|!YtV!u#HP8@u8~vu#E$?hdPVJ6xI>L
z#h?63uiLIxXFB;TWlt1IZ(gyiGFDf^b{L#u!OmV81b_FE;6fx(+G&1*?jeEM_>Ff2
zE}Q8O;C33`<Y<s5i?wu!#IB+A^)2&~ebB4KRtoor9)vVqUH1t)`P$EO-k^^Wj7Ng7
zG2C%&CY}jciowk;lHWeH2MbM67&QUP=1n<_#~Pt+tMkCF-h)?XcDK9;0$&8o>)qQ)
zyoRjcXU46>TPGArZkQnu)<@9C?Dmyb!WV_X56wupJ4+tM^_57*)=U%h+3UjZnj(}P
zgJ(BbjMPmVqd0it0S}`){S!hvd;4m&d?A+iPK!}K4lp%R!NOVJpsFc8udW|&B(aH5
zhvFkO<Z8*F@5K@YBos?u_Zm7DYEQf8w?u^h92I>^W0|?lsa5r~NP*GH>Iy5RR&|(h
zmC?T<*plA%@XI6!RR*FSHQ^|v)Be!a;+?f6e9_zK4Uj|+JJAk{3K-keM2`cp9+VW%
zSGd@u+%fnSA|_HWHPA{i)k9ikI$Qa?kbaYxQst6_;Z`S*Na|V3jgc8R@9(vX7Q5cd
zYW9ZjQ10K}2l5K;wYc1UJynw#vV1-D=S>MZ$RtkFCh_OD43sH@34>SHce@5O9tFjK
zque4XtR@19X#Yso;bJe;&(JlE1ebPAvsGaU6>gIMb<x)F_~F(pIgf0<Uwk~5CCs$h
zMY**Ob&v$R*Qlc5@^7gcHK<82%**30)<7`{yG%y^m`NE{;1|_9k@Qa+7k>((SVAm8
zT}1ZDo<6h!5&CEz<MHwoPA9TAWr20^WQ}*EMNxIMaWJ?9Qu9`rc;x%jPhDv;ppiRv
zR?2bC0$CLY4l5N_Y6|a&U$iGW>_*~c88}+q-?O?rtGJ-b=*hg=#71!H;NT=2Ua`nr
zBvADFnvi`@mN)x5RUaG~W-n5y-!CH9UnSt=_+z|V41$akzG21vlVjlYoQlNKTg9eV
zygrU0kTui7S(TrN;bso7Gh%*dx6l<STx1B0r5mU)wL)b@fGdAmj^zdtn4L2-Z0$(I
zRscOf!oT=`f%~a1{Cnb?=rfRkX~D;Cpj9NXJjjotcc4|{bV`N(b|&z%BBmYW_Ie{v
zifVy4u+A*YQ{`=OJTrgcK7SFaNhYE@z0@bO575BJbgscxXtyv?Tn>{~E2Y#~zJ;8h
z2BiHetBHI=f2E5Q3EXa5JG0}bG5S3r)CCKX+A>@o->JvLP!>$ljXQlQ5aXLs42QLL
zwlk4WQ_^bzB+Z>W<EUAL4v6*_ExGYS<aQpA^-)%P%=W*-ujE<m&MRgd(l6g)K#!fB
zb|sfTr;0f<n3LBOuwQsnkt10rvY~sf&+zOgBynmYeWtkUWpo<PcOjjO3e$e?ky@cu
zW?fkHy$+ZBKICBPl1SVXZ6Dv?hAHN){>=_z_qf##NgHr3$SbN5JwQ@lF^4%+s#Wk^
zh!)(!GoCaMlraLM{aeR7b~u(&P_)`A_W?@H?GW&(^QWsDB2O?oZdT5CF&jV>Vqk<t
z0yG>eYkhX*fzkM2RkQw9rjyHO^1rP<MQN95l7%RCs~oaOLWlZ9SP92_zo_hnv~h>^
zKwGVm=7$t*f*9%|-Y|A$^yKmtRXPKUUcc_|{4o3tQCSU|bxsBqon-di#IfS&*1QAy
z5spGN_ZsGB%0z)aKnq4_@i!M&w5W1B&il5kCOX!>_uy`Vmu9Vqs*qx28*9Zb3yTy(
zVQSwFb!ep}O!$)8*eq$#V^5tB1TFvkS3rJ9$wgN`V$1QhepIEmc5YV#Qezgp7{euq
zg{##6sOvc&M@)o~yv+Z@p0TKNO<nJnf1kZkq_7uR)J^KCRTye)v4tDk#i%5!Sc9}W
z2_>%kk2N7CmMvyywJg_Z$evo%wPpv=zW*ess9drrC@6KV0pY+we1vp?smw~DeHLAk
zUxrH4+LBM8wgQpu1ymq%O@VUTCgp1w%USusUM4dD$6-<w{nRGP?z69QUhtjfWM_0`
zPu(B}Z<5Z9q*Ka5StuG|X<Il}r2x=PGSI84f_`=biK(zB{ZI)qOpi*9xTzbbr!x5R
zLjWodb2mk4|Cu5tHH+1?3@&JJEs-QOv^yxBhmp0bPB$Z1?Rrk=(^~!7$kA5P5}4ST
zhW7hVQ~)DQeUyj}Rc@fS3qUdkqDA%;VF3p+|2<}f=URL89@aTA8TF7=+ye8_4RX-I
zm_k~=du5@vHzt;v2R-o3DV2WUo(>s|Z6Cr@S?ic$PTFhcT7362$17)t5JfoezQLQ9
zlUmh=HTH<_H-h@dZnU7XIp+qnC9mZa57+VkYD<MZ>(n@)t*|TWUq8$*6^cK5vrb>R
zYlhtzvZ94n&QB9Xbj3HqdMhGKT95~_`LrEK&SWl^CI~1C%n5}y2Olj=E=An?+82S>
zZkhU>zw=t*$z<Y<601;m@&jemzDYCEkego<!*9NPc#l=+!@ESNs?SdDeY7XCfY{lI
z(&xcfL?21s`-6g_2kUetWg<+-Y6uR$)8>8mZg-u;2rsd!-m;FxlxGX8`yAxes}emF
z`{lP?j(f|qR_rqA7mgnZirb1&)Nsy5*n*m9V~;^-nv_B2%Z1eJexoY?58^Ufh@h&&
zpIMvtqHyHjSQpyggV@Y{Zk5VW)ElQ!XLR!21_d5&`iHw_a5@p2G^eMREsW+0wL2J$
zjuhJWa&%M9jvVnZMTR98;N}s7Vf+kv0;nq91!LIpR8O|krNN<mn1VQ}T-}9&pq(U>
z%(d(r7!&(MS$`uwwRTz35o|LK91P*vT|1KfKS@O;M*2k@zr&)`hx){kBr&%P$9c_c
zx+|p<Pl-km<1ykU5hmH)PTGT-y5ULh*?S}(lJbZr0zrZCSZDDuN3kI%3fB=l-E+Vy
zY2=}P12j+3jYX21rkzK=d_5SQk}eX6nJXyokGGMz{K$nL)>;?s{m~N`*$vXL!V#Bz
zpLmWHVo#{VxWuD@39uw0c$Xaa>$wGGVjjS|#l+Kykh&1#NL?<LT9Q1G%jUv6EPSv@
zui#!!^sLb%DZsY6if_q--Stc~V7UBwoj}rVKW1mzW=$T$a~k~l!m~?>Oc22`Ww)8_
zfD(0ko7tL*hq0TPR+$mBx1e2ZOfhKk4bVu-$1MvFEm)V)rBdB-<a`(EQiscd{knG-
zfd--D(Fx;Sjl{q;Ak?Z<tVJR>uu38@$cctEd?|MW3I~)}x^!_pW&GO{Cv7xl?1?3e
zN9!Ft5bt(~uMvk_vHmhUe9)nOz;6|vq*?-`e5o-XO7Lyr$qSPt5CTK|c=%}>y2yVF
ztL1Xy{|7q~(FXLUDhz<V$n}$jQjk^9e?rZjp=`R{M7&{lRTL8eT7ovC<*?jsqGYY<
z_N3G2EZD(AozDt|*7n*$JLF*rD&{)V2_|(Y9`nYN<@&;DO6r){^DYB$oP~)JMHqRe
z*^_gCoDLOZNUaIoGYrHX?uST|qa+c3ZRn8&zJJ!%vg4JNt9`?yx7>)Q4}_T1z-Xm~
zjb;NyjP~j?{Er}<_0tOwtPoBVaz0|q7G!Fne=?)VA#*eZ!Sw}wv$pYg1agDVkk>)4
zxqmjd5HIIH^>43`{CNefSuuMxq{_iNmHX#qAznHxfpBKbdaZY~Z0dsn9jJe~zX&7H
z`gQu{NOOz2)@V%z=5QsxmiuIiO*YxVitnk1tfO_RPC>>tt#g8O2Yv=VftVm3A#i8y
z(QA`~N~JD<^s_tTPqfi9#>B`Z={$j3V){h7R)rzfUh>EJ8CNAMK#TjPMVAEIc%VqI
zCgjdn;S3ddRRvxCdqp5IlbZoHPi_5=4%PXUEEtBr`NXgm5&p^%CVSRp<n8Oyc+y=v
zSAMzV&wD$O)l1#{=S`hsPNMhhTALQKXwzwRZjGXucy78ty%26<3<hA_s(pC?jaaOA
zY_fWv#l)BP?mDdx+kyOUQyJu220fY2PVY^>gzUP|&z1JJ`Vt{AHl_lJFC@X;Y!?Vx
zIQ~v@#i*{-x0DiZ(q`Jm+-6PC-GDuZ)(<-&7S=94IfGj9uCKVh?Q+OxD11PGvZ?eC
z-SL~~M>~0_@l~OHq^$x(PY5vz(m|+EyEx;1@d-Z)U)5i^NYFeJO6Ltx>M|Gl+<wQj
zw*hu}xWd8IzLR6ab0pvv9~3HEw#ioI?{7<{<2fOPsN2F2G4vA9-3lYb0f|Krym#G=
z5X~ian0qiQ$%1pyZPOax?@`;XpOEisR8tM7mB9A;=UirC(5BMU&<bYX!0kI71nSP=
zqO3Dr2@N3{nmf|iRi*!|0nVP@px(AjfK#Ln;$g2?B=GS!aUiy_D+Xh@-&N%Bk+$@K
zb%8E_rX6qq0qTk~dIO^l_EA-;ueH=>rkr4rEcx86S<gp%NJuPG#AirRH=2mbLIiE}
zLnHDL<a3*sdyRw!)$e|0F)R=IK}I5tx14C4vML+;%ggt)Z~K-Xnd=diwJm$LtZh`i
z?LZL<-(9JfR?#nufHp1MEdNZyhc@Q{(sPB)fWfoukhESH)8cNiud6Pzrq2F=R18}^
znZ(g_wz%Y9fLAr22heFOX?&W=g|aV8MOOZ=S!qFOo@vLS0$cRU#^%Pp@hn^pMcM*Z
z>g>LqFkY|KgA~4w&1SMQf#~!imcrEG28_3~282J<`DNh}X#(RyHV7IeNt$NA53iv(
zy!avq;FLBq_pWJH^9F)JuTqBDjY}_${_G5L*Fq1tN`TbV??Hs5{99w=^18A;0E=Pz
zHi=Wc1Ktqd4G}i4l;es$Y^DcNO*f}8SAuqdHWa%U3+IF{Cux4<e>Gm1<v1+@hb{X<
z*z&WwKRi<Y3U6TEP5G@TAQsR0ars0)_9I)!oirr6Ef%#s+g%MxxVe2(>CBad2Q2W5
z<<%y;2IFiWQ=(MXftkd=Fl^$^a}W!L_@Rw<d{FXV#{@Cir9yfMNB0%Hu1lf%XNtOD
zm;##c?am_1Ke({zzLB7dbB17(e)rTP&8nc*sUTzJsVESpFEf!!>oUV$x3C%x=WL`T
zCw{VyMoZ56KEPpXH2+6xc%geo3|4p)f+^ZgP9O*(mL%1xl+C=6*!o`p-fEx)TmThu
zBZ+_k0~NSFBv|xY9~~?jlE`)5;)fIQ?cqBkX#X{b3iZ_zj|GtdD!(oFcD@vZOlYCW
zoqpy1E_Ep<A>~pUwmW@EnH&MHzq3`i>N<P9J!Vhnp3R$>^skFG8-laAQETBSEw;8G
zu^8JLHt#*8mhS)%l5%%9q_EA5A@e@(i;1#82F26xh#R117S@-PPq$jutT;&fDu{2{
zbR@>`eVnH|yv>tz8xW1z+aW7rCgubag1zBG=K0Q$lTIk=gn~6VBvX(7{iL|`Jj1w+
zVTCAI!EQDH@?w_ab37-t-&8!%GrfZA)MS(RE4KT%^R3ZF$ri*GAf@yjvUruREhQVS
z1{?tPFF=uQg@2+NFDc|5S(mneZYsy15Q@njRKI2;sbg6Woj<4Efw4}t!^bkCBd`g3
z*GeW!CqYZ{4sCM!*j_vk{7cK|MqSv?<2KN?YdxzyVy{bu#OHt(RKJukHfXOo;=eaz
zT-2gxA=&eZ+!E;VTjx66{2uL&B4j1Eu~fLpN$(W4q|f-XKk_+f^24ce!Hv27U1)LE
zgJx2UxjeWCM&H~O-kp_HDsd@?tCYJPBkX>BcHIm|&P@W?e6DOsI}1}6e;Ip`%wC<C
z6mT(aZnffsu(;>TfkbY6A_aElel}zhrUmPillTv5{*0v^YWu9q-sAl&tH&y8jhlI3
zG&E?2Rt4nNG9nF@QGwEj!hMorw7>t|VZa%uZ>GSPc_ajmq*zRe?)Q~XP6W0}wXBcL
z7Z8(Ue$<B<+WH`I%4bEye;##khx-s^jw?Ls?i7A)HJ4g!Fu7_eW(-GRLUO^~RHZFv
zCX(rTtR0F!aJxz91YjNZMTl@j>OyB#rdV(wyMVAIoaf1zc&56GN{*J1$C~L(h_4kV
z^ZPkMckyKb;3A-xNxUEH|J`N$DpSro`$fnics8&OIb1~27Bvyz60?b+9e&8JBEOG%
z7VGH*4oY&dvnJsuO>Pz#)jEoH!3bFo*X?sZ2pfk#DIYg@4M(mqEfcVrHo&iesR;C)
zBVb~ICqBE2&p~#W4s`)iUK;UCkM_dmi#!B{Fh-lY`6KTCi!ZFZuV<eXLC-9Tm+asX
zr)yg3E6j=0yOh4KUQ)F%k!Ud4K<$0>{RYjf>hsd*C~ldF4+37cuPPWjD94mx2<Img
z0*51rJ{U*G@d!@sy4F+VG;2Jap0bae$lC@y-5v;GVl>EK?~r<wX<jN9q4dw=YW%pg
zb>;hm{E=w#-&*WInYW#N4cbh~&YVD|P+Cjrdv$fc@_4c3hZ|QwY|w-{oZ<nEU+j2K
zj^Rc~^m?ni0uJh-VLdu`NoV|?!xqftH%`P$WDT&TSDJPVR|xTr3}IHLTUiD<4`NF+
zyI~=Ix9r9W8P2rs4bv_fg#Z-h=nbZpmeBkO_?3Rbm}vhp<ST>IuZ$0e!F%NpGSiKe
zmqBODOgJ;UVUrWH<;o)+sAlV)GC6J^l0W_&sGE3#BV9;8nJT{noZyTqAI<mI3}iX!
zqwHU#nHNs@H{OGwE9qV!4(}5k2eslz`AaVPjddV|UK{~7sRHqAOj{}#16csTmsm-V
zI3Bwn4;%_sk|=E(z1$s_e_Gx=_CgRT*hc9rN`@BF6C9|87f0B_^Zn_uvrtQ9AN7VA
zYFXGUh%EaCcdo^x!81-<Rj_|?BwJH!S7K`-TO+FP<K;%uCCbN9V$MCkI-{?m<7nop
zv@WM_Mr3z-OI$@cJ<9LEgMVq70-Q%lLGDmRv!Lc*d!J~iRcm3>QGQ(OBewLw@Cr*W
z`P2~Bpkm(8B<fdgoQJoRY6)nm9NTnBOrQw3R{FQr_KGrEhJ9$9LQ7R1vIKB4?=nJE
zjgS1-bRYsp_59>yNK%!jw9F7si|)007&7avmF}D^;F?5^CU9+O=;ZLWj7V2$6nGRH
z8OTy{GsHa)_ORC&z=Kpwk~RDBL}L!HrU`P3l<n^dj*S=57rF68))5vjMjEEgNsDy*
zk(Gc8I>U0)6{!3M$S1p>%D#x%NV-%rQ!&j6S)bo6!>yIV(&iC8E1jbSx?#a&u2QHq
zkzI6?*2N=HF`RX*cy2FB4*36PbzOTl93CCM(WxZsKixB)U{+pic}p&ABfNSN3ieWT
z{8${#VOO)r+%_|~Y-PZ$&{}lwgUpk1j<atA4zKdnyvbd*;?Q-vigUaH*jkLPg6uSW
z)F!c(%=!ZpnN7}D%ST-iqLtl)F&d+12WO!}J(5FkRlRW%zC?dHI}}9ccYH39==v+M
zo9<x!eK3U(oSRxK;qx7%33G{5mgIGb<IhXq5vD(r<x8z*_+Omcp0GhsoXNb;c}^sv
z7(d<uNL+F?vpQ!XKX`+Y?iyWOwiw{?-UWjHCS+m_#xbY)Kkjl_#i5z*cfH4eX69le
zQxu!zorDzR3U3HUUFXuy%Ow$J6?g&;%^akv+X|@cCeMY>YcLC|6AM$li1fd^rzElU
z$Pi=EGr{xC3?|ttF$e@(25G)-JF3{3=NP2_Bs<_qC{SQDbzWGB@rU1;lqAqOjOdMw
z&kK6DT?_c2r~lx7>tH6ATTo7a1y(J%S*P(P7hEU>aN~&X^iwgp<4Ki#@)s30{w#%*
zLNBhR)vIkl+Htw!4OT`bDg}w6E+Uk354o0<-Ok_cR$&W5S|JM~UqHT^>iI1~9RQFg
zP~V|GyK5T(NK-M{gX6*by@D!tJ=dyGfd(1W0<DMn*hQm~z0DYZfR5#qJhz-E#RpEm
z!OI=O$;nhjna>oFsJMAQbz|4O!j>yzM~nkk0Q&0sLIA1H<PK_mv?+eTAYyg>4dFxY
z(YOl+pPp$@Xv1O>a#Q&Lop52bp|jmbO&hfvHDbzZ#qh!T!)Ah}-z03_0(q`v^Bdmn
zWy=bjf~r_izhsci@#q;02Bw3Q3vxCBLA)5SX8T_Nykp%e8{;rkw(=WFyOH#=SI*Vl
z5d5{Qjw_OGCMUFYQOIFwb`{zWqc``X-kj0dxT*26K~x(92mo+q94sAbRF;zTNAz!s
z+o)lji&lVg%%cpOu7xB@<Rns5MwR9C5xB#Qk@eI=Tj%v*M?noxIL3HdabRmQy6WPB
zS3&FP?9DactIqU3hYKm`xE-|bdg8z8<kCtYIEAiBklGAN#{F$a?LY~h)(EjCAv5J9
zW~psV#V+@YzmGwPNtHn@aM$Hyv-!6>jo4dHJ^q4*PM1-dljh_`@8c{n0POiRJz&0a
zL{fo<O|GYm^X>X)t`44b?0q>SNQ#~|X$QDK*;Mjwzml>zp2TTH&k~IsaNSq7Y?`>i
zdB%urqHxPbvb2IjE%5dE@y){nIyNx6cP=A#H4Qs%sCXjyX+hw1mqzbx55v+`BT`x4
zaa1GX^8&dFM-ggBN<-o_l-zFAYgaw+GIc#?W6vEHFR3sO_RnwAkNL!jJ_;r+eY8w>
z6B|V_JSBGvCq;iXrmeSPJB5!Tfl*L;RrJCz!Pn@W0}H^9w!V+)HLs09va!8XPfdtq
zAW|6h<IVkp)X)ijmZw;2`xRv>>}6vBV$pBCxh&IdiZowq+*AV(US3w<fPFnACBJq~
ztEgq)Il_j$b-=kJKr(jXbwj<aPB+=%x5DgP^{joo-k6{O2<!7MzK`<z>(w*p;uXV-
z0n3UQ)MSuKHw`PTC(Rjq022ufFMd`~^lx(v9Q$|u#y6?+*Yw3D<;!zO`8I6NB_osJ
z1}#BQ)t@3B)2zJiR|xI?Vt*6OnZfwG+3S#AxZ2c|H<{_7MrDzah+{b(BMo0`%q8=)
z3br0j``}w!mG?>vL?a)^^RBM~{S1Op_u}m~hnp-3`d9&K)eBTfPY&jShHszGoH{~E
z5`Ul)bGnL%jF87Un@U$8Cd+b#`Y9Muz=8OXWfvCQb&IXPw|~WAg5eGV&r8Ec^a}_z
z!p~M(eM?fvit5gQ4|krbWHD2M*ThG^YUcZX4=~K=K`^1l4Pdz%g1PtwmmkQa?6s>t
z6}8Tfc*SuiUS+o(Sgc4-i)WvW?~zdW&4amDHl(5yeN1$@g9)D_oAj$CIVvu%LttFr
z=WIY@A@z3XwGYiq|2btS_;6qHaVKTHw|0R3D~Z{m__8>SpH!e67+BySWQioHL+NR)
z9KxUFPG>CtuSAq8h;oC5C=)x5(lKcT{E^GYFs-ySTJwH@;!N&et%aj*MR;~t?f6&t
z0w6wXS^z>I^zl$Od(_dRo?3IIB@GwIK*U+fb6`F`fyQpnX}InIdR>*F$x&UGQbA|z
zx$-G|=okrjAe&Lz{6EPSXA@;EtO|mOx&tyd(4l#S$Z3R4$TfNY8HXrD#5kDC=FvIp
zSd#+ee4jph1f~aIc28zXQZJ1sb^eI&yE{u?%%2R}s2X$a-<+q&LNg1(M;Xg$!pzrM
zS`^V6=`!^k5{J6Mt@)!^($>iLR>nT!i2d))wTMq4#S+(Y{OnQsm-Cq2Q+Z$DWEORQ
zPxw~r+fnQ(D%#60$V{Qh_06Cp*55rY>;Bd9{J>P=1$CrkTT04D79LT~T=vA<!i<gB
zCmDSBw>B!{y##_^MWa0Y*pB0pt&uK~b*ku=nh_7UO7>fjyG&{+eCJc|DeT*n3=k@@
zWtwK?Mcx2Cu0F6ob%b^%*E#}4&#Pp!!QPvYahPwrE$azLo@WL8mgcM!(pFDx;LL=&
zEh2Uch{p_o{+v`0AKAV*j&qTOIz@VF%L^qbLtj?_CjZ({s7NC`6dT)WTJFl>@NfMK
zX{Ua2<}qZMLq&4L8uSiibS=jpT;(uOnmm=?2($LMa;GIWqH~KM6AI5rGi*dc^p7*^
zpO5pWq+qbgj>2h>A5mV`!7`n;>r{MDQMcphUw1vy*UsjH*~edRLi96i`|i5(CF5Up
z>Gmi|+t=$f)Xorr^uwJ!&#mPRoCy=Pu^}it$hQWNujgrtkuVT@7J9zKgeeIk@`P@U
znng}tM7t~K<S1a%?gulKC`Xe|=K&memCL$@qJnlW<w{$IH|^XedF_3B3(C0rbeb+i
zrs`EJl>S9wFc%9{mggB9VF{_gefV3QMGl1aNa;my@1&EK|0l$mZVylM%xLaP<CxzC
zm4nMwAK6Haz3e`%0w1<k?mk23A$h)p_XFSypr9inO1?0R?Cd6At!YFC8Hc;F(sc*8
zz>GCpZt7x%?MhxJ9At@mi#t1aj1CXo<>RP3)VD4et9S0bRCkyGyo2d7K~3Z>Y4n%u
z8m9&S$yIG8$7={lPvHxa7!Fz(y_*90qaH7_BQXIyCuUz&&myY!bibQ8n5aGsKbb^=
z=A(^4fN`@>cPzVMHah~uu^px(I`~~kDUyK)Yj}u)039C(d!;BEr(%TlJng-o3^lpp
zWyCPE!)>C?!RcsN&PiNjC78a$oVqQgs?MCBkC4>^oW+fm5&5a=7c}*YM-q^39+RfH
z*A&FM^k9(PA`zckgbcryRE+(JOH2UFbzjALh@;=cU%B`cI~gq_yk$*Xh=JNuBZoQy
z@Sk7&aAPk=aTr+aAShk!Ek*DF1KpiuZE^H>1>rXTJ!#uB<2c=>Z6|1YJD;5NgBxzr
ztf_5LZ>r!d#yEwNcBZSsK*Pj&t)rg+udG4D#CXm<U&%3&k8AtmdEt6aa7ASX@74*e
zr4O<Ux9*BgV44C1uPV8*8E+4sgQjN(F#l-&u@sgwcMz%O{EZeFAUD0wQ4lJ@R6A0T
z9GVa`RxiDyG!2OcdC;qh-2*8JfOEi&zuUbYHMzyNYMr$B(QbrJ2nMeg6rBcYrehzK
zFrDajRp~RKi<}C5?UuS>%2qsI7Hp%w?W{sIh~GmAx_?Zo*uC(9t8|(=fK!eOw!TXQ
z*s{21W{Z7XLSw0tY_+NvaAU?hEJkD^sV~u<Ybz*77HzB8e^Q$rZ*a!_fJ!mF)%*5`
z1+rq!>xR~fvPassQ)*xPhDk!h)okPcXkp-c+rqMqxHMgr+U#ocE?b-XG}x}OJ*KKr
zwjD_C*dd8c)xR;PhDkYz7G2hv)LNijC_d)T7w%R?>7O=bEId_qHe`7{ROElomlVwB
z>6l89s(y~M{@O>vt;bBfliNilMY{hVNSPh;%mt78LDKcMRC+|Ys3nxd_kP-RLGQaP
z;UBn!`(<}!iWE43Z!)y9r~OhxqB?t#D6MJg0(2JkA`|!D{<2Q)n!-3(y(tynsX;{X
zO(=a0dR}zS*WeuK1w!r`o1k40VhVhby7IEl5fYLq<L|<;Y=iE8yP&cTfU8{^|4DJV
z0Wqjf;qdiE!+$7<4<=f7p1?)i&2R60kEOj5VoURyeQD$-m^+V%s0WhO#^T0S^2_*9
za5z1WLdqH9`(wh-%cpI9i2ZD0b4z$8_MK3PLVfMlY!VAG@jY;dw;_m{4rO>}u>GZc
z`4n7^7VY0nY2e%ai`$8{m5ir*7aDs9x*Z^h{6FIXheu7r3v<<`Jxjj5zcutz!F30&
zln?9&rD=SI8SQ^^p!-)j5xyYJa+e_7n3h))=1C-4NBGX=q#N<gfek4q|E*h)w!i2g
z83$SKzx7y3Vu?{;%PSWWEYsl<LTI|?Iiy=MdSoMUj2XH%0Phm5p~e5VoEE6tTjYLS
zN#9)q`wm$wu(YpsrMa|V>>ljnO{LNAh~icP4(6&oS*|p6Jwm8do(A0C+{s>Y7Grmf
z(BE|N$BQI>6@IwX(A$I%yiM137g-a7?cmQw4~V<0bn6vvw3oPBtQ5>bzjm`>TXI^?
zRssW&=m_UZVKQJ%WyiShWbaDJccxY<F-=;g&H|>G-#586GTm5};6tTnYUwawgER!M
ztp~yaJ>RjdY<)h1KWJM~Yx>Xb0V~DuGu2!YWl-;DHrpk4cQ73{EfM@})tuPo=s^a9
zzY9FS2m4G<bi6F#MpVg;(D{aFlCP!ddgx*pd++M@A6EzkEAQFnoffshD9~`7e6O%r
zY{rPxB)FjBoN-}Fk5L-Qhe@)#W()Tou#a2@)}zp~t+sA~m_-ET7|2?w8tP3!sY)7%
z?-if-e4+9X9T9Yxf%et9k!VTK47Mc{cm~<=%HjbzH@VUai1GGCDA4jI8|HrnQ<yS~
zE#b$SmZj+X!IYBIOLqz(B!#H?5nz^T5<o0GJ+bY=u=Zhn0yrJ^!@u%KV4(w&=|i=Y
zT18P-d53xrl;b=#d4g9j+$OSSdzZU@sjt@$ZmZ9ipKum7O0er_F;lh+MppTM!4yvR
zcnGIon5%Pg<cigaO;dgV>Skge0NRjO6)=93Mzx>o2@Wnnw$B{n?TcYge&XJHe3~xG
zEnXd{YA`my)DRmh$$Y%FC<%h5KqKtRus<T=@*amovJ5b0fA01utYbu;R!rWdA#sRG
z3_S}B%F+q_3htnSeFp))W~Z}09lie3(s{&)RYo~{4(|pQY)-PK_0jvmVg8s2c$5+r
zP2gN&irJ5b2V@0uy40j5&h<TcPdC<+?5pk@RhDj#O$`X=LgKze^q7-2hbj??CBau*
zA8-#~i(89ap~{yw8ur->lH*UaQ(9>bpajHninW&D>W)^iRt7Y*kd%75pyw`p>rLZg
zTTBG*;wx}~R8XQhkM86o1G^*Ly@yFQ$n+BV#TH}tS_ke1$;ira=S7)Y3k961Inq9F
z9%@I(t1w56a*?aRb6OJ-;XF(Xo-~sHF<0ZwY9=#tDO3lr8S#@`PFOlnVtSuu9Z>W3
z&T}%j#~AMy64p|VK0%mj==vua6tnQf79A1^oFmgy%I8ovU3&%=O6p66K{#CN?jt;+
z4{nB#9sc$B;=Bpd1~0>o`I&eMs9z-7A)Tou7u_mNeiOr6)rwr0a}c1H{D!N%VIJ_G
zUdqfjRmgM0SVd#lvi!_``7%8!{F%m9dp~EJ0@oWLcrUpoPk(vS9lGc?m;olnlTHKX
za4DfHnn%<DXoeS_oV{XpQ^F(Q+wKT+*!crJKt)ZBvPsCuS|gE*;+~#v%BqgOeM___
zwd<qMWzYZV6h1dbk{zB89R!!+P~=@M8Z{kDOU`s`4EyOU^SHMrfG4e9X1=})IEy`}
zX$euR|90t`f%e9Jws_?r+M+w=_YqIB3T?udDTj)?!7l;8rPMyG-L}&2xK~tCLYm{B
zhqbZflI($_V}x%$mlVic%tg5M_bPHf2j;twZdbp*p5LXAaX`jS9<w>Ji223IESb&G
zOxx^TLJe*iGGFD5xhhrYgibUg_16C{A3z`R6sJO-ix4xOdbOxSm5C-CIhx>B$}}5j
z!P~Ev+|7)9!!@kC5i&SkC1>**xM{ZuxTBb=cb#tTKR4_M1LP{1Micyr+@MMhnUW%q
z?lvtG_mN-lv3Ce9A8{W(t97Fe4&dP>e$}vGW9%CXU5&@A6g{_G7|^d##`W&l#&5hx
zk9U`;dRxitgf?KhH<mlp^|<7^u3xT0&iuqksUbfTNYF_xd@+-WcvhMm)SD(h!SLW(
zMAF^sLQCD#X4NcPkH{O<@SoePnzWGHX86r^Le{VkZnnTiBX`&CbXT=KtdRClnassd
z)Q}AD$R<R-KBobZh-2u<k#v>+Zz0-*$iCPjzpiZ+>9ivZ#TwZ>H~_CJDtV!Rqq%i}
z<hm%&%x>M>Xs-QhkrDv8ax(ML!etAQjIRtI`ppW6&4##jjGGEzSuFTo!`s`*TDGwi
zfB9uu^@NExTHzmudfll_Bi9g~M92r$)CF^Nk5l_c1QG_0mR;O+!%LV+h06CufX}2I
za=|>azz7zQmug2aJl7XFZ46|`-ZGiOb4kA>PCK0M8mtDZIEJ8PYy5E^Ug{^OepWa)
z{5z#*{=Ts*ytjC-8OzDx35*;Xsg)Rk=&zc#r_Y2);~%JIGHqs?EWqYE((6Hnsf<zm
zw{SI}Ai{T((vCiWvfMuUj%GBIHVJLLhEcE>``cncYU#96UsO9&z3dQ<R$(=y%lveU
zWAJ~{kvyFJqBgB>r;VA?@iJlb?5BIUPFZH_6JBoz2cRF|)(=yoT)+L7*f*c`vYbxP
zWx)SYR-t3hgqqqX<NgG1XLTz`+hvO1{e#bJ4543jI(wQWYmtF!wnmVJ8~Yo#F(Fdc
z_DvPJc6cl_QJ6uDb=Xl%u}u;BI1vCZy3vl-XOUZuf&=U6l=08*fsOZ<#9I=^c7+b*
zz*Aii`W`RtO^b8iOP^Om0vGLlnZ!D{;yxa9C|4iHmc~6{`=&Cv<7z@wyb*P);xAy{
zshUzQvozQnJ+%_H%7t8?z!Leri!+5{Iwfc(I4(&Yl({s6J$8$(og)>#8BR3RQh89{
z650{Wd(P1o*hZI4^M!NrtHi!WsF$~k@lVs`oMhgEFekq_xkozu`(OIEjCN8h@_@VQ
zxf7SdSVVE!b5U8Iic~b@Je-DIlh>9U;?YrvTM}YM0Cn!fkzTcjE-B%2?e{b2)4MKQ
zM8;ePs5=soIxN?}3)f0BYq#vrhbxMNlXR)Oy$;;i?KI8PvWTB{F1;^(!F3S}2YmK5
z@Iv3zzC{v{L{(I4tUkJ+X*wA_m&{7v8G^K2y&BkzHY*T$;j+zzX|=gZAM5~=#+>?_
zlRUz<WE5G3LZesJ7>ADQNYF@g_pTT2z>*#4G0T5pvj#C|qUW<k92uz06dLp@`>P!m
z;87uYN%<8XqGEFCbktqn7Z*8R`DD;#*VpS{%J|Jb+QW$gYQX^_bIaZB6`&+?Vw{gj
z&-*t8867t&-T(Kdaee=BixS2UR8Ys&&797<X8PW0HMGty{4jaWPXrHT3pY$l4$%Y)
zjH-WdPiul*8s&IPT`D>6T8H8#Op;nTzF~p`o*d?)+@K4SI2a(Fc&wNe(}l<FBty{v
zrNz?xB56i3Sp>n3Hvzt}JBk4b#*FqAmvS)t@aJ&U_KUpILM3tcnH`Qh0(P-w`vdk$
z#{XS78G6>e8$xv03=l)nGjT8K#x#HNylBL4!IoZcM|hSKr{B?DFgE0-xPt0{l*WXA
zII;)GQy7pVF^iTGf`Y-nMGn~0>!Wzq!zv1bC(~6;EdU6gUj7rn6J-qv{1L5t+qtKa
zfeXb^4eT#kh6K6B^8m@bUX4KTwpf^X*AWKjyY{qyhT`2$=)M%Gg|pjr@fnD}=tl#~
z$|mNc$kx#KMH^aBB-SGeg6`hK`)VzS<HoN6kNajVGar7<P&R7;YS6H)7#)|n!VQ*F
zo*SN*0x!I?lh{|57;FS?TRD_b!b0Kn<dcy4LY5b8)jCzXRx;@vc6cVtWZzF=vR$vl
zlIs&l#bkz2{&9?y#NHrc-z<o#$Wl6?<B9Aq)Zn)LhVng3F?_xOu6F#-D7hm+5cad3
zK5!xK^k<&ziZ5U5GkrN>xtt&*$XlvKUTD$pLi=QIFx?4K$Ka{V7deaX%0enC;u-T(
zn03pAKt{t^HxzY<guVYgmu%(!^Q}8K|B}EWmbduj**$X%Xi4kLN(JvmgWp!V3dOvV
zmNIsVG9qQAV?9YTp!!7-kuw=O8A<No6Ld)cJTItfEoAzA>ync|a5~;?dgJ6$&^XbO
z5)=zuzH|)d((6J}yYak=XCGf$7}rojo}<F3^Wdb;#{XDB35L7o${K!jgp5*js^*c7
zwY#;s#g#8F$tdAYix<h#2}pDV=>#rUHH<t^;?-NK#3mvwJVQX>Ug8(M*w$Lg@7&4k
zIFcZir~D(!fM#j?G1`e_?U%Y<7pkS1bb*deH9$cE=l_R0L21ehhX&AS$>#w|V9^rp
z;O0czq|0KSNoGk6b+vUFHY%}akB9T#<88LeQxIxmc73YrH_ryDQV)an(j6m}c0&n`
zc@}1dJuGa{sR+FkmoVdsUj9aygR0ZBOC{N+jqgcQtTO68$ZB+Vc&~*IDH-GqF}uCo
z%Z8N-{hI<;`}TbQp!EnBq-{IZ!$v5xEr@a(4Cc&whzH&dmk1mmkTMR$6<N|94ao~x
z;28P`@$8Lpi!B(fK<TI|tG(492tH6zO9=%R!UN}MO0LkUk7I$1h`eA4jbNv8zc8La
zId09XH?fX}4G?+r8&18VeLb=*sd>2OVJq|?<n?Zf)w=)X^qLZEV)5hHffqLOq8`z4
z;jRrcn*FX2D&S*)x;>5c$F9vrnE*@_3Yih$eRzr)Cd$m*g#81j*|2_#t8RNb2|HNz
zJ7gF7uLRppvXQL3j?!D(LuWHSX`LJW@kEXoD%gI%-t5k<+bgZz?<m97ODMJEvxl$J
zu-7iHAO+H7i{A$-p2mu>43?zn=hIxr{+^l*zZB!FqStarxwM$8OviEey!|j0$V)2)
z{xxM}XY5Y}{nfzP+usJhE9nw@&HRa|h!`=J--)gTX;jXMPHZ=li5`r0!dI4GpzJLx
z8E8(^5cd(njVm+vJVcBoGmSP*;%1ASOa;@ngEfVuTkyKp&TL3vqW(b%Y5>p%^(U-b
zS{D3j2Q0XhFKgy4hiE|Me!>JiTYf>0PSb4GRX81NqTp}?_#-LLa;?yP4;>xE>=riR
zgx)kYcNSCFPJ9}GJk*fB1VWt@XqSx`ID00AT$I)dcgjytdx9bg962Srx<8;qyIDqq
zG>s2B6<oVX@D)^^Q)m;kw6~b<usKIWBMUj%rMMoya0j=YO9-k-{u<EBhe<=5#1eSs
z%CwoupjWe#{0xjY>Y_uh<Id5Jb#5qt6$VIFXTOpLc)Af@0A0Mim<3^G)#vhX4E$vQ
zY~?d64-x=V9Wg^D<-Q1QS{2a_$rD1v{{}VcTu?z}_0Q6F+fp5KG8HwIq&3ES{WgNe
z%=WR99zoRT+${l8H-$qLP>>r7+H4gQ8UxIfXVQMoDFb-!^=Axrcgg!H(+L@Kc0S-8
zEWd9E0Zn8=gcebu{0XLvcvh@I1TyXh+4-5J{e41URzIMip6g1cfbnAxS!dG5u2;1c
z`;2T`WeXM&-Y72icg#?95ZjUT1IcLrSsjmd(wu2b?yx)t(WY;dQ3}G3w^D<$kpk@E
zYqMLeXvP!bI1pL&wnG@OF6J+RjT8r}g_b|%4D)c#*s=dHO?(;RWY_fkSJqtmZ!{v}
zxh#}BAjH)1V7A;jftT$bElqI>XdTf1^se@%qHm7>2g9S<f$YkAnDE5S-_lm)WCG=^
z_$TMQT$<a-YE?Z8Dosc?&!N*CJhgS7qUndXH$y3H-r+60TY{7fof?og4bjEXGj|<y
z?@9}xG*m<@#*eR~8PK%sh|MOHqPXG<&by^trxLBk#%9BjgxM+=vuT1^<x1tS+}y+_
zSYTs8k@x8HOvx@rg^cr47h+xvg{m<V_&mAAK|rkse@Fn=BR~`biirX^I%;DhXZI?i
z6$U7SN*YO)Yp2Pl&0Q&-oP;bc@;IPBRck;NOcV&u=Qka|{a0C-xR$`?{F^fBV5mdV
zW|_9NZ!+CHww9F*rq;EeiLl#>GRb>$M|tOCQMK)|**T5{xZH08jMa3gZfWxTNz6&d
z+CD{fqoINIfWf*InCHMmOg$BT2K<z^b++}?JSJ&Uk{!5!)+#4LvxZ1eb$$)}M4EXB
z-?LX{``KR$vX#R&jhk3W>=s5<U`udX9BFYNbkI=*xg53M&oH`xqbKG6NQ2GWX13Gx
zp;Qk0U9<QO#n4%i0Fp7;vziVMT|y-t<dH2wWy{;V&!4=?JBOj3#Atl-gc$Ts+zeMh
z@`Raa>1s!5TR~V7xGydfE0r2e5!I*Wz@W&R`;@A$4gDH-TK%lmq4`I+i6!nY)MkCX
zj=NVlEdL`D&q_${*KTB?!CFD&EmuyPzf3wv=0c$>#{)7!SH#bSQ9BL`E(zJh?2B{S
z)b;$tzA6<NBTO`x_2EE!a2a0fAo>)a`=jioQAg5(ScR-l67j;{--i>jXFN&UcCfX`
zp&2OOPKF)E<-wXhV%u+xNJj(Nxz_eWeZoK#USDY@L(M#94A;van%LW9Te;yGzvue<
z=T+_g?w3p#S0=+=SXQdP#Z=!9ex=1AY;h!H?-59CK?2TyZG3&m<&}M@vZuw9SBe1*
zU4})p$86eo`DZt0IJYZO3HFdG)^chAV8>)Ig!T7>&nA+JKN+K2Of<9(r|}&e|IQM2
z4OGF6cX%knj@cj!MNOh)K17<|`r{&zlpXMV;esEDhQ@)O5M8&0wQ-khw^Pn9$40#|
z)3|S4G;=2NX;7~bIxzf*R8h~l8Pxw3tqhim=Pt1ZS512npJYfkHBLH$LTeRV0qq@U
z@yr67#MCqdtR}4S5K~>_g_R1y)G52}LV)ac-xL&<t3zw)u;KosTC{$C&`!hIUA?_^
z=qa<BhXE{gXbzTiPw=C#v$<0;Nsi8~K$PrN3RJ*QQnlRW9uOGl-QNlFm70AH^zxdM
z8EXqA9<~lH2(NWHIh(1$6(PLtv<v_wmAHih#(rl{<dI~$*4zanMaH+kis^T`T1P_%
zpunCYhdBaRY4-xNg_9p4ZO$zw{L%-Zt)?yW#a11qJrkl*8~6b2twKN$aTVg?#Ixnz
zvCu7^z`}>q=)p;0Q5V^gPN!O^OHjs~JJmnp4VYI8Q!=@Nnf^Xv*{KFDp22LE_5jMZ
zFLt0*gfOaiv*c<<4bKHi^#x)LMca)AJ~;0}btP=sUls)kP4y<0?0~h{mQ+5}tq?1u
z>**1};^R3f3gz$({=t@}!TGwYMl$hOj4UOV>7))y9|#w~TUY683i@;iPL5^dpvh`L
zYIS;h<))nMa^zzK#jy?uRI4YN?hjwmwDTMU*Fp;C9gr(}8W+%Ny3WVjTg-=MglhHr
zQo<$Q@NQXf?8w@}6q{qd=(7lt1<*w#v0vto%N+ivitxIQM#mw%w=J}9n{cJ)MBfLu
zMBeYnFD%d>{niHjaaq0RtVXeU;R{4RFn$afU>OE{84vse3HSY<Ao6Q-a~k`?RqH98
z8dd9hIns{2YoZ@^stY|&(@{F6AUNEq)uIj%Bm78gUY(MK$co>b5K<{*AUXfKXPD)?
zin7iL?I%8P<&M5%3g*<kfIW+4F}SerZf#J;8UjEa5aXrGT;UpE42!<wf>U^W@#?m~
zN3ppkZ$itZNOukNQUf3Vl><9Z;CX!Fmm12<48?iGY_LJciEkM#fKJ<VB0P!g8N=Ez
z=ln>b3r~+6UULqe-n;<s`0kv+1;MO%93nVzVNknA@0@ecY@s@D_cIkXClEFJ+g>(r
zjzy$+8%ug=SUaDNv%Q98HRBVNFKIrqV}YnMX99-(Gz%s!)bO9E;`+$AppWo;)ow~z
zA8|m6?N156Y>+V&o^OTv*2kju$hxBlATk)}m!%r@YfguI>N@S^s?aiYO6SG>z4ViJ
ztJTm!BA$vKT%R>`DXsaw%Qm$J0dw3|HKi;4g^VUlO*?)JlGz|M9FrK4yFjo%hRLj~
zWe?)tAc#1phn3h-GF2l4mG%Os5p(2E$<{YQ;#z<4y9e!2eE5nOiCc&cC!puAwI@(f
z5<Hvj;T$tTc&5kpnc)jiIgvrl1Q5>ed(^wHHO;5dlixKpOkUFv%bHK2RpK#xZ6nNQ
zDQ>b}?nx@7;eMezPYFp3v<h&bxcGM2i7puYJ25k#zI8fS4i<+BQYNgi{J&L-1jx^@
z<Dm&GWHd9F0`SL)`I`(8QaHSJOI0Ki;`$!gw2zvtu)T?|i)&kzC>@d5e6GUMlcD@N
zG0@Ys3A7uh*5FZ&oPD;JB<w@MKWE_07)}Zk1keEe!!;P_e)lj$Y~@h<XWjC5j?zKf
z#-4_B2ydevrVNTVdGGcH<UHauLU1|od*u$KXOt=hG`9Q*H;HS|48+Os1h9&>Jt1(v
zbR1Rc)f;yBV1xF8T%npZ87a}P8kKdQ9#cBn?t$u{oB(r~3u}gt`S!{djM4(BJhbsH
zDNX-9dSPSmYzB+p(H=Nol6BGu)$D+)?~&saQvv&CW%xO-i@^ZW0_GYLfSDDnG=QD<
zQS)~kiS@h8fxLgaw{a})Ux`VYHB<P*9~1!_OHbch@!qCVPs$oVpFA0qXN4ydf3FUm
zc|CV3!g8C8wqmI3Q40oy@5V3*#)oJ$2KOF7DpOG%7N*M#e||{1xef2a!}6-RR7qOm
zaSKs7A2$1V@KRr^Tr8DX<4*tjH^bT%#j_(ME2mN)UteMjh+Xz<IBoGg)ZE6_y5)}%
z6QUQ9Ay`-9sK|P!8dcopR1k@cX<4MmgG7){0ZQsa^`0bn?6y$EDI{e(wncvWh`w%H
z6A4YQ%D!o4yhoSndUHVx1neh!A&Z)o0wquwy*F=|p{J4uo33GQqqlWd?B@cnghY$C
ziAIF%mAy7eGHwh2Pv7D8j8X(B0y<k-<1n%qnSk@cyK*LH;}}qFROPgg2}ts?TW!6*
z5Sye%&5F&<=3w1{XJuT`mB|@7bP-R*{Y5)?(m0DM%VbMI19^-H-HEKTwH|EI*PWN)
zmqG4GvRVWqyD$0d$4w*ExDu%S$E^EyvXaKTrv)odfj>JlD`yJ1))N!XoeMf2-9DQn
zZ(2=91@hm5|5ZRnYCd#?1{Z*t<B8F$Gs_57_S;yE7g-VMa-(o>X6`Bp<4{g+AE)Uo
zt9(dhN(GPi4U58DV(-S#hW2tn?U*3oO<m+CqsQpmO8Lb1Gf_P~5S5AUTaYe&-dskm
zPBuPimfwOvCZR3IxDX{07b$GJvTKjcWTU8X2G~&S5!<=<Nt8u7@{Ol(*ur#V_r!nQ
z33V?l{+aB{zbcdU*5s>l82HO+xbC$V5b7Jz5#>e|Dw;gdOd<XGVcnquL(+Mz<6T>i
zxK0w>iw|5O(;ZTl3#ugfRHJFEu0C%p@+(D$%CiC1e*GXUIsk5vfGi31BCxWMisQo4
z5NP)P0WWx_Lqd@^&ICfmCX!=U*o$()=o9L1!hMq0h<nW4)nH^xL@!)5+l=(7B2hNb
z1@1oBvMyObD^B7OQ8S7hlK@$3%T$SXlns4~TIl?b3^>P6Zgv#M)=cy1$zb2pG83LH
zCSE;T@E=CW--qIZ!(AvU6hqo{HV5%wY#5djBxS|U{Rv(L&_G|!Ej)<DdKkhZ-Z{-^
z0cyS26Rg2~$GE2-%ctBS4X(#1ybaMIz^EC%fKVRCy#=kDc<vD;Tp_IN>7BIE)}SLb
z(myUhoPSuOmACT~;z9EdqSa#;$FdOry3qBts5TEMY~}$0c?*;xR&lB1aNt>O!2;|`
z{;&|fBl!$kh>Ik#1R)v!?tf~MSvUBV`i=hb=p%ulRSYSO0P#O>oi@10d#b)Hp3s{o
zt$NT&vQH6xQ@3B!oA=O3+wytb;th)Qw7|Q^>>tZ-#_TKD<v(*!vrwNS68+ETrMl?9
zn#mnyC(Ss+5f2nh&PlctRqyH&MC>V|Gzh{o-u8Js@P7b-VO36)avM6%IK=%D!~FSb
zFRCp505vn&f~Y7qDh44%_jvd<7GzOJo5R1udBEtH&ydWnSr4>NMihOYi&AVCq3{&=
zg7MAjMbG$-eRn}to=Mjal~ig4we`O~cN_|W<rmf2uxDQ`p7`8kt3r^u?`s0G=t);c
zb7<vl90u8Hko_5DE?;kZ!TF@@zZcqHH=*i=|Jvh1qq;s;@4EnKZ|K4;I%=lk`(78N
zuBJm@Zc=n-T9oa$;}<1mzg=Yw*^7I@Q8B5Fexk!t%SB>#?U#=+a-(q;|9nNr2jL4}
zS=4MyIQCa+><af=_vK;IUjXzzMpY?&U*bbMJ+k%@?x-_EzUPiwI_Kmtkq_?-?Q=&M
zrL_-}>8gFFpcb6*#9~L~`<^!T!J`6=Lp{*|yHE6Z50CF17JVm|VPG10LvJVpoByK$
z6f-`U`>&^3!uJnS^_EQyTrV7rQ`N4u6YtxSj<v+&5DB)uF;#`wJ9wWbDYW=$>)6-0
zsB>HU^?Y437;;lnMzMFvocehXjeG!@BMqaxNo3pvi1+&~_57MbB)r<D%Fg4}17G?b
zN+LdXS6Z&e!BqDhQ1$W+3OUf}om}==s110vHkwazPk}cX=k*8lI%-XSDO<sS2AD8m
z(iH(n7ybmqM;R>EVmUDfk>W2mxJ^YMF^MCB*$X-et7ldZ@}e}STKpo0AZt~6{6rja
zhH}Y7)Ghq!WW;bJ3y670x?(}N2|hK7Ih+O{L8E)=JZvq(JT%dxZu(Q8LbM0nR#1sg
zGG8vinxC>~;%s=Cn*MnxT>J8?^>&HAbwC;T&OP<d^QPxbzzMSK?#?*0YG`ja^&)Jr
z|Gcl*c=LP=_DOL-5|K+b5aj4FT|HAQytlYRmXrwoQ$e%jP`<QY&H@BBA=?%@$1>Ez
zWk{!u_xX<rqXZ6!2}yWvJkr}Q$Q(4aH&8blvMqqNgyCbhyyR+5*oL|L>r8Z<Bk<mZ
zKv)0(0W)(Y&XO<j2=O-G&IUB84Dpn_YhF2}xOz?*Z8vt_B`t{A@&t@ojYtJS#Eobm
zP%}39#D4o(8`E#rR}nW|l=+CuPT;Ds;W?4Q>;~Ke9<q{hu-PLD-bLJE;2T$SQ%#~J
zkEYeg^Ls?ZJWw*8B60&ly7M9*PZTuyDMjdEEjrCI5e03{Djd(DGo!fnnKkbt&;*Tg
zeEHqIEIn7V1z63q)#CY}@mvlIAqiYslV(H_bNJ1qGg1P;n$~K`+l9~!aVQij2KDXu
zk5gYmL)u{X1$}fG>O5RWpf5vRE`-kn>KiDA0qQ{e+d@@JHa&VFWA=w^DMqaJ{1IX(
zzc*_`_nV&NaVh$?y*gH_Wz3)eML@d06>~rY0LTJ&4yX5ay7}U|k$ZzI7`0gRVsw^k
zYza5&`{d`dh@M<r+d!wF)vP}`n1bSRd2$0l%Z$GGL-W@Nxf~2IpAYn&#QN%#=*$Ms
z5{ahZZ2e_9`5DM*%`0~vawC%4yk0cgf^qJ28_;kdYs<A{W@`~DLn{YV?iggKAJ%v*
z@w=TO%)@6EO3dFD#L+$uE9eicfcqOU+qLqAs60WM>2)6iowS2<%6iB1E{d&=yS%&v
z`AIhLKAIeSc)O5H6Hw?)R>>k*XY*(1NqhrEM>;#ljF}j*k&pC!Czb-HW0m{DE+TTP
zyv2MJ+=9&3bSO>OESZ|P@>0H7<81o<Da$r=dZpw~6iNPtL|4bj2$T36^NN=R?`FQl
zGrPfjO~7GQ;h+pD<hW}s%>@9jLt5j=O&NT?J#bs?1Sv|@$tmowT7mKVE{{|g>2;zX
zLcEDjT1--i5g1^UgyRG)#q*X|ed;tBfE+9VNsXp=?LNwb=v{^nxC}_wa{88O0{s>7
zerDq&`6L*7>fq5QXkGS(vu0jdddFJabms+%9+!q;6lAe@MXEZ8NH5ur_$@GHXT<}p
zuS23xGia<1=n5uTV;+n&9RDJsjB;la)W=gJj~{?wzaiCiQvn9hp>HYCZy=5@IdsG+
zhmS1f(l%aWG7^!|KhovlOv*BzIK6dee1RjLI<EZ*>G3gb-h5NlC7M{AnEwN`kL}na
zyi(P;PWuvg8dwTB3$SWfB7~)I{$G*8L?qq-{OPXEC{I6#-%u-GWps_ik<j_|aPG#_
zWYI)B_J3IGW@xM#s0SzISd+6U$GE}jl?~`Va`#<jOoPU1pd>&M;$?x%@PWkF#VpsX
zX&K&I-XaPYdi<0#KX`;`vEfNy##~m~#r<8Kk0n#bY}MbeX|9GemYAsg0(=(GV9_(n
z>)LfZoE+Y=2lHG<zbu9V`~%R=-{=tXQXLqw4|*qIu1S2IL_MV%VkL!`-l(tztW05_
zG~30`9gHx`aAK%_N6J5ulk$}oS-R(VwqpVQVVy9|R`k?7k_+0=l>ja)MkZSdn&5Le
z3xMl0_+o~w+0j4`3s^FLg9#FTKDIYI1C3aLE`2%!Rw9|u1|Jdr=O6?QR-<Xqp<xNo
z>at)uLGlEanYb{f1TQCmNJ@Anm>zvcp0LJ!-Ur2M;daZ7ZZtq3R9ekZNj!vO(~NNQ
z(L(~8?ZH|dmkHU7jY{F)zX&1J*U3T_`&?!@&pFy3e6$KT5pGBLKnFZv)|uJx@+`x*
zmkiEb@;cH&Bsu6nxDtlN@@r0tPJRSW3zv2Qar$lLlR16IH218PE^&Tc*6pzrX6#XD
z<(A+4?ee2&jf)lk)!H0dT8RyZ!3X=J*^R5T3LVtLqc5)A!d|C|UkgD&=?f?ng7(Bx
zMzD6>Ryb?r+;1ftNl`mW*6+Urg#Ao1A0_tfNice7#0w3H`cj+#iB-@7g2&l5ztNg3
z7p<sN5Xh5qHNMmRvcmn|_@dj9IQrp7C61EPt61RLTz%%|QN#@XoL4mG+m5d^WEJ+R
zR{gu62omhI8JkF4AJXYWIZE90-nnR0fh5>Xd>kSoMW}?B!rBEOya%vF)t%3wpfYrZ
zcOMSLBHFBC%(L?4!;3b+9dk{~PK=zF3;+;1k>q=oYl{?`Er;WRm1QD;e-i|{GPaLt
z@HILi7WCe=P33qkCAr^9(?0rf<Aye{D))wcadbVhAuMt|s;gvF-ve$M_iY6BBb3vn
z<e(i>)p!R5#HrR3s*D@w`OtOq9Rh9MGp+vHu=3W{d!R+)X7t+R@xPF18D(4WBjTRI
zQG>0k>*8#nKa(b9s2Im5!HyEC6S|crdPJ384xc5<Fj-r)S_W$zWb_R`FdX&&`}h9j
z8mWw*^hRrcRkue@oriUz-FzAj6eX44MuX_Eyg=K{&eUMk0Q7PH0>lNZ)H&u`@fN0_
zt{u%TND7cjDOrroZmOiUyKr`@6ff(U5em8g1Q7*#vSX?S_Pfyey5;Zf+ggBKL+i+j
z-tk*iRBkv8C9&u{lGp^(YBoy6Bas1UamgR4&E2Af!uB)vlfXRBJi`H<X<J-gmc|ta
z#%#<YYB~_VE`z(SVLwV8(!0q^F;hn~?Oj_6eML~p7{JJZ`|A!$yh?>R_i%V9#moN%
zyA~S8=!$d)OL(JidQ}ka{y<=UL3*S6goCyIw*mzP@8D$NYSwq;<Bt|bjouK8lB9IT
z83(pY7~_>;Y0HxA>O{t-g^9;^dutE7ER&te?55*5@YvE1Or1xVmYI)<UM^Z<j}_JE
zumsk2id*})Wr3~_`jcsWw3ZXL7qFTAA{<<`1hq4VfG17uiH`p}4yKlGI`g1P)_uU&
z56&_3HX!D3%>E;b2~g0uJ!=ijy%>o0%gQt)uvE-i2g~RQ2@Ciwv`tJRFXiu#$jt<b
z?$sL+ACrTA@bOkoVdvVl-{g`dNxoKnUpY_L|J5ZH2ES_29(52_Ct`rGvf~lP>b)XI
z?IeAr+E8jT|6xm=kgER}T$V+sxO`AO`CO(Ds}~mRa7u5;JH0ri1|GqDs&ud~{K42X
zWSd5b(qCxY!s&(gTyC{ZG65y_fZd|9x)o;huc?5hHfxEQlSSy@GxgMJM$n%5w<DDF
z+Hwb<#J}7k(!^73j4)`4s<1XtAGS(hWouyYC2tD-oBzilr#TIiDCu3m`4!fGr}Ou&
zdseE!@HsJ}ZH~J=qG7DUhCXkR2bOVJr3M~OGRCIhRrIf)&nC#*cD|exDaSjvJZXO~
z&(`;R)>fQFsqC74vrW_V9RPhL0V)`J#7PY)4*~+DO>Q10k9xIN^h&VJco?XUO;<V9
zhd(W`5WP6^K`}^`=m*D{-SD2%MSI9MTUZcp2Q=c4Y?zYOYBHGb{$BBN&$3*wFS12c
z>3^L}Wxc>H-*^e`GOnGAzR><$KjT}Mbb)Dv?O|DyKDzL&j#lE8$7~Xmz!mc`Gc>S8
z7?{PH4aj*bqBCKhdA9-V{qX<0YqG|)l};oTLS{^e84G^P8}kPix-Az2F$0E{_mS(<
zj%2X-cP*oB6LU%zZ}sQV=NcV_5v^}l#8;spS4y!E;J(Ys`UQvXt;U5|AGu;11u2dV
zDcBzNHQJRl$ODp9FokNZH#vt~iBhX`!BgH65FSCmfj=9c+|rZgBm%d&wvAh;VLqmG
zdK%OI_1{uj5Lt|0bv|kJvQ-SBo66R%puFQJ*!~o@e<&e3mrd9c!bbF?T=nf%p9H-N
zV-Badku^o<;JQ=fHKi<H*9caXvLMzG-z!0AnhFs)a20<(v(|H%JvC4feOlJL1n<;p
zF+hgNu88ZRsC18yVU}girYneXd;>7p$#ZnG8Os!}DhIxCom&%8*s86X+?n)=;XQ>>
z(}N<%)Kt9`-Olz2G4`!VRjA@LR9*d68Vl)YC;Ai@v}sOUg)K}j3|vTV#5#uTEO=cy
z7UpI(QJC=BhLWA%>_Tz7T}Z*JWr6QiwtClYd4I1I1nDSxDmiXBdN;v`F$0aM7xO7C
z1w2D={c2`R2KS*6q$^k&f0}u{X(@i`m50bHgF@ktJ4A;ZbMbWJKqQ99X;!Zp@qw*Q
zC;Yc7e<m8^w&YARE>W=7-m+z(9|cT9dO5_X|9X+8!i`)CG<f_rJxWZIrWLU+)1rb|
zZ__F1Go{@@-RLUtfdq=8(E=a3h~S$L42MB+Qtjo?+LuD3Vn=*_wQLtt(r&?BfJ$gw
zEw2}}k|o?9Tl4JNR}T;*=am9uf@INh)2ys=5=9RXfWaSqfrELDS0EQm&vr8UasfI}
zO-tNL{jX8H7g|`G5Ou+$5#!mKZ-3hocL?sFb1d+$4$#WNjobZd(@GKH*sa2s0e>m#
zk2$T#445y=f-H7LSCl{~0gE=jRN9`xKvY?<G1f#4^+!gaw30cbur+TdubBtlpT~;6
zAKgKd4s2K!)E7Hja0PMKSJeJJv?nuzuxPtZhOe9(nZZd(hr(YQFyUZ4Y6s_}pst2=
z>kdOSH_ESluVM4%hM>8vXd$LBJV8Riz*)ymgmD~@rWDkV7ujvdH0?f{;P_sV7V{G-
zBnSQoPZ+eZ45&q<`e(il)}BAFqs50%7w$`BQF$Wdq+fmQb9~R9{s=1O@i1RpLjKeJ
zj$0K`M5ogXOM&sNf8%a^wd1U>%wDKK9A$@VU7jwL`)-6WQi6$0(t&0-<feOW;09cV
z&7Bkx>YrJb801@G)Y3@MwmwWESIIo^kBJ)U5pBhfgrtaO57fOC455FmV<AyQ*txjt
z$^*UejAj@!i?oShuwpus5zUE@waOssDlFzRO9Qi&`h>CGXv-G6DkmBXF7t|Lxyve+
zLMsc+DwT??@AXmr6rb4gbY^Kq4p;(h&-3|;S-@>tX&(ylznQER#ULeUx(zH`^8e#o
z*=KvWg6aeBt|s3V3?W{$u<T>ve2muX_#4mYUuXh1bZ#p4#<WC<R4)hQUQ3*?#8o2+
zRcQcDFVV#46o$;N-JBvi75!#w{hVC__vKRePor2KJU<hdi`Yt*&f%_ryA!cUCEE+}
zoz5IG{VaIRV<!lPi%B?m@Crw}h#H|%tB-cz!fp)r!}A|R)vBVD1{%KwAZGO>AAzg{
zn0KB8_}sgG`cXdlCgl<f{P!aqncj(2`wq3A@^Iu9vztZr2rLrTcAs-(OKl;6P0Wlf
zSFz-8Lu87hm^O=d^Yvg_X$$${)3<S#sqUn$@)-LRt^iD@ZHPNnet$B2m^!~?wA_ye
zHAl5JuY+T}%o70t-HuYZ9f52;y@fXggk|LN!Da|y<sM+Y1hhoYU%5-Pby^*E(QC8J
zWkAFA*Eze$B{^&?&Zvu0SD2I|Jzx^{qS1@IS$puFjDQv`<_EOe-+J}a+P-JqpbeGm
z{n`kIrLqk8Km%~POZJ`rJ2JhrekMQIj+AI^5@OM>hjx!=7&;3mZ{i&R<i-j$eObQf
zjQ0UUA4MIs51FA@>${bt55w)8AKuE-D^|6U0oqE3D9&Xvg^-C1I|ayYL%dN^nw0X!
ze@TMB{(9I-_DT|N66rhL95<Reo5y48r#lpQPjAKhHD-#5jHvWwSF2u0V?;G)^8udq
zaM<1nh-;Z8Fmp$_M20A-b}Ch+DZG+Cc)=Q)bsiu$Xy7zfDc-sPp(?M=8nbSAZwJps
zpbCw4vnS+gQbmaQy6vGu4Di!B1_jV8vKzczyUvxD^$g=Sky4Maqj-HeI_=W-`UeP(
zeSJnIdb;8Xm{KK=L4ZgRq|3I#JT+0xM+R#qRxv+WB@@~=KlE<RF4`*DY#N-|<FJs%
z&~ZHPrVK1#QG<y1sUiuqp5%o`C>38zd6M2Yoc|nvAm{y9nCmJuG-eo%xd5Yf2Nl;_
z$=H)Cur^Ey&m(c7oq|D0>4q0*a`J`hjQ1N1AV1CrB$uu3Axufy3*tU)Zvwv<PqA2i
zJ`s)=zK#Kf0)~i+w<boT{hAf&6a6*Y$=~7DgRS>?q!nIv1&h0Boi#3l`Y<VHo`A*(
zU(ykiC3|A+qu{BgaF3dnX%cn!AjE+-lh>#CU=ebal51l@1ak><P}-etO2o*I_coq(
ze(8heJHEIB;R*wpKU+CC=%OaM8#4cvcOpDaxLB#F(izP>3>7xS;sya~!V~Berp?SX
zv*fvz9sZSVXi+MBGoaf9n@qh?^xJ?aPpP9p)34zHJmS7$;#A*h87^)m?tP_l*e&`!
zCxOvben%ct_d_VjV)~|d<fbw72^IV>d1{eEb_0Ql4;nN;gP!}p{%T}IS^FL>ej?HR
z9T9e%mOF;qUrA{wA)=un^g11evwKs-zgIuK8j^hmfAE3V-c6t)tRk$iJ2@^(cVHD0
z9JXQ`R)N84N`{(ymM;+pq1@!-c`~x3I-DT-lT_+&4e?dPpH0DF7Oop=7scbtEP4z?
zm4VhAAUGN_5V`c?JDCw$vWz2}%nhLC61akrT5qhSE?V_XsigG0`RhfLWKm|@cqiHv
zoGw?k+Ad0FF!0dV++f{}&27;tx~v$n%T(<)N_E-zoDI|)ovqeKS)X;VPdvvF5NO5y
z0p`TfF^Jb7q)>wRrdAW+S?aq5&iu{uV0Xh_`ogvOCC`YK+$)QJtVf;>Zvre3tTSz5
z(W?XKjE6%Nti(*zW1tt8qXAX?3$Tkj45q)F3dBssDT++-@D^$qNUQz6_Ok;XS+#$(
z=xw-t$hHd9D~8oX#>z7@AtCHeAkErHgpOe$gM#Yf-eH&@`fXI{<kq_3{gKJf6ezku
z)6#<HOD)-Y3{Mgi?2X)QW+d#QZPy`#>5s%Gn17ky{uPDZeLt=kk*`pg`T{ZJ`o%0o
z7|?>4#jiudd@rxy04R?1HP#;~Y6+SDjv&8RxH<;mGn(ck+#>6N!(A=|LKaslD{;E8
z*!Vo;4&VZV${<9c@l>2Q=ht{zeVw6kW`KD})buv<fp3=3>%`{y^}L^)KHGoVYBbrS
zR(iY|G-;8GnEGt?gXzF)=7w725N%Xxr_z&&LP^XBvu~*CfW?l>uBiRas*c7oU0h5f
zi1IWhX_OTcv8=~(T`C{c*mYSuO*OqKhOmV^T5>YtDS3*{4t!uocUj<!H%uR0=j^V>
z<Q8V}@$m_*&2&sQbEtlyFzVUMR^weROL3sX6?UtFTLXes9-Y<wqvY9EWRUnvGHd!O
zo!=W2*yaIxDZ-{)o|nZJSSRVd%r4aLX|uO1TB%FW0Kw-LakFoiCLP`ugZ4gaXeDS0
za(fO=zfF6!5*sPj(3uZ<X!XwjkOBD4!c^_HSdiPIFUUe*2wdaT@n&4}PX+0N$(AEW
z!QP*a3g+!43mkQ7aJHpHB8vZ|&A`+G1mrHUhOYh(8q~z8PPh#%2g8HV&fSq=)9trQ
zGunL|ipeLgktQ&D6yKBG2aMnj^^wAFX6`~5R=TT(gG#hcD#ANs@b^<D`Fr2881&vV
zKWE$&%aV3y%Wn_EW{_ULc{ZfMXB@5R;hhP$-jtr6HV3Gzgg-dcLOs~-a7Z8_hX<;|
zC+-b7>xUl*r#q7pjhsPJRpQ+(XePwHDG>9F0lfo!JWE6FA^{U(OqSZ8!}pP;AN``5
zecxPhF+kl$jNB2TQZUiG41=D(Y&22r&Wqe>2|fFqS>w8jJ#Z2Y@{QLva|g}6JOZ#{
zl0GhY55&I{+AIYcQnl*hM~YXzFLKzdSQ3BG$CBUT(@?KlO{D94D*zLADc2)m&M|Bc
z`xSS@%{hmrx|t|P8hc#BXH0>D@RR>IYj-D?jJ*A1F;T>FJITS#YOo}0do)Lub5x<-
znvEYawP2v~mKZ!aM|<y(U%WH6Ar{TC+Rvp*A&3@nQ$gK0NUuQD7O!i+eL5OXuXUD}
z7RM6VOP7z!8_kBLe>h!g@?us0n8T+h*7Wy088CS}W-Xj{p(WZsvX(;8$*XjM)gh2e
zHO8qL8t_<x<9nz00clDai^u@>UL;{Zn`j4rXe&}b3eLNT0MkHaX40~x+;hNfq{J_r
zz#HT~kFnr>;a2|GDXcPlKg%e33TTOIQcGaC2DZ3&bO9oG6srtic`t8)I})hsI~j8g
z(w->K{l(D>9Q}qu3h&lAnOrv{_Q9$-$LiLQ@{9eaGKKbr<_yzrSGP-)iCQ%0I{4JV
zX14OXivukH+i?ehkPJcPL*ZTP5~C+>ELQR(k4Kk}!75pM?<A>kAw9kaLiCm7@-+kD
zJOsTRkLGhWSvm$2I>4p{JM)=${FqTBsvq}HVZNCPywSAWgy<ikM|`6~tZ!hp$KE3z
zvHh1B-D(Ie&iZs8WS>Fv6mACUa!~@sVA^iC!tY3V-n9NoGJ^H8DA&I?#)vXOjxtk%
z@*#!|MTe@C(N=O`2kI;2sQeZ;6sIK{m6CyUlocq3>~?f3e&eA;mdZ6<3DJLXXr)nH
zIq%fp-*A!GnI4ccjg3(@DucFjYhLPvtt~wtlQl)pP6KyPrFb9uHx3*Iy9nZr;zEVr
z{;l;YXduAMjN^aREbxU4?loyfy<8!Wz>qaiVkrlO-E44XjJ^;3WR6qam)(=|2G*z^
zVK9EbTE9Y&Pzq29Wogk}6B&2%60p&o^(TnWzHHL;C1s|4$a`KL^cBZc(eXZ7(y6|;
zS|7&Fyc9um4-|64#)rJn>ZyZ|c3jP=$zqHLU@hHWc=Gdkz!1;pYFmYOtwa+Ey9vau
zxKDe0GAds*?EPrUKusvb_F;M+cm0z>v={lbyAHNpjW7CXmFz}>!eYPqFW<`vCB$YJ
zSZe9aP=2cpyReHSH26Sxf1Cqk%vsPbM}#=6cp>Y`L~y)1D2|<Nz|D{d0;V^(T^ZhR
zwlM<MJ%Q^23;|$WFMItFLx%hep%uAFn!Ov^`|*K!p+dv<l_J6}g^{Lf^H=6F3W`tL
zNA>=F*#*y|)7Ct-dABkD+I2xv!IBPltcP;V7Qgx0LpfyPG(pct3R>8pj7nJsPR3+V
zEI>@;&^2VO9~$6tNS)Eb_5*LgA(t(2uCSc6iz~+uK~74F5SeHA9+^P({uBkvAPBD2
z!s|P_<I(2VRozSB0heSZf6ra{6$srY3w++qUwyW@v1_U%Q#wPTZ<4s7DoQg+jq(9X
zk<D+4h&D%WpL;)-REx@mW=kUwKBVG(F?gB#3tP2HI!MFbBUL*mi^Wuh-V>}uS~%rF
z*7N~=b)i=hgBE<d#TbsHOhE|m_laBPT_)gd1Cr$@XgEyhT*eoVShi(mVk73&nKspL
zO8mbgWB%cCb&%|qJc!b4jUs{}`~>y<LQe=>Ak-xMn6nal4ejGtmMmDb`p$6PpX#xX
zv+t4A!ZWBIQ_rc94am=ATy7)3m7wF`Re@J@6UP?x{x`eoNxjnre6UUru7|P)Q`|Xa
zuQ3Ogt|wdaFn@Y@We=3dyiTB%-ej(*AV<3RSKYUoSmP%R>s=V_v+rS|7N>4Q7fBWO
z1Y{b;w(KmCNJ7BF4$Zk0B&gUtEr5Pg^EbT$6QH~S2fhsnmIw|h;6x`6bGf)1kXcK)
z<7x}GodaMi0VonW8}umR{kg#d`OReKJk_HQa@@iLVQCtEpFJ;SR7B8>Ekq)%MJZLM
z=PmZOlQBbuk2nA8I;n#8oyIDBhJ=GUW&pg&>xWVeUbGgT7%6+uSSoA>%-u@ldJCSP
z@rb{*MwfwuzKQ^b`8qnC1@1)xCp2DN=Oe`ZriIz4p;9$NErn$EK_jW#Wpmfqg0it%
zIX4~@K3$(YwtLAa<~ABGVv~Q=007-sr^_Y@$_QEz=b8)uF?Q4AczSy+tiHqHgXQU9
zBwrcG61#k+d5I7}MYxM5;$pp@f(bG48(!k>?;`vedw#an7oIX4vgTB{)aQw*tOSva
zwq?R6`4eTfFx3SBIu+{$o)u5vaP2Vy)$EukDV8D#{WU=H{{md9A~DeiId-)>)y1~V
zC7{v8)TU$O@h-}@1Y%E%*XDtk3JU*n&tNkcS~#q)nnQ6ug{8SKjV`CO^HolC8gc*w
z$nlIq1VyPI-S%`6H#vBlFfN^r(j+SLLSTgd2@EMhf4Iku(pp4@YSQ#`q@6Z3cs--n
z!>*DLA5DR<p|Z^eu~sVOUa{PhwKP1cF|_ZI&p=rZOl19?wPd7MeEAz(Wt=%5<Ewi7
z-%EXbs$1iD;OSoVG?%x2{9FN2<$)0J64%o5pybOL=6ybY{vhW+mFlY^M!f#DA~Xv{
zz03ue*H%2lPF{NS1^ns8Lt>hRKs@wO+0~7=j`>rceZb))1**n8i<gFZA?ipN1BFIC
z`&2VTzd$(2);nnfhNDJ-j61yElE!`g{Cp&sDN$#GT9zEy<;>v%FrcxdHA>Gea6m`z
z#peyd>{c@w_+{3raN++2B=|UU37%!}Xh0=u@UUSBp)Zhw6<@s^Dhi~`79|TA7qXZR
zI7tH}fBZBfx)wLZ9T$MYmR1C9TwxS%WUz0T&dNR+E>G#IK9H%pn|xaZnYCBFt0t-+
z?&u{MtLOTe*_XWq_#59*on=Fz248zz!{DFc`+8zH&?HYxwX)+tTA|e~AI})r(K#Lx
zmsD?P|M72YRHNFfnH2qMfFcGtn!VUt-Yf-cQ4*b)ROi^aT@ZOFbw!gHd4=SS!xt30
z{gHs&3WaS~5f^m?D%)@KXeglX0pe<=1xm^JHHPC8o<wI;ySX(^aN3(T*N6<xpd&9Y
zBiB9yJsOj}16^nP^>2~~20_Tc<eX0{Y(qL)XYYQ?Ybl{LxdBE!fh~GGc#)`rogSV}
zu21bjxGl%xyP_7nhnzShbyT~EY9lfKRP<Y^`+^An`G^qxoTFFndCvj}BU>HNj&o`C
zj)4UY*Ip-gl2lq{y5S4as4J~^sNa_L5M4qj6Pu&Jc&7u&F=e_@vN4d&q9{}*Vubca
zP4|=Sg_x&UH0*QN3}v6G9>#_&uVfW4G3XnHM_<1|?H}>Xj1F)1EV<6x6z2qVtej30
z3Ug4#tWmT_!Y5S08VV?2DXVs8gGr_Tl%lEZdLi!^!_9ZEa^phvo&^QQ{WlTRn@7kv
z?EoaHBScK`{ue|aUPrL_XUakA3V;$+xv|MReS*Q>+BQGt(yfU>&)E}G)Hp)hrF&bJ
z0O0w*>7R_asI}(eFh4XevQ8;Pi7AUN+2g@OH<|?<Je$dtWf)PcIv4n7y`un>NhCBy
zDx@{Wq>0MxU^)6L9a-Pp9+botqnIX}VxDa?@}_t~oWFO2t$@0r+1|1#AVZpc{Ve!l
zf^_!gskVZv+g-`PHH6VgPfeBkjy9UW9a>z5q|D{<Cmzc;5)eRG-Q*^?!GL%w=gB$i
zsBTr!KtH~(UYc}`hYHixUubotK-_ivZdPT-onBr$rL9GBNMcfHqH_+w?m?SuBo4VL
zuqM|1S<7}6Eo<yhY6e@wwdAP>`2;Ug4h&~8O*J9?&896qUjKnxP_{l>{=CD4r}AYP
zU8AxlgYZfQ_1fTF>t;XW38fClHm2>3X%s<sgz<?&_QFq>r%CxV;K;=ABL|~h&9s!%
z|Kti0;&a9KS-uO=nTmfvmg_%Hvz}*56fc!nJc2{0pmGAV(K~|o6>>N2Ix@*_8<^*!
zCQk$Yfa|~u+%-st7KxKP+RWsoXXBnG-1!5r2Gjh-f;MpHKfIYmhm6aF#!p?RrVg23
zgOB5EDR48v<i@$00m79dbU@W|k8YiF3nI2y58hgTw*4+UcS0KJEWF|x^!3vWq+{|3
z6`mL&4V9VPO%8U1+UVnv6pGL8s#h3<1xTsOM*CySHb=pg;m|l(`6rfwS6DNLk$t5E
z01y6U%Ixm#*niUQsqHr)iQU=2p7u|#SnmCYjqw`NB7t^<)&-<vqj%30@M^@a=Gp|T
z3Mm35ZhuNOP^<G29xT+)90!{2Y_M4{Q&urfsApDHch;nfkGomBMpY#7!tx#T?z!cH
z>oKJqllP(rR17Dz2^oG*PiRnxy8xh%s2%ECMAkNqLC*Y;=mxou?kDU*o=U%-z^kxQ
z_JQP_u}p%7Hw-s}ys!TC*TkEtE-HGb{~@e$Mo<l!x95E8ff2U(OgPzp6A&%4QThs^
zB${U6V)h3P2rRs}yhaGXEP4rB7l}w*<Cb22h<7vCaf80fH6&t+(5`kl*T@QP*&V7R
zoJA_y)LBJ}5-)xrCA1FrcP5>RUe;Ln<NC2NoTGj^@-rblprD*PXu`C;*xmE~It`BU
zL`rvuyDdAiPcec_?ffJ0d7$<{m3BwYZNPmmhO&$%(P|Y-Ld&XUC-7AohlB~u7KklJ
z7ty(-&g{!_i<51`R$kGGQpcwH6F)@Keto_|r_*sl#j_#Kh!(cFR~3|7eMU`2&|1O$
z`>{ULY{*)fR(5I^^4ZeXa`t#k<8({zo+0^QYI+nj4P)9QRYUA>LreG5HA%2IUH7w6
zzZ?(abDA}s+6%02c4A~(O0CxzJ939)^tEHX{>3T-ve#^{6Mp6}$8$&*3wB@4JMT*s
zp;Fs*R<Q`GmZ`9=)fJv=mHrkQ!T7y*SO6&zMFo`bLdt#VO<<l@tEpSHhJ!2OxB-aw
zLuH#MVJAc5%@Roo?`Sk*;v`IEh+URu{!GrgJQ{?<+)s)Hb9^&DmOY@MQ+dAcTu0$G
zr+b8c&-x`Bzr-iQmddZ{W%)Mk!6~0Yu@@{RBU_d?7Yv^}f*vTv95Ih-8PD+iJKOLn
z5Zb(yvAk1?pu-yJ=O!|vg;?x?wgEi)?t<`ZTU%mv4iFwgoqqko2GSW{;yd9l&<q)q
zWR)(amc5YA&T=*-mQjF($Af4wsf5}Wj#cB*=e`B6@o~WFUaGh@!$?7N%6SSzhD{S?
z=R2$?tBgtU^sC{^q9Lxg=eW$#l0~fMGP-c$h3wR9v;K9*yyjV-XGA7~$$-Wc4{h}Y
zL@(CVo2<}dtX~yv-dQ#zn?QH(yKV2Tmk0~&+MQj23h;*!DILq;nGHcNgc|<5;HjHv
zyaD|77OJtSv<9no=X7YIJ)A}0PxDbu#xI*pke;*~C7ONwQBcKD`)w$$%~pK?;;rgN
zF<8?DI2@)(#XT(a1DYR4*U{!p$qyLPn?c(NIhcbork?Q@t6@v9y1}&$e`dv|?Xz@(
zEN+aTq6(QWnN|?RyMovX>U}3>#|gx(_K~S(F%Y25$YXs;qS!wzJ+HcIk@Ugk+KqP%
zFh^5I$F4Io*Oag59|j+z{t{HHd31Z76#-eS!bbybVTq#E)y9heE9Rg#6nPLRaX`D`
z1BEC?&xE@mDKUB(K`YG9VMYLdU?7<1Q>o8n?{by4Z1x5MY5W!5I=0Q*G%=|n;&y*7
zb$S?t`zpvPfw3jMRcQt(*Y3FZ`Z4tJh-eqcK=X4?_G06VIR^&k3S3NUJ_<hMZqpdN
zQtK+#@R%c2YL+j73dH!#BpG`gedx5}11c@}?w<OR)LXa`dYF#5c>sDhs`nDu(c9k8
zkSb<ABvJWy5la_hI(nFqRjJ<&UbWPHTVd|cJ@s9XsdOXvJyXV!sajtP1VIIgL^zJ`
zvC;lAf5wO<NhIdXVh$qMxRcqVMqT3J0zJCUI-lMwYZygNMuBCNq{_k_x#XqPpMdF2
zmdwA2ylx)<5%dNPDIHG)h0l)m0%GNJ+ZYN7#D^K*4_4jz4AuFGl?xwzrqr&SGUhxu
z{Jt<q8-}Ezb8;TfB&r5LCEB33{=)OA-4UcKov#ZWHXd-t3$TebAh|I`=JGy((0jn5
zUp^hyN=Vtttt*yPy(l@v0JluFWIn`y5VUIz;)P)<@OBu(wE=piF}%Bg0idm>yIYo&
zqeDj2DT$qbOAV@fZ`%a;q?h(|S}^w8WqorUvWGgYX}JKrUz|ZyyVs`zc`k^3Tb-9#
zrM<xQfG+?gV>9o8{xKlb3@1GbbiD~cQ!SLkeYCw;S0P@&&>IdY=mIkvT{tzg9BM*n
z-NlxT=M8!c?V8{AH|98XV0rTCSJJ#;t;^(ObhOfpYgGPMfSz%q0J|1rl{l6yyu^P8
zan7h1H}CV(i7NFvMf+S&s7Xq+L9PT%r4lp!G7Zwc@0oxYY@VER2-&eS@4c2g$#Js4
zz4bC?@mel12)>n2JXxVpced?evF`J1A}HbdzjfME3I<hyg1~E@Eg+9J?N6}T|8SC*
z9{xN5+qu+_FVM%77Ssq9`vVLCrnw*hh@RAs=j@;YhM6a%wRfxU{bO)kEf7Ba4d2IX
zxLp`7jgDYGz+%G$2NS{l<B)R2f(I1!ok;m5ln_Ro?OjUkoto^<V;c9yp}lwcB`ZL}
zI-N!_s(jZGz~WTVt{c#Owxn*d8%0$<l!Vpm65Lj1RtA_Z;e6=GGjYWwHbP2A=(w%c
z<#vL6hbEs0x1wJsy?)gt0D&#)f$iiNLNCQ@-ltxzFF*C#!juk%Mi>Lf6+vJV+iuMC
zEJJe0%4gs5&eS%akCUYny%u^yJI{=>_<cfF>&e9hupOK3Hk@mQsoXbV2GuTgm_*(f
zpsQdW8sI|y53eD$r_%hpP;q+0>AVm_jlJfw>yFX^)JPoUOoCo~_h&LlT`WBdJG*=8
z0;}LYLI8q&^U<@#v7-No^Y{<EqIy1=zEjOE08Tz%g(5L^e-+K<JZ0FHzh4iDMrVjW
zOE^|m;UJQdGllN%K+($r4`B!9&T+aTnf=HzB$Gp{y#6^zklH~5{?y87Lol^&KZxy}
zgRNW&stAyW!>9(m|G^enehzO!XZ{|am(*L8&iesH;;_1u@3S7UqOks=C7&B;2N_ph
zjlx~8`+jHrgAFC-2*kL@(g?X3?Zv|q{CXE6DwP1O_CeP81LM$W8Efr8sIY=fzuJ=&
zeMd3>Bzxw{AN@zR15ToPUbu9HP_Vx1mrSDq=Za8jM_HxzJrqPev327am6R+)bN%Nf
zIZbv(DUTZJ8gllxZK;qte4!}mtqYU;Aaso<`tPv+dz-NG8P+L%>GZF|@k@#lbekDo
zb6nS4nK@)4*oX>c6vgsy&VQ!zdS-G34Q>R(3TadA*|=G6Qs&kAJBX({&7<6!VYEcw
z9;H9MNozOKRH(oDx_E_r9*@AF#G(ID4wslN1{DnH_l`i#Q_?*H&j$nui)ZDF!g>74
zbu$!5g$lN9qKLWMyb>?rX}~K8St7u$8#=UK^VU!s^g6n#fLTo>1*-p1zZhr)ybk+Q
z`pH%MEyc+;hJN4KPK+PB=$;Z8={5PH3{<*YFKyw1Kz&)FdQv+*<(XD6PS7;65FQ(^
zJ<-jK%F68V2Q#+~V-{Aj;}F?K3t6cf?E9n|9};`xp7u)F$X;YIf&n$7mIq1IqNc#K
z^3VYze(tw}G2io(K-K2=X$Ru36sFz^kWiNvD3kHSE7RfXxY})5hek)PD3lmc*5A^1
zd|VB#0|!vp!|=6jX(_OubdbTpDWLoF1U`Gc2bOBb?r~Px1K|KT8E<l>C?gfSus6gF
zRq2gcfp15iHpExrI|c&m#y0-~{uk&L8^~lmMwwVM+M}FR6(-8#)8ZFZsFvNyYe$ti
zy*n*)lgv(hxID3+ht8^mCm{&D<7fihmV(D4Z9YFsqd(}n^paGfQ@NRQnK?1Gi^*;Q
z&XhtZSe(x6*Pux%%oV%vC;#KwK0%g;s!B?)6)ub{%`~gEP&v8D1(G?|J4)PcA>6uw
zxI;sEGgGd!qbdt8MuL3pCk`~=Hgoq2w`~kN@}G=Kv%geCI2ai<66O7*EY3`NF$ne9
zQI<5ek3DsCwp5N|+hqiSuyaL8<iUXt(KTEHYZ}v=8b&Bs*$Q7{B(n^#(+nHhM&O*0
zB<(!x!ddZ5o1r)4;ZV!kkM<@MUB8tjpM7}wO|~!fURl~*E+rG}9V5KdS4naGP~`O>
zKi}CuF>M&@R#UMyfmJ7kABggC`oXPJ;+0qs<|0?q2)8*Jw~+|}p*bqCP7*+k*Y>*S
z*Pl!bSNHfa2h}(OTlg!R_*ddc+3S^%>=PN6JDcUF_v*KaEU>m3g%z^*h09i2+EOb}
z*-9Ws&#06ge>zy=pZyM}b#{=y+5F^LqW>jxG_*+gd6G@rY9xUiMv&SPT-s?|ApV)j
zU*^=yGbn_0F(g?g{NOwE2w6k$&R_hNpnN?NoEZOY#@2cPH;KvF@-Z!7$(f%Ic^aYZ
zCa}=;A5!MgDnCY*{c3>vKa4g?=ON$)ad;pdSBR$EA6jRJ&{(c;OufL9pqyK`Q+xrT
z;$4~}jNibD^|ou8Jd7qWuC5gnpe9`^H~@h2ukM8*)NF=Qm>K?)C=LsG+1Q~%qTxrz
z`XYmt%=xa9JNlV&eVB*EyNEdBa`?CXEzb~Bhuwsr{Nb?*2P21Jl-L={<y1j{SJ;BZ
zFCz;bZs`mb@{mT<x0RxVyo9icl*=fD{g>7%K!XSQg-=+X9W6~6N7AIt;Hs4irt5ZR
z7vYKlh%e_02MMM&aG&=)o!|p9w_^6mMJ$+22%ECA7D-I`(xv1^T=UB&$R-Ks3QwX!
zf?*u;+ZcpIakWvjM^P>~-!uoFNhuuAGMflyBP^1*V*l^B@m@obrO$!y%x(${#m=M=
zB~v8cmzQYf+w-h<@1NFeE%KOPtzLEp(=WN#_LiiSW;(z>Mo&NMcvw^lYjVBe`iTzM
z<g%(`oLk|b!))h%sp}u{%Xx5aaQKuDmkr)xv3ho9`Yy1781)kk4lG_eQoVKj=V;ef
z^}YeF$UYS+K(F|uA9(SBQ2GOB@Uug)g`*z>9~2ER*EBAZ*}qPR=<%`4rq2=w3~TED
zm!8ahcUlz&Qqrb6l70`>eJ_|NPnE*troZj~(T()m<$|H1R4cZ5QBVh^JciFR(;ug>
zqoZpG{v%z)dO?KCIOJSu_>c~D=iC5bsXb}yi>lqYKL1x-$qu>@(-qn0xxL1zM~*c^
zRlztKc%1Dneq`j#qVvlxUzDX|TozQ}PnRfbOh$fv<tl~?1T9=4?9<)=Q!g#)Fr4$p
z9}><3%(<@eB-)f>Nq}B+Y&XH)^&R{S5+M(1uf2}AqhmK&rG!Hs^~tQb1J&X`mm7*2
z_TCtPIT-dU%IY#T(9_G7dIR@s3@?%x_2%1Pab_eLO(qjh&u*qoMF8>o$fUlcF?&TH
zyL@-P$weF(m&SUf<gSsqUsRO^N#^bGKD+ZZ5}`E_<!u6$AG6`T#m4CG^?)ryPIj*q
z^0Q9pwu>n9Fh1lo`CaQqPoT&ZB!*i35<BA=u<Qe**h>7s8L=Gt5SS01l20_UZ%m6{
zk+4%w2ZOqa|HUjSJhm(lljJ%0^0$3g%-Sa*;F-9#X7RWKN(TYQP50uGZI>@s_X)xh
zSM>n11c*vcF}LAogD(3s)u>Wr6_^Hs^o*(G_|yM+d{Lf_vRsP0;jA*A-**N+|5Tmo
zmm+Pf(7dC%6IKGp`-Tc&inZY#$h0D6$G}z}Ev2T#H?zj!yTf;BOakC59S4yAdcZZX
z=#+0~Si8fRQ{YeuRA|M6euu==Le4Q<T3-c?tJMj`f>AdDX2>fex+S}*N*bnyoPapZ
z_}(>{={UEM=$`RucH^~bsXKOE3G*r#@ttsjRv0uS1x8>KiEv?pUbV~(Vv=@>?|=oz
z8}BPuo+F{oNYn#+GrnX3M;*Z=ap(q3_Qy>U4?7jBJQ7Ln;he9nKZ0s`uF`um8br)0
z?hb2pf_Qm%QZ|&vQmMniT)hkuGbD<td=)`tq`_A)jcxn(KY6ix?^6qqW;1w~q9}<q
zsy7N<AvFnEot%X{p)Vv}8^<I1y?QTr8fpBZLd-G=<^KNq(v(x&lf{xb`#gyV#g*IP
zSi5Zk#0pb+Ors!#i~$liD}pv$1P6!54dl%Z<ty>?>2EPsnephc-bOF+ldwcRkO01~
z)=&-Ori9Atrz}U{>(?A~zRP#_w4}7C5lNPxZvmzI)mi14`c@t!ex3P7Ov7<TNzS!L
zOn(78`X*FkPSvQ@79jUW$XQN2tGR*krvVpkzP-X_y{Tcj0jh^2lVm>Jp>x)neZvuG
z1$AHr1kV)j20i0tNv6l`ZAK6>7z(_p4bxk4cWx6nZR(^!eQ-A1RA(0c2)q-L0=Qv&
ziOCqXRrZMVctMtezg)%|xXA?&kxVZFg@VSVjjC`q>12q107L45aPK%kofXkzxf(UF
zGN=zpvmQofXp-?=1~r8mXyM=x+i}H)RzIP!p1^l<^G=?MsJv<y!^P$^hF;vJDOQF*
zBu9C+27Clev0sXIiSib>?!xMZklpU4LyT8LQI{ct=5?)zg0&HOOMl7ztoq&$^}`vu
z%+L`JgGH1g^z`3*>>H42E6-DK5|6;j!GFLcz5rpZ=e`2a5{s1gIZB6xxAY9BdKWED
zfv$19AU{$`i1$aVwjrE#nM!W%fqwn{z}oB@A3~793Cf!s0C#DgVNSkjn;hw00Lm@Z
z-mpbGImgBS7Eu|dGYL;Oq}+33Z^!b~MD-Ys9cM4hn9uRYP3;ncmvkCQ+)#HQDCBt`
z26f>ueDqkjU$rh$D@&=Tw9>zP`R3Y<_d?Xlt*F%y{A(qVQ8zHItfy^As}fzH=uXM~
zn41iGqrpVC7V+kA%mXaTbH#neeNl$>ksLpye4M~7K?~rk*+LMcl2zk?9OjD1$LCZt
z$7C7$bit-QxyagUF38)#iPM$J;r%Y_ig5BdGL0aGzAI(QOH6A;dIS|mv<J_9Z<_z^
zlgypP9^}BS6>*oz(n+W{x+E~Q9C)6rpV+TQsBn*F&uXS2M?K5b)1eHJf4;M%>(^Z(
z7fpuBOSAtl|E?G@*zbRYI$2SPm6eM!agt(Pvu`L*Mi|BOP1!PO$)r-X@q<jLT9di3
z%|V<;2dVv0o{QCpF!998@m`Su9)f_9B#w*3fuEnC9%qD;7vI3^af$SFWB3qv;x{yx
z4x-5fj9~i)R8^<6)9U&jE^wGB*c_*!k`Php<V`eljw_7T9o$?iw<vmEB5c;a`&fmb
zA#@+dmomxe;YZrGV0ca|BQ<Xn$p^g{M7}S0AyZR&?W4n=Wt1q>Z(cYsFR+is<%f~y
zo7o2VcnoHj=lMth`_AI2!A{QfdZW1t-$6u%59^ea6M-V|$r*`^xfId^ew%q1l8-MD
zK6|4)+4PQspSS`VS5VygVIB;7PKq=?K<WG9dY<ujXGudg4_iqv3y`S1Y!CqmohYz<
zL}%a}Ep7j@&G%DiEQWgohI3<n*uSZ-Sw73QO9K`V5!0tQIu`U88rl$U5G@>qp>j{v
z;RE)fi9}+hl7ewggSJj6&(ooqb-zy^@V~|Nv$m2Afg1;*s$W7aPV$#tDw#K6MNcl2
zNmD5-rjDuE3NfeyyM@XnfnWlR#TOidhdrHe|JF8*YT@U*ChRrEYZE?VAp3&v$OMK;
z61BN9SoPS^-%7(gpzBLyLHXF_`}@$ebDKek+AQskrf*vf5VH7``fhPPRAW^Vr5%Yv
z_~^9IJQ4w^SkOR>uT95<?*30BFs&tS1%We2F#+3>6%dY_DJB@VoMqC-X5-p+n5w3q
z79vzjSa%|K!W`9NR;q*+Ry&g2o99mH8scii_~N>`8h&F#=4_@BG(Rmeg^+w+Jrk43
zYg}`WK!BGdB>nFjBm70d#PaA_g02|88v`V4+J!%dv~kfpx!i6SQx*e7DP(puPt8b^
z1w@cyh(4dF#U(&wdlSf!&d#GME!b8@unfBp2QyC_!-axf247*Vh(Cr(nVkd%Lj$xZ
zonUo}#N&|WQ@d|M*3M)aj11c|Br#KPkhNHNCfpIIc#Vrz$igb}*4u7~0rQ5>LCv|`
zwI$KI0@!+mS#v$41FdPE<DQopTc#h(0aoY<l;fZ|zv>vFJJ`AyC>L*Jyxj_l62KIg
z@WaG^;)<KX(Y7yge4mmrtja<XXh7NrowNZ1sBrU@JnrIy_P5sjap)!QQ~4jy(Wsq0
z;Fqr*sQqXypU;bqsf*TN-U$dB3+K9*kMS@kWIjYDcfAz6CG@U<q^qx|ho6;SNWE>A
zj1$BD=Yo_j6x*kuW4v)a__f`d=7yTvy7oMwU=fHZ%dqYg!tLwf@q010yys?s$0x9C
zJw$KlsAE1lRs{PC=#bd?TTVKG@Eb-BYXl}KTHo1tZA|~_5ECP+-!<Xcv{VVIFGk>)
z;|20@kdpRphgdL&Uh2Q|Hlz!9#NfAF2>E7C`U7@TIXJ~Sh|4kVAdccYo7}v`$NLzr
z(&<+0NpiLsz@i)P$j7G_2E84Pj}Ob%o>8OAqWZ!~rlTEc4InmF6nDAo-MEuBzJ0Fr
z=_R>_z5Qc`1tK}q3$(*F2!0h1<OTv+tG!=8sKiZijVx`}f6$YG0LjK}w11h(;-=Co
zo@=B1l#}dt7+zWdvB+QAua%FXSFDvj{<aF0Z|WRLaB%VMUp*P_-FIbjL)AxV`l90@
zL&KyXnmf#Pa1{(u0fYM4UuXs&3%e6}sCNuCe90F*cToeN*4Gj0P{Tm>!+e0JaHWiz
zM4{qT-M}d)gi~$A3948}S-}4ic4WKY!eG*N2^i2xAQ(Rnn^Yk#>qKK#YOklb6C(|B
z6G%qb2EzJ^Q=+_sbTlVrXpUoYKhy|he-1V1OU98h27XJs?<b^fMN;Ynr-pLgj};VO
zyK1Jkk*cNQ8$v%zm9T~BBMzp;&M>+`CxQApDbQ7Iu_&{a#K;J{eq+jd_Vg_n+#P&Y
z{n;I$6rYO`sPelkcu0yZNqTdaac$_Jvh>K!a^`p7{Cf&4wXPsp*uG8c?N6FM)Fp2>
z5*pKP`&Xsw|3h0q{8N1d4;A|faz4{rF8s#)CBhQ)<B5b?uG(i){&po*nof&m(4&R$
z_W`1D$2!;W?Te2F=!y<qSsZ{axhf|`?T8g$Twtuy#5gOf25RY)AUW(D#Khq{yZl>8
zA68pUT3(S$ZTkE$nE@96V~ia~0En4EgZ7A8sBNyR%l9*v<VJ&r%^WY2SgDi#1Yp}N
zq}WS>8T<=8%sOyw`zKpQfjs?V^lhe5!Me{C!h|I8NbI+?J4U^9n#5B|5nc)zsB^eS
z#71R|R`c#>eXo(|rXbbte9ijHYm5Sr*+={Ov4_?PU<%qBin`}@<CXIFwBNCwXSzo4
zn+f%T(s#HPG5LOoxl}vvi(fKSmOcoAz{9@vjjJPfEmm`gL~Jv4CT8DqT{#Qmc#SK%
zksH=H4hr_GejiGSpIQUxuJ&4+A7<yNuFecDz<g0e#h06>C(>sLu`Z`#>k7idImX~&
zPdDY7<l(S0nj*(71;%F}gt7-7zJt60T7Mo{eIUA+3yJq*hBF0VVX_^&Ogsiw2_!do
zGtk<SIce<}GvNUL6?eKO&`~@Uc;NX7cHF8Le89RmVz9FU+*t=UwgOefpNnqO#cZDl
z*4T!QNEf%)M-bDYb?9Wz)*o&3NfP&W7Lu_D?AAVEsgJF1Y$GAO?ysf9Sk@8q`$8GX
zcKR)4P_F&TnFtOQ+(N5>vGNQk{jV@BBh64A`+=Z>lIR%?@5sba{)yjkAY3+x+3F;G
zpquqk>Pg0LdtaU!@jS=NXnBaI|4Mozok<xF=#=B*ASiBj_grGtyu3|80&Md`7NzWN
z{lF|p8<6=dXTq<Oo1<<VVHxrueK6q2nHBs^OM4fUw9WAC*W{UArxpfPNo4Ohr&r?d
z*2zwgso^-;LVjB$3L>AHMZ%>WuIvy~o0;kbI3Z4PDx{frPl+wl@%;&5FrvfDDU2oA
z2IJB?BL+@n7D+gJN|aZUt}BgPpz^AcTFeh)L6b)wWzE-%|C0!3xHHU}yaz$DLBD2f
zG^fG?_2Z7w2g9Cx6m+t{*<@&|1&^7XRfz_1aFW;IceLer4g*5S7W?U|H?g;Ex?o>&
z(d((90GmZU3R05w1HNz-{yfNEy7kS2!9-LLvO(&BV#CSeNjA!&b=`}VhV`T$yVLki
zhcR<2_tucjPIkRpHNjezs1xSS!-;388=~ej{8}1`{-6HF*p_Zur!<Cr|7=Fu1G(PU
zi`>av{xa#X!}->5jwU>HbpEywgwI&kx-X+UDVMGv7%EWm0}%Q5Yyp_nPu<LI$&GCz
zE_!R5^R^@OPYeVG1nl~(irR6eJT9TU-7~bqm2ENr-YA2>uOlI66?fsfX|WiP4~Sxj
zXY`@ym$^D^sX@Z~YDLfn3$ILDyqmg01Tt_@XwyuuIQtp4;qxDWqud@@{NG*PV@;oE
z-BCcwJ_&fa+OTM$Fwv~0QF+YPEwtJa8PJNLby8a|qQiig9t7S>+)FM6Z2&?*y}uK~
z?rTB*cuy15F?7}}?ZC3@BLDl?Qs|rvK^7ZGc{0wBmsGNqE*DwiSgF+xM$;~)i{Lv+
zfaV$mmK^7NK(xN(#JGDMtMyq|DkH{N5ovcg)B&{V0bey3Xd&yTU91CPaz|)sU%e&S
zd!p5_8k)yc<jGuWm!0y4$NpN!b(_#cOWGDVH-rED0ZK#kZ1(~36AnLPq8uXsZ@%q;
z7T=Hz?Z-lB$;B?C|Nqn9L=N^lmZ6#jQ#N5|A9Uh(+br06n-5<W%zWusx&L)Qyy1n5
zAC=s<gk6E-ID&jP@NXM#ccMns55ok45dcUwmc`s^i>ySHdOj`u`9YR`%EBTQK&Q7v
zgJ001*_FrCU^k?;EU0)dZCRKe_QhU0BoQNpVoJ`~`(c7cC6ZHmQc^^FJ5jsuK0iFH
zI-3GF&yQD$)q#6X4Vl$oO^qzj4Mt<`ew^(v&Tr|_=tigxRA~|a4Ia#9!d$oD?p~W)
zeaYb%Zmg3t7?yP%3JAj?<ZA6&5ZlNpt|7^Cs=Uz?<&B%t9>Q$I4(yf*IS8zAI1?O8
zRyYqq!@}sI<0V@R)*-mL%Sk#)#(HrjvUdYMl_JgSTj@_{Qc{{=lUIQZ2}_U>$wpwm
zFFnDIDjtHZEcMYx+VDblQ5^KRpZ43jyihFhRN`otX9KXoYK{E`+&=b^<r<P?fdq~T
zRMPqyiNj(>J~J|As!91~6Y5fIjpdt>l?p}E52vgPp6o!fkZYd$N=PZwHR&%MgQKaw
zb(ePsSoh{pn1EdPgkbVG@aJ*nEbxh!G_A7W(_W4E$%o-rFEDkbN3U}!GIfJ_o+Fkd
z*g2_T32w<r7M?b7S%-XJo9;I+Q4b|;E+Das=V|5@YjGdNK)wF;Y?Rmvf(pb6=NO9V
zn(RYpGMdB1qeMiES{KoCv88(AH5(=?c%xH<te3?SCdiDV6HkLP0nYB;&`48Gsf`E<
zy60n5g3btrtfs>lP4o}3(wBZ%GsrGg-9IE(V;y*7%q}4+AQM{`FuUm?VsN)GQIgBZ
zdmz7=)v3CU)}c98oE4|Bc}dJKYz64{KSf#0UrK^~W=T@w!kzwR3PSq3i5AKJ@=rJs
z77}qiJ6+Oo7{jCVg0&gWd#hM$PgucNU~6&Q<zfTbY-Z4sGUx-wu6c^$PwqOF{W4F0
z2;UTdxWxX%Is;YYlrH#lAh4eT>S;R~u+S{yO@bfV{^Lx1k`76A2v{yL%oPkc45r0*
z*pRx+#>V>G6yJ<oLflE<$nSRHKvn+u!KEUBqTHQm{l=lC$p1D{v!2(8To6d1Ab-hj
zxV9e#34U7LuGena6(m{UFtG-h#>ngciO^sUSLG0CKxU}cp4{(a^N{}~X`VCg%XsF|
z?h@a|1>L`8BaSSw0I7h!S9xCHe1V2Ayu)DZzIzb6P|#nJ;%|p@-Vs~L*&?deJ7GGc
z&$BLi67EH*?9bljE>#`cb2thX2(!kG!>pTQ&unFSRR4M8)a}<k(epu~&19<gr3uKW
zZNZbs#Qncn0F!7zH`N_Q`cVx$pEODmx@s)}zwZNnCWj+4R~XU6L)wR2e_0z#mTmPm
z<vqFw_OJLTYcL8;?AROP4e~hi7nwL5u>s5B^an|_I!~n-hA?NDR?3?QWngPCefS{r
z1ha=F^UX>{FDJnHU^wC3C$jC~R1A2{-oS}Xq;vI+Y9_};4AOKc4WrDi12!|qW0xQe
zbn?PH368dkZUAk;mZ9COp0!IDraU2mz`@blAh)9Jf;ZXFuxnK85XJYkx?p{Oe!VNR
zr8l~kKZf%~<frh6$pp!-HF^*>1`BN<2^#O>N=4+&7HTe4qxE+sy?65d6G{LstP~E@
zB#I==ueDmk(?FKerrK&hi6BY3Qj!hcumN9{zyPNPNXYA2&7(f@hnbw|tl%mlAIKS0
zn!#i+75=cb*#CY}FNg+jtY#r;Tkx>prL|%5<rZ=K0Wdnr%ER|stXK#ei$zX8qARe!
zh+kf3wa$vi`UTRE-G;f)3~$%!**OE*T6M$J$)E9&sS*F;`M;rJ*oxQ^Cd~vQb~=%?
zZ(JSniKoKW?wT?+=Nji}L<Sia7fbB7;buZVF3)$!i3BX!Yyky>U~6>%BC;F%VZSki
z3*j7JhZO4?34alh0ru0K^m~*`!IUE$)K9LKxQC*+3y{_0OTq<g+lq_jPc)M#-o#X(
z-BGwxa#SmYhsOS)o9f&9P?c)2GPd+TqcI{#527yd$cek+T~W$3oTX+pu`Uhjfs!t+
z!y1Wc7xv?0`fOVwOgXBY4NA%23|emobgXP&7NB}fFw}D#bn85i)gXj2I-OZC)-GEm
zfOVTk`ucP?DnC#_o?|QNMT%Tq&@Odk@g9Oz8QC}4aDx<!jC}08;X^jHO<mMB3dHnf
z`z{=NLTq2C3*mM|O6yl1_<jgz^=r_xj_CQ0BXn|SmeN#7Q49F{K*=>$eCAMM1{jBt
z{@05t-o(D){@YMb6?rvR=TnFX;#DA)KLBsiY@HlU%Fd%vgyFPGbBB=E<3MHm<Itl}
zF`omC`*ONgib{zoG1F`76!(Qco9Iig3|64%Q=D;Llo9@mXqC-TYL$cHG4$D~iM8q@
z*2{SKSW*_nQK35;`O5TvDG?+IC3%og4)Z6odDLpad#D&fC~`YxN7A==o8M`-<;&8s
zrSA|Lqkmy^lYx?bjVP=|MK-6h&m$BY@$6JDqIZ&4LK#(TuOvUk?`X|}(LuWLvwpGl
zLiVW-QYju?Q+dRUCx1Ich&y9{Fq(=`${}Uz$X*{bd-F)dp5LV0hBgis!ZJ75kfhwa
z!}pOjeyh5hX`wODY-gL`Hv2q8Mw9^Jf4vh1*MpL;4?evYJ`%UGSMrl<Y~XGY7&X5z
zkWt!U^@PF7&AfA0XwcH!i*`1)&kt>qVLeQ?;IWHg;MbbpKYOP@%Eo?ha_^C%7M?za
z7*|tb-l-%rc4Hs7ouTFVog+gH1NSa~nzQjM;bNSbEFA+rerrY<T2PA{>_iPhK&j?V
z1wPqwl>TPXJiygjo+MsMAaP_`__<k$q&XN~;Jzow<~|vGSEj%VtFKMvilV*-0obN|
zr5H{#Us@+`j@3?Y!0dc}_FGA{ldsc?Y8o<6i&GD3#KUGp&(hQ@gJQ}WrL^U#g`mMx
zPEgy<yH-d2y=~yCq^z;o$~+r%fNl3o{y&$7V^jjiI*ZVBub?xKq~H%^GS~LU_95&S
zlg#{EoQ`1fTWV&abRM&hWUr6Tp&c!RrX&Hn2rsG(LI10M-!m!{Txc@o4G}xH65%={
ztyi_XC?q5)^&wMu8@(F*2KFe7S5mHg*_TZGK%}8My1&%oRcsVWg;5Nhg5#7OWnw%B
z(tc;1-SXEvt5tkk?`Q`SW>Pt1qVMQa51_&T1g>Sgy^lkfJ4&xHZ?kd30NR@LAaXIe
z;iuUzW_-rZW&dKSj%~Mz0ECiWq~GZf5yucxFa#6Co5lT2(dS8ZRT(k1ytZEHCiWTm
zUO?kUqFWkxTx<<KJKXV7h$Zyq4K#b-+2a32!Fj#k&~nSzOIuzuOGU#tJ+`tR++R}=
zK*M1-*IBOw{<G}m@WP#DLi9l|wCHS(_%F0R(YmMVj$_FQU4k2!@7MqBq3=WfDJ10%
zCQ#P=eKZRNQqT93d0II%R1Y@{>;7Y{cK1}!{D<IRnzVJ`iUuxQvS{nM<WuH|a+48K
zy1Y()Y}^}CehNC9c_`grwAS*mR{nYDTi%IK3*VE|V@;HEcdp)6^Tt{N=w^Pb&O~y@
zKRNTF1weprE+bfSl4>p^ZsxM+qNLiL+88igCKq#1PEjV(K>@FWtN~)%@bqVi0FfV`
zH>{!r5!m#Ous*gm>3)jz!m~8TSHiMWdFXlnJT|R}BO*buiu17^O&zD>mH-FfN4@Nj
z%sUeh-KT`Dlis=id}v!~GGUnEykU$V-c}bQ^5jpmMFv~Ps@f|3f7Td&<sz}|x=rn}
z1(}ENmB)bEw$0B9Q=^4l(;VKrHEt&x`>9IVK*R{k$u-vgRvZIMOH%v0?Uuw_mWbGf
z4x(71+y20_ojkX(Sl$k_lNT6alzC#(V$Vrhw%YI~bMtrayC^}`z~&>ttoRt%*=`-d
zVNx0T{q4Epv2)rMB6x`~-r6z7*H#KZ8hk$x+Ks_(tZ<1~EiAN}gM-7=(yccl?8-f;
zGq(mIcJ79uQ7T1`QaXFP&cBWGp|?6=epuKc!JGdssaW7Bas_Y%Z~18KDG<m%PVD^{
z38JQgT7N>w&XBSZ?Qhetl@(DW5kk3t2b*R~Y{4VwshASQC22BnD@LSwoEtwYJe<>4
z)%)<HeKF?>XtvWX;N#SDt$^NeXVZEDvXB@16@{tGQ53Otm-#MtBhQgyO|1JojpK1B
zO&ORV{~#+E9P;hr(KH$3F~6XBoN-LQoj)E}Z<G4xE*?D^{gM7mrkn#Q`^|*Qayyll
zzXxCkHEz2w#t+XFXN33cy%+3V+l@V|cjy!&BKfG_i|b;JfdywIjM^YPZQW(Wyn0BX
z|0)!3R+bJf(&GBsM(Q_l<<f(`$YZ-2tnI9qn`HezkXiYr0?P8SS#MAaPt(LeBT==`
z8Br5&)R3y;qHi!e)ZzvQ*nk2OdGc%)#b3cG#}kz}eKp1C5CSek2-gnOc<1dQST+Bv
z7Z<r{l>uthp?WhD%P;j^3(_N&`Vh=D$PHEZXb!;Eg(Q)WaYD>hCmn?a9=onAqhO#A
z4<z!soPdOm<_kl5(V?A2s3n?5d&chCq9NTw3ouyQZ8~@`XMVmcefzP^;I>Ec3k6uS
z>&=q)MN)m@^h|(-$P*`lk;O+8ji*o8k(mh?w9-cZ1wIYyjDL%-MZfYpoB%7Q01AHT
zjq3_Y_C03v<-=j?smLq+ynzKE*mk1_oqj!<f*tUW|6lw${u&`_$MMEuRkY#%<d|Y<
zp%`^<FT*@U-iX|OtHI#x`l$5&ODD0}sBDgBHLa%2tv@vwCN^jUM$!s#+kl7@n7^W<
zXSzvRGRMK8W8NjMCVV8$HEuCjAAtfBbhU6F7Xza-s;-2r{PpSy#x~mV08Wd#6u4LO
z0Q)`4?X{g=Uhs+O=C^oMh8LK|FzP`UG~=nX#lhSGHKz`}u~Q+!-av3qluhlBa$nMZ
z8`S*gK)9E9G6EOz7S8(b%DUul3)tJgZ|U5r)Jg6LNK|Qu`+sJ$>N6u_dYuPfQW_Jg
zN2D*6Ogs;7R8-*wIg==%iTwooPp=vvuUGjSRin4OU|tyefG1V>C89!>>XaOx2onJ`
ze!oT9sV=IAfW+ef^BF@Y&I6GE`9{}L5S$3B3DS$eElVefRmLDKyVRzR?c$$o&@$K6
zz6(@}en3O@-Y}HZ=1~Eq(+9&IG3P*>?&BYB&%@EMA2SuWHG>yHnQ4G;lejk&*>2V@
zb!GPa0Sq-0)?Cf>$t2Mz$-NUeHAG@P)?Ls=en)9SP2ZfBMYJiSQ-oLKD=DcOPiHxV
z7E)@$t><Ac?|Uhwf-Sxfhsw-9sS=~QJ<oy`e`8gh<7>UaPPTQHM&R$PD}G?sHyJ@-
z6>c)C@Xy<^7b?-kL4}QMczL@3uKtiGSLvT5<(Qg7t2hi+VMl(;<JO*!i`l&7{hSX0
z@Uut0F{d{6iV#b}9c~RbR4+@g;cQAwwI2<;B7q?UvhDw?P-Hg34g{O7rs;2=-c8C7
zIQQeuzYWiw0#2IH%KBG&hC`^<J|^Gt(wfYcy6F8=BrB>7I>>%?wU=jE{W|@|C2T|%
zuEjTyGmR_+3FIPnyqX}uAOr~CJYF6E{6yTr-Oet=n_0S;$-nkCSlV}~m^^uHaL+hn
zf2_9qy7=$VASe=UOI>J7@(yZ@d9wXbM|(evqKLG35@5-#Vq#9PL#HOFT>^=Tct=6n
z_J3w&d=3yLZOTZp6T61nPp{H-12Xym<MpTp;McPMgTy2+W1)q4*7hqhih+&hs%AsZ
zpWD21AxIvcQGpgJPfck*EmK8m$lbym>;p>xMU9Sk^6jpW(qhlj_@RQZXZJpWJit&r
zVBZ4NTk^ED-V|rxCF@Wg8fU`v?uHoDF&7I@V<=mJ|Ay#~pPeVSO*=?5M~Fxt!k>an
zS<{WiuiSg7GN<xaq2Ev*6z$Wkg^r8BP=i71e9smYA&4f;T(R+31JC=-$sdZmB00S9
zdoU+6D^$Vs6NZyX{tZ|O+H}oIXgGR1SE^Ja&NkGF4HXc#xdg45=B8BOx=|y5`7W-Z
zDhhCf7SYT4SH^8?e!I@8LezZvpf|}=Z5AD3QU5BiiyU{2Z4Xf-)n<y-lB((1w6hW`
zJ}Fw^QaiC5Xd)aVV%c0fbRz<z`deP<5XpU3N6GM;{l_%AVw=u2tow}J;4@wUd0r--
zi9eyK76%T1XgIO~?Ifx8JCuHTfM+@OJcrd|PjDR)=51k3Zi}#j=WgicqQWqlbMxw2
zo(l#zQz&_r2mXxAqB~NL<&)}DuI5Oezyk}O)#BF#{uCBi2|yGi0^R_lmHWwSngi}m
zX~er}P>r4LARmR^I!O(J1rNbu*JEHD=ngO*!C=cUI9wd5DeTY5MF=b@<;oYAyOVAN
zIUloV__|tFaitRAH-*uH-?Aviw<~47G_>1TnOCpIz%yIuJ%=Su4m{qJN<s}wFDzkE
zIV6W;BQ=8Mup1t0&e&wt`p4i|$xCd3ZJwggss;e0k@-$DRHgpMh>QV9PGA_j2V$l3
zA<!n7c&*rE((5f#AmAM{d1BtcbaI`*8Y{*r*~l1ESk?5sg`dDLvs&|D+O}^BaDZ00
z5^j+vfbtmjXmU0BFRys`IHLnc4y%QRMwqYF5Eo+4H23xOUDWb5<#WyIM|q;&P!jGg
z^<{TOY#(h<l^Zs}Us2KEI&SI-wol(fZ-Yo?*u-<2-IFM<!;~i}OS=sI`KYQ={P)g)
zPJMsv({&AdpYuZm-4Ns^L*ck;?>~hRZ{vsI%Fsh*YQc&qwl5SzRH}@yp@9Y-U-Z_y
z*=3QvlI<SBjYtSJ-nDRkO@)q?_Fq>>iAiLeG7YP)Ryabex=vndqG=B`JS>$VPZj_t
znnHo-f2jru>ksm1>#H7+SPT%m=Kdk_vD49%ABno@UdY|U4TCN5iX+L50oz4SaG^p%
zb$FJ7{Mnx54hL~ixpJQkORn)#kl>KH)6<*rb<eaDM+>l77Vxb8(4&(ek02Vdba6Uo
zbKXLUMfZ%c>oTh?Q>CnkyUvvsUXDL&;*_Emfu<d8hvHoE8VL!)RmQ$QU_PRtU2UkE
zEkF&*anBrDs}3h58~<gxeJ8%8WPwm91x;s7gly;@C^?~c=GW%z)!}3iU?|8mIS+XB
z0_k7?w!|)~;+P$G<-~g=f#qEouZILNn@<vIm9G#?(<sq?!<B?+_x@Oq<mKb+WxzmN
zrwhDBVF~n;^typ4@|zhi40c4TgpYy@ZUG1~*|L9w6vo}5?pelAthaMGj_l>7YHm~0
zVnB*px7H1P?p4zCrP*si-Y7(?U@IPzf##j|S_Q)UI9oj&hRU%6@L_x^?VZpRa0$bi
zcQgTWp8d(Jf>Yve3e>p0qls!}oBge)G)cY-Z;scGU}FFqVn+m5e~qt!E3;r6_qL?y
z_*LhpiI2UKf+<^>>14%B$PC)+xoPlt^89DYRNp;ek~kH^*Yu6|<B?#pwEV|>)Khaq
zl6dnge9BVfM^WK*<!)uPft3vK<HrhzUQaClVe4XdLHo67lEWM=7u`BtG5f&u)k`k+
z;-a#_lOhi90K}GPuGwi$tw~&H2b}5QF;$CI8+dOjeSQ?;BIidd#bNe+Y-ypRKzZ)d
z{AWw=HT1<s?nG7SFD?21@!L`40fyTD-?k$mU?-EL<#Ua~$G+zcx48RVAk4oY`5e0#
z4Nc{H{$gK12{|{1kBepH!feo7yaC5fhV5SJEgpW!O37n&cixk$df0DpmNIifX2Ns@
zIU(PgNX(Jret6L#>?ExTU<zK>2m$@G>_phux&L^}$h6+E>99Mbox!31anWQjeRb)s
z!x)}vGcp2D1-r1QrZfluy&rUBoZDU6DFHuyGuH{~0l>3ij+<}p4FPRQ+A?hz-GB2t
zOR@V*$G4=mv6qPqnTn)uZku|vDpQxWUx44+uJQP6faz0YEV7S3JE+P0IdU605Gh2z
z*_kt7152^RNj`O>I{Lj*$-f^%*csVML_oEeX2peMoaq=IK*(UY_Rm%Iw<TGeZ0Q7>
z`7*DarEjFoKo^ZC8T5U?eZPlso8S~0ys5T`FNo@%ejJ^sqEI5Aar>}?N@P2uXT2hY
zHa{X>*SLbh%4P{~<7m@6|F+lC5nt++iKdw4Ke94W>OIzD0mVP^iV~x9GBxF!H4UBd
zSJIWTvuf-&c?n|d;2cqEJx9YVd+J>$VP4FKhNluk#@NXhmiE0Tkgc_mTIO4rdv~@F
zin5Z56on;z{u_lLkr~;1btL_l9RLd5DX*`#RqT+)L;tO7*l{XJq#bwCrj{oJIt?pM
z`#m)ec*=Sd^t}Ur*g56sDI{OCEsD)HsBI%y_WnrtA|A~*@Jf5}JUr1}bSSJ4Yn#7)
z;4k}dGV0Hu5;5;<)=$Bd8=0I!Ko4fgmL>fh6XThXzT)?ua{{*UDauvlul|iJ$8^C4
zbD#+e^7jWy-sbG-vB|{PV*t0fAzZ`{Ak&F>0ER@a+oKGwz6Ud0J|iqjJVTVCjd;7m
z^?#CJ%yQ3bV?%=Bc#Pw6cmgYUdDGXkv(egtLnQ67w~ArA=S$5p$rXB!L=ZW6#Zb+~
zhnCq2<HGOI6f4sgQu$<>VI2iF;};2si0%!W^!ozB)nL-yYm&Fzs8#M+53%-W!+TW$
z1dDP4;B}Ck``Pw^!?0B4hr5g`d>7iTR9Kw&;IM38LdD#{?`W_jETq-)wYq&{gjq`_
zyNFZ{tumo;+72YgR5Rp^$-x)Q8*7jvQ|e^FrQ)vJMP+{mh-u}hyWOKf?>&+zi7F*L
zhl){nL!bvTTJI3KLa$O(VuD#XV8Ev;b5j}Vv<3MF*Ci`f(i@HrR?J5Haqe*rWxReY
z>bv&D;Y`g^PSmN=TNYSx;;_kt*K7;fR6U6FtF@v=_m=<74ScObO7v~w(2%Mlp`>3Y
z9SGFcCuUoN8x{2CU3j_NU@ZsIJ|HLA4`If_dlOoKRudd2Z^pJwoRx@)OQD7OK(Np%
zz4U{+GJ>ZOeAox5L;&RcF^i@(!>2YMmcwCZxAk9$wSmZYN?q^0Ctb(P3pZto_<2p+
z(Rj+$CTidp>WBNZ5gxm=n-T$q8Q0JTc0RvMRbXHb{4eN1M%v^X2<{%iyV`Gr${jo<
zEd+Q;z<^9!Yjb%Xm$1;DN8MusAzw%ew4ic#VoUvH<+fSs{k&rBM1QluYeT(Bq`O0E
zY#wlFEWYF*(Hy!kg7^fJ_WSGFP4duBa6rr4p--f=Gj_)5?%8dc_fXbWzB{S@$r!%@
zquv)u*GTSnyy_=1y>l`KWdYijyqy-sw#`>f?KA?^__$wYDEjS<V5L>v)7F$&`W0o)
z086sF!Ixq2KrrX-CQ=L+4SPNlE8#N=Ov~WLqi!>R0xVhVDm4O3<Fda3=(M1%X$}~-
zFkabl&jlirI)yr8hq&u?+Hx%;w5YlP9o}0cK8<+m+C0Y9xnx)(-TV~NA^%Rf?p6TP
zP*)CAOKDT~qA}6460Lse=?%L!S2knkdGjnkjJv<<WAI}xw@LK`dg;|1%iU5Av=dPW
z1TJ=8ia2~T#}U}c@?afQzZb;^=FIjJ0kFFr$szYB$9|!Rb2I~dz&6~5Hb<#M+EWiY
z$D(m%?q|V|ORaI@YNvMMrGPQHvDqy<p&CrD_M49vr)LV~y08zqsPhtQzA&+l!UT5i
z?&&OVtPZ&?GP{HmeCN%o=sinlY|Rr?pF$^k67<*z#T_c+p+>1TlV$7;MuE3bV|FhQ
zdMMsKFOP_XDRLyLFy2f?0v>w2bxf$)_+uM&95?5IKGwSf28)k(b5f2oL!)XRnOEL#
z((<!Q3Ys2m4<)J;EGhU|%^pFMm(n;6BDGyG!el0;JN0a(q9fpaIkD9OiM~b{g^Hvo
zDEw^lN;JTm`=y|!_iT-~!DB}>G7tz&XKI}}!t6^Ya=Os}0Q`2foiS~emHS~??^~I)
zZ!NacRrIW66O|4j@@nM#aW>*PIg+J^<ii6_BeGm?VqjU=8-X~pJ;|)N=LA|Yk<~+J
zau|H-%FD{H4`h)@_pIu8kF36+A*&NeH=W!(eOgx&S`IlgYCh<D6cgSrh0VE_S246)
z%~zVwpq&5JvSGy?+))3gms{#!sn5f!XOFIJ&SAN(+q>P%2PzO8Q#z$zr1y9hHCeM9
z>mAcF{mm*{rWYRHvu8afld;6j*Q4|x<1=>-g7lYb$W`F1-VeWv88>0qaSlORYtdr5
z*}rrr%9-ezyjo6o(8Bs*_cKq#c%89Gg=N|jogty_(F1tM$2<$xkUERZhWuK|M*73O
zMk#&ZqSq>N_hrdJS{mENVS)ZKL!{rP#!qYpPQr-oOVey8MlUvY!bRh!&MeH{1@Q`f
z@t+nY3komf8QoS8Pc~q>&pBx8$pZ>@^AQDMmY#5;pq*^HpLB3UAi+fnMGjC9#*`ol
zTcc<v$;R3$ci%;-Z_DsgOh8?V5H&2PCne$^h(Jxp5jA*oV5uu5!NiED5ZYXH5|vx;
z47h1NQYHAKI1FsRX*oxl*7JbZ|HN-e`R{)zlTm>FLK@y%vRMkHtdBhQ>?0(LK)02g
zS;!o0m0P3Xpp_zJ*^)LfDj=g=)lF8}U!JqzdN7LfP1%i7@%U{V==LlA{PauAb#2@O
zoCcRWk%b>n4<_Wlo`EGBF=0M!UZl(|SnERwWP_G4amo*JB1KO2hT!t=wrA(-PK1~$
z0b9DO;FD*redOfzE@}D-E)sw~Zu`n1drp&r7*<L2=nRV}h|TQbNU7UHL#Ny<D(|9X
z=t+6k6;+t%z!i;U>M&^g8xK23GIrjP*RU4NQHf;UQ9Up<AsQ0CWHgrc2yNM?Y2_rP
z1}%xHSq=++6ybQIkG<kEi3<X1QY$EGPW0z+o}>dg5}R8@+*lrEg)QP9CunY|rW&u5
zy(kUBV*gLHl+IPd{LDl%Js9-l^;%3c8EsV3)O*tj7I4tu(>YxZY=?k6TPBz8HWup6
zi84W^yglJa2|{JalL+j{PElmrhcUJ|9)b#w>`9PB=Q1A93!X!?yaJ2}_3~1*B-(lh
z_%=)`jK1{|U}`8iYCHpl-^!qgvX(N+4huA~0e;Ruscd}xSdA$(Z8$N!8^cTyYkqN<
zc?bM~P<gGOEy5BDpyhewUwjx{=#X#ZOh0=rA;Ws(hna^mNNm*XGJ|BbodaJ%TE*jg
zr<z#Orky>33N%t5=7N)(zM&})Pwb&&m2?0g-56souW`7ML&>R}=xEnCm6NQg6d=4V
zV0T?Z=PGYS0)x|}Oe?L*7rnnnDk~w?sxTxy{5n`3V<cm<xmDeR7E;DvjvlgQdva1<
z=cbcn)Yf|(=CFn1zKo5{JW|t5S6J!iH9iGV$RDFqIE;t7CDhlv4$(9Z!*5cgAKjM6
zHh*RaW0&>^$M-QH%7X#TAg8$l(7UzNi-PxdSJzLlJ)+t0mi<Ydn92es9(q>B29w;w
z*{BaiDphSbY+-`KaxWM_HxMiW-dxt1YXO>Gy{e=tOPHQ%+J8RX9Rk6n!T6LA(}7j1
zJ38qLfKpi&%cPoB56Wgy<x23o2eVW5i9Oj=tFva+HO}xs(~5*Cf-`@RDUhEYK;S8W
zD)=p9aZ{$3`KxKY>2y+50tNwI|E6&@wpp%7FnYZ?u8f*zzQ1EiKNeM5cpWeH3Ry=r
z95=L3F*nXXfwLQ`Yo3nUOAahbz*GUr9_~pXScYB5nF8x-6|fPqTBr7XiJ_B!gc4w(
zqo`mY<FD-Hm;A{^V>kBh8D!BP-pLBoZTahy?W;srXxK&4Gp0b7D-v1y(%#Cgy^Sv3
zBjN3F&?iAhu5hy{s}AY_%0(y*GZvUv(qYw)s|Yo>7seemf_i3&y^xteefw%5L$})b
zKW+jvuj_wa@UoN)|EFwy3K%<rjfzwP4nx`(+3R&s<2fRN-z`e`C)f>^bbGbFfz@_>
zc^V5)nZ{JM>f90s<uNFvL5!2<HCcF6f4IE9G?^E}&yZB^sUCGHm=e-V#{1|=<7q^x
z1$~;#y=o*;_IstU4FuyY&H+1!X`I=>ar81(Sm@Ka8Pwc(5CQ{%CU@l#@^AGN-;-|<
z=^vcmTb@~xPl$e(^e*g1D)H{a$x+<{aP^CiUz?HTl^W6}U~Z^To~U~Xs7+yV{4a@~
z&y3I>@4AvMP5aI5gI}uW7`tGk;J7<y5#0&*wS<v2NUWE;0eZ|`(DJ0X4G;G!PBZZk
zUh4=cLs6O}g>>Gf^0tt-j!YRhNeSISAZ^>zgng8SzS0~6nwy`F#6C*Pr|P}*GZW7O
z;zWa{g{}-~9=2V#;%%BBy^3#eDsv?M&RNG2YEXtZgfDv*9=6kKVgGT=BGmJM2lut)
zyGLOlgvF5=InaD|1oUAQlap~Uk|rK!6Pg9VtrLKLLw=P5TBu^m7(W6-$Ro@V(sTP8
zxLA{G6=ZglOa>*T#gU6JFS$YtfeJ<-)0VYG<6WEQ8<3czP4?*nxLMjM?GXjoq%lJE
z@5?KItw$pH<O+NCe(hR}IiK%^FnKfxRQm2L7A0<bN&y~-*vS*s6xajAD3*8T_|4oU
zRKS<Y-YLum*ti?Wad=0U1T`aL_=M+}Y?V#C6T7JXuTdTwc$hoLgJRrjb@A;}sL_K?
zd?F=&n`6;X-PP%Naan4PM9FRtU`+hY0NN;Nm>GpyH8%>Zr*e>!ewJm2YNC0%my;PF
z(|qRV;(L{^I~S%n8}A7N;OT}Zwu|%nh0g`C&|S(T>1&^mwF>|H0a%Whh1XRh65?P7
zvmm$?F~Ob$)hSC|3+Xj^`ayaR)&h6Ndze#I6@-AQQ1zb1s_nd0`Y6PLP2sZf?*-O{
z-(nC(tWQ(XF>7(KAtnw&!t3i)-70BrA2mqwK^hKg`{tScP{&_Jlnb<|bpf*4Cv7iP
zFfiB#Xo?hMjIi5pcU&8^SNfNLu2K=&6FxANy<tEs_{5#9|GhhW2f`5XCck~G<alWu
zWRxK7lx;L;x<;agUe8SuqCn{Ywx^PcWHzrrxU&C}<Orm8Q)Erd27x-{T6nh14LU2b
z<*oW_#~#WT^kskbJtAEB;=6t$?i8rX{|5V+IPV)8^OAp8zGWgE+|Yo#KF+=~#+A+f
z-IQ@4+afuiQ@g%%U9kaQPx`sz9ttz07Qm6J+QQ%wy?cuG*Q<JJViwS&@{Lec#lQ@G
zY^KLAndsiSYFNA=@Z1KRc4PmPM{U`0#*vL#LeF{<(GQa3c9qNfqfz0S5s0!9l|Kn|
zGfeaIc?dQKWzXwu6wVsme;dD)v?2s;0OgG~K0DT>T4z+^7v~gqTY*KpwB;SNsLMTR
ztgB=(_zUe;Dq?*fgb<p$+tG5rHb@HqY{@mD4Z87cLI<V6jg?xd^&TwSN~Ug|Dd+j|
zOliH4Ypqs{gm^RgBQPX-n*bTOk!;S{@U8|;6L$wM$h64!)?OB6)#hu?&3jk^_BM|~
z>LfhhCTVqXVN)WaQrc&tcSjB^7B78zi#ufwu(yRS@i8Xutno!;jh=FX5T-h&THzLR
z6137Cj`4b9SG9bkMPE|P3@$zgd)fC9QED2%L>L;OC+S;7J)|gGf|G0P&|ul)>pxiW
zAlpS?n@NXqXPb5XPa`70dVw|_5Zk@HA^&JPW?EL554nQw-A`av=v=V{`};=hxO2%q
z;b2mvmFbgvl%t}2T=Ag(X^<Rq@Z4&8AE!}2Fjcq|CRUj59|1BbCG#$Tts|CIsd#L%
zN`sB3rfz<bt7e_tHd|l@UWThkZq?kM_WeGrYH&`<FOxdt$rCsWro;z_$!mO+nJ<3K
zuTvejEIeHsd2`(uCYs9L0Z_>-jp8!IIo_1sTd8yCJy2s8@OYJYO)X8`@4|6dnBz~8
z;3W^==ppfji6^oSH|1LED2B=fR9wM%%~8#+M0MnpGk>&~^glgjev8TmV_}#uY9CcI
zW8n1aXBah7NDfP-fpu5Afwud-AshD@Vl73A&!4L9uAdVU4aLuCy!ivX*3_%2nDYfc
zei8P!lp}aE|DE!~aq8n*rhXA^w>1rwGHOQjpdqT;Hh4)Cg7kN!5qvqlX;x7)I^iw5
zUd<s?37k>gmC5uUmE7DJYv$pJBhw)#beTm=w3zA6lk>@fU%D03Y(1zRfS}*L>BQ95
z+7@kOC*ztx*vEpYPswl%^>SCDz_pyOXZAiPmtA1|DhlTJ!C80Svf|q!`e4kbai+f;
z?`8#cQkOdYEAhjP$(JWBsjnOCVnSfBveeM?_y!cCc>4b4v>UG)v!}`FGl)8YNj$h(
zj!=*D3S~lmOGA}nLvsUBAzmWUq5J!ti=3Bbjcn$HYAF2s`+cjUna_?(N45{mJs+M_
zxlz(DG@jp`prDf_>|r2da*tpkv}cF{jHePcbGN?J#`&&L9Ci7lNH6_{1zwoXqn=ip
z^Od5JI0@#{F<SBbu-jNnofrGZ#ZFwrzvgumbR&?VqZRx3_C!_f;UQTj_;$|W&+Ju6
zAtQ!*L)24Ju40yX+G8a8CWhnm7HxVupQ=wL!mP;ccGhuHT~));40Kg);V}!$*ad#)
zv=TKoCHtut=NK_KhExN03O2f(jg>#=f9ua2mbuZUm7I~XHhQ!GoaOZPUhjCfIx;3K
zDC(GIcL7UhoR1seBb5}7Z`&bqNt7z0&-MH3%Ybfx1mhJ(Mo7ef#5Y|q$vwixjc;Zh
zPEKgw=b+Bn7+Pm0!R!Zr`cSf%6{-+Fz`jIb((@fuRDK5PGU2Sg-6|K@?%@e1KfNb?
z-cLKl`wDsAxs$Q-xc*B({;Odkj#dvAf&K4xw^->--pl$NAXgL1JB%NdOpJF)lPY=)
z<@Y*rkQ2N->*l57g|_6RW_j0)>V$xA#@MIuLfM;i`|+X(K&?sxZ4y8bfP&+(Kt)yI
zU-@Fuzv>?yS>sqs4P6Uq?_4PGi)NCYypLXUfGM(@z&A@m)R7+0kR(KDRlX<kg?g`c
z(r8ADT4C2_Scj@WH2E(t;RDFDFB>h%OKq{1xm*L*EyPUon*F6)8!_hPZH?}KP8JC}
z4&KI&y2`elk00Y_`6Z6+Kgg+bnm$;h4hm{AztA(oAdECby=~pzG|r+khDuc?Hd+j>
zt>X6??Hj~w!r=-r>Z2|P1L50)_r?#pBFV8dK$Z0E#o)$}?r0Whb0tMB>#b7VeH1t!
z5I1fTxMAw8di*WY0sSF`k)3+@mpmC=HMDx#px{i-Eo{~@d2`-$JSte&RZ`PenG~_B
zsD|=|TzC7E@+;GYtv8ASN_z;OZp+yowUj*lbN5VU`@&OxZ`GQhDv=Etz1o0v`k}QW
zsqaz*P^ECMgLB!0l)h<<e%H-gUAeIBso~u^z`)t)ZBVs<ghCl46P!9;Y}ygCQc>l3
z=`$i8L!?8)7i5Q5Q`oJGA)$v0Ad!LOS{&RPxg!SSzJ*v|G6FP`J~XT38b%A-rS~oy
zSU;%M&HE=0Q;*sBQhAcg+xq6jBI?|=#qUog^+{~?u3!!kKc?ReWl}d%xw3%|N;}tv
z!c2-Li1eeW8r`dVWj+E@SJ#lL%YC=)H1JRMb(}l6>Mr*!LKCyUW1>T(S6fq_S)_K#
zx-i<I0Pet6>DuHMlf-L73_#8TqChz(E7(F4l?XCxS%;v8mKOarCd$~=ZCxcq>;yK7
zpOB-9efI*EPQAwNwC(`znw43Rv}#cuH|W%8^{mj&5A)7i)2`pCsN`s-TU<DWw?mr6
z&p`fKNQ$^Tgda%#BEr<nnz33#C@Gq*@Nb78C-Zhd{QLEx;3Zvnv6i7SeF1Xl40|<C
zTE$+fi@p&NQNLH8E`}Aqxldsy5FOTm!G<_ZyAw0zi+1kZ^um7zQmCuts@Enh;kDc#
zVoFa<iE7loGi`g`|BO&e&ga>@d{5}#6jjNZbwp70>3<@T8$$+)>}VhM86Lz^f|^+L
zgr4GtPBhhM#^-{d3I-2nG?wJ!vBn6VbF{B}F(Mf^3(-IPY69zB<gweaeL^G{Oqz?m
z?aRQJy(sN1EnJQ9s0cc|guw+DV7Rk%kd(PKOJWiD98s7<pEaA%&^>R;lqMUKDkWkZ
ztvfM1qYG?meyC!9QwK@+&pS|re#m+(*xEL&{{oIt!&|yabeTW7QQ|Sq1@{$%5myH9
z<BjW-M>fNjkgt)rqOht7cD-v+ov4^<qY?1`(%6tIL6D+V|Ax(4yfsOCx;NBdLs9!<
zNYZL)k)-%8oT`qg5%(6l|I-;a&#vu{LUbkffsFsS)TuHCOmsCZnDBNo^b$oV%3$Q2
z1OwIBZG#iW*)QXTob1BTvK89HvpNxp^e5cTmF`_%m<76k5f2hd<VZtfsD(j9VrW!?
z0P~g)EzJoAJ>=S6i#hql-n4<;1$`tdhvcd%>nl<PEYFhBdItBNeXBJ{tYlVMelX%w
z)?Jt@b6OUsJhj@fZ`B+tY#98@*kul0d-%|0!6eyHj;w`y6lbbWzec-(<4!p^1~V=P
zTePvyVrc=9?fGeyR?t^X@Ruj#SK>U#bKo7MwsQpWN)ByeDO;*c9Uq<oW-~TSDmSK>
zBkV68e}(RrcSEgAiF{A4@AxGjxZ9`AGqmxqB)Pd#ZUbbkhzf%|>sA{_33aKveE3F3
zagMZr4!eWK8N%yBRfz>R_*y^gQR`8P-@^nWP?A>7K^PZ~dY|jx57|TBu78}PUtY3E
zcC=h)kXb2@cGa!oTQbS+q~hIV1^6PB3wETpzNT_1)1AHi7H^8rGcO{;FSy68N#%y6
zABCc(Q)y@E%MRJ~*e!VqTW$H*7&VM8FIs$@36+z45AQTj6mY*k?JpY#wY93B))c4v
z*O?sk<w9;I{>&=<D}XZC%39C@y}`R&Bi&l(SP@@T%5gf0vr;&0eRcVTQrf^&woMm`
zlZnIWT9*2ynrvM5)EBJkD|3a@23(W$9LMa+E|>x`ggVqRXnd?~zNY2*^D27G*I)^3
zAf+{Dw_(YytI{lXrTk}UZ(VGYTDxwB^gXKOx|6$fAUIyp>nq02z~5F>gBhW;C@+&g
zlY6I#azjY90<5)3+15r=>+0;I1B(BGV_|!V2U&$#?;`&m(6U-y8~`+Tz(-liF<J%l
zp#({czL&H-R_vF@P{-WE&ZcGjL;N34qPNh(?89Bm46An&7}!aH_?ARprRf3cxivz1
zb$OsEoMg*q*RX$pqupXE#$VW!YNiQBJOu<=wrqdN{_Hus^e^n&DkJL|2;LG3&Gcdw
zyYDZ5Nt%29rOK3dpO3Tv7<PHEVRScOn(9TeOp^L)3sZZ+>e$Oxc5LNQIlwy~vhm+c
zSnliFhg*U8i;X+Jq(SUz;cHj^q5&YhuDE3pi*#PXv%i|K$`|`$jiZe#h5_}4&d%3H
zd5|y|W|rPhg%wD$7FW)R$Z0ANp|A}`ah_>~RfR+{x}=$EqxTi1{}fGI)=chGKisDr
zqgX8~AsyW^ElE|)ej&I2IM)hOXs0JFda-=us>dYc9Fi7NLuQ<JeyI$=ke%O)+RQk)
z_U+OcnV}!V0-6wrq47tEuYYg0mZk5Q^<eLbgAHF&Fml+5bq!QR{<rbjs$HA=O?Yll
zSGvGqnQw-KvA9AIU>c;oKQwE>C<5x-j-6Pk*CSMX+2Vb6%xA_Bu@0Z|;r+7uD;j0T
zF!llL6YjUx<S7!M+c?_0IE&?|4SkKY_>|ttc^N5V{jP~^eNfMVbQ4wDg@+JxP32e`
z0{?1|AJxy4Qy2&Tc%=^@IBO6aGJ`g5^A*#xLu?IHiCh$}>dl4p7X`j~rGQ3gyjS%;
z$U~wzAxFQU-+!EQEJ>T`{%)|Y*6kO93)B<erJE))lcMVO>KXSAQ@Hf8ux<*%(HY!%
zZyjidUGZ){R?mAF+YNFR^2Iw@c{`a>n9S(oDK`P*Sk(v&+XL$<f>iuPLr(feIr_CB
zOV1ZZ6b21qNuET1e#AYgH@vS(D%L%+y+JX-B*d^XT<p{~b>d9b7A8fTY%*tDmYF}C
z+rkKvW$`MuNSD-3aTdh-{_OYTH&P8{Gw;c4sJRT(%9Ak<7JSRiA%^_?MCy=rhZOjm
ziIc9o7j`izvj+9Ga7tAz|EIKP^a`>wN?}jPu;tHN%U!TzQgV&1eEf`KRwZ%mH5lz(
z-Ai9Po`$IJk+C>gVvnc%eVS@Ab}jS))2)gAG63Q2+i}eS;PxsvId&Xl(BEwZw44vs
zX(ZvmhJg#oLYDqDK6rjH$+9=Fb-}nwX0G9UmCHinIp$`MGntVzUl!JquLVxZso^Mu
zhgMWxoYKzvZoCG{5>5p)T!V^4nHANTMQIC@_L3g!3jI4xuBB~5jkm!qQs-Deh2l`W
z&hMPD^UkcqWB3<Q$ZK$mDiJqX6`=I}0i9Z<KTPYpS6xi)IE3Z#Qxvzn0uyL@=#GI&
zrKW)?j>k13NDMdB)QziiT-mZ~N#qkz`ZQ=<;Qr>pcRH@>kxAX)mwKaN)F<@((_#C%
zWS~lKe-Tb{jr!AVC<gKz96NW>lIZXF3Me5TjXCB+05_>}8mOrRnD@U(pC=B886XWR
zK;fBms~4d??Y$_pTRCw1sBOO(C@-vW;-aVxVt2q&4>q8L<;Ih71^M2#d(uWZeN*Uf
zd>5~(z-QY&d7Px>NoPKv4s+N7X29G$k$wwK6XNndT;XX8WT`fi0Fyl6W&LXhn##It
zFhna`_rr0%PGBPU$S3ML=7>BKa@3g4S=OXXNt(T5;wwsuj3mR`YFd)aB;IwA3S|pZ
z0+sxugVl5cTxPnz`6-YS3W+xud+NFlkBUiN!I9Bj`eM9lWhp_b>0DI{0%wY-j*LS<
z88!}6<zw6D?%_ByM>Q#F!libFw1LX@bv0!roF+u4{u>xSVQB=c$2Rt|$?6jUTPP7M
zlC*ZkoDT27>HuHD+zXKRPIZh1z#ouB8(r+Gr96FAy<T2>zTy}e?u(}$QTfd+oZbog
zF2OcC@uT;<q80ZEDDwcN&Yx~s0p-XPB=pXzmdbACrDSBU)>|-a9ScD#QNn<bh1e|W
z?8fJ>>;VnV`RzBLfN3ZC^|L&Ba_M*PzcfC7!nR%R6iP<r@*oM*D>T?qPS||0-UiMR
z(U=#9dKVO)1Mz;8LuL+(GAMpi%3q-9YoyPN6APbVUkFy1)3k%yG@WF2*`q_%i%u6k
zO~4LyBH>4TE`O($k%6n@1R%dQaESk<!J7meXR&kF(XaAslROb+T~oznG2W`$mY0sW
zyL@+{S*dPNFqLu^dK6beyJhXjQ;d?uEn%l`dKr;qKeIc4anuq=gWkYFlzzR<f*$pS
zc?Ww!`2A7oSe$Eo(EuipUm`D0_9t7Ks>Ch4sMVh5m^aVRw@ANfdWu$1UD1s2(ZKbS
z>RD7sS%1`+_n;;hecaM0g)7y+v&y;HZ$RK0cEF4zsZR*9fBc3F@iV(4tDuPbDIL^^
z68i<2(<lmtYl11#<G8q#T^k5UKm8L)N1%nsQ$(OR|9Vt6D`%=E#SvqBiVWhx4gN1u
zsKDcxgMz<PWfrkV5j-%KC$CTN`tL;)tz>-UKb3*p%8Vd+bVlj11V3S~6Mq8{h|>Ve
zwlB~lDB8<yQH&#biP(oCjl2kex|8U|;n2Zt9i+{K@gUBsM-DwWq%EX%+2F?S`+9*h
zPtp3|Fwy8#NQtM=W8VKFylVR%ChVzyC{u?n%K1f;f2k!M%VL$-x%1bpdJZKTQQnV1
zdE<X3hmB%;=4K7ak1v)djxKhVqEN)vx5zi<itEWzN80Ho)cOQ5-TP0XcgD=XQ(nKM
z+y;FCo_nz}>vZ$?aQjC0Dhv_qf8rmc1~pVINiYQh!(S@p8r4kRR%OcE<zBwCC4J{1
zho1dLPJt^lK(1~jfMpdYNINy}hE}Wi^gNsK*ZiqJ!9T|q4@Rgadd*Hew)^z+x}HO|
zn+Lz0>>h1&a)s1HqF~7*3nn@|aG=Tr{g=`H54{e^GSg^N>EzUqoY3~UZOw!oSK*E)
z&pd*J)iP^qSGDk9n7)U%Y2O<u){Yr(i6=GoXfiw6<;>7~`Y_2KgB_fKcfEG$l8!$H
zcMNdfStejHx2MrlfrUkKdYKPlzBBRxctHCXbx6qcn<t^d;z-F_ORg-f!k5_AiN6#h
zCm^@I248g~2g*)gvWBq$19tI2Ozn0Kx73~Pharuk&g#jL`t{1ZT0q{aKBI&V&FUEa
zE)ho}<4S9Um-os~|E3qVPvv#2v1s_EuRWW|#pe>aV;^v>^1>+t0a$^_d%AcC_CK*U
zcvDg=ddNz(#B9A|oy|b{Hg|$haS40!;S!_m^^`|X#_Ea#a^W<L%)-k;OkBO@sHHTf
zS2F%ed1pNTazXB0pE<<AMdfwTcx9zhN_sc?wkwBhHMR3TrN4Q+6v(l77Gic|F{oP&
zCSOJB1*>s^(&EPUqg~MQ)y5rq++;lbk-L;Fub_<QT5=qZgF^0Q3TT6K(Z21g$dh8=
z_}X_c`^?R-4NOv=E+lxaAv5jJ?c|v1v`>_VUAs>zyuaKQAcPOfbo_S^kx1sd&tkB|
zTFhDlq?F<8A`F>9o?e_U^2r48J2M#R`Wk3YpUtwoPcA>8QQcoDHa!qdA*2rkHtRKI
z$9M8kZj$^B>WM)I(P<aE;bD>!1AxW82NvN6LE}tnA*9gY>-EK7LP4d3ZJ71qq4TfJ
zfx5n1Xqd1vU*E0^w4i~erjgQT^Z{Y{e6@8;u6Zd9#RM-`Js!_sa_^jqZT>ydegTlE
zMadV7Z;h?KfbwBbn+Rq1#`|X`sXT#Gb3oR7>w;3$aUn5r(@FIi8N_RpP^eZ;^9s|D
z!i-m_t&<6aCui$FjEKhDI$Kr;onacWO!T;famBq2>7QmVC{MjH5D@f|nwG)YTw6dq
ztfQPU(a4AgN!?YK<SFf!Z4rXB)pK0}B(4=eKVVY`s!6n;y9HwB3UM+qieB?rjQFP~
zwkrQc2o{njx<&x(V9an)mhcxccaEU$kUv0`+#o}Ii860$0pQx%9#2j1C|<QObG+6g
z8%+^D*;`qVlj=<KjBzaRL#UuSOzFH%Sj(d26phKJS3Ad8noJP?P;6XoQ^?WFqkN?*
zY=6V%4j=tLN6oFd&&_e3nYf*{<*(fx@lW6?e2fXbm2~u_<Hh%j$arZ4y*VHyVGa}c
zxLtM!UfiS*bOnXv>yTYwRom|K17jrRd65bx)((T;;JPiZ@HCL``~COe6j#&ePup7&
zRf)+C@~s_8$%8_}w)lZ|pru1~Rf>ED4qrdS-UN-v*k)pMGs%XW>Rkptks1%&y$u(_
zTK03$dCEhWLXT%TVb7z##c``uTz+>sq>_iSZaVWieHhs#v-p}?#d(YB5cHtQ|FTdn
zqw)Zm3y4`$?tKmTlnK*aG5$J0plYmqL4Wf;q=5i3^?fzHQqDmz+ynzJXLk%MwjTYa
z&^ofckCd=y`1gzpv+qkJYSw`;N!%8e1i$u;)$5!Ie3rg!W0W1XEl#t{qS#G|AmscQ
zCc{=9xZqXOGacfgolH3u05w3$zuZOG-Kul)@U00$u)=E0paP9QMw~>4a(3G+vrgIB
z3L*nuN%s%`fMdD`ug$o|%dmHIh9)p9NRxKZ;-t<9&TyzDH=)9>+xl?Num50C-0vu9
z)b<c^?~21$*yJd4ULA{27MI}UfA|k&8NFdMGszhm*B>-h5iQ+>aH`AVT|h;fii(uk
z^^imq!8dLZM#+fY3EfrpbcX*)k<H8#4Q(0KkdT2n4WRfCco-&)mU384w_)BVP5@D8
z-WptO<XsU-m$)1;xj>c!SJ}{>La6oMW78kpt9o?hYb}W4{fXySFdHDOQ+GoWM(smX
z*rIeBH9=@sAb`|=IwOu527yz7KD#Jf93h5<xJPoc<8D*SNa#xe8p<YQ&%}a0q#ZXy
zZDNBtI->c#^|K!yfq{2dFq?{1CVyj|)nkFB&=#0`I|_Wxjva{XlBp%a(M&%Qu?d%+
z(}fk*>r2x9tPv=h4GMXy=VaDvJ51dvCeq#h->#n7{wSj;l^KZAAfZ#gJ88{qcC#wH
zar7OqMB<$zM@uX4)+0!$%YO~vVYr$V(rut@`aOLddiGK`3_?%`zM0!C7Aa?rdIMO&
zV@U?gq_H{@v_C*7Z40i@<fqa!YT!@%?@<I0{nitJl)i|TPT=<`^P%Q3FbeDxF;6Q=
zu*~v)E=??+gA(0u>FX;72(p|a%vBAqFE?^pK^?@I&F38<ix8xOVZ#Y64Qc-~!BC=<
z&;9T*QL=`Q4WOOxjK{_<z?xW`(<Q?<B+My^S^*d+;8S#xlk<LqN%n!z0JFF*EjFZx
z>cSWSh@^<7Tz{H`gpqvgV0ZbOxMbj1|H&&ai$TC#^nG&JBMGQ285JDW3U(HYpo+Ej
z!#89{_eK-72aeT_pq+<x=bK2*N42q@@qG%eEG;P|6P;ISB%{iNHdK#nD4^g^IaeDP
z-=A3B?Pt@43(18(nV+JPEfjopq>S}2_{pyX3%nPLXDrTmXqTEvX;~&YzHy*o(7mf-
zG?ffa!Uz(CME=iSQ@=qOZ2<Gcs0r)bno>6aBt>VEC}|r{qM=bFiDb<bUTvT$lh;#R
zqKX|(%_N42^&|LIXf55_XyG1tY<&3l;jJq+JvAx!*Zy}fAw~4(dyd%VhA2Yr`*fuu
za!k76P*&PG|2K#TtbuhL;|dh03>3=PM4EYEaYnkIiB~MKs|E>4;u=~4Gw1;rH+>Z-
zwD}MjaP``7KvvJ9mL*yE#V|?u$=_Jj-U$R(T5<L1#!v*iboB&ODgXs5mXJmcOa)D0
zmXgo3w2X-)Yf{7g0kWxh$#!%kJLCZ?YtZ>RWri=Z;Sv)(Z}pj<!z@YhryQa5$)umK
z`64gV(ef7`^$KccXFuircnZeSc+M(q1=TwwA3g2z9hfQPGBu&VEHVawnGy4mReTOh
zd6<3gE6ZswJ5@h&uaQ(;%Y`}a@Au|VgN3fJX{P^-RbaRPe$*FceVN+Sdi&Cg=|qDq
zf|5GL%1Y50y_^MrSgLbeqQ&vrD8kn9Kh~hz)$r)BDxIDR*~Uz9G+36CR@N$P(-~5D
zT$9TbYDcaFAOdUY#8hd=wcr#cLHx9);WJKXKpG`HnCD&Mph+e1%0`&)o#H;e{B*n*
z+4jEX{Y2&~iK0Dl86~KS`qLVUifJRgxQA<H_^}Ema^U^-6u6g;vJ1pDCqRuXcIG>&
z`7=FHr1N0wzW^1lfCSJ~+X+&+|KC9o{Hs9F^)1o~1Ud6*o6$ECGgxW`QYneXXG;du
ze46@8N~=4^aEy~RiyXqo{=AeEo6#lW><!g2gZm%w39S&8sh*M~#uzJ-La``E&TMsj
zW~eh1qeusQ68?`zpN&<Ofs#%W>g07{5Z+bx3h_atuKNVy5&$OcaX_8si<NI@cBBie
zP0sF@5sNHNHI0aqXKD^cKGgs90Ka#SCya+4DFt`G5vB$(tg-X(|H_=;;a=Czh7Fu+
zcn1KC%7|q6^-_u@!XU3D)lYogA?>Zwv3h7~u!Cxoi`Km#JNXMTE`^E$Tr4$i_k$NO
z&#<9Cn5+S|d%DEKk<NPAB~=r61?2ML@D^0LdKlhjXhSwukb&m=Q=oA?o!|yp{g&d4
zj3#>XU7Zm44wJOmC>w`lB1ria006vWOp;U*bI@-_$P4kfyWG;lOi{Mn%q5O8S2};4
z6&;mfINI=UQv)IldK#oJW3JT>Qo-BKItkDcN($o5PI1Fdj28Fo5%#A?^gbl$uuS5K
zzU1%^Za^|<(l`DuvpdP|=AiKk{8C)g+&{_RHE+O0I)d@gjq7?Ag$W6#?zhhinPGz`
z>)z_T>T2ypcQQhR80_%PxDw6MT!Z~Q-a-3vc1ev<J&^<<Ekc}(p#KAH47&t}+`Z!K
z+*2MGFqmMDC2cvS5B|pDg(zn-gU<){^eBL=e8RrRuamFCa^ajQ;JLptG(ebuG=y`Z
zr@?0zPRYwlM{0@{sqf2UuQZW}uaS`?bN|=6G28da<19`pUCx?p<pzA5?b_)PP|Jq0
zv5BRh^S!9nu0DlP@Wz^2`b%Z6n(ZI7i2Qy1v*zd+9yx}uia1l3;RvH3=l5{RP`*>E
zKg117wDbNIBT)|ykzeDv^jCf1*-EpuIuGj|+f9eiU7tc$Q+To9$WT3uu9hbT)s6kS
zMY1RL8jG9>v#kykf_+}kxjr5s?EA0NM|sITjwnJrwN`H`#ED+VbjzsIAKxiq(Y`bS
zH3k*eZ6NoEd}6~0qNfJqUa@;m8;{@A#@z^dSDE{UXfl)_F+7*CR&Cf&k{3yTji#=?
zZlW%D%T@t|^3;jpKKMS(Aj|M3*$8o_q&s;RbwoxHCngh<#?<q;MRSRW`FGOGQ;58=
zfYe>Fh&OjM!92IzqM+JYKcJeE-0mvv-oxqgw>xBNfw80=4+R~?7wS3wb5ksHiMl`<
zCnG(8bhhq0=h76~e|`>}d9jIa;J_ihRG$*g;5pf4K#XVM#P%2ukq}>v0t`<rA&NA-
z5}~;Ya@X>I&Y9MlU@O?F1d#|8#6>-)EE~twu_VC*Z_cr8W*SxoJJ9R;mG6=ebHie6
zS9sG1uH*byx8Ee??@WpH7ONyoEqQieBTSIM%EOQvdFAGy?2k<;Bwh5a1DbO2HPGR_
zv8N+oyE<5c&1MaEw+)Qwwc8PXieE}{5A_ADo%MgEIKW0&4c-LFw9vos4KEKh%TEdS
z(#0zDWe$*!sk~F7tNf6y!C*QO<=hjW&|4j6GU~5LO*0|GXG}23!aO%TNd;b6ZfKh9
zK>>SI8ELLN9!7{xk?T`vBRs7PN-aiL@Mp`i79Y<HBL(TrpOMxCnKic(j~!?|Ke8!_
z8-ctSiyIf&tA`Jf`_W%)hnT#Utkt6&eUPBN3%EzCW1VAM=5nW`3lKIBq!^fxHK<&H
z*I=cn3fA74p>E2pv=lZyY&6|J7DIs=QeSHYEjcH1!v4<n5j28C9u04QAbK%UZBjm&
zh};w@v2sUFR|`j(WwAJ3Bbe0&0x|n+9PLr<x}`xyu`A%P=H`c$-VsOW8GC>4OZ)<f
zLfcYJSnE7=?MiTG)gA3}dpP&pedv3wwF#xgYs%$JI9|&X_QKr`?R*5D9*#m|^VI07
zaOT9B$b4bVsgR>j*0@@C<--jsk0FzomL%svPwo`@B~NpTl1+gR`Vi$WS#Pl-neCLZ
zw`UJ8km(-Ll!1M-!_);#C6i%D2ki}*8a+7ZI8ZZs1bgzF4R3~G6n`{c=c(_>6sguE
z<F5VfO$L_iSD0?DVC4Sqp0%`_4US!U-V#&c)q55HJwuxE41$7HgvpG~eQ!a<UYq+Z
zN*&T?6C~U!xVE^+1;4pFvG5D3pEt7-f0?c3Xii&8u)a{)2?HjFVHO!=dBK(Bl-DS{
zp2c!iB-%4BL0J=EE$t#C(kuCb(rYek#L}qa>4s+J%F|H>;gq>m^Tvh0iG{QT&g-yY
z9uzpQ4C;!Dd1*vZ<ibXym-U^)&9+0F8XLzs+kOpv`u&ikcw|Yu$HyD>GwgqZt=2aw
zy1&LWVts^2VDk9i21jx;tn4M6u?a?AeGp*Fc#Q}+a|)yg1x|cjIXN*LO@Sr~r9X!|
zYWFz_W#2o?jaNG}bUFKyqaFpne=-Q{ykdlk-t?}|F)e$<K(IF(DJi+%^2fIzBm(OX
z<(}1Qj_?>_=|S~O1AD0l<>Y$cp3;4$@az+-OZm5QTrWwUGGGnOF*+V!z4BNTEVgvP
zOsp6i{+Y*-KL}t_`0WSVjmMX2i~`fYRd<cJOuKz^Ov0IL4*_xvL)m}S5o^i~JY0zS
z^pyS0t|=5J1qYw!BenRBV{0gP-CCv$a_o{<vbUT`+Oucj(zZe$O>8ZlF!YNm8c|^h
zdwWS|o#poe17M|PoQI(=f{@g>m<N~V0oSW*v^kqWUZE67naNPUetR&j6Jm^P_zj`6
zmJN+ko{UC-M{!K2EAvHOh4@a$fpvYz>CodXGXZQJ_9&o#HYd$}eaCpW*(S?@Ax04D
z?Mqy}igIn%Z5039glvHXGSjFm=N?@d7p1GopJtNd4iPq&#24BBRD%up)~)7b-Bxbi
zqMU(2YMRGUE51T=4r!P;D3te=0E`ZQDx7Ec_V^s64oSSr+@ZJ2siIzJ&wL02Bbw9%
zL?twMZtxuBQ~2Yb@E=K+AYul*knvdh!JN}2<$?)quEpwv4($-F1^a6HC9Ff*(RW=X
zlM9KWP`!bK0vQ>V%<k>fjNh;~g9n>(Z>{G|bEeX92QuJwFRbaZQzT7L2hkTN??13q
zI-N{94}nCH(9SqDeY#@B5>8!@V#xOb^Gsza%#^}eu2(OXrXH3*8JzE6z^9SumtmlL
zK@v@h6lsJ`wGBmp41yP8;Gy5e!OpwP!;_MtZ{%2DJ;96!-Nz6+*m3v*d5Ydr6UgSC
zj<OwFWxz{gU>Ytsv+J=`LyKUt1aI!0-#40j>YeZ0#G<GI-z|3bZ1bMX8~>n*-Ms{I
zD?IP0Tg<^C9#JXudfPg@=Zq=@?6W9!%5{2{4}+Y~xHT2*Vm0}wdOeM}j%#c{c7g1k
zB8YD@VsajfAYn;-#I5C4B(g#Hc?#v4zd$-0u;TKgsoBYfB2!2#`>unlyNxCUL`rU)
zDs%bJIm@Wa2&M9smkPYX#hn}P?%RI3Nh9x8)lzcW<<_2en^onfLw-VI3Dlm`PcDBQ
zE}(pxsUqenFdn?lrH|2Q3OSI^uHdu+|1jas*NAfn59|K)*@O;tw)ypd`-pF&ox<bv
zE)wzy;F)m|)u=iuhmt;1f|txRg+JeW97!k0lZPfd6yI~Zk6CR7z|AALh>56V>&!$f
znhoS2*j6c-k7RjjV!rFuEbEj3B@TaJzA9JmN|to4@E`1IWrXI$(Sb>X#O);u<gJVF
z&fzR!^`L8@?q1T3fC<6UWWd=#$pXD455mDprm=w}j9GtvO01Yn;FcD5RG%G#|875V
zT!6G8^b06di2M6NjLeMmzrj*X{OArVm-^1z*EK6dz3XR!6+1M?G>ae5wMV2b1$i2P
z1()X`3rK{*I>*vnL+;+7m;#*d2)Ob;mA5ZXi#1=n3`wCg=T9W*hbr^lABCnE6Si@5
zFfb=5eRD7oiUbBksT$A2qx5T2@jX~G5kSD$WSnh8j0zQ;S3$M1rFBK?U}pcO{XE=Q
z)_!eMZkT%$va!*&xEqH5%+d-UC@wHY8FOtn4Go+j!5n?@{|Vh(?QY$u*4d6om;#E8
z&u}atTJq5j*(e2SA8JyZclK9Z-LmqUfkL{gE2a8~_8gp<EUOee4J~)%dKSTjzm0kL
z)qL!QalncPKcb1<nz=j4&tS-wFoZ*Z*a_YQ-bJi;@gZEi3YpxViXxiUeF+DYv;Vs|
zHwcO<B;`F?gTfC%LbK`l$r4E@if3g%E^4rmX{^ir#*IG?tH$W|sfb)eWnj%9W@i}l
z`w>aSA2vb+N3j=Y6Sr||&Mm<XdjQY4)WN<C&7g>8!4ni}^GwEA-9H(1u9}us2F_dP
z7`D+D^kO;?dT5Oi#X1$~Pc+jZd-i+fc1)xyRJ^#4?>dk)6y`48HCT}lP4BT>0*CEB
z$C!yP@8q^lq3L=$SIK`oy$+V{&}IhzDX2A<XrrYO`Qr~=)p?ddy}>n~xQzJEkqmsy
zHS1<nn3xlfB6W*2W^ztxv_OkKkd+aqNi({Ds(WT+F&FS9EX!5ocl`pj{9c|D9#@Tm
z{|*zWv5XmgQViPYSBfbRi)w@+i4hv2qdMwzq7+d&qG36g5MM}TwDAW|NQgp?4I_ew
zjc~V~=x9PxoRSxtLG%CFdF^E@ONsn;Dr3t3H?G8UW@Xef&;^{Aa|IVG_BuMESU}AT
z)1RHaN0W$Sl`~AUgzGvimkJ)vZFtf|BE#6*lj#O%V@mjBpS=1(T%Zoltz@OO^&ElA
zbFYN`vD>S(G2PVcw~8|@R0Gh5c{x5*3*+iqY!(!I@D)$S5`82PA&`>9q0Rpy%N)s}
zDM5J>#3243cb*m*@F72VnmOd+uaK0yJv3hu@n#rr!Df=90T=z*?u(3o!(*TyzxmXS
z-wlyQdTf>caqK5lC_a(mXA=aEavgy&XVJ6_adEObf(n7wR}e7h9EQUECDOSpU1m;#
z3Ww+<uQO%2U<#$XIO#a4(b+NDZ5J*bAxFCocdc*in9;!<ocf<N_s-8V%<`Z_kYei|
z>hu$384o&w#8&r$Ix$#KsM(c`UCo?`oz^H{LQOKRm0>K5k)YPwq^7jP7>{HFXwAJd
zm$Fwg?!TIH-J#;;<F#TBfZAM#EmupTyma%`hN2B?r7j$ds4(BKm07o~YAeSHhJ)T?
z(b%EbhNRZd>1XnSCj~~5w4CL9?ZElTj<7gK#2#~2wiDBFOPLxe0n9-ZG08M<C)OZ@
zVz;5P59x)uvCmw%>TBcDa@R`<i=f^oY>MbRdY=ZQKEx~N15`a9P+4=w`jI|;oIFr~
zE8N3{bAW@xbHnmU)q<)TdIqL;PMk#m3^t)I*}oW^KZj2OmtZUa6VeF+kprBt;i(83
zz4nW=#2kg476Ei#pw2r(__W*Y&QeeFs8oIZfPWynI|Dsb$ORCygxrOq%4%z5I12Q_
z7gc(QZ+|h+_frW@)M=f*H{6x_Xrd|~c&9`4x@f;o8vX_&XGyZAih>+F9CpQ55=@~-
z)9{Qwgd<oB!;xONJHW{4Pm_x4V7K6bG*%CcX-QAfIlx86FWr57EJ7jS(lRAAGp0dE
zebb0e#^X6-#Rnl*eY6T<UB;zE3A7NZyQk;tA|X7ebrdTOi5;)T?R}LX{*#HxwA7tI
z2sq{i`hlEn>d!qzidNLLFVXTWV~sbP26suN)U`LI`F_sZJX7HxldVu>AqZig?vlh%
zDA|hDHt`aDF#N=L)=Fc8Mng7EUqd-($UA=CDjA#^j<%XX)dpnXEYJap@09TRBQyNP
zXoGhX!4&edR*3B3UA15j#-@fn?-By<2IM$f^sc%43Jp?-7{_K=PqNtJ!0}r=yScZa
z4Onj4n=`?HS6A+6b3}%~Mr9JH+c@D75FipzC7ne}S%{3<g*ckyE=N<^S6MAAS8J_V
zWwpdyb7=CpB3hfjjB&>UkY>%Sz9=Udx!6g0q+3;JNI&BmwLSMlpWM+QD)u)}d#B(!
z#k<N$Imm29E&Mp(q=6fqd5iL!xhs~9%vl&x2^4Ql_Laya%<1$jqg`n)QEC>+#khHU
zJ^W~UfEK&eE@*sh#S@3C6I(F2kt;P#iL<tHBI^}owigLth$65|_Bs>sK)@}Rp`XDH
zL<_zp|C<qYeF|Qi_p6m?UZ2#7i&-!D5yLEy!a@s`#=b48?7|pmDGOn1J8hV5ik~yG
zo8?$t0DVeAQuW@%q1P#L4ur{8!J(?`<M0br_<cpaF2^^8<zoD-AHV{mo!A7t<Ve{9
z+N0<5xBJmlrSQTwD%^x-+$Urp!kdcD$SVi+s)h=+?1{zW8$oeR6^P_nZ||cmn#;cB
zT<Sw*(hDOjVW-agJ|okl2VVDE6fu^eDh+;^f&y?1`7wq7mh1re!(I4PQgP!&jr6m8
z8)yZe#LQPM1re2(W3jKZuc(0WILJ&+@le4>Hr&K%ulH(Dcawu}TeqfN*^7<IX?BYy
zZ(nLSQxrD<F9MKwUXl9H`rk}8$@z7)nwn03l2EbhxiaP<4VpAfw8HLIKz&X42P;s|
zdaig2>_))_RQ#aryam5?F&x0XP}kUlv4d#ED{e$Nr2t0pRzq%<rtsKsYGXxGHd5;s
z(D^B6*h;u${{%3=w|0WS*He?wwgzEG%vQR#U(k3^>$5BM?q<c-t7){OT48qprATWb
z@}v`zR*>lqqP!jUhEx}+PC6uT7Xb>QC}b{bBIo3dB)=@ceI4qm6(OB7++zr`gT4lf
zuZ)lXR5-QHGBWoZ$#`*R<HYiWiDx=XB_=umJYvPm{C^n`@J`J-Ql_lR-%IOhmBRKZ
z5v#7Zyq_Teyi5m=M-HmZOvU7gFmL0%rg>obLfPgz74>xyA6&IGQW`Lj`Z!75RU(j+
zS@}&y^~dB8Hu8@)VnQ+KN&eYwG;kC}a10Gb0R1~lJ#bkwKix;vgB>;u^Sz3$r?uN-
zevuT$Z!-J%Sd68+)`A0x5NWdKQJuBpyr#u+rIIXZx$=UFe5UdwzlKyl6pPPo2?=YY
zciViHz(ox|Vf*2A$-GomYA=^<`>BbckSQI+-pBTdTkJQT{%#@U0}SFS;UyoIl{~z{
z)BNxri!$LKkd-AAj9k~DP7xvmQ;dI>HWfpe+JV93e)35Dc5S&V0!go-yHoI(QE4ut
zbX0W!Ynax#kry-PY3v~z@4>|Yioo159;}`GPgz!);>t03bv3g|J3${VoXa?Zt9hJG
zw$p>fkii#%1^p6cfA*WKizkS=2LpL)9=&cy2eSah_P4dbSg?^R=o$QjsZsGsI-e2{
zJAROM`h3s+f~Li>V~nM?=Z~@T1bch(cYWu-l8b0~??<HlfGYx2!hcpfDcV-50n!=8
ze8@21vL<6}x@y5by#!E0Eapo*mFkzcrH(AmvZ-A$VzmYl|Clnu@{V0S=Oh!G+$nGq
zMMGi!ygRl&X}@8OLTKugUSPd0id0oSEqxp2umS9X@qvUJ>U2&L>A>zv&Eq>Wh3hJB
zutRedtQTs;4K7JDtF+gjPj+cnS)*9!a5110$yf52<$)7f@+m0PNyKIhIIK!yT^eJx
z1wvXys_q=*HhBPyXrD6N63QVj02_e`fGI9V@||NiV46z+SX)^{f|o%kbp2+OC-w*l
z^}aLGnHHsyopY@PUvAy8c7m&IRbU)}K2SF&@4QTA-bSJdj2ITnjsn+0SAGh&{B|zw
z=Pk#IqEut)YDzLFcI_!PM<y{r35~D&unvMmD1w3)ew#(Qg+Ya|N)6ziHeBC}-hH90
zxvABjz2oz<SQClW4~=Iqyp27hfBQ;iO;Z|ZO}av<g%c;4_7|qr;W=Q(*9#hla*=ve
zI>m3OZEp}1PeMzp9jZKHTkLGyit{0rt)WFDbKbN%_~`6|z<L9kKh1te>E;ACsH4Le
zacjeAgAD6@s84Rwk;;67sYxa<x)oOpwoLP4I-#Ur%v2`h$;f8yQWBQ39XxoxjYRMb
zR{!{5ar8v^RWeSchhM)6@Wil`DL_YeT4$Q*N9a(|cF8wd=BIW9w{x<VSUgGOeF8N|
zPdP(%NgExPD#T@1i&+cxS2>d&&dCvjr1caoL`|fDR?!?jHdDdec0Hj}l|-Kuv4Q<f
zk`vJ4)u6`KDfKNeLh9El5sR@Rvz%IZ*56u6|2tztX%r^g&0V=X=+q-}G#B5~RQzO~
zm|^KC(4mg`ZB(u+-`03|)dUTui<G+P4>apmn6pu3@a_%ddlEdXUM#}K<Kpt59XS3y
zw<cKmxtZo$<Q@SI=^;yX4~o5D>1Sn(9CD)3-X`_OcwGxY<8}MjZu1+A!!lQ}Pa^eX
zdp*b>Hi3b&?^UW%DME>Ggd3*5I-vQA6`@9QR*9Z->8bMH;*viyzI{T3vzf8-R3w_u
zdIwS|Qkdh!lzivSK1S0}w+YgNk>j2u`Wx)D24j<{(fotINZ-%;55?!Y9`_Yft)u~H
zFz1@INl8UKsuG6U{0;{J?2|N^4Zv<*HgsnxVR6(+0BFVOGVA&hl(zLh2PTU#N5dE`
z5g?c;4ZKV-BH6}vD1&nKSe~TO=4ZMq(AQHO^-`oLRE#Sb6`~bVfuRb#>rm8x%>K;L
zy*^hcgAdx0`Kn}GvbcDE>wVMh|9KGTods-e2!*IjRl)yfS2V8@l&6QEL~ZmTDH&On
zX(<YH+kZCXJwa}qerQ8k_E`GFqP|>|HyowTnHUEqx3&=CF|6EWd`tD$`zJuWZvnPr
ziPTUWk=rb*MYAcQ69IiyWU30~0_pK^k-na3o~qqFH|m;BA`*2?a1dAw$Hksow;nov
zN4qqgSHo2gN<jvDlg6p`f+C%?FnBThwaSo~ajM68K1ISSn=>uwth;{X(NmQK76{gT
zn+`iWgi<!XJcM$-US7j2x0nTDO#qx-JRZ>_8fG+eV!74i`S=C9z(;d8p-5UMduPDa
zMx2r%DLAE8pBAZR`$k#Y?PR|@^c&?4+D8kOQcRQf1%dM>mNrmP>oFDY^=Hk8CKmUb
z-)7<}nW(&oP%(xa&;Yner1SXTiBD%TVdNAz;Xyy^JEPxUD9eA05lZlC|0Fa8)soIW
z2Spy@@V0-X33c(0g8*G?sa3x;eHqwXX$9N!#uNqT=h=6n(xYb*Fdl%luYr}mM2o7*
zpnyG)9*pX6N=ca7o6v9}Ar!VH`Fn$4o3L`J#N5n^<QtUu!olYW9;&kDKz$xicl7&V
z3U@XJN_10AtQ2|PjtzaBr3Q~>834QHK~#R4Q$u?wIgl%EG}&d(<!Ud<mcY#B+qUW_
z1*>Jv%fTd=`yqL$Z$t_tMWwJ32}JAg3cUF)c1C><tjK)Uu#r;N$4J&w1JRpEP103-
z`X-)UM~$7-jfAj^4=r{8`4DU(R4`E>1GTk6m2rW>I{lrO%Dl6KD}Teu7T1v|mZfw4
z0MYsXVc=gLWTrxh%+Wxagu&={&YL3QMc^R&3uu+`e?9ckH4c~uMe$4uu;p>;oumuJ
zhAAr~ZbUYCGM~;LXBcXoo2!H|lv|F*42zZ!tS{hBgi;#SJ4U8QZ1kZ6kt~Tq^(AfM
zjZoKTrNzDw7=NR08Y-4^D+0aCg#$m4P7Iq;_4mUj`iwP}75EV`?)o4p<7#^$dX;D$
zh~s}_oGCd{9SE{*zqX^(GFddTRZ4&cJ>LC)kad@MDa7wur5LULm7GzvYrijvykNNd
zT=%by6)w@5{QIOzI~z=#XcgW(UJNy=V>%EKH-lsI`w9-;39|W<uBH^$BuG01MoFJI
z!Q?t(LY~X(h-W#CgUog1)nknKVYuJfE6)p|JR%dUY0tNm&HF91I>nR<(HI2k@Q{TI
zt@XzJe7S`YxIWlIrkx%lNVhYUD=#CP`ucfcBzf%Nci~ouLx$|-w<tE*fy|$CvGA(i
zfl}o09`Th>Z!t)F0mW$xbTjZ8a>Vc_=PR4c*jKw#w?a_Bt4n27z$%5sDORm6T7*hi
z^bAR(C)R1sj6Cd>Jr^G*GjQc?-qUchNYbEY2&Rb<ow2ujFGW-}aBWe#fY=SVNwYb2
zE(~SOPt&}De*igsiEg6>ISYnA<Rd=NR2sWu@Xpf(J91SQW)=CqpvKO-1BW%H1Bj+>
z!|=M{i&n^#V$<%OI7S^1_)BqTj*KeTy&^<Cd3N<#n9$kVyd*)w!aN~iA5;jeS^h)E
z{)&fyO&p;9X?v$9GB|E;Rp^)*Q&KW^n@TAkY70GcYSK#lxmKW%0^qxnnS6rvb-PD7
z8&R>nZQK(9jNqcKl>yqr=5hU%hGO8BPNs_B8UKOnv0H659%tSn`~~F|PfaC$MIBaB
zoQBAvr2)Iu1s}ovhqF|1OC}&icrDObTB0^5<Z6axL(C85PP%Z5`P<~0-sRTJ%tCie
zGMxf>KKtxM5*G)=ocH-Fd7pP9urkhN5G=7_L?;DuKFc5u7P(kVCQzP0gPLgGEQaI}
z8=yAy-n>*T-&T%Ic=Z*1b^t+Wb`dO?5XZ4?1vR=~EV9-b-aY5f6XS)gl@~QIp4<$>
z{OxM-BD`92%UiZ3yMx8&InJ=LRzpC;FgNo&nP+l|f!oPHXoE|)v7c7TJwj0=m~Hcp
zLOk|$Jj4rt@Epk$u{_32pX7GkHn&14+AXmk6y|#^Pn1@8aqA51oW8u!$W-aDTXlSA
zv<ykhL<G1RLnh{g&(Y2cJe&k^6C%i$zLf}9Efu61OEvmHr#ook-$2wE&P<ide+y0Z
z#{hF_f_mEbdd;z@d}T(^r!X`|Y3A93NGd5ohZ!D9PueMWoU`ReS0!8`X0HdU7t7>b
zJ%yE;UeQVBz6STmu!}-$-GELSfrwA|U7tt4&8NFHdslY0iNx#GOF)!}3sg&e7rA35
zW9rSRXVw-aeDSt0M4GLo_Ct-8?#!|TUp@Z0ZJan-kDu^30R;DHQ0s*^nIz53#jw6O
z@I{;IAUb_GKKq@&aiIZ0J}ts(A4R>#3(r!m(pB(pLC5DEg_ZFld%w;ei>s8g7TYb=
zpYc7ffl;h-z0*c97#?JIHU}gnH2jhRNR-Tk&w!EaXSNXk((MXCI^y&JcIF_nO-S+d
z95E;G#GHy4+J4;X`gIP395x5M5pI@M5qtb(#Cal`fU;`**wzfTl%N8JTwGX==zA>4
z-%bf2OEvwO`Zd;4`p=tq^PKRu7r!KUSrc%F+`QDer_E8ygc3VL-GkGW=E3tMKOmc}
z9ky}%#v%WdQxtataZ?^OA+;a8w|)MeN*s^$uW8!Vye0*RJA8}nqXiw>aFL7vH3kR7
z0TABEH^FeqC>OvOY{9`R5jz~*u>RXCg785|l*2PIq@(CKOSK<ty_BW*hs6}5;CSkq
zv)OYkEb?({flnmD-iIWHS*%>|xKYY+xDX0jCDx519HOD^g@Ls>?JW#lg9}f%#W26b
z=mg02$u#Mbg^Bh7@Ir7Q>oOpDr-E$fFwBH-XQ;d(dlbIDpi@A1q$MDC<7($`xXY)v
zsL%EkLX{*k5LP`RYg7$n4$2yQ+LH)PV1=dYK*oo`enGW*B1<XN{qv;IaVW}MH>hBG
z74&|D3*E9yss7)4auQ2zL_za?BXCZi1&L!8RqwP!djVk`%HF{;|I+@g7Xm6Vv11fq
zg(T^lqN%+eT^K%qSl6oB$nv>u=$!@zLH={c&p?f!#SIUoPn9m^RP}TX2hefm1mCBw
zzrvp8uyX%fE;aCl2bBul$#3jZ8$#@~JjgPHGX)?4#wbB#1-$?OSkb;t)7%@Z8^<2`
zz2rauC*5Od+}EfKMUaHbygGDtz+x~+f$v`fvkD^WDH8ESJK!RcxlE!(@Q5@(kSer!
zNAx}FrtP2?1{yDoFLE;g!obYh7>Z_DX?#U;Y@};rtPGAkrw;k$V#A<m3%7A{*X2}l
zl5%CX38LR%)PR@sXqi@*R0)S+=Cz)r&S4*Qg&Hi|Uwt)0mR58sKGNI7`C(o7J2&ub
zK{fmCUqF$I0M0#tGlf?U9Tcv?IZDaRB=+$$UTaof8`KMV>;mYkD&jhZs&!tlHyb?}
zx3k%rW6}Q5sK}R<Q0!)ppECm7{?+z~jGKwK7vKTxBKJT+P=|EM#sCN(Sc|(;;CgV_
zieC26_;;Xm(xY#5#XA6D9o5234N)aj5V<I=x!m>8!bIb1B<PJ;;*$0rew3tJGT_%@
z9tEX~eS}9Pcy<+Kq;|+mM9Grg0n*O0eDQPA2lhmolKXX%>bQfHZWCP7huU!0Sdaf2
zJ~gQZ1-<^R@zaR%43-b37vz%TX@QNLvk>;|L2k6Dyjkv)`WzstA`(E3`kbIRVVMg8
z=97{v(<7$qQc6jGb`ItIGmU<RIqZbzC~w=_cK#i%=<i96uh7?3dVq_ou^WCYY1wZl
zrOKPM{P2i^Te9V`J>+-)y`U(?>^VSVO)Zd<!e~E@G4-eJJO|!#q)P6HND6IkIR*Z<
z>ah|IEaI3seN>Wu*$5$Pq)ZZWbwD_GksC9_(?Zq=uo^ebry;-4(#(wI>}??X56-Qg
zsjc8i?vtM@Ik<ntMVi<8?%hAH|E8fUU#v;JgwoWB+uJfGPXWMYn&-+Bvm;q^$(v}<
z0>An)I0h9!H)1S@GQkbY%wFq>o!4bpNq+r!k?%oS=-(@$!5ZSaa0$9r_V3}Tqrn4B
zxtFdxzl|bKm&k`9Cm1oQoG03*12K!E?Vv@;QH(np2TMv$5NcxCr14n!b0KsKfzgBJ
z;X>tyLWz5mZUQzB(gdnm=Yd}n#t=+QiSFn`Q3sb91-v}+A2m7m-(U)GD;mAdL#5vh
zw@{%w5%f(>C2cVq-LL>Y<eI;nb#yMF>W6u^4S6FHQ&zVk<>{5Dvgn3}KJ9P^k3YBA
zMpa21&3-ez2Bnvv9(f{+dBtK{wZT&&Tlxxj{kP3XcLKhQGk$FEN#E~u2jTq~KvQY0
z!wQdGZ+8Z71=8?#!W(N&KUjcd+(?GjWrGfK-?1_7MQzguTwT?c>+ARL|D}^ShZR|_
zr>TQ2W6p$JyT}AxF9=yDT=B_Dg%EewrzUQRW~w$|&Yp5erW{R1^vQ~ZhB?G(A~t8Q
z&0qkE^c0IlU!*A5E1=4Erg-?2+ZwPvip2r50KouqF*)lSwei}74n|Aof9UY&IeV2E
za&)uR?@~m0iR$n0*Hg@?tiHny8Q9g)0dYMP@@3v-Y?3(rE!%+1T*H9>T}-a1!umt-
zJgSFJbnn`u?}@ZM0%1Y86gi>RrN{zdi0D6QMdG}4f4k>{o6A*(`3)@uEJlj8ql}F$
zJWbk)oc^St`JLDXz>_{gZPMqBd{eFqP^Hl@9)_#8%{k|rdD?$_+DOB!7n}1-tA6Uz
zieqFLSayiIh?ogKpgOyt-3Kl3-*f*&`K-e=>8{pn?ZSvuHllA2Q?Bo)PIj>yd(H0X
zuM{nyV`dC0ByVBuo+dZ>*MIHs;{mTN6G5gt$|9JAL+jj~;fTQnI&8R5&W|}2;MQwz
zRiIJwscmbxXnExRYNz6!W{(W@0k4=YZUY-v(fs$e<&KH2MC$T9!k*t!2y^#=WcCix
z?HK8Al?{J$bsJ#XoFyEApjv22jWnEDp!i#iZxq?C4VHJ_m_N<?d@yXo6X*GO%K5}@
zAPXgh4r*~cT@O)=So}Wfk{iK@fUSmh*mI}S*H`Eu7_FN?%C0ihv=8r{)sS{<K~Zz#
zE5X?qMbrA5Sj`0aZu0>7y!~6eF!=IhFBxgPD&(t4Fb#)E!cw2Qd*Z8y8<>pP0|0_L
zcz>?L4($tvJO-Ee;ti|sw>aE=CGQkDa|By@)Cw*n8p~&k6C1&z$>K~3^`H?BfPy)L
zjvNzVgUXpxQ|M}|<6U^=&GFe9fY~><8O1h<`bYCeQdmn%t<=}X%a$j~R2LebZd-qk
zubzVB!x@3C=AVE65!%9RX2=>a1IQa!)csG-hY&wg^?#?}EhEGSh;=slB0wftI6pwa
z?PD39ZW=^3_%%?CGr2qZNa=H&P0MAmgZoi<p_y=Mi1b6SfCWNr#5$v1)#oe&T^RR&
zCiE`s%H7C#MbZ@EtE$;a@pDx+k)RIQ-PdgSxR@dPOytBF!B9>S<d++Ij56e$)VKG<
zMc<Y>Y<d5T@HnG0H-+)2USxdaZS)%mZ&pA7VD?Z7qTXb86sT6|7Efc1Nr;Z-%f4l4
z8Ib7bn@8$orw+_czI#mm7$)r4oATD#Sa^yt0Idyxu4KTArp@vlGE3`P3kzZhPkOpP
zRXg8}mJ6@foPiZ{1XuK?O<M|3bq>YN?j1f-t&)j=0N%n7e#YmLu{x;cusn^kzNpsB
zR8M@1#KV~X-8?h(=%NNJ5CqxAyhF#oSSL(&`z~LB-WHi}XQ7W^upBSSXF*;^9aOKt
zbzb0o9%M^SP=!QUmXzDqsl{56R=mn%&snuG-Yh*2+=)F#nFA*UK)@~!)AGFs)hRTY
z0sBSS3+pedj>lo)&C}!H+e?kW&s{O8dm<|I85M=9CZ!Jwqebb@4$c5%z{(1r@7Z(P
zqf1HWyvDHo81x>tYgT?wS|uYlWP0W{Bgx5hjmIgQDe<5q<rM4F9b~9vQmWG!#8mg)
z1wTy<Vy#>MyodM=8hh+Rc;9{^EHufik2!Bscwe8dTt1RP_kz;(LY}(&BR2_@0q{mv
zF7g;7Z&mm3(&e^O@g%p^mPJGQh9En)zl)(MU~0NANVP+uX)<F#>+$5^DV1xp*fp0y
zQ#0KB>6>?|>nXA41pGL$EVL$`$&C=*2aP@a(7-mj<CD=<u57Gs1F7<Epz4T%x3u)l
zvJ2~pGE?c#YLx0S(%_7?InrlG=LGK#!qhLIX<$lHGsuMjp^P#U#<ln0OmK!}f~UaJ
z#V*Bks$K+TbL4m8XCEESlJ0}fURK1O?oFyw=lbEYSd%(s8+&42{}<wW+!^%k63!&v
zEm6m1r8E;1D}Q%8={ZOfph&Ho!IWRE0n|JcwFi^*TlIG{ZOxV0h;#b-91CG$>52m=
zFzXr!2p30>WC8SwY=3j`qf-*Wl3;07*B!<d11o%j;hOynLrC-tYxFsgWPa2dACMh1
zv6YfGsVPMrEnyrmL~)q^-s;x(V0g$+I#Hu9BPhB^mPeK&o`4C%VsN((ZgQP*JZOQ~
zb)yA+^ErHSqpauz6soZ)tRoc~G)@x-TIYR4e$>SFUzUgEOKRfHkP)%e;Na&czEsPa
zsTc_NP<e<1f}H6=cXe3Tg;HZDD<Yeusjailjio{2wDjA_*9y_X*$h+(c<G|xFF>oZ
zv+l5}=mt)T@XaKJ@8%6AgTSh(Pzj(qF8T<>(r_LluAm|MhHElPnBgvHPlvBkqd9o)
zFqh>kRLW90h^))9H9}5;(l2e(=+V1>&s!>uZ*nx>!8opf!WHZza%=f`xJwfciT>F>
znM1lIgpfd2gxH~@$70PWe+Y>kTCGUMA*M0VkgubL(%#=QH02S^PNj=c;*`RUPfc+z
zfv^PzTBQX;1kVJrR%u(Oo-+y9X1pr{fg+q*JmiL4nb`-EMPGG&2rSjaFHzX-zw3Sw
zk<cdfB2B*WO0w<pzppjAzB#Tkg?FT4C`1&*#Tfg5koHmAzomhn<PTi83{g@S^7M!x
zm@ijY=%CFP07nlD`lEN`Gj*tzMmyRohRtGT&$ND9Q_rIKuxUT>)r~Ypji~1Mg>di~
zr(m&kQj(zb7|Lpzkba+TV~m9x>~qzN10Nsso6(_DSy_9cM-{HDbuYlw@M~^VQNIEX
z;NI>^1qtx;#bYx^iWaY8T(+DW6}sYBxGcJ42}LV5qAI)4bT!vd^EKT|==Al<Q*^60
zcyo!p<5r#R#mAGgmZ6xR_;k3C3R1i}S`FeAr{@D2?3=m2_o?8uRDizOtLjZqw>QU<
zP+XG9mJ$k`dvcsSb(>cmGIrxmdDJZm1gF0~)|*vhWSf68RB8_-tSHj#_;+5AFZ1ln
zs8g3GrZ62I7r?aWMsYjcj-Ci0oE?c&+!rp785W<nEYjC+#082ftz@#V3K?_t7^W%p
zE=N@)Z4@1zixp$~0eMzx^K(XY?_F>iVoq^EJ5Z$%4EGYXGnJ6vKCk>L?1Y8SnaF(`
zS{8wEyqZLT`AdW+#~;IrSs7(sJH%`8U22dp6f-&*)K7o=ZIhV!xr?f>myll|r0skM
zhw3En1!S$_`{2#jm|EeUc;`_N*ALwV1z#kb8G2sNgxmsnhSN_T=)8*oKPBFwsW+Z|
z@QW3ySR*@SsB=5>PWCOY$5HAZ<|`QWo0buP=npOXtb?}BW;w5@+k@U{g;MaYhoe#o
zOg|9r(d`>dC>~k)EVuQh8;LSG*3KgxTF>HgOlq1f0MU;PUS=e4eU5{O<eCr2Ylg%~
zwf*{tZetI_x3&R4!EEV=XLI3BrJW_4#}Wh>x2_G)-M@|?2+t>a5n2PWj*86GUTvp|
z`%I;gnpF73DP*kZG_P`UnJcg>z^c$Z2U|o<&frIFOO+Tq?afpxn+_c}1hpxwLJI*@
zn9d^efP@voJtFIeqD{~`F%5Ko#QWUEPBn#%zjO|Ih)ys@L8>MZ@IgA47;Y*W#(q+}
zR`SeHcq06U4{GkaK;o>=RlBA&FWsfoHy`AcwYRV4uTG#DI{@taj@^-AC>f-^vTlb(
z;%r8#180OJDrjLD12}NfOR}ht#v7S;t=;al9=)hyiO9q{0M|`ugU2Lx@A^m$;7Yl`
zA^HQC@1l1SkahSfO@IPII8YVv%NvyoHZ6O7!M8sKFcB}l?Jc|3NeTjt2|zj@tBh`}
zMivJ2qnw{>)!5@qapI&h<nNIjBZz8ShFlXHu6RW@GHi)X0HTD*ZCx(-Iuq=+W8kI#
z=9$8TQ0<(Db!ZdElI@ThwAp_7+ui?imIKA0C6^E&(l?DGwiaj?verum{1;lhv){>}
zCkV7uqB@q)id*=mKIHOmm+T-QK&37e4ZxABj<SXl;uTq_1>S~EZmcHs{=38Y>$@rD
zYb~RXKvMAZfU4!<x>hxHTHDtB(c*wXJyKqGxz@wEKggR`zDD22ai<$87Ae%3Ecj>0
ziz9HRkSg3+3Vw}rT!W+PwrnH*%Ljf}*mDnN)VZ#3X#&Ie_IBBXF(nBD2(b*5g$V7f
z09LXu=*`bmTP9W4okU~Pun_>smE!5JS?Dg%;#br92R}cUO23J|^45~x`JOFkw@a<A
zGdXqIdU<AsZQ(5_T+di%c`l#0W6mP2=)R8dt|jXMu9r$_2?Sj|XQ-oRK)Tf0Xg!Ds
z?aPTpL(uXOyiksoA1?9-S0RQk$C!&rY}Q?gd98?1F8t71u&IuOGDz3F<=bQlfycyA
zg^FmRS}IV<rpW&Cfy7EhmiokZf}&iX1~zk?3*#Arp@;&uhYwS!FgDA=UBCd0-FYG1
zW}l^YqI#_%_uHg{h-d{`lQwy745X{A&!L`E96uY)Y31vXBdfWk3Fs!U&K1mL<cWEm
zC%k<>((C2b0>X(t=hC*T&wlr-2iouc;J=YPt-`1MKE5Z(<yIv0{1IjEKktkfN7`y=
zGj#sUDk`EQQ>A^Pk!zYsb?;K2JgA=!zJ?wB?Z;dq8WkHDya^je1>IYU;n-NY8rFkm
z)>B=X!TfmWQ&|z}<)+~62>RGQoBjpO?kDxBc_=K_UA%MYNJ`)fvjIHf_oSKjvQCt=
z$L)EbT&vcK1IJm&eKqKNR%(}8-9=DL`6m4LCGAc2uoHQ6)w6=!l0y|Hv{TP$ic*0k
zZh?amiB-up1LNGdX_#v_KIUNfQdIpUgF<w=G{OR2vpND3TF;&H(<>35$;|#iz<rsD
zDlFCkA<sI~T!cg<ID~P8Fas);*n0hF?V{>aICXvwA@Nx;*++ZDy?R9!WuCq3j^>1a
zUsK2bHjaGd+q$_FKF)7xq-bhZE>Zz*vX4A&-bLW8Y?5ubFZV&)aQDBnN9pf1#zi{s
zGz1UsSUAT-)mfBad%#1_Xv)(hC4p#IkDG<qG>&LIm&hb5Is1397`$tIP+=S!xWxn`
zfz$w9Y^MOKmPD}Xo_Y0LgG8)YD@A3|99bh_ZtHYWY_yi$%DacneBxS_U4ro@GRGZn
z+ZyWqyAm4vrf-fP^$1DM62?~*{0g^N*TRti(a5ZuVspag%%Wv`FOP|!Tb9KB1Jt^>
zG&FQQ$`gNQ{2l1OJ6ohh@`O_?wU;W=Z}soq$;@aWFGYl`3(a%2dBa(%&nuOr0@>h?
zmEu|7q+%?oJPyK)6<o1K^qtv>xa?RkJc1+Lr`Vko+er*dZv%ay093tFHD3_-{WuHq
z-;oS_41WJ$Y#gjgr&G}BX_c*Pz-^;P2>)A_S86NT%v76g&4YYR+2yt9Qey=DwL#19
z=ETW9<eeDMrdw3D!8lavrRO$Yz56-w`d^l4N7N}g5il4&G`zi-1b*5_NLcI>r?Itg
zfGlXa@JvO2^?;dTKh>cBc~3qU0*w18xV)|NXG0-ruV|xR|Af<n!P^>4ScaN%{GM=@
z@RgCD&AxLp^UWv2a;DVaws}eW<UML{-VOf-faUKf5z^Kpn5j>ak8iFJ8Z&PKuuw&g
zD7>Nq&RlSPHQ)}54g)x9%-C9rU{mSzqXuQ$03a;D(o`Gt#ye5EAIxYJVaAm$oIN<Z
z3`e$O$BHhpCemgBdeki*_P#srJL(uKSOC0D<cfJ);W&dtdH#<$K0t?0?AbWch?=vD
zen_%)-nGB&ByK4IQ(EgSNwneyu(CkFYsc-lC$voE*8$CQ_ShKO@>r6LYtLjsG;nVc
zZVbv06^32ob<dghn3b>5j{W?hyl2|di&i^Hzk|(DOIu8L+7~??*|E7sw1-d;GI8^v
zNLF28-$ZQvNrMvVZwA@~bgLR_fs>9MbK`dYF4i*_`wU~D49dF6!2^H2c>6?0P-#OD
zi<T%m-@My|F;qLLUJ%pR82uzILZkkBjhjN-+dMnv+mz`{f7^CX@uxnFfI|gd2()1T
z*nU!rTG|0gTvfG*`ZFzV9X0Xf`AYZ?yCc9RvokdeiyiMayscE`$4p{RF5UX?90NND
zYv2qX;ldb(NXH2(#F2+8C;d;;eQ7;27vto5#HthZamk*sPxi85Y3-OD(Xjy@@FX0Z
zPob?P!?s|%zafINsPlUyz=88|9!V!(oyz9z=F|{p1NzPxe}mgwRMpzk?uv}K{`(UZ
z1oPmxN?VEqwCU4G|1)7Z%*+2A?FkR|)rl**Bf<fBAgXMLvYx28)RfH~T0X}s8vv%r
zaMkBTkex`C{*#kIPI*{04DN0Rp<zxE^D&0V>=h?JaukI>H5WiMcOnQ5CWNH4-PLI~
zW%N@0wxbuhd5hDMXJT0YkS=@<*DSwP91yI*_^)maZ)vcQ{)E@h#KziI*<39$>RA=!
zFuQ2QjjP16f-L%PHi1(Rt@wG+Kb{^lEVew3)!g4r#V}3`s}#(?Z1ijwj9es<KMA<M
zBA(iH)M{UQF6G!Z`>?{5Shs8F0^`dT<H<rw%u}91cvO0!w{PqSU@}S1f}TGZT<R4)
z=P~mg--pU?N5%z`Q#F#YC;8BI1b=RCAT0Z}dj=7tSBgYeZxp6Wb<#XIg{zaSD$9K0
zV6A2``4;d-R|FRjc&1h9h$h%w^x7}3eG)jlOQ7uMid}wNWj7WMwi9CRUdENTjw?fo
zv0OSifeF^W^Iu@r=N}qU`)yyG^+;}~9FtFRKlaHy<DBi*)_a0a)CihCVU9j>KpyV+
zMMjT*UWL`lM(vfQvOnb$h{+{v*m8Kd>6VxMc8WWGxc?-025-AeVjo7OCP#SLla`@J
z3DFYF(tsbr3MY>Jpp^A49Q6Awe9z>H`y|m^jy5!Dg_DovSiqvkP_Td?&jo`}7w{I)
z5P{!|=#nlVz7>`O@ZsmsFY)*d>n2SsX^ZkU<#au=&FkHkILjV2lmm*GZ=j6RK0IR3
z=0GZE*e-48LVQK%CDQaSn}<FRAaK*JJyV2TE8uG6b!q#it#(m#Qp284zOl)7PtHV&
zxFq9ZIZVD?t3(blHc842vWFtu7oCYy?YICjK+eCJCZ}|ZNuo3jv6D~X^$&Y84+|yb
zJ17JzxxLmml1}`$tS=Hnw@vXM?-9D&#UN1vRR4%&V0Y66xKfdE?h%H(ZW37Xo~M*S
z%dfkWFLBmpc2?++pQeL9#h3VDBc?)iVwKSC#=!#>?e$Swr*rtqy7te%B7##Oz9rA=
zjp@c~g(uWoL(zy_T1ZN)i|&6VCzt#r2{H&*NjaXhHvcgH91*ONn-aHeIXrOR{o4|9
z(9FMvl`XgM&+J+H(8a|6G9TO)x8O+##dxci3xUA`G1J{AP#pXjIoOy{v}kV}fOqSU
zDnveu#^N93M!0WIcRF!PrG5j=>dru3A(e3K=lhjiui9xPSX>=f7~Rx|+d~SBEK^bI
z4x@HVho(}k4BKj4jb(0P2*DhJLkXzWkj@mZL6AF=az`hqSH7kS4wUk*O4bJGjOahJ
z02@{WbYeZu(YF>2(IR|xtu&+e3{z_vc*^xU=4c~0UBb7p|9@`q-WspbnMDutYQe0D
z4S*~vBlC}3#?EA;UIgiS6RWk^<NrWVGYiKn1|_wDHH@@Fi~V!IZDZpRg4PUT?S{v%
z+o-q#z{!`IMlglKRG`pBc^#_3NXB%wg6ODm!MCXW8=c922b5RND_So0ZVGq|o<Z(=
zA#SQUnPYw}B&ByhO5|>M6zZ|4Q>y{;j+}mr;))ohEmENd48~zi@ZwyV`Uy|V7UuCd
zsz#gVVDMU&{9f>>{D%duvuQ-4xk9rZ#wJr39-ag(9VsX6qlDFR(E6DK)`>$R+bNe7
zS8DtQ&$3YO+kiotB)gR-O8a8?A;z=8?pg_81B6VWZJsMr8qDVjegLCbX{i%VZ<f^}
z9~2J@f!@tcbFYK1-?FxcD}&P4>d|<!I`Q4{u_M#x+n0!38xV+LTF}mOw|>)k7%2lf
zq(%|Fg1SK8gp0F^QlNVA2r7M-x=OE+Wu~%}bS~08yGmis)Y?tT!F1reoeFfGNiPP~
z2?I0l-G5`SO24IJl+d45QxPDEcON7%I45s3yc()d^iAiU+1FQkh$~kx5Se{T`k@+O
zqOAw+a@ou%yQ(?sqAV#h!P+iQ$K8lGk2(?=SBiH6eV(*hWnM^r6MKGq(Xs~!7SSw|
zxOQ^Yh4tQM?w<hP6dhZi<neWKun3x?PxRetzd8K$d(D&95~sV2TjZ9==I~0)kWLK|
zHIDwBl%8n4_zE;PvFIaq%jfP;$8x*s%K&EZKiz%juMAMF!iw~+qrYwT6HLvPr{tpE
ze&wjzY!l{PDirH@PBnNr)m*-J?rv)3fcrL;eH_iy6y4thX8l_|7uJr2Fr5#Kf-T)8
ze%+ELv12^C0q4>?4Tt^g@ME<3unl_3dTO(=X`|T$*SPdp2Mnpakk91NSpGDO8!@zP
zTvTUnjB>T93RC`%HMIYMq^Hgz&-?~A&{y3Kd<17n0b7dM+@#vl*?sPmOiHgu@gO>&
zoNpx|bFyT&?BbQkHwZp6<rffN?dK6Vx*7}DD^4x)l)C*ig$F^Z2%;joR3+x0;bOiN
z9m0GSxGWezF!ThNh15w=+r5DdnAW5KkX6$hI$W3CA{c3&OFvS?g<H)@7*`m;RzG!Z
zhQNh|BfsVMqfA@}a~NGsanNQGqz>nrJj<q+)tiY;<}QT$B+XeG?oK2hI+_`bcS8Y_
z%pHY*0DslM$5^hWz$j&G6(IIB`wp4~Lf8?M&d!L7VUtebKVb18wvO{YIIXb2y}Gm2
z1qLD%%WeqlByu2cQby|MP*ZHeCw-Wj@2$l_Ur)+E^-6u@M+~AS&jHv^O8y}}S~Yp%
zPdAFrE#ZskL-v(EKtqFAKy-&FNko|*yj%uBvD30|{V8>?U^yiiPL<<&nrs$(gCv26
zZCzE4Fo1VN)Jun1{YI6LAU(`@1SkJ$a}w{4%-B90vsBl1IMdJ{-lBfWzlTxlhr?9&
z9MUkd0O*#-;YgmOJZ6}2Vs-*B7)q}A2z~1~dA1#Fw_PH>t=0R?)jEiw=+&VcyJ&7Q
zbjF_>0Xn7wp7A;7_Nh8n9%I)pVa073T^g>BHOm>O8mvH&i395RNM|KS&ElWCvsp`}
z<%I09by!GE9Kf*5Awuo#O8*R17;c9M^)C(Pq7?U&@O0D=4%Lx$(iY!eT4^rK{gBvn
z(|Y0<gGmd}bH~c_<2LTAlXE#ut)PagTX5to{Ptx}rgb+r7oG`&we~G=eHG>uDUR;y
zLj<Jxh>@&rI7W-&h^fPoj~EE^`3oca<-5Q6{&1z8A*uUzQ-}gGW4h{Tecs2%JB+be
zJw<9OTLl^U_!N|qd9#O?A4M4wkxA#JWuvwyFK^#kV+G^GeegEXd%>n|??g08v^f%?
z<YNu+yaekd?$5oem<CB<iji%|pgP(Lj7xUgPEfUhN)HotXbT9DCzaASK2&N0^bIZp
z`F_vTp*k_>9GRPpeGi(l&Yo?YXvdI5(S{G=-Uc3IOxL3NFHaZ{e16_V7%GYb%yTE%
z*9p23$?*nItn1>0GSzlQEI^ivJ7nC}{@V5w+2C2HCb7cd>QWpy{{hl)L=*1_Pix2?
zcxxO)Kq_)1_mNL^#PH$AS=Cnn#)%OqxOpyGywt8ix@h?WtSSOD+eb_PZBxR;e5e2a
z8a(|13kpfy`<~NMWtGCb9unytq>Vn&w>XzH`0g<a#Ba>u6tvAS%<EElgvAO<{!kbD
zG65D3Uv3|aX-~Zflq)N2Kz&d~!zqOeW7%VByd2~oZyId{KnGuCPss>>tvJFkIW{Tc
zC^GPtxUSmL_;Qubpx!kHTHW(;#L}kaw&%raYByv3EXQ;<zG?5Bke1hyl|(U{m8mls
z{rBrzE+<rRmW4rey>^(^CmqRVDXN|fN@S7?o;FyCuiEMMPGDEB*gajl7MqksYQ82v
zCZo-9sUcrG*n_?UG<zpsOBdD`g?pL1;HLZn-Q=5NTx$rngv@XJ2Mk~}P0?a6v~ajX
zGbl)zNV3kkOIv_c_*d;$7H%kx3C3tLiqgX++`vp%#++5z35JQO3Q`_|TV$XAErfPc
z#gDl4#rB`RQo=GNb;avho74@LY38TBXoqH;44Bq-EF?(#J>aW1X6M?i#0(;TWD21k
zfY#Bh+$>F(zhSiN38T4hU5ajDd_~`wvCuu5asD;cQyts;F0lsL7;OfaQ+s$p{vS4E
z^lRZv6xu5!kDF><EP2m7x>VYdl#C3$b`erp&GUAhw-pdJv|e%DVTGXQK>T>OIf}Gg
zydIRn)$#k#>g?Ey0H$ApoSk9BvlKMkWC$*oc0xK->4_{ktfJe~8Dinm9RZ-OugqQ&
z2pE-oD2bnEOwf5Lxov6VMZks5NG~Q>I@QAkstevm3o=}{`3Md)zo#ei2VZ9qgT$fJ
zF!MURS=jnib~OxRKa3oGOc?El%t7c0EssPm8I*Becw2leKYAPh5;S7%y?K2}^Fh9J
z*>L;bA?{T$mBo;}ls2BribgtmY8#otp%oDbmG)|!ZL+h?TZlL-K=_1RD&PE6x&5U%
zVs<C?@$(UO$OQlUzZb-Yua%1G!L_!<?w)4#=f~y(h;TQ2*LJsFkA*B~W7~+HErtJ`
z6KYk~lg>UJQ^JTl18S!62Mf6k$|M_9@Ha5N65@1xz#Dvp6Pc2B<rq&?EDUpBp+kD*
zcNi5`Ww0~LICiqqh(QZ3lOY%doQ8LqtFIJs%Di2(xU}R}4&Mv^75)i@zT}L2;%Ky+
zc0Dx>N2cWY3W(Kqgo$x}l$rSytBO8Qmp%>CUciGz%jpQpjWaki5kIXe4{0|TO5FlX
zIxf2~2W4Bk#9Y&ca_2q-=PznriKe}TZsQ%a^GPtf?(6kI8R*0>`S|Ecc>+u$mwkol
zn{A}m1>-(!Nx8jdpTwK}Ym0uVr22DD0&1F$FeEpDPR@)J08&n$zkX({b`)W-p;%@a
zQ8@rKo^K8*6Q=4vCe00lbn=}^60{FMh?_5&l&1iWrpz4I2?m2^-3nZ1xMo`W)!tV`
zd^McW@MRtAh)_#}dBQ}<m+!Ii(1u@6;;^mc2|;lqgrW*)+^AvW?h8TjuX+rkk@CZn
zMs@xs6|pnF06i4l6TFYP9==gV2LiDHceUD*fOJjKqluF6MegSs-UCZ~F-8aXO-tgc
z*96u%q2G;Cgj$yxM&$9i&E}z7&0Fki<Me9X)WuAaiyras5ibURDbOHYRj?~5CwfGz
zr^P6^q`|?Uk~dx|_%6|65NMQYw+85yUs9m=`jb9+-w`lr`^qf>L#0)1*edhCd&$hF
z2G8m~ejkS6b*3-PttUHGp3sH#(MwxZlpFk0by7Y@-_hTGX}Z(%#9{q~0t$dhOY8@P
z2W*&?{rDLGkswSL+#E>LkoyW@klGS(F0eP&*%O9ycr2U}=3?%Mcq){n>j9)cT)LCn
z&&Lep-fb%lXj{|R=)P69EX!w*j5cwhQS=_6sIA6YF$tI3=L00HjD;tY*QYiF!aIf!
zr=2I<Fw<)faypQig!b)H={CaTx<Q$aPQp)CBxpE&&iin;hT?_5%D>j7^zmPGxHJ~#
z9EkdwHAJg8o>4lyjm1O2PAdSS_I(}}w_+{P*GvF`yeH>cz-!WhM#PrSWnEA3Fc3-k
z_#;?m_Eh0TU5^M>`ISuff0h@bMhRQd43@eDu4hwyRH;9LDK+-ERAM4(zQXk&O`kM8
zfY4bm3<FA6<Cx#n&aSXT_)Z`f%CB~}B(W312?7m!gau2W#&o$}hCLqxf=SUGKz691
zSGA3E@!Y+z(Z~?I2VG6Smy9*X{hvUqNub^j>^rysMH8UQxOzYMF?9*>(GdhxctL{h
zCm1NM6NF)PF$mnj<L-@itQw7%5IlI9cziI8<~vOmIepHzn^2gQ#<|-n5w3XTigw1e
zO#kZy>R`^RlU~92%Hvzc1$v<{&dRvSh)iN;OH_#rmdJ+78m3xXKJ8Jai|Ur7Pp2hH
zk68ep>_fZoQ3Nm1g&Y5~c0s<2#k~&4iMZh3xo%F``UR~va07?Gn~wP3E~cuAGW6S6
zX`o!SX3gvWwo--l29cOFMskXDi)p=|L}Zp#S>i*VAbp?Hk??Sv#4;GPwrUe=owkqi
z@3Mt^9i7gK0XjmBBY;;8=(pY*-Sp+)yh(bT7j?Qo2L*Kd9ePR~ODo}8GqG5$xXrTE
zItSpIvot-wy8PbCEiKeg|MGP&|D~QtM}Ca>v0$_PY1FyZ@z*^^N=r;^aNCL`xK@2X
z-3&HzNMmix)f_fgDM?9~`dZf0tv4_}+84flY3)5Oil7T*<XY)_&C1{FcYyR0MJS<l
zSf9F@=U{Vgu6wgg04Sc{<cZinR<}DBWr^li22X|+z+3t#`%To{W-yB+P(-g}H+sTC
z2V<wplc!VC!>qIc6A)qy#;l5p5g}InOqpW7zM4P)Z{&{lj~p24t+?bDAZ9fo;=6td
zlHIdAChxbX)X{M2cWAS_|BM-kG*gEqkPYqcoL*?bpf2-<;-H3pABju&4m>qAO}Ijo
z1~Ad`i4`|t1=c_3YdTf$U8Fqe526?D+pW9UvcZ4cL-Etz86%GELk?(o#Yf-M=r}|V
zU=G6|ftb)KOW|-U#nd#UQI)-PSO2_!WMq-s+Cj&oa|(HM2}+m;Iw!5=Qo`q2ZNX+|
z5uW^qKSg)W9l%yNpw?@ylRFP4af=zl7`p2eY4h2I9EAe;>h^Ycwuv?ia9a;*t5;i`
z`pB$?rML7wJE7_jMs>^wBX-TRJ6Hk0A*fupg_Ujx9WsI5KpXgc9|~0nYPwiz7*9m!
zO^%xPmEI6lII0{Ibqt&qTj@?YL7lK4zn#(Yp-MD5smN0o13s~E+y<I~!9RVxi!$~T
z6xc0DY41hnb!*Fv#aMlIKfnXbz_Ak0%7@N==PzUGrZu-X!e4wR%(I+(k4(R=83rE8
zFhR+e#W`GvHlTGoGx1`pyhi*4k8%V8>ESYIceS9iVHG+h4i9NCx4gEyxNZ!6BBQ1;
z@{Q<zAwyVqHQM1l>0!Kd^jye)6ogNC1(e@<j`*;H3>5BA3S<CZ#&OMJ>GgK1^n4;4
z640R(Iz7piSoZNk5cBE>>G;J2W>b`7S{WG)szLTQ86k<@s7)SS3I!+%w|#{wXTioC
z*Fw6@&2$pkYYS52Y}s!e@wj^yWec*m+(2;zk_}0GU<m**EM&2>(cAvhn+Z-G9u%;3
z-Lc3|sez!?u!@f%zh967nW*f?l3GdeSeS+6v{XpYuE-Tf87Z$#Zf2=G^>EJz#p`?s
z*eseU9oKFtG`_trMUYUhfC&qI+oOheNy*<O{No&>H9YXw^unb9BdjoFTt&wwrsp3O
zb=G)palLW`UIO&&rV3$`#ood!vUNwvUXL+B088l1&J?lDM7u&Od_7etu{>||OQfGB
zRQrg%x&#LK!%njIrR<*3%CRdQwm)y3?X;w-af}Vill1;`in@F_y9SD99D=)xRg4O?
z>)!#%hvxd;hm9^|W%M8Kae*__1-5{?uA8g}xERm{T$FiIXuiTpefvTJ1b}?nUH~$g
zmQf1olq#q`Uet@zDt1m2WW{8#Yiz5ZN5@;mP;WL+zT*PQu|4=D4}h35L?K$8E;uU7
z^lM}qU({>XG65si{*(ypL(WVO4|w_fe}H)h93Rkr%5=YD%3_#`Q!Ht<*vE1O+|TJ|
zk@Avj<8{{vBxK;Q$@&Q1CzodJ1d-*uAZsUwK8M+bG}GG4@}0H_ZLp`bcxauI=@JTf
zc=8ZdPy*S76OOib2`s&<(<m3ipBc_uyK;Wk{DT4EZU!$hUUo2qX5QG(ot00<z~d1o
zVW2hWn8cx6?dV_mqh;^_c?%>AM{vu2DcI|SMxO?WP4C|W07B?EWWn;a=yIWn5rO3P
zY?&DQ*0kMrSTZ?Q$?#yidb=fiyG-TmM{vbaEBPt}eiFL4%E~AtedD#F;txsLHlB$O
z8ewun-cnJ$ZnSCfpaFK7;eqs{qhqJb`|roYZwgniWsWM@_6e4sdWOwgCX~h11z|K+
z7qZfWiIcYE1MAF9Wo}>&fpG9<0fGM5hmHGlX)?X*t1vDFFt{`o$||RO9FFg`x)!vx
zqvQNJ8jkGCOE+XgwYb7zogX)5jIM`R{vQ^b&=KukdM0$zGgc4S=hxW)@u^D7r|hlT
z+zF<jnA)bR2%*8vrp-rCTAB*I&f_#G&s}z=Sw2jR-icbtczGRtgUq*nrpZu7dCsI{
z8qWg2hj77Vod23=YS%zpdBL@TG;0FO0wdD~2#DAlBMBIR@2ayFyUDkLa*7ygBd3DG
z_D2Ot(aN69dwKDlGq>@TcBkkwcb?V%h)PU5oeJI_S%2Qva;Lc|{jGhkB(3Hn2P$D!
zGByG3_lViMZg(`y)ph8lfYpc1TifK0Z7NLpxwcsGC+$Sm0BYmxT~C+xCEQmyUlvgP
zDxpRp-v-CgG7lw(ahoWj5VtW3JP<fHO#mfqKZU3ko4hj*%S&j-e~Hx?hnW>Yq-wCB
z9aM-GCB%K$w08t~_4c>dHWG#$)t9$NUlb|Rnd$>P2sBTehF2V?Ss#mD%&=H~$<zFC
zktH8?(k4n#s1z}O`7<paGq$@JX0=@hL&(3lmBz|+<|jkkCdnSqAgGsuOU4%N(B0vb
z+{qhK65$>I3{F9J&}@(%!SPCJnezfwhWZ2!3^P38GjuPVgf((mInP=P$S~KJuUP_<
z6CmRNdYfcq6I!tM%TiyeAs#67Cq};)2+r#d1*Ks8-r$^e<3Q}(_k#urKOWkdQ_Lz_
zKbOaBMx0RxTH4epp|kBt{}pVMa=<ekUKpikQT*&k>fkq6Zf{}z$u!T<+fEKQI!w?P
z6lF~JVXuw?u4EaBQvBe1Jp@VIOGVb^R45<)0ga4NTsx;qH67s7%5Lkz6e{7D7n=_T
zhdF9!v`;p?1?v}vW+ZR1H2n=O*ekGYU=@>bq2#eb`dn3^xV9c@Srt(t0#yQ3jVeem
z8c$UzP1G3wEf5AIk16`O@HGFmTX$UOu!~5VDC&4rcv301s(x=qp?}A3CiE@RA%kZH
zc}Yxon=)vq&z;-qq<&}3yOxi9YV;?SDU6~>FOaXv%prC-<K+Hee*xvNOMTCAVa7g^
zNe9W8=3F{I`d=bbqlQlGic*86wB-m(M~hep2V@xKu;l{(X#`uDarH_5F)$tc0M&`U
zz%NNJ+K@O6f5v!ADki&kR9S~~ztMNxY9~ocf_f7lhlF19>e@;OG8DThsgTgxcpQ0Q
z&rJng5K`aATVhLB6WR-!UKDYqn_iu~VPx;;Q!_@Z<*$eO(lwr&RPvTi${+8F5<Sjj
z8RSkfI}aWm3w^wI*3w@=;rF)7F0Z0~*gBERswPulxdlA0gc`|)I!TfSxMlEZalI{?
z$;&-NJ$erTaF5H%DppxopKE~&Sj1@5sQ{IWk5+H@a$4jb8G89@0%ZQ*PIq*E$u+N0
za!R5mNyXe>t;0Q_3OcB}Z6ozQ8t#(efL$Uxe0OXcrQBW2=lp}-l+?B*0KxG_h%Oc6
z@p`Sf(>>1{!P<Kjkd+De!C|TE{%dQ&)P^lJv(zbMS+z)XjbP=i0qWM`?PNzcB=l!~
zQ-Tl;Q~YQ$;Dzb}6wZwVdu>nL@B*)S;wz4EMGcf1%p+;xFMfGHA5WsKA>_xKeWyXL
zj}us;raSy8@;sFEB;$>4s`&3=*u-__DF<wS;xw3DmRVBRSV=`=*N+7M_gwPEHE@$*
zqWR8&=B~RPg;Fzb%{H0wwsJZS!51$;PoDMAL-Dbc<%wL53=**E{d*3v1gs0gaxw=V
zWjG=HmuXix-7xu*By-D8y4Cn6_8QP}|L<R}b^w$|hT>X6V!RD`9*PH7PBag%-MFh^
zH5-}ydnj!nx%j%#4r6g@`xGDG-a!%fwQvqKhycv-nZQLg);xRo&%;)1uS}ewRrXUk
zq8QRY^WgkNNnveifTIYjY;#h!mN!5^HUCK4<(hh|ce)w>0Udh8lYtrnWx@|_?5f!K
z56Js##&Gfr+Oi$j<;fH^H7~O<V`k=y?+^fQ#)DU`XZ;%^c*ugZLZY{)8_$C!2}cCK
zx30XY-0j_FSe!R5QEz6vz-v}NADhMe9F|JsWKpA)W@;HPpRN_<?0C7q!c1V8qK;TY
zL9`P+sA~;+ybg@8Ni9?P+mH~4RQR~we%qtj>;L#9vAtBYE~a6kmwTrn>UdJXv|FM1
zn)pp2`mwns9(wnY<wdj)@f@kn8+;uHuVG{I`CWw7VXSkj1TMjvQDBdmWrGUYMJUcg
z)UlR<B)jX&x_aboUZcd`Qh0C?b#P>KDd*ih+6}=o&nyb)$9*4Vgyun<U8^c2v`pv0
zhu(M@wRo7Js;owHCT5*xFziCPA8w<KqFX#tQ1zCb1jTCr=lt7^H`e@Zn%ZI+jHP)J
zVHaC+6NSS8Eeg{>)AmNP2O8lMQUG^fskvuG7W~D8Pil%kH*QnPZPWlr0Sfl8%#!C6
zK!p7ZhDk<0OddFKq2ipd10TB2_HHGAQb?;a`M-VsRqGWYKSU$32Ervr<rlGKH+wOO
z=~bGrHJ6M_lJk|YFR%)+NW#MAbzM><Msn+qZPyL*L8}a{rVAG5$=Lf!saB|_WW<OY
zv|F*P=k>xhv~vntm35IL5%u6A9xUZL^w{I9GN~-@EWfs-kmH6GN%=MG%6Wo@K%oQ4
z+blUGilY>u97~|-SK4>hvI$e+LdWH<!x}=OsB!rifxJn5QK~<2X~~#mnDzxM7Cmcy
z1hH)IkxTPICGJ6Ncg=Cb$&sPuay7!lhv1>xEWn^O8R)eUPg2kDU4kiE7>2#JGF?O0
zlN&hgNk1uocwT_;Rk{Vf#u+el+eb=vp$BUsbq_JjAst$#kW!<C3jtlv2r;!8Ur=Ci
zX2^+tbwdHq&!`Qb{dyKl1~2Q*yF1|&sLhx-T}{%aw<TYXSft(OQ0vXy0QOdiVLtBT
zdxA`iA%1aq^K992R<$W*7ByHd9K{(IzMMO;CDMDmPR-GccZ0BGkih5a`ogNECkPX+
zXC!JC_y)km2X%5c+17%xnLgTY%;Rub%6}@N8WL#RtOe!w>fuf6efzcU*^Kz5Hnm|`
zsbFn-cd4kCP*BF>eCK<G<_!ZAfUdzvLTUk}!tFp_WT;ClvToG#oiNZo`n8upxeN~D
zIAy-@#ta4H@D1KRT3Cqr5SC}GbQ&Z6jp35JA`3ya>a$dyP4SgYQZS=A?w044SwJlK
zzdV@AcQ(1c;Q&!Gg_MP--tRP>BRjPg+GRzwt9H}U50lHit=D8C&wBH>A#allXjX4{
z1^qF{%DFd?<AN+bru{yO=fWR2ON%~a-Uo5R^_>0vvIMN~nIbh%fYGKp!h<%m?`5($
z^zp$o>x(9K02YWX;v37J=2FW=cbkYRvinwUun8RAesL4{U0E|Q+!w(dGO|(~!OX4)
zAyw$6EgF&&YuL4hV=HW7Yz3#Rb@>}U1C1@mbau5bW@){`2~k+RTB^hagrk!%>`@A2
zHLAH;dos=KVs~_Z6h@|vY19{9#`2dEfv&S_`s7S5;F;pWMh>B82*P;oWqv)p9U28S
zO<qB_k5On&jH4jR7oS(NV}_TS{6AEWfGfRmUVUgAQP7N@Qih<zJ{Re)buEFqw3hzl
zHK{i7Ll7bQ5nj+IBApQlwu%|d)o**Y=2{pgyxPzyx4v@r?hlWA9j*Ma^j7PkrqnUz
zShS_fvOLKNwAPe<FFzQIjt8Q7mJ5JrZEbZw_lwP5J3PC;=+)L=NhZPx{B6(o-Hu`f
zv5{oqHiW|}aUZs}7{7>cfp36R<wTVZD!NQJb!6qvq|zK#NHM5fQWP@8OKqmLuSWck
z@>6W8Qze;^sXT2-(^*b^rAHZrgQ+2m%}2Z%k__UWLHPLWhpSu>bjG`&=;9KLGhUJe
zCn!uXIBCY5#4f#yME9<-&b8_GA={kDw?$&8Fl1y#DE97BYKPdHc@1okmA_x$6tHaX
zI@Lt^rNgxb4Pi1C_7K4Xx5uDy+aiM0!go`$;+|Ar6OC>N@Z*gvf0SQ>fV;7(%A7F9
zC+OG@5199Yz0nj`{aHdWD2$o4y$W@C4#2w2)UW4VSpLI-s3*M*O-!d#M`lv=Kq0b1
zW&=f{7vmW+NRk#60ar6(A20blkn9)Gk-Q4G{J}vSH%>Ikw4Lmx4g#yt_Z=kWBi}0;
z)nFVN*jnlED-yw8O7M6}2^a>znN=>@uvyEDXxg#)+!lKiAxmZ-iNv32o4>bglqqL$
z<CVXr5p0`vpx(TahT5jTevy|y04*C{^{78lKWV*9)h8}0jGfrV=zKR5GCZoM4ir&N
zs4qZ9V1aHU3wC+Wn}y#Xpji)y>!K%XR8Ydjok4ldbB!mr986`etJhOsxgK2xtI789
z_47B%7Z(q7DVS>fW*e04^Beg;`z%(#uvMO|0))roSvXl$DEDrQ93Rpd1jL!U8X_oN
z(Z<*_;RDC$h*zkz>ZMu{4inKq?i<uyCn8fW-!rTY>m=c6mqsa5UMHrb-$jQ&|B5=r
zbCVtH=<@B}sA!2<e$X(1{x$^<tIR!gz+B=RIGrO6bUm!ze1c^Td@wd-^Me{D$x{EV
z-DY6oeawop+fJq-<SY66!pd~Z(*RKn1*o&Xk;#);BtHR+6D52LX5^}wA;pjSYl&sJ
z51(;m!Wb@i>y3obTKn(X+et^4?xVPz1bNC;&Oqa64+8!v@E?Ov8gzuErwGKh_{h&k
zbR>@wAr@8V2;hI(#ln3OsDk8sYAMhWNzFm>Aze{p(hN!SCSdrLlvtHBan|`DbGiMs
zT5*?;WfKODV*V{Ul@`Vl8QQ~;-3kZ!Gt-*x<wlxuC^T{gCr7uh*|-flD3+^u9M~jK
zJX8QJerv7gjivGt$Fh`}xiEG6E}`ksu!9AP`j48aP#Ii|9t$Lnebo4N7L%Abf%V0R
zvn_z01ZZ&(WjuTWTXuB&LK=62mG9Sw_#wX7m5)c?cE){-k{Bp{<wtx$ZIw~&0mg^`
zA5<L8(w<-PlqmUy-|ft0r-oP8$grVjS+2r;(0qB+{NhM#)<;Aae%%I%g`mPt!v*qL
z2or-e)v?w+_9!pd(iSqZYkzr}7Eu6x(D^F#<OlQY&=9I#-Soe_UNkv{Y$u4X6YiD!
ziq!=}2Z_fdPy5cL<Q0&v;JUTioar!j!U#HO@8V?zZnUm7&iHy8eYmzU0ySq@5;)6W
z>GLwpep5@OJ&g*~3#1P#5pTT#0}>nP&QsBzXb{*rjYfU-6=Cn|vgy->saYiI17Hus
zUr1a{IDKJkAb+CsUsK73)au%nnopyGL{DV(d-%b`c#x}SAkHNLb}23W?p9?hlJ&W3
zXJ&*xs|mXuY_S{MDjqhjLw(q(Wrw!1kvU!d3`VU3Irw^3f>NMjF!)Rlm1d3{nkdj^
z3@yVMw{mp=zz}q$NZOVZz-eu5cU4p`XzaWMb&*sT$FWuw$2Xd5d8xmx%3fFjqF{TS
zuk8mtK2uC1UW20XTYvthW#(~0=f!4aDq_G;Z8rzRXyG#6^FQwiQFiV^%U=R!C&R1k
z)8q2Z*sejA3nn=+I&8y0Opb<4@*!vfi;SE6yH!}+xaL!cQKC^pn?X=tg>Mw-ggQDs
zaQ2gojk~I1@XX93Cd|<S5BLKKDoX=mg`B7(v!7jBKDj{cCT$kXwWz(OF3Z_;f<K}G
zG@H?UG%{DYCzFZEPjJLQ>9ex_8~Q3Be)1Wc(x~YG;%CO-!WH(*Pf+U2IA~{3Zh2x9
z{$T-1vuX|NwkE<BU-O|paJ}9uvz-Y9Emoa{8)s}YnDuaY$V5}RouIZaBCje;L%ilv
z#0EjNAt}{I9@P11V<`KDhIhJqS>vzOlIg#N;JQi$nOe>~>=3G!tYPG$TBQoGczC>R
zsTtyq-)}Oj!n_rd;48aij@r>guEjkIhWQs9n}K7`&7YB;3k*oS{zJ9K%p!Mbwd0D<
z-6t4&|H6Z_JU(%$8kL(Mw|{phB*y|6Jq^#y9Ee|0PKI1COBaa~zUBL~N(+1$P$JfG
zW_auW&)Qv{2-E=kefj3=Oq~mR5C?0Unn=#F6r*k0rIzsrUqTXaUdul{54VVJgrYu4
z&l|W28_x2^e&mtJ11FnL9?7-yYwbe|<kPFK@UTUMuI+@qM=-dE>o$fEba4^nC9w^+
zwJw1!`O&Od8SmXB4w>J%x~~i2!<;YQ-gnEwX72CN!2wh|p2pdt4vMsK{Es1p<Q_0y
zja;ADH;|8GlvrMrSk|qXeD(GR2PJd8D<=G+SBRD<M-1<IE@MQ49sGWnJ3(YA?EVd0
zcF0{C+i<t&u=rN}!$sgb_x9#<PTVRcX%?35%7084@8-@tFm`u(I{w*KA3;c&P9GY>
z0dfL&m|eD&^~*c=CjhWGT|D?6>IJluAadx4T=UaBs>Gy;b-^^&A9#HF2Wi-5(=8<$
z|40)ldxRZuEDm5kYBprcrnYeg-Hp^`pTRcIFQX`sUgX&bu2pGzKj&eYlx2fF<?$*V
zz{HP`^4YB;Lwf&8P*sXukDlwIMdx~8JEzf9Pso9@9bE``^!6KV_asR&PC7zhxrylm
z(cs^^QG3{>RVu-x0VYkhd0f49Z;G^)J;LRBMuTBR<Y;OI8wf5n?_0F!fZ1(c3V+Bq
z2V(@J0m}kutA^KiF!Wwzw%cRoz59*IGb`BcW6%M36(!Dy6{zEarD!7y7B?m6{>I0}
zCm)&WAQxMf9a#7UfG^^qo7iCf)rm6O1(wkgwt5m^I1}<v2B@AOp{IsiG^3OcH?DtI
zl3)I}dezwjT}(HLE#e_h<|ul6``CPZ9OisvKHbATd}#})DF~xT*60EPA-n`P>$x=A
z$u@tmhOd=I{$GNOTk>qqkagjz+)huZOYP2lje`}q%#{{?E8f88>KdtOUM?f!W$NbX
zh6ZOM0Tq>=l+5u~PE-;;p2($jZs<)W>gO^WSpQBGiglqMSR3Bb>o>bl>O=bupPOp9
zg)yS_`1+3=>EEpv%xWuw7FJJP`9jY^FD^YTrFS$1UiX?Hb#k*+z@|rDqQvaDO{Mb+
zZDA`nX00VT2UXgTb6pTahY*+a--2)5kK34>nTALuE{^oL?GCaiXs_jf78R+z`d{Ev
zZw|Pz)3*(UVW6Z`C9R)9YVYRo2={IN7o+cZmr{0h2TcF0P)kx2$edy=lsA_`)g4j|
z&YSA6l(=-M!(|k8CVi}rXfJ6WJCbiAp5YLi&WG)<-YT`FkOnj^J-q}YhnpeOFdSYV
zV;zRTM$<H?hvhKX2RDqDUG0j^!6+71SuiU_g$61x@hcM7oVmM$y98a@^REZByhsk^
z$PcC+w=NU<hfPu``ptqov!6!t|L=CRe?yUnG%OWeb(c9kDRAgBRs*0uBXV_OfsR+i
z8bzNhJg3y=s>!_=G`Df6`sSnZ7+P8LOU?{4r<5~9v!z9hhsuZW7m6N6Z?+PQ!NJ4K
z=nSUa4S)Q3#gC!sGTK5Ge)C9ZxDH~Q5^OzEtD`7(zjSvevvY~E5Uw|F!Ao@VnYSP$
z;7%(0O%C^Lbw3dyg9SAScDpGBi-VsP1Q<bH5Mu!;0L30jBPO1F-SyAXBISM$D9Eu}
z!f}2~aR6FR^?;ZE!5yIlczdWPF9zM-hiJ<oJbeA)3q-s@XaAT;;RnNUAA?kvTS(-T
zpL)t++$~p62@%*O#ltuVZitHGo4~L2Xwd!rXK_pUtlQ8#B2lcsn^#urh8)M!mLUZM
z=$d!So%6DE7p|ymwH=K#8IV2sW_|lSdZeVI#@AweDk0*vE5XbqiGMM6yq`yzAW|Z7
zioN3Zhw-O74zu&~m3Y+x>tTdQxFJxBb$m{wXmvZo*%O(}k8Lq3L@}67J)024>WCAd
z{8f1|EGPDQKSZd_!J6^Ll0+jE&y=;$qYN+xSllDn2d-k4agOZ%a1y@x0ZSXA?fVH!
zF6u-%EXM=sH#qgo!53ok#LbOrc_l;m6=#LX7jgl$*pY1E*%!Z350>ol9?dWymIiKl
zvxi$9u5<%xL?A@mzRJ|@bbk2C{$1N|^J|h<K0^X{n})71YLj+EyM(mKB*mu<GkX+F
ztQopN&T&`x8_uPJ^&VKswru;+{_$a7`<F8^G~Hj#U<rR{V_i!*S&*)@a7&h@%!nj2
zXQroSaln{8fx9?z0$GZn{Qe_v(6t7_0haNfv*ROWY&ceAj_5+MMNK5q1*iRyrz{wG
zyCod8zS~cxn*i{~`i`lVhNqoRIw=bX%Hc|6>`9P8C$vp8uVP>o&w+jnfIXRtDT|ok
ziqnR}<||ydSk(M=8AUQPtA!T=tf@2Ek*nH;;Ju5g40b@^&l{}ybcBV<e^1pVQ7Q{c
zzfC0h>He0}*bhjPj|^nXs<6t#4C)BmQWW>Ysc=SJN5@V|l0<e;9>%Z2yT(OMpj#D-
ztQHYL6{ZSl1req*V9WYF%_sHyH|X?0_;hN2NUs~m-Dt2^DeorN8{5j>E6R$W-s1@w
z3cxrq!`of>{cQ?}+hM(yKA?Yi+<ia|zz;B5Qxwr_d7?lQU0(DcABA_{$f4Q?M80K$
znHJf@Dd*ld)HYZNKG+Pp6#}gCf}8M7e&u7|T3oEPIM?S~oK6+4T|c+CWnH2*jjCP3
z8r*^Mo%><u<<KFxIfH+2%lH#?ycx>T*H6)?Of;)~eSEl$4nBcZ<7IX=d?HxOM2Y^{
zMXk)W_$lkSe)~%KOk`1QSFRVM@ZK*MI++<9fF|CnkmTCJ6`a6co6vpuQ{`(RWBM#}
zE)oIFaos`ORxJj_9S3wLWRloWc3<!MQJ+QKOLE~8d7=`js4$3#db-7XB71%%{q!!S
zd%(xQsFSy5&uO>6i3{wgAZp_Dj^c@DQ|e9G>T{rd+-CQ@n4QylKtM*Ue~GWAAjLei
zUAU%tdQnRu=k_>$;w6&myh;BDz9Vvnj;*!30wZu%7!4@K#1_a0cHJxiB!J0f*e}{-
z5~HQd8x7q$yfaDdUu#ZW;mXi1NE?fzpl`SR*`{~yZssrH_6NY;P6Qe-CWXP=?i$3q
zT=Yayf@6aQr+IB=^Kb>xR-M&m^F(Ou$RmGV$@^W^*GS9FT3y0u6Mm!I2jCo!ye?Ia
z4e>8v_Z2f%O0=SK^4h3*(2aj$bS5z0_?gQjR1zj<5QjZq{<V68VC18Qj-3uYp+DY3
z`M})M@^aWbAC(K#aq$PbUksLsOb0E3sXZm(huX2Epb5rBc_JCnVqBGs4B~P-WlgD^
zUb3O7QbMs;bU{*<w&w7OBP#qqA9Z)YPQ7e!{n~+UQ$nf$P3uEv1K)3Wda)}^=L@-Y
zm2LaNK3~Q##^c!fba(}Ln2`4cV#M$+eHSKRRq>o=TdNJ7TKgOk0(n|d>o*R;yYUW`
zOGuo^igY9`o^2Sv!x{0kGuW-iIilL3|FMzwA@R0d;V4OnuObvjuvnmfE^TKY$JMZ}
zB30(}mo{B46yMS517R<`;OskrmryL|#HQvd7Ft!7TvR56L-rU2qHc#{#&6M|Iwl67
z;fBx;9YL>&8#Np1XLATyV>L|Gc1pTTZVg*ursu|{{lJB;NDT5{6UVC{k+iFl1|7~f
zw#g&r<5rhZfTo2LWNyy$CJEN0zWB-RCr4<Y_~^AiokZ1cI}7T)3`W#YE=`{?b$<{x
z?#_JJg*|kAr`t~QWPipgze<t+6Og-sLq$H1tKqjAQLkn=_Z^2|_um`%n5OFZDHg_c
zEPtpm@HPI>Q1KWrPb)6MMm&2Jc=NI$?uHF@L^btn3FgQCS^XSlM-MZh8I%waaRBAO
z_+JLkzL=`-a&ZlJF83RRUE#C+k!(i87s!9d!_RZ5SK{5N99gbXXz`a(<?X=4$(Tia
z{02bdCR@2<V5Yp7DvH9wUF(ksxU`A*<vw6PGMeix4vQ8{Pijp)KkJ{YZBdfg8AV6Y
zv_a#Nw@3+M43IXQJ7lNf6;g<|!Jgdhfv;E~0C7XQVg)Dsb3`!W^6F)EG*|~Ufe?~%
zJ)A=Hv7CVK_cRbyAT4h2M=QU^)99sz3gGgW)b?z@ZR-SnzI=qn5mfGPr6nS3TDf11
z$5EeY3SedPZ8JV}RT;0#NaO}B8l;Y|w;5yH$Dcz%fBMQoIw$x9x$=?VY{v{^^6lMR
zy*ARuL#(k4j1%z&gd}M~<n!VFnbI*-=qW8FY5x2)e1%F>0D&#S(Eb+35k%lId-Vmg
z*ul*7Ydbbf1mRkD=DA!4yg=OxdlMTM>jv1b*uey2R71ErVK>q1wmw&n*1Lx3nT0uM
z7_Rf$e`qFZM@?qnpoUMrk?6kRNrZ<Y8DjC&>!>fh8m^ukX{(>A@_G|eCB&%oP|7uz
zXRrw$Cch83VqX$xGm-`o<?Oa$ytoPP+voL@r1I|?6jrhIE-g2##CxhJbhgtk!`v>*
zry0pHfR4EG>s6UYQ0AleVb(~SAT=1T;sH)xXxF4MN&6OBXaYQfPT5eDv57<w*+#GF
zhv5;t^Y4djR2}1mUCcvD5PB-{MwARNgk8X~mNN)a({kzOH9VeEL4k40YJ>GOswT>W
zXScC02Ln6sqFrft)}K<>&uz5BlIo!&@&sca!puvdHAbv=k9MfD2q81@xaNx~XQ^F$
z#|cw$ODiUZ6UOgLg}1y)kYYxV(Z*FfCBvX9Bfm&q!Ol$+2Ol7J$CJ0X$>)aGx8!;Q
zNwT&*G2<~B#rEyXkn=@jP6c`(n>`kBvE;-N>=od!b`Sh9;`{puR2Sfln5>Qq7G;a|
zDM<}mWu53y7+9CX1f-Av3Qc!-9TMt&FyH3NZNJco$uZQ2P>MEk_@#)pRSK-$gMde3
zE+gFEDO~$P5q5KkV-2uGZ5PD~Mzh>%)vTNQm|tXw<QMYmm6*tauiN&d&i$Stkiott
zM&Pyyav}`g07{dYJ;E7+QB<jSiC`TPm}P<;F^$kDZN!r}(j1IRha;LAPI}br+qh+K
z+ZW0<)RY{}WFMdUL}fDKSsa!{K${583<~~G5+1xhBv|Go86QS8{N*k=4ok7mh=ZBr
zADN&OU|@Q9ezOxj(2*yH)3pbK3)q6fhKZWM(R|Q+7#$ow?z)M_<z4Sv>jHPJ@%7&b
ztk3lG$C46DbybPAgCz4nNLN^#3XAgVz7Qa4*phjU9G>*xYUth;F1{0=F<cKN(*Nd@
z^)lVs2MVo&b?zH^a(8ft8%&UjD@Y?QzR`zdkzI|=4f!)FN>rM=41aM+H<CQLJ{K?0
z85}!*t$`-j44sakzG^%g6Hrg4bihoiHCohby=q`CdcsUmz6b~(>_FP3K7E5Z1k;S6
zy8Hl3uP$1H@#@#VZbMITax-w+MeYd|q<YiK*pG0^5#~BA$glG9yJtK>l{q`1h@~TW
z`t%cb83?LKx;hTmw8Jj;%VswlFb8PsD_oS~H!7cM9L*ya>C&d}*uWCAWc#pG0|*v8
z>u~AF_D<EiX_<+0O<H%1wVv)Y`T|0au1Z(cErz7oZc)`oTy(|ZUU|v<T9E?0>Qm-U
zt`oqDODH|52QPUljUJFAyJ%N#ilp5h2X_1dEFSNyGGLOe#gn~+6s&15Yc7m*t`v&1
zYK`J5TH;~W?0{Ze<KaJ8IeMbf5C)^r$|?Eeki78Eo;^%?V{Hw{93H7R+!DZXozfee
zvY`q2Kd!vQfWx=bkIDfl`1QNpN<iC^%$elrKVZ*pRYt;(CgXPfaV*co&O~7@Ey<hl
z<Lcuc`d|1VT30!5bEbngEd&0J%Mt;c)ytTSmxr%dWnjkPI&`rGt#SV{^IZQ$%_@(2
z#>WbqW@3P7S5#d#xw^fDdmIq~6%3Imdx-YDYz27I3V8#HAk69B7WKc6B|qS%r<oVO
zU|rmAPC6H;Y4lIqd`O)q8kpW2qqP$js_~N|X?MT-=Ce9@18UWZBZvh;H?{@#w8N=x
zpGE#FJjricU!!IMDPM0_jiB8()?L!_KYBsV8Ke-1f@6lI|5felP<d!SLQtG`GXJI0
zi}TaesXgIw%pt26Y)#>tyT%7wFsqFCcOCLex#oP32exmK(|48sAFYtrCv1X`&Q<eP
z4cCbV&Q=P#-({qjVZsbi!>v}6-IP!e5_H^?@%^hlU;rS#I|-NO-&g4Wd`(vdU*jzx
zC5Q0#X}4?25BI=9xK>2-7X9sOYe=kDu~iwz@V;TdIf|Zb6ucJKWVoW+yrJtRkgcUO
zHP56%xN96UDMumeGOJF5EKh_S>4G4TMNmoYx(O4N>o0Zdd^Wyn&=Ahg9c=y!E-aGe
zjbIJrQCWrvm8U^j!al#vaF8jl-n)$_Qsq&%Cw!%S%xZh+#H3Y{(<;S1a+))RN^#Av
zWhR43CN8bjzQP88WN-lWduwB<P~XLr$gWL0bpz7Hijtb}%#Vr;y;tD$oUtqqnMWP0
z=1-@860Vylm%K6dhj#fYOQMnT03DceMT|k8nu<0{P{Z$*d*9_Ljvf?1-jcS?vO0&T
zrm3)no^NXbG76*F@l(wQjC0g#fnd>rXn(ZsIzJhUr!^k0{J%R`Mq0$?Mn#^#vDW6r
zWOl|Q^){1_qJ@8!!sxlldF|{C7Hg|ESZyM2IH*rRE2bB#0bi{j;7WidmrWkDhTFfh
zI@JB{=B|e6LQb5SHk5~(KBK=1qEAnG7z8EVKF#P=4r@AT%`(^P!9jZ4;a!paM>8er
zM}0q3l%RE|lOb>L<T$h>YxT@X_rS#WpwmUbFH!=0aero(Ob~97rEmAMZ~rl#G?EI!
zR9rcn46O_}z-U$B>$(2jzlX`TNvlj>6sllwER-(ymC;p%^KtGirB2mD-fKC{>im54
z-NKrhqm#Lgkd^&X_GMgRa}AmE;0+`_NTB3=%%u0x_r=dx%V4coRWFNZbA{aIPY)Fl
z@uift+nuj>j*%h_21BZ+8N`8K8f;dM?!3aEGmH5}sA=TXeO<cv*`(x>1HC9f0qN6P
za&1o~phL*kF<2w$>2FW@;R|}(6Kj)b81|N+AnUWzH-yc&hoFfn0eeg^+D-R>*vZ8V
zUGHIE+$-ebg#2`ZDvc>V7VJ?wpIJ<iRj+r}*(7WWbnK<OX*U4}gyh}d+B8Pa9f@*g
zmpq()3xf5_OI^{Yyy6T3Qhnkhpea}Uf|6Y+jIjoNApU0my~I@%d%m-|uXiL{sUJEc
zF9)wg60&SCtf9RAS&)YUv|=14H7-X9+-Lb2$ha0h!HqQ|mN)wMkPd<b;LtZF5{x{`
z{ZZKB@;7w!iX}0y()XFZT*t%v)v$*g-ju~@LE@4<+XDkxm|Wm&zurW^6EUP{IScVc
zo(h5m`OS}LbFTp)08$}=!45U41DjW?2ZI!UT}~r?lGW{U2%}D%5|Dj&ARMyQDi9{L
z2oWcRaOsGboQuw$et`59;1(0{L?u%v)}Y-_C$k_a_7#mW59^Y^e)Vk6%kAUI)tvk|
zR1%NNe0ssf4oxOf$PR#;H;E<yDVIp-l?k>yCG&k|i+W|G%}crtT!`UG)QF>jr{l`s
z7fR~l6bv=wa64mmujgfC(15p%dq$Pl@EZ)fN<g`1HTgzVq~s=~2jTZZ2Xn7V32ebr
z1vk4Gs(Sb=#YR*s6rIZh)N9n{KXV<n^`M=8==3(t?@GVh@8-{4M|*5?We(Gi$3<eH
zYbubQYboBK!ae`>QEemEHFElGjIuOwc2%DMD!j*h-uAEMOSF64jxCbWuo*!_Vlvge
zmlC|pYHcA})V+m`o>B+!Vl<Jx-vr$Jr~N;bMYvg!GIaFfe(Rv(GkOH*%f;;jolk~f
zwm#=t_Ob&?C$EjfI27*NmQE{WP@q_mGsjw_vP&kF7;!s7>oXtRPx6oBF{;NlY~@jb
zVGP2n@M~-MNd``bOYAkA{*}GQyQ=!0CZX`c<a1j`E$>LA7AphD>!LL)!8uQ>4WFw9
zZ<M9>O)c2M4|hz!giO|pA$!CYj~3CU6g8p9TIQagXdCBxr&sMe=SeS<`9Hok4E!LW
z!sQ>FTnv9-U48eV*#Zsc+#A^>u;S45f0E#!c_h#5E)vM%LIP7(X#RnOZnU{hB(K@8
ztwPp=2_sO>%Jelzcc}d^_k6=?QaL(V9;>C`YT+jYm0_=|N@{7HqU!mKbD)v$H2j9s
zSYyk%ZXefJl<Em^$uQ>|v!pkC*%RkCD~1E}=Tln0hWkUulO#$ADviww_@}YOnfnXU
zJDJQCkaN-TI=58jyYz?K(9v?1ciCj10~Ca+Y2`u|ZFJbz_ye_iPhvZ@*L<2YAMTfd
z(GX=b3`!%ALE_0ve53~<9m5#9BeS)|@UviSpCKiILoTm9>ouke$NH3N>4IVKZDVh`
z_(G+Yptb?`>!_1WZa{lT=8-*uBrTxq?_CrP(oMkKfQ`dU2jxwxXjg-LCowW3U!-37
zYz(7>xkyF2YG+`s7Ftg}Ucsq6Y+<AD&Q}8?MK1g)Us7ZUR&DjPgARdx?!3Q^Y}m}g
zFqgBD@7L7lzz;;o`|Gn~`OXWLr#oR#q-3F+wYiP$GOhWK7;tJyeYWitt-(}%E`6=A
zr4tA7whonKA>#dI&~#{hc+#%GEWJ$E4`Scy*9$0pw5v2XiO@hJue#EQn$+u6e99pM
zPiIyhkf6W8Y!;|>x7pfO@m2y0$@Ef&PQpk>P2fW507pQ$zd|?lDhTM7Gb=a-q3$sD
zgtWmMBMh({;;Ulv7CSyKeT~`rj~uM|tpYeIPkLV`E+JceOnjpog$(WEph0nlDF~w>
zARHNa?2zY3zo5WAuRM>Gu2G1Hid|r-O;_9Jta-${?MvvZ@2(ED8}bb4N=9G=suX#j
zTSllrXUe9K6O`yjTbJTNBV(V<=C<WAu?D$j)mN8}F7*cFS5L(rS7d(9@_+#U8a#lg
zQN*u9ZsXe?UJ_q;bClIMM}f;<ww!=gGh*gc`fSR2h+a^1R}oq!eIK~n|BH67)-Q^-
zNzCM&;LcpQQi(Mdl4EsR6_&y>w@sronJB{9WyO347#h_?a0C>Xv+jR&^G<5Rd+Y(Q
zWi!+}rclU?ETcGMd!>H6YZoFd!sR)(IRh9j0kHy#6t@gTUV<0;+`(`OA_fx|L#oY&
zGIx`)QQ#dCN7ny>4*nBX(Zr6G1?7n2O)K(AQGjs_4`V3n-rwMN+O_NWyKW`$NAIG0
zzkXZTs-9fu$Gf*V03dKEl)6z)M7O`g3jwr}>t-4JG|44PPJM=NUsX^a&v%R5>{SR6
zsUp=@?#3YcO^V34C)bGyk+X~dB5()ZeP7FmO5^h$GQQYVPn-+yde1A>YQ>!};VS-I
zuRgoVCFTrKO8D*t>;d^m>7Ee;Jx`MAdke=0sg}{g%Rqo(y`y{nVMDBBGuFLdNRNbF
z@kp7lAMsEJXBIKuEGSN$OU(zJ(_{h4?30vL+v+G<Zp4uZ)J1cOwx3qPbLBB5<YouI
z5)vznfpMf`%7;tUsXqJUo{Ek!D6m4O@5`PhgdAUrT1-}y-21XPIe?!u=2Bfi2MBiQ
ze;M?P7v1CFbwpCRYL&$1o{RTRjdauoq;yLB^UeqslU5(gcd*AZ3{hNQbovepr7(=(
z4wUA^5WqPPTrHuPTG$b2awLmN-<h|)yCv~W_R`{;{}&I5ipRWJ^uUU?wc9h(tDGxb
zGeUl4T^~F=)|z?_U77@+UzEHsy7h+Zc5Mgl7haMMq96ZF8049K4w@c!Z=loTlAGjN
zWxxo_kdW(A!-Iq}B&Xvy|6+PnxL`t}|InmK6c}vdt);g`M>U%e_id%9x*UavWH*Rf
zh#aR)wE)nZhb4yI;czn%Ah!sJ2V3+NCzhUYZX}<53uv8V%hw-DHL1|V);yZZj;_|+
zo+1(U=?gm!%CVk)TNM12rw@p8Sm5$rdY_2EZaPj3UrDgJBuyh-D`8ke#K8K%7jg{9
z68DWR+8%(%a!VH&VvVIG+$Dm72Ej7xF&?A2BVIq>u7l<L?zrIM3`@y&lsepnT&PVO
zL|tV6Z|!j0X=8V#i2qUAPsT1Wab=npP}M>zTK`=dkJb&<*`?E{$S^Ogy~@hxSV+;l
zbsq_p$vj)wJNX;0i)uL`yjYS+^q7|=rqKN*he1B2n4tD&1h?DT{Y5w8G|4%eBY+-{
zMEGYw4oZ<yHXAYl#>9LG-lO%Ot4WO1%Q3Y&JRYu{Dj!`|9holBB+3-?q)>{j=JKp4
zIQ8u9!7SD^nnzo8YvE_y!A)Lz#sZISOG`3c7JcE&wZc$W9jwmszbKcch)f-v!n=xN
z2`!*_If?SH`XC#Bid~{ZezW6Bz2wXyGyT{BCL56>FLpNpP^-?GW@yq){Axkj!7Q&h
zD)QVqOzCJ3lD^3A$y90mBwd7tY$IOq$4tTB8H+hpe8Odvghsp#R&5`G2oxx*lF5VD
zHIU&u9iB{JPAJnVI!**l4jeS*9%9d-6lM%csU*$Xv+G*ss&lzk36SGDX--rI<-?<q
zG7C~K=Q~hrppb@W11WfU=&YWaRc|{4;rl({>Z%TFNfdPwt10^Q+*IPHPZz22LaTy_
zhB(epU~ZJ#r6PkK*w-m0&m4Q>n!_~iMa1erCy4-FeUO6WIE>3q!<_)dC(~a(GWW_R
zKOAZKVwr3O8GW~--SNIBKx#ePhiNwd$?Sbz>02PcWNH~>KzZ3E1&rh>Nh}(myMM5M
zn}|tilijCf?UjQv99E+n-MNPTQ68fB47F#BA@1T;IO#MG#hn^xvul|$!Ey(2YL0@>
z$h<ai{AO-taY*XRyqZ2<+oF9V<>Kf(Y;SL*GG(|KgqRHw7823BEnI(dUZLk{xr}l!
zm)n*8PUX0~jN+MO8~nvo4p;u-7SZrRBg`~<0Jwm#hY&wfDE<11f>M7jG*MHfhFHnd
zOJP&q0E92CW<pTY^kjntcKNX^1Q}_XB9@xX(C{ZB;cAwop0FjlS<>mGEA69JycELd
zW$PQ_OKDkB`Q^-aA{qJ2uf|u9Yt-mcOP42^wAlw)n`!zWY+CPaC^+}1SdIZa7CiPM
zC1is?%<`@ncoTd>Hy_pgGIa_g&i3kM9ip2B076X!M(&1YO(5uy?Kl<0RaLb-Sm_e<
zb}gcA;ngVc5Fe-Vd91}c(rIzt&n27)%k^s#U2rUG8Gnr^w1Z-%B;=|L0oE88I%z&k
zn_IGj%331wk=mB>vhyo^C1%p%0p|_eue}MmMqHe`0*nrFZ?Wk-6lUsStRBhM&ACH0
zSB7}gXi4<8YfOlBHnSgv@xT+nn(|R==TJ!QCEybexsNXMB-&%&Wgz0VwN;xYwjF5y
zkISp-;p|G*(ze7UB3g&TEKVA-q8kV2%rv(}!RzGcW2_Tih!2huep^P$v(7EHj2Yit
z1=b)6voHRePKdbZbTz#29Z#QSq2pQP>woj8cG#{rr~&G-JuVTWb<ceHTyN*_|GH*a
zqpDjr8mgC<Az6Dt8BC8+9Vu;~rT?7h&0*wN$!(zHv<O%{F!omWUMX_pK<F5oz6xM_
zT-ZAdXj(Sryd)OJDOR8E!o$N=LHLRir2obZDGu9reOV$5mRRRW%^us{R<oCMhKDdw
zuQmIia&bLW|KgtPUi=CEHEsELpTBy)AeV^N?#S+HfaQ?`wW8ociM>p9y*baKJ#DG`
zBb^_x_BpkT({3-gd(S@ZNr1pp0n!(}WPayI?nW|{B#zjHrjY`&?6CdAe(^I77B&Bx
zU}pPTiLZE8(%c$%q#&iOb46Ykj%vxN{q;tg6L0r7*yz86?Z7L_ShPAEW<)w4H>HC+
z+&Lu1D(ZElZX>b{xURlIwtQsVso>WPtV=nwg2hKRj<357vwj{ahT!9AHXPRy2isjU
zuwjJ^6sZ@Rh8U##QlE~Mtl1N_@>9B<%)c?l($QiS6~p*oM#+U-F8ld9ZZJPK+0wE}
zkk?#LR*jE^AseuF0bZ)V6>HEgr^=hg^c2ltNvfq~b6MxgQh#A6G9~efx(VNg^ozbv
zZU)nE24DL^V(0ywb6o6z?Kfu*{By-rIWP^y#VJX>6+~Go6dCmSQqH5t23z!r8NLn=
zDdxji${<15CYZZ1Q`F0fX~l_#G3dD{jdJ_7n2J+zto0jk`@1*p_^?w#`e!<Wawy6#
zLOOLaMvL0ynML2}zJjCJKime)gLK@xN#dd7b&F3izN0~PfPP`Yq5QTAb5Rx5d+GA9
z8j*5watuJlJA`>5Q6)*r-S7el4Kytn)V58Oiptm_29$1(KfBvhD(D;axqet*S#D=G
z_TP&1^50Hc$N=`FRHOAiBcN`peLsnl03LNk8FYWx0);a<d6>wumdgdY6|c(9QFcPF
z@`UIWg_D)cJpy@xLu~0BPh0u7HUhH{J<Ttk1mO5cx=KiEC6J#&nt3UN_y=Eis;?IP
zhw{W-eoT*{`7Xj$QPVIL$UtN&qwlN3Hvfgj88*7I9;;_d7YZ~R&{OxLa_k0;HpzO+
zm^d_sMtHeGHn-t|vyKJ)G&Ni_bz5q#Cpn}?m;D#(sTvLPa}HYJ9J)(mXX9${pf+yV
zfI&@>3tBi*e6<$UB@|pLvTNSpmE=AU!=LNT0V&a}mA%)`Xq(c5Tnjer#B7D93?_My
zi8?nV>l>UXswbeqngOy{|C8iWs1T`e=|2w`a`}~VL>i_hb0ElEu0Sq3vGVI<r0gpW
z$HkoMFCHwo&X|0+i0l8VD_VIYPPlKFd*gi&1H^-t7Ld%Regf;%oHhP6gd#cNvam;;
zX!jyWLTtb@CfY|xWg0xKgB$w$fw5JRM>YSg^GUpd4tKk{=b<`qiO#-PjQh40X8oBS
zEWzJg1S+r|t5DZa3Y4Po*zavxAHIQP4h4Jd2)*!A-ro;Cy~RfojH|{pYQJGrd_9Bx
zf1+KCmmZ57^!qROlcCD+9hfFDw6Bj*yuzD+YJA+K1wFK<mvGIS<XLDcDnoE_Ga&$t
zWC|{80izRCD&;=;CI1h?ekTwyjL3E_7?@vW0Dp^F`6=#ZWGavfsm=d80+f=H;pW4K
zbQ2yKp=n==U&yW5WKMnzS?yky`UMV>3M5;$#knv`O%&a^KcYbI6m85gUFd@^x?g{&
zP$bw11ZZmEw0osM%ZEWz&hy*GLwI&i&AVYtfPW3ZOQ>uA%#f_SA#ZwCetpA$niT0l
z5Wu<Vp*75)WXElK)*Ju+=Z)mBgesFM_*oBlJKiVgc_QJH-64pokqq#jnC^4A2f5m@
zdvp;)J<$&?-)$oqSDHzpi-;s}l9!du6nNqy*8n55FuNhNW**^gZrKYs-f~X7sJ$W5
zil}`-h?<(OtmSYYd$-6sAs9cza)p}XagQ+|TYo}SwcOJO$rH(}d?CcGkD(T?{~$$j
zcqbzPOmDr_wl)D#Q0ayE&Qx)w4zA*BU#9dT;ax{Cp)SfH0ZPWw*RyguMzxmcH~~%k
zDfH@!mE}c`h!e;>mR*_w3cRz6mDoImdxF|PTJZMx1;fCJZH%ux(s4R>@1*B1UF=wn
z1Dil_a`jk51kw(5m2ni<6^_i0qxmNqLgu3yaBy&cFr)Y|7{R`qOB-8s&Ie4mg;~;5
zRI~c)yUYh+0e?Fbf;l4kbFdd2&EbKa8C+;I?^V3vAPIXjAUBnyN4|~s2VqbvBm`Zb
z?cClMKo#17O{y2xUqdSkls#p2X&?D63J!(IpEQFTH<Y0$DwCl$<&SMU5p?Osegj{f
z7DH%e4oFOphRcuIn3=rS##@S4bS8%t&F6TaKW)YANY14O(R|sgCr!eVl4WLE&-ZXQ
z>PtBaK_}j(njrmfDsf3uH%v?aBi%~1`a3h>ln|8t_#)VpBP9nm(y&tjqS-0(&)RnE
z0%Y4kF$}3?EYnpVzK^bKO$v6Bp*j&<$9<MA@b|^n3eukX?YL6`29pCCANQgZX-|BR
zEgou^@e_pF<WZDvo>+-}wBrNLN+pZvsl7a1tW#IsvH!`gG-MnAIclS>M)njvRUy=+
zG!CO?jDPm*JzwDplqG@+Q(QCaF@^G#e^%O;554;)D*xaaee|(Fs5aCTcvZ?vp_itu
z-2$(J9?TbZi(sd{<bn08U_FAPX0F9er;(fxHydQ}IMM1Db0olm_X=>qHWc-pjk~n8
z)5^w4jQ(=i=Gt5Db1nA7r}CSDDrXyAI6okv_$a^u`LhgqU+_v{@SQ6k&rz#UW6%DU
zgy;AC)pGAc=no6N>!{hwi*)jpw(WCn#v5(9+>o-Yy<$Xyz@Dggcz%O;g_)#2pSC~G
zKzQA%f2e%OLz00M+>L=XvxR&PN5eY1-|W}hz!UL$M=8=0(t4eQ&3KAOLWJTVYv}mj
z1N}`SU7GjcGQ{+mHJrX7M!TuP3K$}&_iwrQqNuTYJzG0B1U*(U$hd4A$Oj%7*Qm5v
z{-z4-f8};+GYs%dbV#!$1k`Z7jU_t6-;*uF=9BCgXL}HV^)x8KAU9R|!TKh|)NXqP
z5XqR{W(nc!!z*Lz=}l-wVpaccVzaj`sL4fZ@kXVs)Doa2x&^XgIxCSQR-Hw#JdkzQ
zO9aw6$jUJ=X{*U@Q;B@mNee&7eru1_{|%XwgPGxW!<dk|;x-;B)RN~WdCx+Mmi*0d
z$7=(qOLj%UA0S<lY}n|1vv!x01RJh*G{^j$By2VjSq8F>rRvbUwr#JBhf!lsZ-?hr
zjRpTc0MLk01pyN1i1$PI=-r2g4;I9a*-L3@xkZJwK&ir^{b`P2Ys6F4SRo(uW%W1M
zwGSHFbLaRA-Nl3T6S1cJeLWPq3bWMgLcF7()vb24DZ;*5VOhT?vBU7=%6F>=o@=wW
zNCc*QAzDS7AcDTVCO=vveB}s)u`|WDeY3>aHq>XCoTn7|SCxS8KpJ9J5p}AAAF8U$
z#dILe^L1L1S1%rI2gzaNrGDgxWo-;wNZwpM{6~1^qFM<ewFI9o(+WQZ*SqS;ptHVG
zC$gW|#6At(!iW<$%7Wb#6p}T^snmqfK4m0QXiKTFo%aKWJ%Skh(pSJF95kQeJ=V&$
zNaE=#x%q87u|K?I7Lpy<IDCJvxA;k5_~MNHA#%)f_-W_+?(=MW_$`_Nn#tU@SWNj1
z1!xc@GBr5^WNy9WMJkcoO1}|j#3Ef<N})Zgf9YbY8Kc{vO4+e7Q_&Z=1N~}_(zQfr
zP6*58W?bD%>}twHKh(SIESohRf^oWt632UpK5yT8L55Ba?+?zQ&UScw;^x(}0fa9i
zJ2-mVh-Kza{#s>;k!uB%!{VA?hO!fEOMMB-e_}VFdU9$TkJ#Rw-S2j<z>qBhdbvRD
zAg*eJYgzUaijz*B4hAvZ*E2n?taz~Gb%G)plT{FlYXm*vQ<tpmMNaR~XW?4mW6f#|
zsYaWyZx9Ig#OdLU<3t+lWPtVRmB2Tfkd<n{AH^=au0b9VTmtDYwbt0d=KR$;L3LT*
z@PgudDmv04&+1^ir%-vocp*sVLZUhEU4bEsKsR|^qpKA`0$J$b$`v~c1AI;?Z-sPL
z?S9!`X@5|YlyinsjPdcyxd!JMe4=Y{WatbUxi!`3c3Zcm8+S~36!`>;j*pn7w_?ra
zr@n(lkA|zff_}h}i2_?}#$c1}a=pyAP6=1jOG^fV<7Y8O$0Mz3+X7u*C}Aj(rUii~
zBbeRGq<aBFMjUr2O}dKRXb*sLa{s`*WhMjpHWrp!YB>W>LODwrpoqEl;N^-7;sjr|
zU@UotL2*vfXzz~c!1KNYiM?}5EW2~{0>Hq-IVba~0o(Dc_f3?><2xzVXxW*x-hrI?
zcyc+MwsW}{J^`Qc&8F&X>tLN)>0ZTdwapIRv(*AjhrE6eiTT|YzZ2u{fJG)Pl}rfq
zFL_rts@i7e;#$a=WP$6~x%`OPB`?t@Eytu@@Vl|tV6e62W=A*0KU0g-rY*v@`oiq@
zJ>Wqarding7Sflc$Y#&XUJ;vJDn|l-v!A`!wWafYyAWRD0ZIglKw30(v%n%BF*{-u
zi<g1YuS<^(01Dz5@5s*X3DzNEb5S_)0pP+_8|(6Wj4cUks>!$kNGgm>ijV8L^kjQq
z`0;k_qrO{<_KUY1NVMQ(Ib}g;IW&U{B~Z~^mLG|?T9S=&ghwJpS<z8KT0De**0XE7
zYV56OWw*Tm{OX4xbh%UTtnix0toX24{tu0yI{h99BQ0;t;1}E8mDY`f*jiyNu$w9R
zl&%rI>KVG!jJ?Q~KAveDJ(>Y|dH<aR$J%K4tm<HHLsZ|H^5Rlz_O{<iWtYA14kv2_
z(*Ikv4G($zks~S}9zbvl+1}I3Ostx;DvmNp;ZMu;oTSt=hA(FQnnE-FvOZdHA$+XD
zLBZG8-#{F`mpJ<nDBD|YR!56Tlefmq3+YJUI{C4lb-w1!_Q&jAu}0a;*a5*LNa+0B
z)6s@P6r~Wo2BYA02@5Z{cz+}fwehEYfz~}tmMDIk@KQ^NSyf|`wJ6C8T}pg8NlvI6
z>PUVoPy8wp4g_U^^sR?i3f1$%h1nx4ic_McPnw9CLF`1p6i3>ck75f77^C5M3b)Vl
zNHJNuWcg;F`ETGD9+zy3^(ZFFFgloH3@argcs0KQ>;?X3Bn|R}tYh5r0dtpRX&1?f
zO|N=rc;{(0ZZB^F^b-_yl?5i#$E4eqmN_^8P!WYpKC$63ZMap@Nl4H*5LFkRJ-SgY
zcFmFdAz0jUfISHQ$BYZ%r1T3-H-m>%A%jXT-WJ|xq*5C_wgnpB<8{dn`{y%va?lS;
z_&mpK*qs2Nt(NAB^Jt)Um(qK>0BB`N&H@M#f=svS{th|Xs8%?Y&@-+b4#lndFfnY&
zmG#)nlICZvjBDrG2w@Es%F#<DD=tETO<@vbFmnW$cZ~GRM5o>dfU+Mmr#3`FJdsXu
zv4N$5jNK1;2berx-|zAPyj`@r;to#e_<y<zoY@|%@>FdUSRdsTHafnKN>1)Sk0zMD
zi*#pO@>Nqf+2?HL0>_d%hqlT9;OqxBlEo-?{2|t|u0p|agEV|Oidd!_-8#Si%CL|l
zr>S$4obHtg)dg=yz3!qG$yI|Z?Uk8Rv5CHq!Mu)@PV7;yS@)GXSai6Vl<JePjG0)u
zjx-$2rJ!;Zn=Sc;rH4q;%L>p6f_n}xN4^LJtL(usnF;nGAT1U!(ppATnO^|<bP+N0
z@?p3=U$H6<a4bQapH9oJH_K)UU)@P391(NU+LP=oL6YmrxM_DY_ZV9NW+bIB8Ftn*
z;r)gP1ElA8&N)LFTZIjg`uedM*(X<IMP2bE(_RzfCVa0S4KihKlP2;>VmIY=a^#Zf
zw#8c8AKtoXbiIC}4|J&7qnS*1=F5+g^x9caCZt)fp}*?&y^*Qyrq&S>*Q3pDaTDWt
zZsl|$vx@AfsdKG9&Y0-YJLg@r*L|k29x+r2ab(R!VsZ$ne);w(#iE-t@GGyZ?7;R&
z2pSli<*J#|6>_BWY0#d;Qq1-?;TYl5D1~ksAKC=ZKLoV$RHBnr&i{UHuJlo(=@OoP
zKMgWs;X@<Lu3vT4e}O74HDLxBgtw)<1x)jdj)%f&?s-2;Ay7vh4*vB8B&ra(yzLkC
zfCfS*H~su~w-@di9Y|mMjr>Od^$CVZ0nD*`?<&mHuWeA)M|ayRRwG}`#D+43=qv_5
zB>4aX*s!_{!iV#+tyF3$`ryptK|5UO;?*%rGFgu~x}7>)xGCtcv~(6TORaKi6aJeL
zyyYutZL)My!b&No6Cn^nU}RJATQR^ww7&ZBrz2{H)mX&I6ro43C;3LK2;DFiXUQa^
zz+H&DqFTlW_@#JCviAw=?2Xf)HEk#(F2xnX;Y-(Xdag7oQs^942S6hjJ6LY4L6`H~
zHIT!4sEqV{ZLsB#u(Hf@Rf2&;-2a(<Li<UOs*(*TlN!Oi7(XR-w$gij2%Mqb`zE7y
zqhaty?*8!TZaTcp*i{2UkcGNPe$u4pR9(?DDshH>@LNEq@%=o?=}$Zd1_eNE{oDnX
zY-`EjUS_%YiW*!(l%N17&MfV3dIN|#WvJmp@zWV<Bk43paNC}F@0aQu>+oqUvpcfo
ztgRyS<|(a5)Cs6V3;~?qZ0u*ur-SQ-FMw^p*tDFwZGN^!NdptEfE1`ti<PRsXgN?M
zlpQ8i>XdOUApWcV8y*vaI2%<AqQ25sKnkw_=jK8SofOGx6+Qq&<_~;u1?obBRvp<O
z_ey)*h~HR!rBV}<Z_v96b}AJVSIJizY#D`%?VnVWo8`_5$54X!$alKI6zZmZAYpy?
zIUgsZYt~W=&cmg5N$eo8gw3kR=hUeH_j$NF5zx}N6;7`(%S-b2P;X*A?*l_5e%>w+
zXEjtW$kX=$2?za^LvqR?Ks!5W|5JLw#dDP6jmT%+;;xzt8&0RHX3h-j&Lj22<ge#_
z!rTnIp>Ql%ws5bX)7>Kc0}G8(*N@EmqtSg*R$)A}c!?>+==h6R&3Fob2o$40Tdoyt
z#=Ox9fcHQ4J{?&-8Vfx$F{DQtUu}wS?z%pV@J9hSE4{mB2E^XzFz9Z)M0k#+Z5+BI
zrB2=Z?d=O-R&4ZwTC9*=2J)~Z&f_GQwa_NT0Som|Qar(EKNDXL+a@COVM3YF|M5KV
zJxo_4-;k;KHimtR8_SWDsYkho6TZ*~wVfm*eVtJVd!oJt+a8tZFZs5O?Gn`qc4GJ_
zcdV95GBVm&g;y~jt$}E9CGK}i$>QNK(RQ&GwWwy96$)f38AGhpXax1;cZ}o<{4IGO
zQou#1+1#eFuVGYiRvby4<OeAC#lGXZ6j16BxvJZ)KzHF%VKz%aQ8fMny5h*fLXR=y
z-AFN&8Y<S<XGpC)+1gb*m?do6u3mn{vWbZ}{bmo457()Il7UvS$7q(+iFodxRx)Jv
za&5dRS*Ma(uyTURQCRUpH>LSxVvD>vR^J1#+K(9a9=E&)8kUw~*<^B&TuV;InVrUf
z8?4ss>15lcg?roV<n^Pu=fr%=2LY}qcinq?eD-$%Px!f^vg=w#_`l6-I}}_MZyu1|
zl3eu<6(=0_5E+nSAM<lF>f@GGY$w!8kuIma$1`q4OPg=6E~rwiQm0OE(%vid4hM0H
zY4kTQj^(Z*N3N&xX<#s}shR=nR5ll1!k-(1q|)`hpV$iCg%gtpvW)$to2bD>iJsdc
zE0DB>l`tT4dt(gm_>XY~7JuF}cvhxK7QbWHZ706Wi;CD8MP+}|(Y6f>QpY)Pz~zu8
zpX#6mi(z7x%Jvy=hlBA-Sm9WeSpC-i9>hGK+^9nE+L8kX-Qo~K(u6c7a01uZShd)0
z<=a^6GY~aFnb@7#F_G=ddA&;BQld6%2>q>&BwlD2ot`?S3=Nl0>^v|8z4}>)MeY&(
zPV!3^Yk69_p7uTW>7j-EmJq^4uUonB=8$3xFxBmQSlRe`g>Bh}rL14qQNd2c3T^(_
zs&!hke0osITXd_AU&d~7<^l&z5(iMu2fY;=WwOzkcRg~Ew?REjQ#c2MEfeMy%}WI#
zh$<5lbFhggAlEtKoSK8pVWTQ|tMv$lO|*T+^*~reb1HSZUll+uIa>_JYwD8FgL3Y(
z6>812D~Vo~H53e-erHyhyDpHEG7m-Ii((3N`nL|3^2f_J2ou@2uBf4FU_<z!hmO&u
z1b@)fr~*c$T#@W|r9<H;#9w2ZzQ(q|`$@k)$+{EJ1+$8?<p@e2z?(!Wv~JR)Q*pas
zS*#`=_1!3tf3W@!L~5nsI0{tgF}G)5)a-*Okg~8*H;V~+)a_3w<H94HbdOfhmrRLN
z=jcfij{GM6UBO>#pM_t+HT{szVbGa3Uub-UB_`C{MP+fN$;>r$XlKP_AY$NP?X0l+
zIr%^<<s75l(ZAG2vZ&k&*j_I<`UwY{#r?_Ngi*9kQF~>$C^D&cZbWLr3XJf*B_U7w
zPjVdfV(3PX5b|6=cPc@7%&=HN2jmnJX1zqiQ?rH|<S-_|aP|um`q#EskRsa<=Y3di
z0VQWL)AA(0{C$!Te%^6S?Q`E;V`S7QC43Ad$3D8oT8kH-e(=Lbx!pvj80nJl-&oED
z4}jpsgj&#yj`SOOY;2(JcFWk8*l%|f5T4#=W*F!4)r6yGc&&}M8%T=e59A*uq}L$Z
zAZ}<7`+vHYWITeHAT1(!A6cDhbq=5pcF)vCo0k`y3(7{`&<r}&UDD#xps?0(0?_n=
z2P_3Kj?xsh34bhm`?yY%(|;JL>C@ksBrhPO_?ia@tFLX7sXWJ!lBFC5#7E>By!LLE
z3lxQ0MyWg@g*9k#3z+lo!eT4vxr%pGT+lKiY?3Ob@s;DWEX%;`@yAtYkS!bmwG;!u
zNT)?6V5lQ>c_-T?K%2q)GzX$~43eRSAUiUg!BG#^_`TL||Kg`z)j=2v-3wTL@)#m0
zGTsK+8+2Wcv*4r9CuR}(<NBPdcmvy)7Fdzo8a;0RXv$lmSXKU^X5ZU+cUho$tn_)p
z@$k7K#G5p8HNhz!M`3QOgB-GYYV{JH*JFZ4+)E#6S`l_Z2S;>VSZCUR^SOrtD{`V2
zB%a6h%Et-FSnyUo-)YWwG1)+${5^xR<KAY3%=e$c-<3vo#B?=ong7x_<4Ny+ycr1O
zpZ85<$Nd9|@&ce!Ki6g0^~s{$2_g<mEGQYTzfYv`MXwPUxRb}AZ0?bPygTRVRFKXN
z;x+^!!1%t{e{GkcG1`ivAdpFOlFcVMZnYByb)Q_LAZJp>eK~jU+(Rq#Xo*WN4r7o%
zN};E2fb2MrRXg|dl?VCEt)cBkEdsJwY6^|H?fMH`615SG1~5jd?JWM}!_p-7s&RPV
zsVKM#<{F{~4%0-em(EOYD4G&(+SePJ>nH*0y&wLXt8GI95$??4TqZ6^ZFcLH<%r+T
z3ZK7ccXCJb!EXY5eY#EA&88Qa-}Eq^o!||!Wzph~vw6zcpW*Z_dG5Zcrn?Enk4$mE
z>>femJqPvKK(5qXQl|$z)iC;k;4;T7E)^WR6xWQ7#(Em_rcC_ZKF**cx>PLmNn*!)
zB&g`PY@gT7WLrgOTY7NStr+xKtW{e2V=d#Bp|3U`Ab#!S3&LKAxD-ED-slo4DAxmU
z^aTNzu}prx%?}!O3oz%RAJR03rRya3jBABvd6=BVuZrwgNwk`963uY@mwtf(KEoW?
z4i@cUsMMG}szWd71(>8yL4SCtfPP`>alFqZBNbR)*BR=_XMIpXe;^6WkwID(xbyuW
zKB6G_U1iq&w)?&@d1K6;|7jBikA}_T<-t8U_Np~%fR$kUF#a?C47@2>+GU_4_9TZw
z+H{fJ?Ty#C;97hy-ah2mTr8>_hH?@Ci9WP33|_$#kbucy1KS`I{}k$rAJ4J!8|H8<
z@Q7{TNx49UuUv=H(j619<}|U!ljD-Er%BI*3QGXgc8W|5`$1(vVcTwS;&OrOyUWbH
zmQu@P)$0;6pZ?7wcP~DWbO?^8Y94Ymq;ZB+yf0XLqB$3bn=CipK^EZ93&R6`ZQMvj
z_tFEozzfi!{$A;6&_nMp{%Fwi6j5v$fj0WMxw%w2G;k!frVxk=lpbpa>G>_#U$Ep|
zp6Ed*oxBAY5)@a;F_uNvL|U31>jzhAx<6<0|I8}r1!xucXfBD{in9EjRL@c<)t*iT
zVO+sts&!46TpU%y?4`F&SZI<NGsv5%mLO98{K3m%w#b3*I}wSS9ot05(7P%WemFn)
zo6Uv=Tw`~o<B4S&5et#m{J6LV*{hQ_dFPb6uNik}qsh;~>>Eh)&a$>hd*=htqXRhl
zRG&iricA^L-+Fg?WZOu77cnFTrgfYfcp_DnQxjUKLWS9N?kH}DaurpZdV`ip^&|a^
z)4%1t8J8^wZ^8msMP<eViZxGz2me%ft#KGAvBhy`%l;%$QC5RLYTJOyO`R4fWRU5y
z0YPHjjpY3mv0Efobtbz)7@8{p=7<QQTDxoI+EOxO*4Oeej>Nc&9Jrs)Qt<z@vXKpZ
z0mXma_a~wm&`!h3^C0ElfT0|xGbv^4t#5Ivu0K!s9U^|L=Jz6iG{rgj!#5M5&}^G{
z`w5oG$EdhDM>sw=<2121AQFmo9#ok`561N3U7Ik3doMX|4JLNG)D(X7%$tzON>g6U
zHft!Biua8d$ce9+uIPKhQJ80q6b6d~p&JHFRFr4+(TBO&V@_{t4#flM8bAf&AA4#c
zr<pM>r>Vybp4yO9LffUqF%Ii|d>+mYJY+H|wEOD2N^scWdGIKo^;s*yglVuZ^Hz5d
zbuETZH4g58A)KweYpAEdD?3g`#Z0XzPLqe~7|7FBN>@P18xlJr1l$>gGE8EXS@v<d
zR>xAI;jHQ2<cHG_iqA|G+c^b&*pqCoyii0PBHjfQsE-624(RqZw!UQV4}+{7QQR!r
z`G?%UDh}v#sa-s}d)%{q_K7PaL<Upfe?-~VKhKqc*FU2ULLJZ;u>E4g(H1x_G`e<<
zlKwgS{M(CCygiBpp_5N<l)C@7IOljSy5fx}#S8e1vCLD7wt(IOd5l&=g^ujbmX<3Z
zhu~~Fr;)DuI4b{$3>4j9&oCr93voN$lH77^leLn|m^kXe5^tx-wQ$5KDNjR-s;+_Y
z^5OvhC~PWg+ZL|)%1nhv)v0owoW5~lZ~Gn!LLxbQ&NsE;uvhqrv7w~7fU@y!VI{)+
zq<Td<5ML;vm!f3z(ObVrsf@;yxgDGNL<zr#TuZNpE=ahZPYeeiZay)PHd<N7tM9cX
zF){uyv~rZ^wV%XwA?&hmR#KI1tYcd1R$`<)qOf#HzC?6LxZcIsb4zH|NL=$nFDwLd
z0Rr=ON9;S*V7BCTz(RUraaQgJRT78X(lZ2W@^Hr8%}_q`Y@B}!^>ftva?y<Dzq8!4
z;?~%c)feXts)P83+X{*mW6(p5QRJYBitdzyw?31dp`D7_3rQPm+d5Y@R&`dlF{1bN
zu%C2>H0ti(G`tnSz7n*u%iEwbiE7h3sc0=~4D(_4GuHBng|S^#<E$C=D<wsEBM-KF
zp6v!fi&mqp!3}Wkg5>O=*_`4oD@z+49+kXP7jt(Sf5YOS?r5Sxt(M+Oz|W~jnFY}#
zh+1czDQFm{i5r5{ig}U~$k!Jt1zz&m*}%_c0S;+m5O!b=hGnD1vKYyJQp@Gl1fD<M
zeR2pEYzjS_4Y7c%s8;5r!q8&DG@h#nKz5KVc{0be+SNQXta>qDt`k>BM9jy^*Ve9a
zE?;E0vCqBgw#VMv+?6ZW`1-0JkwX_&2JKjW4^V}hEPKL-s+Cmm87_kgj1n9BF8l^@
z2-FY#^65WH)Exd3C(qWBom|%mq`6dSP`D$)K33iM3&G0oAa9tS2Mz1DV+@cp7C1_E
zUt3@?T-AjQ<OL;1?EsjAv%?Un*iGh*m>*__6GtBrgf5Z(T49dIc`@uBT-J<+!a!)E
zK<JAT_V=MYn+VMOLSy2D!j@ZbX(jyAgC^_K+B}kLe9lgW@M9_xi^aO8$)nHrIp6kU
zesZ_AgNr28wQPIsiwe`09sw)R?_sz`m`o$i5(pcXD^foY=(!^2B3YiKTgNn*ej)cI
z#y0m+jAMFVX9OR2m$=|QWSH|?fV0%L;nCsJ(?()xn~hluK8}QxEgKtjBNi1cP6`f3
zdN45Y1pXk$-LE&lb4Dv)QAv!};_q%U{M<wcJ}*70#?h^a9rw9{W)8K>{FG9@S!M6n
zemPly()NPeXC#Ot3xUa#5ucu;;MI|ewi6olxiTIub~jYXd!Z$nAtKc(P1C_4#jYS0
z<(<MZCD#Nc$7QL~5W3!N8S#)>D6~M+`CS%n5k;R7bu+Zm^)X^bPYWVxDT}0AUvU^<
zVUb^lydhS{v0(oLaB9uTikqygWFmPbQ^nSNizKC~K;jZ$e<N-qV-eP<d^X-#{r!)2
zGFy<5Urf4(*`w{~N5gu7anm~H`qtdY+lYXrTf9Gt!8hU=MeUVg;!vtuQL`k2?f*8=
zvhsSne*$o(?<T#!M1Eq|Xy+JBpIaopilR4khPAqE?5Lfy<!)3^GujFCE+A76D=k9~
zYKG5xTDA!KQCB{S0)h&U>Ek!$q`ne69{C_W9U>EMFRyw$fHbE=JEVcX;dVCcgleGm
z_`Py^7;ON&Aaw%Q<wwMrDp^=@^~7kRug<1ho*8GuED}v>`J&v-7p1`+Pt(R$Gz3go
z{*ur-;m9D;ID1Eo&Nmz4X3y-|yCNH@6Lh-Eaa@qGhvuu&;y}hO!^B{~`P=ICw=Xkg
zN6wR)rsY&B^o>cSyi;qP5qAjX%IvrJiNR6Ud#ndeE1G#YF1Y4d*x7twU6e%LzNV8@
zmC$PP_F~3@OT6EaA^v%oHMT}f`;s^1IHC-Rvss^p>S@Ej*UkYq+<&i=^>w?u%5k-U
z2y~*T<S2{x(SbQEvIvry^<j;nZ_{P?!K<G<v&lF=cUrNCz7I_x<ns#8EW%i4Sd=~f
zp64--m*=T{e8td%_6*0%#7CWB`;cfoeU$_l)^*{4i4H_^INO~e`0&JT$(xS1X3Y~o
zh&`_L!JBaW<Tz8O8igfuI~=p6%8=kM)=11JRC~k8H;Of!>=Y-9aAufM%Jr3ocJ(F+
zr^jtyEWqNx0GW6VB2oYsx4B82uFrNw1?AQSM(}9im`)DP34t&HKZ8!ygY@XEZ4}Eg
z?CZ>~7cwOS>%TtmV0_nfbOWWWf)u8)n*@0=0CgEub^~Zt5cgifzTZioxpxd}{15DW
zrVF7{uVWc!xZKoy1f!x4IM?VQ_hUT`ix_NmZc|7;_xWA;jD7IGBg@CLi?v}hi<l^g
z^dQPRex)t}x;}|p+r<v*EB8mDH;xl+*v!i!y%mP>Td0|w@v<GZP|CMR=A#RaoK~uC
zgrBMNY?-y-iU+%ig5KCu<Ul&2b5zw_z|BY`w-7k`7Vpr++ca>wh!fF5219Q@aR6RH
z)%<xMpud!U52*XS+I8hYtlnn{XA9>Fz5mg~O<gdK2>w%#dYf&ap5)@ZkWqt9N`HJh
z^e1MX6jivv9qkKg>Q66pa;>{bVg5__42ZvL_8UoX+6`=7;QTo@Q2-qi3pjGGt;^m~
zx6qs+9FGd~mn)xtMQGDlNxSwklL$Y;(BcdJ15*hZ#Z^k9rYWzVLDi-fP0F9L*JP`q
zm=+47*VdPY2FDaD5$Ie1G?``Vl{d}da(+W8*m96uJ93kI4^6SB7yQdl9$jB^3mDV4
z(v7#b=Sw$CA@h(Om=9F`(xIzy)s*K^f%Z%-Tzr}JD|AyNbv5)0EUo;g@|lc$$n9b!
z&{kbS=|hTyT@sDMiZ0=XYZ4U+hS)>Afb`zTexyP2c7TwJpiQR8E~w<dO-(NjN(+82
z<{e>gQi7}fFNE_Vs$Yy;KV1k;Ok_kGxB=0v>*B;c#R7o0Tqw(H?`xyt4|A&j&eU)~
zzFU*5W9^6t$%4nt4V$M9rBR7$#?YG8gL(%IqG5J98Gr3EGqqYW?%ZX7>0|ZjtnuRo
z5hT0SpRLO(H&0mri9p1D)a5e@``_2S|G>%sf{5YD_v>U*oRmYfU**o4{S9XdU8yQa
zqZW)ICFILX`_r#b`+d4{wz(o?kA3o69MhH3Z8b23xa^TOU6##lo1$%jo8)!YybmP1
zrzqW+@8Z?9T~@KrRGW_0$y;TlysW{$%-8Ut;c;9_bbEpa7%nmV;+WP7rLj5c%Njco
zDob-TFCr0{IvGT(l1``DO@nb{jISk2=xll4EvemHXnO?=L&`L3g;9Gh$MMCy&{;F@
zLV%_gL_^G1e8I_3Bs$LN<Y)gt;{=J<<oqBn%h1dT5g%b2a^GDk+EOn*_7WX$34RG9
zn2R@@vZ$YskiloM7uOGi%V{NHXo<QvCE>qV3UQRa?X(XPjFT)I@VOxGP*@lMsGeVW
ziHuCq#<6QKQ_A8qvidfa4jM?q-wxe0xm|O9=cTN%Z{s{of7H~Q4Dm|Cg_0&pOZV4o
z9o(BaJpHGahKq7%OC3})<GLHi1u5tv6G8dGE_u5N8&3fA`TfIS(Y=<?%MpcW>~0;W
z%Zn9Zx53ok=hrhtQl$MEb=^AYR+JPRA@~LC@=PQdmOS7dv`>nuClu^8E9{i0^41Uh
zQP%ObgMa5{vroj>rAHji)8cg*H+}B1h~=GfYR>{D#5GxV`^Q(+K%S772%z`xH$C7Z
zKRb|p2YOBy%cABNI4e6SPM1|<^Q+m?vN4rgte{{c6EU%Ztl*G8{FjmfmNnJ%>m`{k
zhzu9##0;$Rs}nDs?elI7YS7`$YO@?d1Y$K(;DcjU2vd>^X*&$XZP6)4MbW^`tB-0}
zV=MdkmNxH(RW|-i-DEnLXK4?AP`1&>>>=ye^MT@Vk2B?QWj1JmB2;!_p(uY2!U}te
z*yihkARPGwA_+6JM_tp328xC1=Np8!#ar3-^(iMf<vzMuCOpT)6>xuXUG)8^W}64+
z!2)J2a7)Y_H~q3CO=Rd~5K@X#rp9aR15~dV+z6n~vvs4bXcg7vFku(B%R+bbzAnNF
z(lIO2DgynrzaPsMcN41a#PvXA@BI^HP=Y}N2?-;u`c0zBLw$=y9v4b6_Q294xIq{i
zJ0wI1+fi2K!)-o}3lVM8PMBB4g*l^}>`l~D`YY*n(^f&S3v*Tv+}BatdKFW~4N;A5
z^PEvt^OWBgu0pW2BAv2<KzBzrYKE{7r3Mc}PdK6NvQbOdGJdIbu*^)e(Yy2*O(i29
z9N&9sY&id2arpG*(^<V1b=^iloznL6JTfmIu;gmUXw=VvH-OxcK_XMRAJIyo#Tg5-
z_(K!Gb9ZvVOM;oOxcPK-cbo$bYjrhshv<a~We7EAc{|!++dxmQDHuM8Dm)DT=K|0*
z%1J3@&(NhRKY*6!jq(WyvoO9s<{u|y|1aLUBdMe)00lbFotq)?g-k2<$6*+5e&3<)
zDzfD(acTS^Q>^*)9CWIwvevrVG?XE&;1L@_iAMycB?}oMg;x^dZPZpD2}ICAiReW@
z7DKq_{^nR{?%@Oj_kwJ;p<9z5Q>5<Gh%#&mz<whr@hI3ey~rIqSPEr79W6AHNGd{+
z75%%ZzxTwSq4G5;S*Xa>$h7bBX$X@#jKx>7B>(LHm*{NTY60uF@#>J*+L0`+S@p`e
z4)iac7#U~A$+c)3<b)a3$A=am^cV2XON|`{Fh6W>`+*f=@cO2B879InHRY$jskp;g
zG!bbEM@<D<q&6W8^Xs4_5SsM}1sViz3<dNG8>mMS&BxTnb0hd0FWmC6NB19c0@r}T
zQiy72dsxoCpHiyeE=;HS`;!pyZdSwL=%i0;=diXQROU6{YS%nRwufPZ^N`NXoGAm#
zgPIfeAKcdtef^M<liiN~`%v3UnY%iJexLBq65D4%g-SI>QmluTGDeH=K`-|^U!LhY
z8!<>md<&UIli|lE@I1FmjByBtOR*Tj6a3WFlfnZ069Q=T$NDKBby^{Q0$=`?A67xR
z@wBUrRxPxSu=pjW8iqwUYb`=)E9PI-ebLn6mZYZ;5N9i^<bF@zdYtD-O<rt!!9fKK
zHqMpjq5jRAS4S}EerH0fcAlyE>)CY`*d!w3bPN1lwr3m@5ADCkd<sCA&tqA41{p%-
zcsZ!5|3iB8bZ+ze-I>U;Fh@<|AylEoqo)(m55urhp&vGZ7dHZ_&A*AkB?Q_>;whV~
zP%nfX24Zj@NnSLLEF0_pdi6Pi546sAahZ+~ocV>dKbalTmydb$5Ts?K{y9TBHpn^^
z09(TJ7i}H%31e)G=jQ~QwBm>@%8#%mcph(e%Dq402gY8l0?LJndqk{kR+B|Zc^%f@
zwWOI;ZPZ{VO|8ahperVzhNv9&A>SwH#*&=oJusz-(vUOgkx3#r#Z&pvLI3re&xS9q
zQJeAbn~_vCu&jYfS{Zk@-Ku^D<s(FaoD1}^^|t2h;?4x99@X6>a$aWdIn*`C%&qVI
zpj$Nwq(JERf{)nrjTbM|1vaRkQ_Fg9v_?ZxvEn)5O_pEXvtWALXnJRVQ5Ge=ahF^o
z-&t#VB0IO3S}YjHT1nx(`~nPaTs!Hq&8z6A5!2~ehQ1@1`vK24X;2`_e>PtfB|u$}
zW$!PbAv5~6a-|m@uC>6a`Nr#UY1Eu&I>*o04B9@KjXUADGGc0ZQ!S^!To~Lm6dMJq
zvgSC01aBQf{Ep9edg?{=lw=fXM<|Z4Yj!D{Kf9#Jqb<T^+5CCoIzhCJf>cSGEarc&
zn+*uGz8><O#lQe|wJ+l?R}9I<M9betqX^~Wcb}9eHGxAO6&T{}+&cVO*ZH#Fl9yQp
z+Fd7WL(q^W?y?aDw?^!a{wWc+6dQ;SS*PC)N(fjk#hHWejm$J_g7n{hhQ7_R$P-O-
zvn+)X)g#X(5=Ix1I?TUb&o^FEc-tx$tVQK<Av9htb6_{h0w(N}Kn)BOF^GlScAZVv
zKFWA-l6a$TZcW!pgiN2TZQ1Yh8+A@zuNO<Xm568ux3f-N2|M%@$~}=Yh<4l=-p#Y1
z@{h94R~be}=h2adi2;jdXK)JI3_JM5OrrGMw&@aR6KL5J1V4bY+d^mzXhwR!$rpSV
zPw5&@qH+u`HL`rtg#GFMz7nXNq$fGISiiSR;1=I_767u#tnj9RQSAZyq<f5qH3YvN
zfRSyuMFA_=Wb8j5x-rG={bvZVx%yi>1~W>1__~)pO8Xyu*oF*-p%{Ce^|%*fQ7H^W
zhfL5Ei<ZSsYN835M~Y76BzSif=ONlWoRYAke#@f~SVsNS;SL3>eh1&1OOK!e2ltB&
zxMpvNwu~GecP85EY^#b@Q1WOxHZxtM=s=X{KWtFx6~(fhhntQ;kTq=3i;Gl+<)VxP
zj^`)HW%rq87^?n#GX&NW1b34=g{?OQ-TZ<U;;%l5kE^dA_vYxl5vs-vCi}*~Jm0J{
z=oa$KMqNs8&;apX#(EHy1Ku54ey36#@8gn*R#sjK2I=T`*?O}JPFA~5+>)}%&(9Nu
zGq=bz@c}{VVi8W!eI!08S}ZttFkUeQfy=mEQ@oD_>GZ_QBnp$lNBLaB##uyl7m}Uv
zTAe=O;^*6+{Z7rPDK?5VVy$M#RDfN>a5p0ZKWho*2$$1&bQK%no-hx0Y!*PzOlXyE
z$8oCo<1q{@TMw7x?V+-n;jC%2**cyp*F1kSKsje0KHvKZBrDOohB`YN)3i5v&HA^%
zNoo7vw(N9~DR*ZtxxPL5Lr{g_>-O<sV2|5S^u<e3NI`(E9lV57XkiS$QFMSS<Ryr-
z;JJ(U27|$Y?Co7dt;H-KMrk0AYaGq-Ox@b(47K)@es<8ku_Tk~FFv}+@UN;_2%I8c
zPI0wjnC`Wa%SXVJYBYsv`jXfw=tgD;?;_+TRs>SuiEF(dHfp7EFauF~Y=~QF$=y3N
zCp44xh;$8_BQzClm}B}Ts43nMzF&_aGnWFi)W99imh+H39#owD936;3`DQ3&_6K#%
zpd?9AElWldb5`MfPZKJIj`tDq3v%McqAm>@YWkaDtz@9hW^M_|G!+QYxc>Sydubld
z5F;xw&gC>xyMAJKpbm_9gI6J#<|V<$q6xS^AQ$THRUVxJvaOXnVhlZGwk;aW&y6g{
zWToCBSh}@*rHKM)0aqeiok$I<mK7I;&zwEFR`_@L*txw+gYDzvsajC!*3=g;`>CmI
zNW;iU9W{s<wu_a)yotL?LgXsMAoyoc!Y^jOtX_>Si4{i)4=b%M9gdmVqApoyZ4|C0
z@|u!xF9>L~3`vg!a`1}{>Q~FuXx$c&sq<{Ub0wD7Q{4t+5H&Cac4*ff0dPGE_lyJf
z!_q<*YzQA!spB{pux{PzI)~A%rMiodsn}n&)Z7J(-F5)M&ja^)p!++@l(Hy-vF|oz
z;yK>kJ{&sCZ|n-SO~ygM@F)dH*L*Zs%7OsyWJf<w*LZ-hVny6&`N4u=6*u@evg8)M
zHbA_Y{Jxep%2c-%7M8A)VeLz#*4kD@%vkSPMscu^EKrlgad6*~oEV+8zU+~kbmryG
z^tNA_*w}aiy2kxfV7(^U``e=+u7uPSgYC~0Z#95D0wB$78$cav&_fRTymslr&A{WX
z{tM_lOkc!$kyAHKkR}Vr4pgc4whv@uEu#dMgokApg_rM7U2&o5BEakma>R;u6seX6
z4Ae~FR~g(#{f7hm-=BN;vf`6mf@OLF`hu%VnUVE@d>+jBys0B!6T_94nI_drTX?kF
zE=by~AL=QE4xQ9f=XeX9<Ksl{8^iBcHmU>jsT6tZOw8X}Qri#1*N6@{$LY*!;lu1)
z+SW-UfH+bT9WG<aoK2iWd+zR&TxUV-g(ONS>2R^wu!JOMaF)hjGW(JG2w<b$8QLVh
zI_Sv}srP0GvauGQ@_hJ3o(+h#xQ`@ld>ar9+kYV!Z$a@Z_whJ~+*?F(3_7EQ{^tCv
z6r8w01g&1S07pQ$zoJM>I8ClOx5lz}Um6&+)#5H*NITy2|8Nm#Jh$N+;3-6pj@B{{
zP|3mX8@ff{Jr0|y_H-Zu&X4j3p|r5thfCB4a#+XGvN&Q%JPQ;jYOOl`3tb2LX<b+c
z{JK{r>1BYA;RazG7G5KS&*8I}eXD=&F}tt|8e)kEW#qWKw^K(5ieYP)X?^v=@5r^Q
zYPzTcW_6})wfH0qoo(05p}ux#cV4a3WHo9?Y3sUx%2Z_(_<Sb)0kNkOu6UP!C8rJz
zc)V0o4+rv=u*ztV&bT1J(wF|je=7xVM%z?a+D-%Yk!Fot=|%`FaHF9HrcTa^+2`e>
zD&>tkRhY0YXL-dm`Q-lB&A~}C+zxmi*RHxp?uw`wgXn)Ki(6x9p8o)h#$3~+!b86{
z=&MysMSSyU)mZ<j3JYx;MOYICeCNH|GR1I55qmo?#gJvgdK|&J>@gpuip#kp<c0$E
zxEjYD>b4^Cg4kq7Z82416$jMr->K_ZaexJU!Ru~kp0mRpMwt%3>*w>7@S$Y4o4yqR
zc9SxbE%?oe2sbhlY#foOkB>IMTTwJeb(7XJb_Rf2%AG7+^l3=I$VvK{kBK_=ITc2p
zCvF3p0PG*g3z>0ap1-~uON>IL+5f{j`od82`qaI6yOB}(!tFo3-Q<|IBZ61F#`ITn
z<{iK+m|w?2L+Y7;m(-Tk1Z)i_8c@8EsK!*PayXaN^UkvBj?o1Xcg<B|E9ZS<*JbWB
zM5{cyv4$tyrb)cKi2W_!TXi%{$`sKDb2xu8A&;Jdzq!4_XvgpY7K-uS=1)s8JHWo$
zW*}&#B^K`hFG%+uMN>L&HF~-p1EHoaz(@GRfc_SkSCZUnF%1E28^Ro*n4rJDulIk*
zJ*%$AT_hq(?H9eDyTs0o0)b=0Ii_0iD{cInE)EgQTAst`J%5-<Bfb@LJQTyc;53qS
zUdhPNQx_?%c2X)LAUvSug~q+oi*^b*&$+xTEnucbN^o1%$jB_cjZ5oy`u1X#xSiXT
zV*A@BPnZ{OfdL%NIe%<{3WJ4-g{ujckr31wWLs%*IEQEh06tx;<56nqw`+Rx)6t%d
zb|Z@}>rxUHi47vhf5qW@CUF^EQ)Aw=RogLlmTO!K=@zQX9=q<n<1I*cZRWG#GbFgM
zlA^uP4%qf@uB343hYXxZ$dJH&k3c@oBI#^~YFihTYQj~j!Yw6=bQ<qSy++@Lm=-zh
z|6|iF-cpe>NHdD{Ub)Q^awu%oj{_#@XZxzhe<WCVb_^-!+#-qcg(VZ)39s5X*Wncl
z_xOmz>kZ-PtDrD7d)bW0hSsdq;E2eJnP+XQI+LVWqpyU1(1>j8Tcs9)DsvDDQ`?2o
z|A_~L8)JaIJd3AxT-gyf5VvD=;VS~-b89JMp|FJoiM_AD5m&n)ZqO15j%lR@8ss;k
z9qzb!<0>@V{t+jPMAvZCGV_|)v*sem8?qjIPtvu4gg;CEc`6!YP@eXDuxEZevJU~F
zv#3V07gZvFRd{%Gl17E4#=;d%h>k+Sv-Na{LS@He{1xosCQHa<!@;DNF{PzlTy~Kq
z!}hptT+sq8bKpr(qHe_b;`h0SkL~3qnu5|fjp8L}-u8;fr+JFKsQ;^2eKICAhqa}D
zy0sEqyOvt{VK*4P3S%vPys)3Y%v8qn%Z&A42G&570W#+N*8Va{_a$inYGsD9F;Q?_
z`=jkFWTKn65ZZT4aR8cOq)0f~5poG-_c5<v&U3O<QmKwKV`7AAtsb=z$ulWM(P?PG
z$SILHbNQ(~|7>~-lQn$nv_q>q)yC%c3EYz!^p`3lbW9##2a+kBn!FFHva^%8Vto!n
z!{RoC#+J1UcNKvXd>wdk>3~Axg_PJk{28`fMw8pa{uE^h6<S6u`{^JIj*UmeD)8+g
zxq9>by-^$m0h;2AKWtZ0u(^~_Ss(D93N+6%A`jhtQRv$jTVEAa^dIe=WPd}o=awSL
zJ~4HnuC^pD0EvSl@32{Zz~#|9h69BbnG&X@)>G-PhDugexGk-dRWensj;lu=*iJ_2
zy!0ce^_s}HGDQn~7Ijmj5J^yJ^r6fo{Eme`(1@Kf<E7=8^Atl)L*IFO$|s`q(;mp4
zqO;VHaE5B>e9s}e<Dn^>oCH`oN?i1v{AWHCKCZU91#8_BJ6E!Us+q2k0mIA0YHmiz
z5Q8c$>%p2V%r4b`Ev74PHDEMIuuL+*H;u5e_xU_#OsXKSLMW;nROAyCLq27ydRgDr
zFHgJ&Sw7?-8x1}Kq-SSQn)vK4D#aKwW*XppfavxTECYz{Bh3WHGkJ6RJRn{=EEX!l
zJ>SHWD>xca%Dyr+rBzRvI||FW;_`!WWs?<oL>i4hng&W<Dq(!nV(P(~jsjxx&*`x$
z#2T(X{wQ8K)$;Edk*ok}K~uQV0|c}<wRnlOwj%mz*(ZEBrj&BvqDhZbYUFLT?bhNL
zLA`P!*q(%uy$N-<FDWb8?wN(t8Vg(lvgHacW_H&z!-IJg@T5~qph($CFb<0dyC;E*
zH|83L-U$RU^Q5XR1^#a+H1KJHI$SMPu4yZJq>yHin7wd;@f&n`Cp}<N9y>xEYz3(U
zh(wVe3!w7=HJh5B21diVrs)VKJm0EYLXhkfxUupD^Vs&PLyI4EL;8($Z<$w2*=X6;
zX)?#SIs~xRp+gr91a)A%=dKQH7c{eOp07qN*eoik3RgNrIxm4mkLub7hoMtH;kc$_
zR`TaYcziedREJD8gq#h2Cdq7}iCfr0W)z)AIPSB)uDlckG7G|J?wW+g_ZoKR++ZY%
z<H4u*pXCy>7S%2iO?uEhK7(3t?Uedm>;3&c{j6aADl@+$1Fwt+|H4kMwL$Tq*ACqc
zvSGy|m{pS$l!7@fjfmk)N5$^kwkuQjdTj<VRUKa#{YidGh78G44WM|~6WMwUO-tox
zK(CVkTWvxHc?iH=6e5J9cMb4u+6`<OZXQ$9j{8`DIP}Bm2Sz6@wib6@N+0Sg2fWr7
zfCR1g_pZl&))_>|QS7x{0LOy`+w(CC=y09R!Oag^q6-kMpW(vOX<#Imr)0B=13y!~
z1;u0Ynq0z~%ho-fGWubLW@1z#L3DOm<LUg7-dS6exp_+zNS46Ft=Tj@cb)7vTzaX9
z&p`S~<pb^9TO8DO=v$j_28nI$bPAyVT!b;$wr82MTsA=E9EfwTlKXM@dUXUakQ12m
z5lz7TWzSK?<#L>nW#&7kGS}??Fvhrk4F10@82{eZ|7~7iUHjF~fFu#W*Wb-UYlzEe
zZNDM=A{ZVJd9Ed|3AB63ApkY*++8}fsozXwk(f&*^qr%sU~{W3D;dW@e@BXJ?jL-6
zS;LMX=PJk1em3u<AwllsNF1R1suM9Bs8E-PyLU7%n}<}}M*fCxP`n_`E)~a7pgLRj
zeV&QFHY6>z?-mI~2X-4gn3ovBKW-pp;<~%Rqnphp5!tN#)DWzuwc(`Ah~mt9ZIBH;
ztg_G#%Is*{3nUB3(tuIM+8zZ~W6Sh2^tT~b19PSZ3~bt2{IQrY`IJL=GGfD0Dxal_
z4HznQ7=GWR0s7hX#-$&VnNj#feA|5rv9lan_Y@bB4?VMq`=YhsP&26s%ckd~7v+J0
z@y;F`(U#Cbr+Ity7sELUyD2_08hWaZ4&hxwdumwGX(KH#<WY;Gu7Pf;$SmIzH7XFk
zQXo2_CA$+Or@wg;mQR4qBH}+ZcFd`Xf%UQQ1Z95ks(3OAceQT><N<K3-;p3paiA}3
z=c(M8Q|&^)5{o(9TaZ~mJ}bM6yA#EsFIF0lE^NC6^7|5Ig>&E#htn7Eq*ybE#6>WI
zq_Ilu1v>-LNiQxjGaFtN5=^zeUPo9xa?|BnGNmaKMS$_|cYV{?)8Lbfq54c8$*dbP
zw6)VGh1zTAEhl*xx1N57vZ5N@wfI~j5v&b9mkPhmbhMs!_3%>IUXzZ_J|*;>>@7`R
zUbH%p@F#Ep0^P85D>oP`)b#o#jMYB9p)R>}f=OVazW-6$p%TZ`gO^*&P{yUuHNV{}
z#XeeM>P7ZZ_LYGmh_3XoiC=4D#K16tMakBsN^X!96u@6~A=}b(#}0p>BI~eoJR?>6
zh*I*`d{%PovU##S^^af(F7<4&K^v}Rq*sEa{O;*MOZZViytyo^x47Q@RTrTZ$PV}m
zHK|KBL-i)mHx}etf-Y>4B^U_R5P!KqQQ{1XVi(i?zPufwfd<&=37?S?t*<Js+5*%&
zjKDfbK~_H@UH3D7nTbG|LL!7US=j5|<z_dJ*>NR)3z!BZyYvWqz`rH5!BI-syB&1I
zF=2i~DtR>cr^!?L9Q1ImwF`l(s?(xLi*vv9FQ8xKs1pO<GrCxrAi0raCdKT=n^b)8
z3UoCNlD{Z|cwMZiy!yYdn=ZEItPO!i-EYq&zQ>z*fL29sz4cqYQ>Ta(n$YJzxf^E;
z1uFl=QkI!lTZD>rCBMkmQI7s`1-k27o@U%h_S~mFq`{22q>mU`A!|lbQ@hX=1%*3y
zZ0nx8cO!m5Gj}_JbZV<dq0YsoE-E(+RjcMZeHQKbTH;y>=PGy0?`Wz=mB=F^kL=^K
z9dHs8b^hC3I;3P=QfX#$DTzn;KbPqYoqy?q!Yg>$J?!mG!*jhToxlP`E!w{G$W!w;
ze9l`jYBGHl8o1``BYASDFHVsl^9%Tgp@guaPe>{Q%IZXYS|TrA_C^ikS&qK;nEHJ`
zmskXHJBTX0M9=smEb$gy^BlituoPnlF#QfZk^OXqfX&Kk@@76g;5S9=wg<j(xnZRt
zH4)Ocyn$p4w!ApaJ}Z?bVIO(GiQ`<YEWwrUl3<#u4?U3vEsfaPg$X+Pnx6;+??(|2
z^ooz0CcUx7thRcv&Cq0Nq`P|asriY;eezLis3;bag80pO_@U@u8K#Q5wrv3Rwa7Hy
zP57q4+-9nknH%f6I@G}2)f9X3O(1TV%a88`XAAi(GUT<rp^LI+re(@TM1c^2G7I~a
z8#pVRMBTtnr9u22(9ap0PHv*}v*UtOJAbMDD#ko0&yOUN2`3tN%0(E7z8r#AZ>?PC
zFz)J*tu-(S+l*qIgwc5LRd+-KT3eY$D>C!$Z%<iMqd%Sb9MvcEuHG}jT#n#Cn$F?M
zdP_BnnofyGk$;<c=%o+R9MIoQ6b&6eo*=a3p5CY{Jh>;pg$bdMN>r;hJ24bIs$nLd
zuDRqtwTl?S5I-*HWGSeJu*@QvS>B7Q!5DY^ZflUWj$wUK42LdA`(>Dernj$s9(W({
zlV{cqj8;Rod)6!ETzYsT{!TG`bW?;<rpij>NaJPZpQxud^|ySaP^f@tmh;oQC_Zg2
z0&*A4*JV9Cv@K+=4fDY1I$$4gMR!NdIA$l_TCCTF-lM|;fXb4sOf|=VW5??aFHE#W
zPdM8^md<N3SEjNG#u95TPyntVic)QMGs8ZIt&+lkW|Bf9Okvzq0uXUCrkUyV;#EcF
zF+jzOi>%f^`d*Le(e03N+iXeabaRw(p?zn)D@IZ*LT$Hy8Gdv>BK6Y#cu*$jY{?g9
z;X6WG9&>+p<A@SnyxManF*3Kd;e_4*&PI>n*X^oK;~psiNs|83>SbhHtgv#ifG7At
zm|lHopzk)()T8*GM)U+zFqVSyEZDug_%n}4R5^Yp8JmXxN)LH}qNk_0XuR~-4)D9I
zp{||nvi0_)d`;2cQQ25Ke%DS5-A0P^BVdQ#(ANRYDPfwz20s`0k8^o}w}>oZh%TA}
zE}zN)fQ2YEy}FEZ$u3?`>!rYtLq#orodV59mB^atm~-#Irmu6QBpG#MV~&ZLqSOgn
z!6)VTI52>u20~^F-d&TAToTckr}1iws|Pn5&6wFHFWGT-fl&o)fIL5^$2g%gz9KZN
ztJs(U&3kGof%?089wA_`prebxabVR1BLbBc+Nb-Mcr7m~qRC5)y6t1yZ${iTvAx4(
zOXoQ9<9%v~My)*?V{6EtwxA$3@(6OzZDN6<qGRQ-Wkl&r8c9zENiR>om^`H_U_6a{
zig2XhqX2non3fB#(*xr%J*7v$E%xQ5lv!&E_ZO;U;arP`VeXnv^Y@aun2>>JIkn7}
z=Ms)Q#dD!@g@09^&Q`b!hJ34>UL}6`*_kMZL$|&X4+V@YNPbdTC>5VM9<w7R$ZtX^
z;~L;%ywNwrUbrKF$A6r#EDV|P7WSFrVcycnqZA_5x8&B%r^w<xl_g{gg;!Tg)cc#{
zR?Tj?XCS+$BT{Rg#IbR?WkspjjM@`J4%2^uR6dh_$Pp)t8Q$<dv(A#~?A<Bil!7dp
z<XKbOl|`(1fB=l{%!y0fKW@^sOVxMVrfI9zsrs<#;Oy>uZfYu^O<BknfON}iRtqTy
zyk@pwWFJnYk*<N5d)L>?5*)g#CNCa5PhU;icxp!z1cOW>a1_I2*`Lf`x{4z1uB#vc
zy5<S}bY`D?G74bWT}8)8grrlQkM8KOC6L^YWG_mRt20=mlV9AF(SC1Xk9y~ML%XqP
ziLyfA24EfVtU8mAZnW<&=GYBc*ePA4SqDLbRB8{z?6qq<RZim|?KMHQvBT}G1Dp)>
zYR+Y%0IeP6ex`5UEmywF1+Qe2_lX&WUOIhBh4D$v%FqYhHuLLc#W_js{M@}rI(Hn|
z6+CSsDdF%RU6>luo>G7`4C@-aVxM3##x?yMVwa`62kMTE*S7c1roEXSQ=M@CU*cpR
zYx%?;m+5PAWlQQG<68&HuS7*#gKiESe9FJuJpRQao5!H&ZLAEbkSV%p+3f%aT9~vf
zLt|5XV?*0b4i9bw5V9B`?sy(P7Yo;x0p&j06H8*ec$N9_?CHUoJB<O?J6KYf=z6@;
zc7UKNjLKZOS#^vmzeW`bLE=A%`Sn`3e|tv+*t%GfC^+^i;gHE-6*yp;4p5Cl$PX#|
zviMdQaY3l&jHbakp+F!Abs7phI#?%ycg+^0m&hyvo46?wl^Xs%+Cf{l9KeV+U@_w!
zlmdHD1ArGAabx(A;*&y>I!DaX=(CJEOE-^WStdM9D~_*#0HlTOLNL-fjdb0;R;BN0
z<;3?3MWZh;pyLtpC37m+J!{gCi#2olF$Vxw4$hr#sp7BaI$mC10VqAZC-hI4M6Jv^
z;xhw!;p^_PD(D(r0~z~r(NwSTvDCYnqvlZCZ#@7@__k#+-2_gciM=YsZ3K{+tS|l$
zTH$ZFhoyTaax!Tb_BVZm`7y^h#~!z!01^rZMjH<6y#(6e6?NXS3xlj$6HQJ^|K3&5
zUeCW*Pq562Mg}>rWlE>SDsuk)RF&)lBytgIGvGEl3H7*A*rK9=-<x<|L5nM?9;++0
zn8C0&B63ZwNi*iJ;2F2?%JUVTB~pJ!ySRH7Km_vZ+!UjA{DY9HM7q<TA6lygD6^na
z%ubXyPDQDW=aSIru;zb;n+@h-tc{yp6I=Z6$Z<7CfHx+(^fYJp&oRON=~@n}&^wjz
zr5zPE9LxlM6$HQfGFK%AhDa_Pl<wP#sE3avyXoHR1Aa86@{{iq7EC6vIm&+k8pgw_
zC_Oz9HTRzu5Z0PT^U-KpC-%F^IjjfyuF=n#(0imGA{x{3n3^YCk)rDls5UWeFJ{4t
zy2czC+6AFb8N1E$5i;MQx6P;dBl1Tc;iKA&uiI;o{PRJAx954%vL8sj{P9MX5+hE8
zPMy5y+8loiQmE_A(qC>rZ7F_!l4ty?HAQ4_HZZnYd1zG?x^vFO$|{Sktm;f!IbBoO
zSys}`n3AZ=<*Z2kbbS3EKiVo|k5f7TvkYzGzH1dV7D+J6=CFbQ3)E4$8a;9WKzPV!
z7XwGZwz~di#0W#$c-*j0Cg0Gg&Egc(s9qZF0)Uxa@-}?UNEj^Ye%fm?U-VuZ-o{P1
zBQl%X)88CKvK;O``8Ca@G2>@uJf$QyRLOH%$3vj3HFA7K3+s*NpIWby<{V2|peNn6
zb~eGa4nt8K%;HF;6&d=Y7*^aosJawQB%J&I%>~ne-551ZR>&LEt?lg_-1+fz6W8Gu
zMeWwOl@z*8pD<U@QvELa7sAa|4we?|1QyZ+f3~Z5UC!&SVIy$=!9&${e}LRr5`cFu
z?}I1-H6s{*roXE>*gS<S=JrSks0q`We7MD<@5q|ADzEjG$)ky@kg*By-L4&^JxY#T
zR!a25hP)$>w6KD8v~AN=X6JUCf$>r)eYL}FK_-ri=ICS%;#=*r4ef#<;K5y5Bujf`
za<OG#^9~@h-dfNlHp?Wm7wd0FqeS^*ezBS?aPORDBd1;zvNW2UYiez@Q&$mcH)O&*
zW`Qey145y{8T)TFO=0*z;aC8xq$93!f&)>Mm*|j8dJQnoNCx1;d5*=Xw>XWkhVk{s
zS`&qNZG0?=0kTcGZL2_uCtSSC`b*cr{cB>or4shbktm5h-PjBUy$k((DK8+gWrkOq
zM3EjM8lrhSf$(XVzVZTtw1I(2dTeSWk(JQvsHwxmmXCrj0&H)}_LJk5p_7&xRN5@Y
zF4MDFKSen7wS11hX)&?8btfY}EFDiVLl!#U0priy6n}n&y1I#|G?Dtpgnp7ZELS*7
z(b=Jx$g9>EiVN>abx?Em+~!?LKTp7SXK>{i_BQg}z@J^U2AaOg`j_FTu?<x4K+8!U
zYIk8O;%u8<EoUlpf+=oY`x1Vsv7YZ>xB^fG_p^iDb>9_}g7F9qfBW*xA+@ddy6G+-
ze_=92uxQT=$Cf;dFHw+^j>wX!DRw5N<H>=`$|n*zizPvKj;X6M-Z_GWb|*GM)CY)c
zrk0b@c5E7it2H1m6IlJ|T}#y{?<Adz948~K8_k`&&I7&bl%R|h(mh5qcvpZ+5{_(M
zXDASK2UIp9=7`YfUW}}-O$=~p%D)%JO@*n}ykMDx&(91jHXbF46pEgR4XwT&eUu`)
zenVzKU?%`98va_-?tpDUJeiP67SB5Wd346vPqYvapVToV8mzP1R`}+RI+Y0@<4kZn
zFj#XIc_^mLA@y<uTP0$H2~d<PJ6KmaXx)LOJ*qbZ!!!7!@p8HJ3=#LKVGFZ0=0BhG
zXLq*vH_%W9%b^#Gi_W=X;o-qnwoN-|R<-#_;l!V6*^JR;U$#aRid(8HPQM&_OUE9W
zr47=zxXisP&qXZfQ=%>w#`Lj<nL*(rg3;1*3lX7adm=?Gj~tWx1LHC0?D|&xKW6)B
zcu`<>tM&_I4*E#`(LVvZedjVjLgo6(OmA|M0YG!f1=1NzB*KUl#JfjwknQ$X{=4M0
zLz=a6Ufbzy;Xa3?el#d~JjsA_AL#x7CNc~2VMB?#)Mt#2&Q`sE@3#3_;X^~}+S&J3
z(k3qXk3UXJ;KJowE#nosV>Vo-zO-hvX8k6;pFdWr?C(t0W#s&CAVY1to<7H$<d6_P
zr{AIdwWNGUf+Kn{ur^({1r?Z29vI%{Ls$SE0DP{ct4L#Zv74q*43|ywTPGkJin8ro
z+&%Rw1_KSvFyf4P{J%oi$luTG+ldAi0mL6PwpTTB$@&Uf^^`hK9vJs$+WYgrq#mig
z<W!-p;hA}i)o)Wg8oip}I{G4iQy*(iCCua_ar9x_4P9sOvngRnTH6WxX!7YYAYhhb
z^2Q{R_>#0ukDD#2cRADHvOWGkAG0y20pj`PB`_Aq!r=OEI7?A=nYC=~$cfyB4s*f{
z!^wm}$%V*^K8|0J4<QbUV0~bKHDdrD2TqF?+DE;!C12gOQ{~}@b#H*BSS*1KL_d-;
zt;5U%ChE%t(7WTT@)xLDI7*-i@Ii2sy=W6wR`iwHcaP$SEjef1PslG<$pQ8OuvWxU
zltty634z;UB}l*12po!|A)tEV{^x#td7XBa(s89-9&D0eI+Ck#@oetlL!>g9Jqu;t
z-FkEcZq22AkTZ>u!^Eek=+hJ>-nS`P=RN(*yk=LS&7waVDL3~C&L+WK+to;5Prt!H
zi}wMnp0*$WfW~v1r|2(Fs89xmXH>O6@X*^j|7`4htbD`rT^s{d#5Any34r=#F(3-g
z`8Pwj)zS#>%0~?G+2`dy9G62Yp1)l4cSF`4lR*K;O-tixAipvpI>8{&>g7gFPeWT!
z`@gKhBL3<F;JRl98b2B@3}x<H=PgFmfHjbb-}^Ti_F2#WH3h#*`ITP6FdH^;=l{S@
zC6Lp@FPWeY+b=MsN*T6uYy0H<6A`NfY&r=7liEgh20XZxz&TBTZSkw0^?6P7)p;R9
z$yeKg`{px_%g$SY8#8x8mu2iZk4P=+Gb#M2jab}B72peq$+_R#jO8`BmfJXbZ_@6O
z1Xm0hicu8jahEExz4Ns9rt0u=)SxC)&BV|4>Y(O@m!W3_$FoqinW$|Egcc{ymvP*M
zpm3%k>E{Vb_xDsOst4%Gi?w1S{N-9?HQ7ZetLR`lqkAZrH+6|0d&UFm`rB{EgK05o
z1*%06+54^lX+|DOL<tEwm9>4#_uLjzJo-sHAFHr-OkhRK6U|;`M)WmSu=elc0>W65
z)Iu>UtXJM?n)~ikeKjX(H6uv*7vJm@ScG6UJ@j!~m?D5qytp|pP394O@*kU{@4J=q
zv610yY8GXV_4}$i(FhFOtAqv<z~V4{B^X;n(ySXIsXO}|-p5hv<}FLrU2CT%I(@}T
z2=MsZ<&O7SVuJ7s^Z)7z=QzB5^e9-^+UGs*=riSkV82Z@kDW@zTzx2qhMoRJg1Sv-
za)&r;c>c#7sibtagRFs*jAk>!Xl&*|UC$Tl1g9raqF<)Rg7^_qI>mak^EOMb6MuOW
zjx*!aaiX=x$Gqb;LeUuq6dwT$GWE717n|8PO{6~Dkm``p$I{V<&da!%F8ciLZuP0h
z&XUh8=0)k}@H2qXw+!!2@6hFjo1KaIQ<1qS>;lJZ%szb?sug~cK8L$Z;y7)|M62LB
z`Nfh<+~9aQKhY5?Jf36EfGHq~aHz~k!;mv^l+)cv@v4D)=0B`+TX0xp=GoH@Sh#iL
zkGX)atmoISV%~$5_I7x@!;bIx?RhOXwrLyB>1XqFPpIe7dUxNXKtl$QI%YVC>NGge
zO+XV3Rg5Lq@|RMLd!lC?Q72|6GA@7}6$$N4^SERUz*HyxmE%X<_%OxUIFt{#;Vl=*
zp6p7uNwBk{{{t|F2i2``{xqh@N5&7fM7<~<={4>7S+i5=L};bQd0{5G_Gd6ms8THE
z<w=kCU|9(fe%uNcGw_iq>@%_9$e(RFx&eJhDj4f2Z4}&%!kAKJ&^i6u@o?6C+?$AU
ze&6u0N81QO@o^sU#-5l%jKeOEqEQfx@G@x6pnr3Qqd^RJcMIF2{A%R^IRXv$@fmk3
z;H`6`y}Og%+AAr-d&wgg5bN>HBQik#dK^zh*he}W$4JPT$+W}FiYHlm;c5gHqLjag
z+D2i<>z})gp-BTzFtD5KR=Nc9_<o+~U&mX}NJ6S0(;(ZOufEvfUt{;oI;DC-jNl6k
z?h95{2WCV8FN<01n>GGL;X56t;$Q$+3#Kffq7MWTer|a{y5D_W$jlf~R62IICi>H|
z_;(<{i*Gv4u2*KL;?zm447yrL9KLI=oKV}M3L2-Dh)+9qYAS9IZn>Gb$*;gRu39eT
zb%VR+8+Ow0;VTd<=HaEHpwfkjac+sQQa{!IFSnz+s67uXE<T@haR91e2G6;~`H4qj
z8MWsZk%Ad90BE0jA@yrU8B`+drqWbFoJR%gXk?oBq#?@HzF1~-x^HlhGUh4T%C>6g
z1yrX70(L4yqHrgA>r{Qxo_7qQwwsH=bXmtQeZ+6I|05x&C>;I3!fZ0%WfDR+vB19<
zi<V2(x~K4VIm9HNdc{@E(S?;T(kse>=N*$lYScq~H5fY9`+@11_qrIBG^-9aCM+cy
zs`RIjpJSH3FtOM|g9uwAk<6hv!H()i6+dgP3HD-)hm!};lz9;I@z*mz)$?uMnah!N
zXL2h(n%h;&CkM@;*fmW>j<-(X;1+pf8H;o2H%rV`G@?t*<LMCuM!ZovNw*`6US<a1
zskxsQ!1knD)NGp3tBr$xU(Mjw{ScMvoAl@D^V3Gk`LNcV$Gv>k)bcB|gQS!SM)Kvz
z-<pPZLV$Ydg~Ax@MvxJCawn(zNw;gmYwk7ZpkQ@<DebG}OhsA%{mqhEi!cTbS>R^1
zw)MBitqDF&_{W=IIj#eyY|dQ6v4YsfY>%N`qm!NX!;s;TA#f_p;GU_Cd33N9nXG#K
zI%LByRY_rTX`#u>*g%$Z(ba;gTp~N@u2V#@4<HIVgqE%o%cCflf&J7oKVuHZUVxDh
zG9=Bt{=}fiqh^78_Yn?{qD;s}7|7b}in&1R^!KsYT0JhTG~y;gxqJ#E{1X${1REqb
zWC~HadVEWr*cd256?c`i6_;NqE3TXkV><G-wBWc@Y)CDkX9-K=tti|{A%kY4yi#Jd
zsYUhm{7Y|X;?MJU89eB0gyd~XmGl)AXznGT4D|mcj$C|nF#3Qt!2I~tXEXtWTSOv@
z+4_Cm!xw1(OxiN&b|T$IJjBA1_4Nh-Wv9jWREk2iFJL0ZSoNBepe-o!CI)mUY$~;*
zg~7F_uP6#DTmG-W5(}>b)Gp!bWIR1}pG0ArCakWSm48%uDk;OwWax%o6>9V-k8o}S
zZ9KBD%b<MDppqt@oqoYxAV1G_F?L||059)axZ`r=yZsPx_``Y$C2y>9(K;Jlcnx^o
z!Gd7k<R53<k=Sk=z0NS;ch8?qKgN6fbFu@XK5Yx<0hp_3$Tj&vPts=`GximBn=VT^
zJ*h}dp_;SzZrbPs8`7KK84UJW12YB%m|}Idg#$RPTeu5(7!-3o0t1hJ?E@^Ykr)AL
zO3=lXGu@$lQR-b>ImyzSLAmX?tIB7K?qM|m=m~Xa>V2-&f|rB2VPRvu;Ql=U$Hb2G
zF)6mj12>V}+ub~}iq(M<#J?f`?Sk^8-wkCihNTF4&Qf*~t&1+BF*mCf##@cC2A3)y
zePa>N3^*JG@U|#3J{&s3hv)H{-+tv%<I>{Uuoy3rM(FX>jP?K5qNZmM;S7-Z9`)JA
z7Zah1ea>MFUAoqSSPPhuElMQ9Mrd`4X;v-bKF0!#qpN`Asw$`_GBmy7MG_*|*EF1=
z39v-?7|Mn)33m^-_zbf8zR`0f5_pascBNf;Zf~ITZ8hlwXifBRS;1YgX;G5pSn5Kx
zEFu<e)wqwU+oSI$8Lw1NP1&P14y2)GBxr>MpkT8@7#H}%emD%uibK`~rewXuZqWA>
zj=E{TB9<uc{Uu5_pvPp{{|RGJn|}Ppk>-z|`}!y*I}E2Kzs4f?XQ;07H*{>!o?7an
zXR8c}BYBM!w0gZ;tjB4;#3G$$`JvS=6MSXq4OEUbCh_jUsH26hEn+moj->X@g^}P$
zcn;!o^awlok&r`0q^QYjSK2(gW|QiJ!toY){~i!5*0oYrRf`=e$M8nXd}z|WZWm5)
zP1|BaFm*<<Zv0_LtjMg%R-9J$w4CU=)11w>B*C+Zp%6jt57PyNiznYGc45JIrd>*{
zDez<gul>j8{2>&~)NsGpU<@lcs>0j{OJ<L2(sDRyZDwi6GiLW)xBic_2F6bv9WeBr
zwNIl~l-gb{ithHQwR3HE=bGF<vG^CvnZ1<!K+ruQ2fwEG0hc}BGpug*?n;iZueyif
z5@&MHMvqm)06xY<4zB+%bOmH(ivj+9M8ty-)S$Ifj4~HVEymY^vu;ZH9SuZ9UDn}^
zQJ?SAkbfKvSCd|xflNk`7_KJJQ7fpECxGD3Bns7%*x44QXljI7QJnPRIc$ySU-Z1?
z9&X_>9{k2jTM*W=+WGR@gi`M4Ez8HaOej}H#I5PAwW=7on|}b3e=~Njazzj5?N=%^
zsH-yIG7%ZSzjBqqPM9%f^}a%OrhNNx->cobw|#1Ae=|4mH*hrvOrHV0AD?byNOFWr
z>2!9|%cs!J8RPB%VR2fQkUM-)^Nvut+V4A-QIWbvR>jNSwOYE@Fua}RihKq5M$TAH
zmVfyz62wXgP{?;cge-EvxiR4u!)ECpYalPc$#~=mM5jRx2(Pnb$&P*rC*?X1=E`S2
zfu(D$9!yjaqs>y~M$03;Sh4k0D6NJklO&`9LjVzmUo&9nM<5-TD3&(V)BoKhOAbZK
zzirc?L)2(<A>?CZk%{HOeJs7V2<L1A`R4<@Xt-f&A1TTw(w<sy*&Yd%eOfq<)!cuS
z2PoviHg||A`Iv{$uI`j4W2Ah0@#j(v?(!0D0Z+tV&bx<cG8M+FK0<VX(Vq%mZ>(em
zy^0gPmQds^O<q4_q08<Gnvq+WEU+o1A?{%$l3#_TqB=l;D?Z2^q|B;bd4CZo@qRIv
z9w~%G=UC61#;c4<o$vq=sac<9PEUBtZr;gg-Hu%}b)@th61UAg%n24JIB^YNiM!`H
zDg}ro%F8XF*K}*t^~|=n@W!(Facuvt`U#eYGC+U~pc_iOa&M`Ke1DU^q{-$c8jJAA
z*BMObuV@Mq+g-wJD)P@cRL#laaw%Ngv>Z}6E*tys(Wu}Vka&YLD9)Zx|88=Z(K^%F
z=iAw!1kMiy`*8UJ-I=aYvH=6xNYOJYov|4RaDkX}i>>}FbZpw_6qvKgnJth54y_>4
zx(4v6cllM-AJEL2<HkcS<QWYZ*o1t4%f(9kaH$9hCC6g^({!z_;_Eo2qiXS0*ov}O
zlzpAL`Hjp0$1%da$E!u4x<m~5drpwrkedjer3u4QEVQ%M2COV(oO(~IlFUwzwLgNq
z#B}7%ZB6X$m}JgbrPu{?BoxF!Ib}^N<bb09L|<kLc{sqTF3iH=moQkxs)PN$(_x)=
z$G$|*g%Yd%7TI=hQ$HdD?#_o-5M#;m_4KWlI=Osr#1p1x4pfxj$`*P&zfM-!O{y;(
z7o6GyL}I*wEk}}!aHhH~?`m9e+-jxPrL$9PPo)Wz>}mwrc>e2%6nTR0h})Bv$-F(F
zSYsY}J%0i79Fmki+NDQp1`!3lw<ob&wCPXEEc6XBEyUPh<MNy|oOaIPCKh5mKD)*<
zBw1OIRXJ-he{pjDb>*me=aXln{!H_OZy@376)nm_7%qLRS0v%=o|G;S$p?4q7xCvy
zpV$Ae01I*1azj1@YDrv#Z`B4+y0+<^Y;`nhuLe^lgNcJpr%8<ya<^XQx90+KVk&j2
zQm8CZK4s10^Z!FSYI_|K@K27>vNV>#_)no;>JeYD20Ijk3{1S+F)nmRa`4-?mC>A7
zZwP?F{DL=5rPe`=Ob6L2v*tAsyBUVP>qFkhEGVg-Z8Mz`c?dopu9M9YJEkJTQdaR`
z-xi4QokFp@3b;SMF?Aws6AV`BM`+9ZD|lq%uKhxQmqM|&;KBqf6IL0I6;$@L6b<C3
zEX_#h6(C>1c<kYlXFEo!+n=S{KgmQ8tcaTu0q@9wSQnjcP?w(sg%J=j@hj2Abht`7
zk@|WATl(}&c#)x6T8NG2j5!0*NlgolavwWGW*~;=pMP4!uSXXv&6?mHiz?MUDKnF@
zr}%bDH&eCL=&<ulmV(Uu_P4!Mwx4ix^icR1y89Vo+|3W-IU1lzo)L~&u`l@;LI|PC
zbC2h%*UUDWQ-W;~)1o~n6r^v}<plZF?(@G#;;_EA43gO~*?=ZX|I}^TcJyzsgaJx%
zH|kBvgoQuZ@gEUEZM*L6t(zc#%aT`k8jm5uh|2HqD9Yi^qf9j=4A{X)QEy)#U02K+
zBMwEh(rDXC$qEL&Y|EySlm~Ag+#nSj1n4q@(^`@58QRbEPESX4uJPK8gKYJ#sEHM1
zy^_%=4x_UGqq$#Zgg(%A9agfXUcVR?3ofe;u1%G5I#pW-`GGaC86A}|UL+f2dxSL*
zP1k&+jH~OBX0%+kgJ0BDXBJ*~3&=WV+V2{ebtPBHKba})cL`v#CEP65B=1pkzVM99
z`}H-Wkm&c+Fhd8M*-qPyTI3xh@Rfe(p)C{L2g!GBMi={S`a9y3llu-o=k<V^Jn_{3
zAJ9OIM9QC!c5-3M#rR%)DeQ5Zqi^Ty_Ufx|ULz!x<2!^yRS7Fjv*x#zbQbgb&-v|`
z2mt0N900N0;21P{IkO7EsxSj8@tuv>K;9@aw*vi@H-gQu5X&)W8XwZR`Sxldc(Yu^
z@`K7+%3EsA2OZRFr0ZU@azneZnu+i0=@UeF&8mL0CbeQ51(O6Oy;;E7L_cDo2l?Tw
z;MQ!1rFoReof6BcS?5@q;)cA3>MGksbDBxhsDmGk&`Em+4-=JGtt`q5xf#jNd<H|c
zM$+syzAng?dZgq%;9VspIwB<pJa4}vo$!yk)A*2_LP0=o4uVFHlcU-bK6ZABM>j6<
z(c2+UhWiY7kFHSxjI(}WEqW{Fum!i^B*GwQZkC(ShxZr5CxNNs_4ezcQIyg@4%>ji
zdV%5?wVn+KOe3Ix+7w6Vab&hkE)O7mUpBeaa;VrfZ1;zn{;iVi?gMZ1G!S`0Iv;vP
zr%D(8#nBDksiCx9Z(YTrLskTB$a8x@In~ZP3+^+v6SCaYjF%yt1j!&z@E2`qBmfW`
z*4m`V^}k8_3{dX-1Ni^dtQk5vKri*1=9YP2P0>gytsU_<jL!L%Rn08Uwm$v4UKF}z
z1IF8cIt-Rw9HsX=J3qZJc9^RJwH1+GO4uxip4Kg=S%p*DR|5_?vbjbJ`PC?&b_G&v
zF#%9RREA^DC`P&Qw2`1JFVs-EP724ZKp`a}BvD)@f#-8?>?~6;fdCoC*af{jRmu>+
zw=W&Yq4)hvADx;*`Dvm^j)$MHcZb_DN9K4&5aR)^B8w%$E6VJ7(P)f92SI(n?|)ux
zgtrhv>wz?DfyXrPPFi3gZ19nZ8_soNG%fIQPU9koOAOz}o=@BfUoUR$eA9B1y6jGu
zJ`%8u>Y5`$u{wd^4)!1K{Q9NmljHc}wF!`6CK$dJ4smkpRw)C${~IVJ#<b^U%m&5W
zn|^(0DiMr=eW`X<r}nWrgD@K-B#N4<?7tYsOt0DuR-&wxs`MPU=UV%WRa-)I3jVd<
zP}QbeVZ{8_Gao|K{IHqcjmVJ_JQkDSYb&nQ_S1JKLCoTPh`1NH;h?qiXLxk$J_u1s
zl-(Jrf?risCc6x?<r`tn4ld3Xz(#_A*wG@ST{;FuqXa7?N_Q1Wm}d+NpM{d%DIv{y
z!fG*xE??qMF&RzsOV`M@v+CWPuo<XGQtJBJ0vx%kJA?Rli<lkGI<i^(*LL9$r9H#!
z$?NN~(1h{?DaQtENV9-ej}NWmmD8YVOFsC@?MxbRfN0iST#LnJRNt~2FeJ}<I=fG2
z;H8Upi_hDPKEkaIhBFhckgJu!nUFU~xM;*q^`n74Sw_VS?!Fdqm=t8VeFswBo{Jm_
zC_zSlTHJKx%5_RReB1*Q><~+&3r=s|{B{FkP1AO8@+1I1&Faw$M1`gxsL?jeTj^0y
zRCF|DLBZ+Va9V1Z3HyPp{?Yngg0`W><Y#0mxKw{&c6N-v4{CRtI`}B?q5M2ZP+voz
zqmuP(9{Z6z&R`aIj&%SDSmY8HIx8N>r6bj1SE7poX5vt$=FPGp*EH2g`1P9vdK@6+
zcjgsNAW90$#;i_w`)PZ|8gFPW9qIkB+Pxf|OPtyD?*ki_P8Qqw#3^%5>Q0K-GbrtP
zr$s%17J%Yog>%*$NKK`)_MZXUqCBH7<cUUK#}VhAT72R@SP%0y5wn^p7P6jiyd7s*
zIFRu-YdE15FT(0kfYFe$zOEUB@Cksp_?Bs)6KfA;kMhVVAlYOq<(G<eFBArLqc>ie
ztd&_)$G#I0Ey{r#Eqo+Kg!?#-)4>~=IO>9_yz&0o3~h%&fX7St6{;%r%XtaUz>f!f
zVYacanl-N_fd~AUBxj@VeusWV=bz0(eVO&J{0o_TG36cQg@V`440R+}Z;W5hZTNH^
z5^90u(hn%OF(W%v#F916u~Er<7NXGzrFwwI7C$o2^O3)vEgNx8r#^acue{dx{EqBs
zhikvY6SPR&%Ab_rbEmAOkr){&u82Z&-0SZ3!O^0ZP9NsYd5WJ@zEyVOE>>_Qq(>m1
z-fOs4W}7$7M`OPc3Gh~B8Z38d_)E-waIRAT<Fp&4?8;vNT>fYOE8<B)7KLWT0Wvtv
zcf+{O&scCSfn3Gx6La9MM%L#aVC#hD46za+%!xh0(@0<KB1O*@Ewuimrg`DL_my&*
zQfb9t_%wgmzWc!GX`ul1GcPl$;qHlbB*JD|BQ;PM`q@>jC*MJX%tFw0bznwn@Yg$q
z*V0LX$oXgOac?X(lir|SI9aDda_4Ev<WH`yJ_&PgY2jwF2_w0yJxc=tao89bm8Rpr
z*mvfhOYv(v)h0ayWjHGf@92r$F*I>U2LPiQsjW4_wSG2!P?jCVOAaSHh{3I}xT#4a
zmsL8O&X}uZn;E!nm{0|od*(Ix?2=9G$Xe%wIXxct!cRX$0YAU*Fp-@*KpzozZlQ~~
zud_oDHGKJEw}p}sbk<T^!wl&<BSaAy(*51iIPC-Aj*ZRmgPBa<5kgkI9i_-YydaZN
zSrs9W5DY|{^`v^wN2`Y1ExfLgTSYWB828;#=~1f5kqJTD7;Bh&LST{d{8uAD)eS`g
z#H>9XCfwxw%VZO{ZGS8{5=r+WR8YL5kJ;BCrGP=liYuaZ8K3g{lu~EOGUn1b+NGbG
zh=@7Zl0}=$JUkBu4{>3~m@yRV?+)2Q<#+K?mZ?J=Ay$Cz74F8<1cN!4rWl~9Z$OLg
zmsy%&Ynkg~$d@vhnlAFT5rmhsG-s-B74e8lfGx}&Vr(=TupOCrk$xL7U(X2`0QJ49
z6chnaQ6CYOGKRfgZ1d}E&A>)cYX@-THt-j<1>a!nPoMC&-k1TyjJ5xy+U_@57QS{)
zR`oa^o041vscCzCZ;KpR8=aTjK?Cb?BJwiMYF_KzV(hwJfUwEFfMD+fj2~_LTP13f
z!@d0eAA$%Nm5^yML*uHMEuF<DX9e0tl=385z|Ps;4ME?wH!!8Ulh)+GfMk!NI*e3M
z0mNym$d0t9ND?efz0$7qEhh7loNR#hQtRh=Ih{_SJWp-=TsbmK?Fnf2i$S@=qJaKg
z1|is&h|SGdQ+TZiZUJha52sChjeM*|$V$}DX3;+uoYw`*+eCCqBmH9m|L1BTmEA97
z+Q5j%;`lsSO&aCu*ehEh;D!Ln@wNOcfYV5f`C5sUFE8s8cA&9(@3MVS(>s+NmU0!n
zQt~*eicwPt{w}C%!!*zE>mpR~c$Zsel3%BZ$yf|=DYu$<rTWL0wBM+NDO_4+*i<2k
zl*|%?Jk9WJtHCZ%N<IF=oQT6oMt*TmU)^yghx4Ba<V(sl)Oyb_MLTkV7PD}LZIP>W
zqLlFp9(y``<`k_R$mCYH@HDi&6MX%isD0Z|k9%#h^21dgiX#@o1O2SX{N_k^A}4Rd
zj&%uI@Z-EB@-<Lrv(kR9f<SIcQhXWaRVSj0NP1K+hS$<;$vmj!Py4*!cZmTbTUyF&
z52h`~4HzP(pT|(ex5*zXovzLwIk@gnJsz6?Kq#d$tb0~58@jrLS6Iaxtoo_7*8_3y
zGY=U6#CK&DSdd`aUwV~Zt!~E6vz(1AmPT9!Q0LfA(H$1W2Qw&YyoVn9LXo}>{)Kv~
zv8Azb{Iw4`;%P)w**8-h+E(n!4Q=L3)E~_tSDTi>)X;kdO}*d$uBp_%rbfR(7IDFE
z)L=ye@V!S1#<zdAY|{^;&Y@(7wwJL0_;T)sQ5rUo<@TT?0E9OoPmOJc6<@Q|`oy&L
zgU%~n{Bln!RV7=LVewWv_}|1rm)hu~PlCPSdLr?$CLhykssWDXr5Ae407Yk4r<L9#
z^IWVA7@F#}YZXm0%xCyG>0zy<_4|SF3}EsV;o|>(s}dxshH@qzB>0ytL>zg+YP8_g
zD*I?}$I3prXX&}$Qpy-2#RR~aYBX5B`c7B$jM@kF#ffSUAAt&@FW`Y2UGys<`kRw?
zqZX2%S#a&Xw+q2@!WikDGF|=jZ(y1cGL~zmFY~5ioxXL8dKV((fueg2+p9z<NKoqA
zPS)hK*Y<#FNnqtDRodsVlUx&B{8XK1%uug0xpjvd?6Wlpjal#D>M|YnHFoNwm;SUH
zkC^tumo3a+)(=SX=3ZAjCx1_3VEYe9#L@sq4N#`4<5oFhi*u><qE8mA25QseG!-2q
z*z9EtcGU4}m&gk4s#>IyRbwWIPnxmFS%fu|xfoGo{Qzr&wT1zFi4l1qPHynzn1!-8
zF~G#B(Whj@p{CD7N*QS(BE;<~uJ@6fni>>;L00=GTp%}6rMi;dQI4rR)DHG=gRm~T
z6a?K-C@Y<yBhDUqy;vS`@M?elVw8lcpO<6Uti;2x^f^!;;rsS`;kXp4*!Yb1>c0Jy
zQA1*eVeEvU*S-|8I<(g;SEC^(gU&@8iSJw(7gphH2KRMI>V{YHFw5_1|Jtr`o(Sc=
zKQkyZ9(;ANG~}d;DVfO$u^tN-!wg95NmHsAo=96!HZ*<14z1%ImcuIpb3AM8T;rS(
z7qy=DF`B)uAiL~bLw0spj)&-}77m0DqMB+kWe^PYteqk(nf-cIIrjL-Q;>JNI)+|I
zC3qXyJ;n<`S_D1>x~YL|J+NV@j0nU3<xSA(M?5eE6pcIpU+xOA{bEQe_x>2`v7w^G
zCdNVvKc&^mQgQ+V)AmQC7eGG8=|S$8A7LGYCW11P=0cTf(XFhv6wwy8IPxTC+Y+h!
zHj;&hc1vaUHmZ>Xb2J@eoNmRM)pZDcJ_02CL7DG8CZHnpy*e_oHwVJaKnv_br#Gg~
zzx5)+lttxb<{vJr^VD*-n@N)ZfM`trgn4%2@?OvZq2Zpg){}*KH9;4u_a${h-qyv>
zvPFF5WZOEe?K?Fy0BQ-Z3=C*TX4-`U65@BS0GdIs3Jo-=NQ_Fg_DJ+^jukdvT-zAh
zJzdq^i`KL#YYNOner;C5Jg-dKej(>ESa4weB}<}>q+v2o{St4S1p8nNG5P(4;p8_q
zDkCmwe}I4Sf(BX@s#L95oB3eMuny&gLueT&5&#u2kLG%HQd4yJRyRsN;<mW$8k^NI
zKT{LHk$z5QhewE$G@WVi?6i+~*z(g~vgU;*L9*AK2)`C!GFBkR*N(+vPZK_rODLM-
zZ>T99{hTcdD*OWx5Lhe{-Ci8@YYJ{0+i?1urXX2(TB8p~Efnzq&756(>X<Cv7mC3}
zaU{a&aBF0ya`pOWU_M$%lBG7hN%v7WznRS4cYbEUFwWoOMGbc)hH;fgIt45RAUoO~
zKHD4q7R8?meUgBpJJn9)lfk*`@1aTTNL_?MNYT(t6%ZzG=|n|YQ6R}$p<v^5nbX~8
zGt=(tB;5du^{)1#Xjew*?#8*9MIBrvM)<>fZk(qDTHRI_nhyx{rzkrj=u!vJ%?_#{
znAF`x{sb5$D#>La+6a0!jmaF?%HY5=ANXCWu3TY?VDCTbUKg<r>G2*wy&3(U@c6u)
zpt0YNjP~)Z$&?dUP#{dMGM1=BGeMKUG>LGZz134MxKj%xIClCxx5Ap^S^#^rKLbeA
zAfn?wvKM~`%(4TJm#L?qi09dmLjU3n!<{#+wMjOL9_R7i<sG2a=CxL!{q#1ju0p`v
zT(PEL+j7~~el+AGR_W%IDpy{uDTAumFDWI=dRtE1t00p=03Yr6@{RP2awF13WDPT@
zp<&L?<Ef=oLFZv?@1d&Z4IXYR4d3us=VfQBCLk`9_2{)7098P$ztk%wkB!dmq$XXF
zJv&U-P&s;N%Y$<)3C4J+yDO3X-!*YOoNHXPQGD}$14D;TE{_*$9gfv6V^~QVUm`OV
z(&ptE$tWp(?3qXpA_jurD&C@H$_BG6-lr<6Y&LlD$h$m=n&5G|HGhLix@*s`RjQ=n
zCnlz`U_9rqPlWM!ZYLecfgcYUR`_Nk!c^s((N$epU}eI`?pYFzVvERT7Z#BoLaAu>
zsZ=Fyfy!dIll@jk$gS##lR#7WsvzhSHvASQ)1>6JeC`wt6?etf=_~oy5Wn9Wk~N&v
zO3<B&yf4p5lS>44xBWx5_CtJ2x)KHz{E=}{`gr17a$7e;pG=U2Pap=%+cI2k_Q<z=
zc(`G8Bc=NdkT%nBRg51=hM!%1Hd<w<OV@-ai5FpH0`=k67k#q)v=cLWX^RGRuNI-R
zG-)U4wxzBjmN0H{=5grR0s{Sn+`S+*hCrA@P|F=hDoZ-UJ#Uq@$nvq7&ql1Bc_I<u
zsRR|N+mcsIC*gM(5Tw$7e0il^O8tfJQ}<Uu)60wfq9H+obsK*OhdJvQ$yYU!5YJ9h
zCsp5O_C+C1GG5hHu@LfdMv~^`=7iZ1d{k73Wm257he&VLeeLM>v+nZ1IN&b(_KQ-w
zf_(@XvJDs1AGB3$+%c?>dt~xO5w%3d$7~@y(=d^`{Ql^CL>)kDLp9Z<#!>|2mG=)-
z<Qe!bU{3O`fuNM0M!e>X#S7}JyJm0dGy5Vbs{-ufLEqf2r7q(TDo&#>MtvG>-z3z~
zi%$0WRgy_rjD$5P@Xp^`!!}U*f&xpaDxXw}`j^^j&VaikUF<elB8Kwj!-N~nZp)mo
z`K!r#QJg5|U3MFUJSFJY_)LJ14;NcaKMR&*;#k^ADklGln<+O4^UC@PX9J$#8WB4_
zBTO8wUok7;SEev*B#&ReLOJ0V!+1dW4tIe>L;I5twf0q>HD0L7Y_jLWkrkv)Ul<~F
z$RKtXttsujVpl`{(!_({i=zRFS(z5dIfbG5#Su*$nFRLg{7>rZh<C~rC8+rY6i5@R
zZ|kd3JnU-33vg@Ax1pIk%xiA|ZVkTyi3x{$Ri9DcYybqN_>RR;07d7>Tt;H&<UHE*
zr)lRYeq>==IHK@IA5c-d2BT8j)q~d)Fbmbum4NP)d5qT$z31g=EUDx#ww5aFHzS|e
zc<FN)sM{~XAOm5cjLpe3Uo>W=$@Y2%Kd0_Vsy{!!XmX=?tER+J{44EY%f1vIsiryR
zKa@-7IPHzcSQ}|Wnq|v1VU4S72VRPzp9YtT6M%I^TTbKP?UulD^Lmf&FKx^7p0Tsq
z>o--~T5T-y=4Nt0P>HoBGq-<bL_AM2w7X13SePS4lo$vJq}k?EBHVT_P(cgr{w3!S
z$SI;SnT;o6W2$PmoT#$;Z2CI}uyGu<_jhGELqQ9HLCNY{Uo<E6DzeYNSIk`bRU;U*
zlhomgN(Eqt=YVq^{467oaX(<2mncCqvsckV|MbG>(El}m7ZL8!4ii!Il73IRcfL3v
zifanczliK%O5B@rDobGdcY8uM)GyF3UbUgGzR#?_Jwh@Qh>G=9T#)9|D|d6KHTU^e
zoaA0}Z2n0sJ%N<W!_Bj7=@zI`ZxaJ<!|FNv%yI~{v|f^erq1wCbCS~;I)yacCIh-+
zfwdD!BzTZ)`xQV^VU^n&xUyJ@05NkN<IQOgJ>|KN(2g|fryO||dX6IM-qhY49+jIq
zWK^@>>?OikI|alOf_LixwHbb6=Kovac$~aOgvta>WX7*e(6Z1t$;SyG&F8E#SCD48
z$YoUIguw{x+PBSwKJG@Y{h>sMa%^;+a4D?|eV^I5u&+y<e^e&+<Rj10c;y%p(OH=i
z(YUM;j$Ze$t8&=`+7fK{H{B6M)=-z8dG)_EAfbdlsR{xG9rp6w4f7?|1NtTsK~Qm1
zuyEWwa@6hTqib+fA#d)mDQagT_a)+a85Eg|p`?{Xjpc!vv7-*U1CrKCmHzX)vWyi^
zx;U8Aw~=M|;f2GkXC7i3+$$`^YMOD|vd@lu*SrR5yk(i;-EAjdP2V_>*Vm}Q(cktH
z6B=@ehB)<cyxV*GhRBnP!SYBx1EigCJ#xS{DzE5J*568tX0vZ(cwf2mj`@^lA8GTE
zjo%%Vd@!X=pU61i8R(e@oaD(-8vTQ%yN4iF$tnC(1$Z6Eu#}IifKA^k6E0iEDi}Ea
z!SXw&=w#7GuQG9q@gA3cas|Ms6)_=Pv;C+rliS9v_%12pXCRBjS!@)}-1Z@lVVAlF
zm^RTM*(7`XSLJ-ICxp3A_+3DdGN$-iff~5JAO^c?`;RFPvpG*XBmF?dR!n**8bT1)
z$w%D}bzk&oEL_;H!gcd$KnUt|DY#Sw#3bTKtzfO=13<P<S#lW=Vy`{bA5(uOJf6+x
z2X~g>`bMrKFKz?Fugx$UJl^2)(XM%jl{eM6O6|SS9fR0WZ5i22MNlT$UHej%Tt@UA
zbL&r|1DF(Y9O|_mpI@>>M+elEH_hx=9nLeH)Zj}UC3eY*S7*zGPU_=69`Q|x+4z>j
z7Yy7$;e2-dV<J!@AtK<Ll|kxDqaD3uH&_-dGZ`FqB=>!E<430}c?Ua^HooocFlZ0O
zltO0zH;X==e_C~*u8CWY0PrkninC3w5*tr-?CnD;9NdgsVfP@-MyYK3=`xKyqMiDd
zl0m7x&~4H)7uzN-qOG#u`ptkcMd@>*+ZQj|>nT!8+hrL}SUZWMJW4BU3KZ&XQtnGw
zWPb_f7I0z?^WBK7<C`t!iWjDh=C=M2J6E&ut4$)G@!svdGLjo@ZEs-_iJj_ny_Ce>
zfICK((;%JfoUlS*Onj!qnC^!PYX}kCoGb<i@mGIF`rDY<l;=TD?Yjx?Fnz9Gsftmw
zE$Xp(gsZsKt?9Xu795+vXE?|0MMesj_{b5;$kMG&ed-?I#TEhPnJ`etq9E^RHK$!_
zY_1>XP}U2-s}{qMMJfOWVn^|ibo`ZVO4~octM9bF?3=a4MRR1`Z4fO|=84`enFmNR
zjam>oU67WTX3*8XVV8W$WPd34nk(Ify3p`3fY^Qa&wp^nm*n^fJ6tJ)fyt~(Xqq|@
zWjGG$0=~RYkFldAr_d0v&kCkTq2pT1>(ux?<s63@I80Lv&G#t4Wf$`x3>=6?<~S4?
z<Ti+4iPlwFUXwzbG&q2oF_CUL$@Bt3ktv@hVo5vRHj_1vw~h&kg$=uFWem8_w%R=(
zqnOAd>wZ_5<6_-@(;<nx*l+sA$^O6uQsJTlJGT{km8nff%G7xQnwV~SDy?#%a^sTP
zF9tq<0kOfJSxCneoi+E|!r!H^wJ^PQaG7<$7Qi%@jt~erGBf&A3&Q3P-B{BtbDuQ5
z)UAjqMw+z%Z%gM|a0FjZC#~eLKn<?CE!$Z5(AOf;{p-b4@ZO@`<+*d0EKOF86%jaa
z_&emqrHi!F>!(IwA(4*miP~bLS(67xnN4lh9W$1+mvy#>7c2FHr0vFLmfy^;q8m8X
zIV>d20FWaJ;>K|NCF%<zr(S`RF*PA%JZ)~;lEccuX7{Zk*KJHk4(C%G6|s^H1=*kz
z;GOr=m&yXG+ipH49);cPN|_b!R+pLBpPOSQGF@Lh!!b)9;0d=F=ul;d>w81Utnjib
z=4KYJD>5QFCz)yIIwwBdqyx46<{^upK+8-1$Dg1xMHd!JB=~B8ztjWgKCK~4&S<tb
zFMe=6RYvl4RXhqu-BPX%?1`LJl7<N8xHt{XJ7XoPR@UDzCDga27B?)&Pm&l@VnN9Q
zLM!DHYNB@l6|+?_!bxpNd#f&d<vQ6Vo#`9+K#!$Wh0csb|E?we$IGi)tI(<T>tQs`
z41UFvI*&_3ZkCka);zG2DE|h6NYNjX0GwI710*M$n0FAQhZ1F9PvJ@Mwz@n1ta&M{
zo#QPN{$1)zliVhn>qyzqTC%Z%sFaKSD5Z6T8tnq}AG=Zl4_!R7uY3;6W|s0Lufp6R
zcW|lrv#lAXAvq~;zo}`~K2MN#MlzI!8x#KnBb;i6%T=Qha|$kMC%+!&w+I@RTVKnG
zsb3-wWX;;8>0_BYCR?o^vBjTD#ghL8e5{&LI2bKKqky!-+YtiWG;=W@fO<C~+`-a@
zs1H>rbR6uCO4=KY@$qd6p}Ams^AjC|YLzRy+mZ6m7Md-|<Wi~BNP5?FaAnxAD?+~3
zRv0GXk9B@4?i4Xv8uAp$?wL^&iY|o!rd-hxEOw6X7`cW2IARVAFYgrOXw)P|S+l@J
z)`!g@Q?~Wf1{#&$3bLN7@|AyFUlOW7s#H`HagmR@v&i!>>rVR&QBjd#PhMn{aM~xv
z5QS)?DpyxNeC4tr%94MLX9g|v+)cqw+4~YDTV|<QWa?e#w@690CyUDU{EoQHXM0$$
zuT@OPqR@y*v`oCCkCa)Atr7b(FaQK(5(r8Yz^@EY555-wghfa}j+ROC!5A^M(V>@G
z@((cP9c1rDWZ9e*oxkb5`1^tbGV9(B?6N!GoIald1;ESS>+Bw4j_VU}ghbXJv2F}t
zcwe&lkUmN770DoCcmpZ1UYgjD{R#&PbbIVa%;@yX%P!Ab1ApsXq}T6$$OoV1FfG{=
z!OoJl=N@Cc;!Pc4_2}d1>(PiaqcKF)Lhl9*!g@&gzY$UA=f){a&S->|O`q$ff?>%3
zYK(GwDM%$sP{Hw;2V>Pfx!4BB*M7wWTYy(<*P3=cuoCGb2*|e$`j~N+>#S8Yjp>F!
z7K3K}Cj7(0o&#C^AI+UDM!1$AjYOhx8M&bI>8vK_myv_stw2D*G>+NLvmUxHD-pkM
ziQ<4GE)Z^lc$-WDc%a3aJ>c9ejyD*1M}E-;Za8T=wSaZUKrU&0aVcc=mVL#;+fE7M
zN!}`N=Gmm5X|VjE#~ZoJ>xD;}VCAw%_e~LgPW9XIY9RV+%k2o9mr^ebc6kBwSqWB$
zo#SLRG=lEp79DY!>3v-ZmEDK)_OgzaMsIkW_Nw{wp2A6|bNm~a$ab|p6mZ^FR5Gg-
zMaN!D{<qivk(Ow?Je5n~G8~kcHq@1in?V|1P)k+q(^dyyY`7+b?KxogSSlVLSvLRs
zuC`67OoC)fx(!d1R^z#hM`6!u^P8s!*~CYxEI};H3l7wEyeT9rkGk9STV~A)3!(o4
zy*qOGd72h6XPoE-{7=x%Vf~iOn2DSTTIkdBUPt?OsxN|}s#wM!eg<rY&K0@f$VSoq
z=UY?)CW+`=ZiAG3xrxDiLhN0DVGdqMWIOw3iTPi>>6rVMZid$cbllSfh)r$xv{@O?
zvJ^3ZIt}ctC9QljP+pQcqVB5j{)$`w8le^zFZSfxO*G~e;Zz1r-%ckpL5=M4zx`WK
z;GnxGoW8y_u>df40DFyOBh|#Q%wm#q3iGxzc`P;=V;7nu$7+83(K=I7x@k9S?dd#O
ziXHTJ<?sp-u7z@TMe~2XA+tOhuf%^W4!8$CY{)ow_m`m#Q=6sB{`EkeFXYfh-{X<S
z<vuV08mBT`JhBhT%|2{&_sU1o2dVuoxqTYQzy~7erAOLm$9NknT)~=tq(puIDhq--
z6|`G7;*w4r5O<rUBZ7rFLF*x2MN@o|S<Y!!)E3J169Tjt{X_SRj^LAd$v2jr^?fKq
zqF}KTkdV|ZwS$Xr7mh){<N1FO4&KI(VX3YYvT-ur%6ryF&sbBpFOG|g0AI_k`M}nv
z6d)zimb?KVh@a>Amg8s8f~Em!Ufc&Ju>fJAC{Kh?;Q$ny%(xf-D29%*PNpYpm2MfU
z7uT>IH-JMC$aJ`jEg4YjL^KNcJ7a(vb&c<gmar<7Ob8;rK~<wnE0X@G6O#1Q6oWc>
z6gkO8Umry`&Z;;W#qjcy>CtB33YVz@Z<6PHN$Yeury{?=U3U)uqbo~lkKNndkv!7p
zMYU$`yaE1aVgU(Y$4yMMIAHxuI-+EQ!95CLh*)s8FJ4_%jArQUP_`w2Ons4vXMMy4
z?YH(@a7t&O-+Y=7VGyX=PBt%5FLV&Rp}K&;zp&VH%!6%0*?%Ph!39~cx7uZ?4a4Q7
z>V^OkbBMZ4Rjjxyfm<^Bt@Bi26$fO06&!UFz1BxTW=#g=gEWH4sp9vh_;aC02qR;>
z1KZlhP{++S1Nat~_wlA)#X)X0DA>TVBG2Bggq2a6v!N{kd~MWHY=j^lY!<E2<1<c8
zDuHOEqseh2{j3{`IE`s-j0BX+eFc8tVvp&}pzW9ZuBYgp3`6|m?IbG;7SzLx!A}4%
z5$b8lh9sffVksE&ZIgb<Li_SLIAFF37d_O#i{cd6{%Rqm1nZnlb1-u*5U`|$PUMkJ
z4c)vj^{LC1g`}T`0ThvsjN7bx2v-{n^9*At1WJJyhe}}jdS`=0rT(Rb%hh-6#0DFl
zn5J0@6E&tNj8~j>%=@C1Q;wjND8pDO5Se>CERbJO$y#<dsTh0&0?);^s|%Z%G%-IM
zXQ3}Sja{;8n1>xL`@T<(rpCu3uREiQPPtQ|E7eER0<zWOlQ~d<sDc*FRAL|r+j6%c
zW%4|oY~qxPjEXdqOu@_I{fCo^KQ0FH(2!M!i|?jW2q{TpN2^5+7PRKbyn!GD_N*26
zfQX<B-*$zk*@5c*rf_PdL>;2VDPv7*FZknBjI5Iq5ZEofZIl3Vwj}=BTph8^82A7}
ztS<|R!@=eZqd}F+{L!6?w3Pd93Ka;{^gxvsJWhPlx|#2wEYgltHY|&s>zAJv?3<}a
z=aLiUNiq%3U>8#l>B0A2gvs2jNz?+F3EC5ot4`c(MexO7x>+4#HIA0t-(IqjzEo&`
z(<a#lN~&!$FO<#ncR?;y1-Ex@*DlKK(4U9)_cW`w%=Dov1N0d&G_IsCvW%qey_a;$
z)?dD<t>O5b!|~T=^ypzW0&|QCBE(s&vP_@_j*hKkfDBA)&K9vFzN=84vclL-4@Jho
zgLs#8V`6{Efji!>(g|dBNBhbeI9Qf_WMM94H2Y)1q`A(hs2@D#aL&|sn*C%<Z+@0;
zpWc|$w5D+Fdqi1rRc@)g7X^?+`VBWHHpTv8pIH|TI`b=1)uvCb8vgoKn=8oONKtR6
zEk%y(+GUhAB$COMc0P{>I(L%!GB2``_1;vGr!0r%N9SkYW6xRHx9b4oRN*C7ll)Zm
z?T?5TzvlU}bD)agssiF!#go{Po(zx*+8cH=1FKE6alexAmw>`T@|?6;7FZMy`T69S
z3Ql*XtUpnna~aV*k2bju;shjF|8Ss^XW!$q6}FjQw)Byuo6;3016C{;AELQ9Nez2>
zC2KTQ1|}gDqH1*uI;;&zMjoj(;oyFx?&;^AfQr`0z%;D0g>!Ggj^TSu9`8lvD`Uv1
zLI>>*;lNvlD9<{p<~5lC@-doC=70}<xy7jF{@W1<y0}x^RseH*0rBD{5?RrIZ}RUz
z@%;bH39nR~YoWS+)g6n=p=)U^GI7c7fCm3cZ^a(;<K3-*RSu#7{&cnRw=E4;jz%ti
zVVP$Ik-x<U#_#exUIG2g{91+uDA1ZmsO!O+&-T_AL11ba;v$Z0$+!B7)V(jV?PATX
zSob=AC`XbuiysxSHP{0~hyC>mmkn{|9G;k6x6Zt!k(1s79)6!S-#M8#Fhz%c9*C6Y
zAB5c>6T2PH0(`uZ#h+dL+0ESSX1trCX;WZoWV@gp(PET3?x?<GLp`G%bnw;_`QmoG
zAkKiFWA2bC=~YV-m{Kx)&8H|^AFx(T$J)I5Gve~^4WI9v5b_nhd;Y63kO6xr%y%kP
zMI2l*_Y1g?IWhojr*RNZ-gtDsIA=K*%$~qiB)|1i`fL(t@IS4?d3u~1YQU@8q$CZd
z@MCg+2UxPE_cF`MSi$i-qj|i7<$mM%?RTBlMA<e&S&(tE{67XA0}Mx~R%PC_g{Qi>
zdXbOvL(lcf5!vuSJ)y)FD$$Q1W)6b<sL#r#aQEo<Rxdqmf=)CD+REBkH9OlOi)8Do
zx-VF7B!$^Al>QEDPTI6%VR48qAe`n+hn_YFyn$!mXn$H4F<3#Zzh8PEf4*g^TEIJ8
zmJdn5KGJL8OH48~Z04mmmxad73UALYnr2ld*5IxK%pA)ZyVEL%FU)n-hiMV14(|0%
z%Bb04UduMxz9<>ccLN}p_>aDy8Cyf4*8Ry=cPnbopKmMMVFIjTuG8})64og0UH2hy
z^lmoYv7#ZaCZVS!!&Qbo$2sWmt~!pfpJf3_6;sIQ6%$&$ZU1R_;siojge$wis2=;7
zItp8|jF+>*8daU&(19kDZd+)8c;ISh#Ljcsgq!@S-ws*TL@5&UOl$oLuJz5pqUQtB
zAg<Fj*gf4(LtEIR`V+6n+E_%WGE$O_Lxt&U{T`+Z{uM60PQnOx$(3>d8CFC@ePi^+
z^DWT4VI!KpMSOc25_{P7=_!Z)IB|#BWw<>rx9-W>=o2JK0wRY+5+je=QPXR_NTA$o
z<czfhCwk>hj{wGFSeWg+RzPbaeDC%ZJ7UQMYqD~ms}VHr@x%;Fa5w5`YimdloEpnv
zu5o;Vf_#vs!K54qg{v!WAWbE5_ZBp|LsYobr})k;DRF65wK?UzCoeYKnCHBH?CfOb
zAnT+Bmy%6?f;K%~5yEg`SsCXUYXi*gQ5wY6Gs%&Fu~%4^v*SXa5H$zlka{y6)1Df`
zhvJA*3urVJQWMQEuazqb&cniyVZM@Zv})6Z=oM==e)Qj?x?vtMSVmoo%xtj*{H%%;
z1(UC^Q_1q~oDK}jyy!BLCF3xQ%R5h-0pF(1aImNtr)_b@KAjYihAF|*IK}~`B&GFM
zYpmcP5hOy`@@ZWbdLfrv)G}{lGegO?jtmb?wWt3KNd*5y3I$=D9xi}8EIuEtt-D*2
zRy7IkOcR>PKIoCh2N|F~v}1M4T~Zz0B-x$zV6T@Iu^A9kQJ?7Gw!e36R9TalrR6kf
zT+UQQ3#4Zu<Btxf;v_!1YVAQScD&*BnCQ@?V)!lSGt@)WAQZwlHCfXiq-J07sKCXn
z$5dpp6Wov=lhv1cKoIg&Ny-5c@SOkt**;W;*HW=zSY9pqN)f!kU!?h=%VL@vOTTdH
zRn0GX<OVj_?(Ny30RnAANb7Zc0V~U)e(IZ$|CmorMgi&Vy8cKJN;I{$4hI*Hni^2j
z5@vQf-;pifsq2?te{aqDa8FodbjDrpDI2gdv0&DbC%0%NW~%jTY;aCCyg0|*{431S
z702NR=O=uj`+ce@xdODg0GQlg&vsNN1(XA5*3_}l^@wz6Z_Rl573#bhK8yUQjbpQ#
z-A-w^mW?%F<L=UYE821$&Z>fK&BqRHJAa@}r2rnW7D@~!4qxI=O<D(W#3#+JYzq4b
zQZQ{;jvNwcUdrl~iqjSEacdmwyGbyj&CSRMvL6_44+*H#D}g{zaKR5EUMu|GSu*_#
zc2Mm2*@@<UtXj>oY!HYoz0*^7E5~k&Mx;d2!@}A`ky|sdp^Zxeh$>Smkh)VHY=`wf
zS-oWlc%i;w(!0B1o^DSWHB!n>BkXKN_$G$b^n&*4D|Vs5jFvT%Y-_b&4)Un}q~G{D
zvrQeyOA={n{hD@cCQ50P{ya<WqUt2UFMCmA<RZwn$>rPpw%o$+LSIrIkBdhSB|1+j
z@m!+T{IDHtJJ5VTvR=)f;~Yne@Fs8kf$^<(lKHp7`zw-Kjfg22niVSF0USR0{)^m=
z84`v@O$E9^=b7ENd)nK;hCx&*J<2$-93Jg_6*p^C5biOO=jJZ_G8(umuH?ub7Ao_l
z>BE_(*^uWwDQqI|RzgvQu(XD)kOfG-IrSx!8{DzD59rh*$ug7cg>tGBV}F%&uLS6T
zm?=63K%W}Or;;zgnb|HbE4G{tz3DvgmTI}*1UvSFi|rvIc^e^RNVLoeQy4w3IRIOe
zni2L1rI|EpSnUHR&w7I*y{u?c@PCTBv3SuY0SjRhUjL<!Z6W=|JlGp}U7&}2e*jXS
z2dc26P!(S1U%91p9((xjYScT;^3>^x@#T8up}nNff~RV3gJIdA8eua~G;lSN#~8a+
zvLRJ<PnUB90sN`1A_i#M03XW~A|L>_&Q^*}txg@|InEk8%=7P+Z+ss{2)mH3Dhys%
zdA5;k0RKahraDt@!lOY_aO^~qChb*S16a<wyS~bH2Dize3<2~GRSOdj&1pjgxNode
zIW=BlS#l@_jGIq2!gz3*Jv~bOB_!{{q<Z|Hrjr3f;p^|y&1K*gQ?(Y!_Zjs3LG@0m
zP?gTv9+a~YH0$8r;OYY$Qc!dHZB-tZ-q?6#Hhrc;inm@*4o_o6NYaypSfz^vqy|f>
z_`iN)#1@fQwAi}BFAsh=cs}a6;{QEK+%){p9&lA6tfqc}X<wSjd<-2}H(RmJ5WH=F
z2uB-uv&aJgppNwkV3-V<uFJ1f7U_kh4?YULZSPgUZ_Mw+lvszgbCA!!_Q(Hv9^&W%
zJ4PkpP3%OpF`FZYHp9WsVh|+%W*VZQ^%Rfwwp^b7iEC8VmE7>fM)%r^0W6xrG3(_Z
zfA6xLKzxC!k#$&)dqdC?YYn#6KBnn|IjDy{bbZ||L(>_(bEf10(51%zu}UuK#0I)I
zaB_LoZOZ+~a$`lddT<AK=I|Ge6LNWZXiGh+HJ}0>?f!5u60;qRopv1sxKf$Yvs1&Q
zFGV5B7DOtNbw7?Mufj9#Wys5B%U)~X`}Z>MQFob;FSaE)X<K-w%agfrSF#0{Wgg&q
zDVAL{+Zxf;0^AF$=ezP1hZhb57gtpkPG^XvZ!?yW!gD=lY?ZPfyKZ)dA`Sz6**rwB
z_te{2H3nI4;XY`f*ORtv%haF&omDBvzrIXHbZy*e!@nkk3wdL2v%kr{I#Q*=8(SdX
zM{mQg{&ffqns?#C{Lw<p)WBc|)YiRzM9Rnxv{hbaP9?4y1t7rei?gXWGd@q5d9`y7
zOONG2k$r8Gj+GD4Uf&D(r7eN!L>(P|t8oP37AIf8h&DOP8_<6QS3&jWB7CZ~ENlbN
zQpJLUWvvg>r4}S@lRbS*gC>U&FvFQ>`oYp&`PL67yXFBz15(kT4hN_D<O<;9q#S!E
z7M59T?wp8`vdrlm;+`+06u`5wN8Z1Pqkv1EBu<1Issj<u3ss2EVhGX_x9{L&t9;PX
zGRphz#-63`8397UvRl~>IUs#>;MDQa^~o&M?DkX%!-Y@ZU!@MVWdhG7l8Uux!C7G-
z?w#?tDefXFV}=Sbhg_bn_spjKop@SSjPcvBkoV^CqbVGicdq)!XPY+qQknah1g?Qz
z9xWG_aoJ2_KFs`6Ae)M#)wE$dwbkJm3+vU;0XT>1y=)z9l1*XSD!tK*upYfNb61ER
zg^wBHlY@}x{^p#!_R1-ke|KkO@w?i+LFA`luoWrf>{Iun4e8j0wV!VLS#FupE2-<8
zTjMg%FU$6~fw6^4x#<?!YWGB^;dG`im0dTg{t~e$UndBo0<=;`)cV>yA#~Vqp8S#&
z83|d?nt&nCN(8tGCwfhKppR<h|J}o3-oqn;FLr8Ob)@6^z*u_dL2q3&$eq9#Dxw@G
zPQZu|-13Zz@!Td|M_Eb5Gqoq}c|*D*qkGqf*%c+tQKX+p3OhdH!5%#`c*wikm9c$K
ziQ1wlF>kBIdz(l_UoeiDlEJ2*6RO`oFyZ;n@$X+TGj2ouHV8nnyEnL$y-leV3%D@t
zb_7M=4cnNq2~Y$w$FRp~48*S)dNqGOb@at9uR+ZZz_0C7Xj$@{M^pYEe7062v@)}X
zr3%HBPwboFPtax#oErOk$qKy!J8$vK!y>wv_9YDh-E+`J2p#2nip%}x>nC|{JQ#qA
z^{{Xnd>gBG;km?T^x74K-psMp#8t*RRQmtrH0k%sZL$GIc5ir(&)<PQdlBY?pm4>f
z?c!yHT=8?j1rJ}#cHCFvnJUy9vQrLPXX|K*w)Z@hcd{hvMJMqbDWn2;@ut)nDV`*n
z&%mp3&U-X7gb!MUS|Jj$^2jQO%kmZ@=OHz(68qJdpgg2CnGg3;;?K=AV#qfHZ*aJ}
zfVYngBW8s<OwMuf2%Ow1cw7gQLLucwNV)Qc4uhb8t%yGZPHc9?CflqLvk6d%cJ!8Z
z`P55nHCBl14HLboYZm=N{5kma89O>6lgfnHjF|@L4iG-6cH714lLm$ATY74(QnYgx
z2taGTY93K~$V3*#ElY82SW)CutsC+E8Lvg`yYXzm3P+1SK8zJkL5A+z7yq%H(7_cw
zrjJcqOeHep%42H-u1u<9(Ijc&dB@UH0R<%RF4+2;2+saf43-3v?#3DL@>Gu1Ol|eq
zPQ!9AC3qy#Isn7t{Htmq1&*UcUwZ^dnlnVilJ6}y5mVQIw+#{W!{m-yE2(8msFH2&
z55>MXQ)m1Z1YNQX(gw~H*Uz_BMnqy{P3}L8-A3%}N8MoO6+uJ2m(R4#3RaB`_JKLu
zpJ0*saam0TqO^=-Q5rtG3m5XeS<<P^HVS_0%Me~RnryQfK;{o9=(k3!?{o>ijJEFF
zc6{*y_TgRR?Q4r$wT_6O_U!7@sKm6!R(!;2>EV0d2=)d>=VNCSO@;q46!`GjDkTjU
zc`ah*av>5#Z7vSm<=@d#YtWh2>h|-ctL^QKPUn5GMxQ>p8yB?`%tuGERC84eGe0R;
zRK8o0WP31rK3;YpL7jAwM$!V5G<)j^)bl6RBAn@Np+?wV7_<dynRWP$`xcH?;yb&W
z#Z|Vj15k{6pwZX3wkKBksG#MmD41~|bXZ;egl%VCDsUgl_|DSuXVWdKLEE2}gZF=4
ztq~yjWv&u?droquF-rkvy1yXU+GU2^F1@MjG?Fk8nw}geTBP5M5S1NGOn)9M{vS(@
zwXm_S9v`Nu_x$KcGn4hET*cQyWCNfAZHNubE-r=zpum8GP*L!m&hG*kfp51E{YFjy
zVy5qTugEtPWdIbE(8=KM({sv{J)PU6_%R(=thn4}Nsd?n9q%BOEAD9!+nZFRVBJef
z&xG|#DRqruO5pfuf7gyx)+A8vKgXKr8$ePyRf+<p`jbW<7`>Dz0533J@&diIG&O?7
z&ri8x!o8tvk!UCf|0fvhjqMWb3`krta7Ua;)G7Zf(ffT>Z3~~K<!)LJ2E;ZrJr==f
zBgtJUSrWJ_ciXXCvR=fNKYu?=^P)9q4LrsmgCivkJSJWOq%#v5pM){PK^`5AUU?r#
z3$(=pp%MyQQy~9RFM?my+@l#eh?Tx+#$Pu46tA>-J}`U!BC*#Wg{)-fBB#B@P-R|r
zUqnsUIbXZ9)anlf1{W0~1X*$I5e$ZMkpEFbI?Oh%_5Kkoh8kW;a_pIA_Sj{DA!plF
zS_xF<#P6I;nGR&aa$j&U_l5YbJ_Q~D2DAdZG^Fpe`N8hF{iQU^+o{~(5Kdx9Ql0Ou
z4PISh;O4qjW+WkIZWk95w7urr=Jej+b2l5t>g4QNx+vOk^PUVGw^xLZ1SXA#+{iHA
znb<Q&8b(0%b9W!plV-s{$-A_F?2nj;sRaSL$Qm+kC=oX3F-=wz;XO=8m(U-FmjtZ(
z5kFP{oOxlwJs(aTAAul+M+XWC_{%y^Q9NZQWs83`;{M})*JI%PT$6FHWdE^e;MHR+
z0)(=G<m;DfRww^FiSwpbstX*_3=8dVRMEiKvzPT6)cQkHni|vgh_3EjLZSEHxmcbJ
z1ZRZ5<2YJ=F$^OPx$MST8ZdhZ<hN>rDl$A470*;_(jxrI58g*^u{L7QS{J8nwha2o
zf<8|(V<>2EMzWy*wI|kJlKWFnq+{g*u-6w3gF0H_?4a)RlH*Gtg-=!ZEnb*uV$XZb
z8;-y>V@U3nMZGPO_XMCQ@l0Wk7X&Uj$d_8|em!mux01G+8fL<WZ6&JGkcjUZy48;a
zt`EAM9a#**j^$Rp?Q5*1$(x1C)K61$LcCS17F}eX0TMRvHIj@zb|0LkyC$D0bXQl=
zNnm01CYCh+5IB};FyY4oGBmQ|&2(lON0nLc1dblX@pDDyx~WD_>ECpVFVQExnA5b}
zj9z}+=ssOJMLdNMg~+HbX()bQ1xjibm3>FRsi9J-6D503N~P9L*HIN$%Ee`LIYMP6
z-3O`-3Jc;l&vPg4<i)AUX~iR}|Lo=!c~wd7i{&T4gg1X4Wq??kHXKJvow+}+ypU0&
z|0cQcZt7bz6%q0%UDhk~Dc<ihu#bRJ55!)VoO;pDFpfmuJKaHe*=1Z(a4{_-mv-<0
z@uiYSb_IzhnipAw>RzX6WiU!YM1hz)?KD`o{?7%BJ+;$Sf*B3rzn0^V4Rkk^WybFc
zu7|M=tP*OZY75#Ifu;c$JN5=U6x`0rTit*`w}^4-4(&V_$bEma5O6dg5`)UzS+&j+
z{Vgk|s8y5IW-E;oPy!7anj)(BO0xPKCHR*MD!)ZCnHfWrT(2iHf^bl9cR-tDRP^6v
zoDMav=2$4H=j+FkFlLP1Pv^CLHkyl^yUIr_F7z~eJR3Es<M2RO@=>g|Qv{Y6wKYsk
z;HGLMw+uHrFtQ!;HntQQu&F{99cvLaF2~?%4~I0T-@gy=&qSHqa23ZU3pL2R0n>i)
ztL}23Ivq1}mQKAfv3B{HX1m8x&;29&4_f|#`_ibCrje#vK>WLPdt`Tt(jCJ9T&Y9H
zyB-d@wzM>;1o!*jAJowFWFY_m^-B=Y%2u5HChndlB`8kge_bloSizTRFGQnYwR~Xn
zZ1oBYUJl7r#O<BcMRzr0+hr$+t}^tC*X%V<n}ja`;U3j2y^Wxf^i~kZIU{il5CMjN
zdhtuyZ!pMe39oG`zSRbGvPm%tuR`zKUn+W7Gx72kbkS+%*UX(X)nv6}jU)SK*`(NB
zm4yuu(2#fU2DwL9o1buz0yE!x<&C^&Icspa)D7v-j-G`WQe=+KBn1&#W5SA-2^IL|
zz7ssdO|2>hEuh#193v2}LlHXib*@$|wE@4>&Cu@OSooa}Ut+;(6Gg1YXx#~zXWWB;
zJ^fHr8-n!93fD!Bf!hdH*P|tlLdj3+_>tn<aGB<HbS=-+KBxv#n0LS{`HA`@0OgI|
zc1m#_EVgV%kwF0%c5`W>3ejJw5x7{+j?NWF7OSP=(dLFx?-4W~i8_?VhYcZMmq*JW
z${WPC6qS625B!uCRKIyKg&f2G`a>q?UET3D^90p3kLams5Wsfnezh`H-Uh|P<QRzV
z9aM|eoIN%rmzzgTO5E7972)2Q84c*UXHemi`EltNY*@P9k`>$YHYPp-cf8YXB?mYn
zgoHh{D|@1W*#m91L43+QgI%FzT^|7pbH^-GEtr#&bCo4p)|lv%?~#~%1T|;Ed`jF8
zZE9>eo39?ejb!$+2xad%v(U3J!-0Sk;7#o$=)uQa0xDe8gz!r;u!)4WIHF%R$)jF0
z%Jl)1(-oiIqr~ySmC_hoo@tLa9)MuD=CMu-&x{J@M#k$`Qf6C_%!qY0Nu1E!t0sW9
zpbGvh88;*t%qhXoI97595wI%^;)mO2l01lGwM4=J_Ci_}Gm$T%m}beXy4qzvKpSQi
zp>b2KPw=+MBpoDIWP<4Wd2Bl+9>vPt67nJwX+Ie6sZcmDBn%P)$`(`RQq5u>(juE=
zM3&yMq&BKOAI_rRjKm7R8zA~L;!gWvj-DPeUIV#?AtOgec%#bnhlxK(o9s5<#<xLq
z4{}3=8#UB?wN%pj^>~eu;Cj*dj8Ylru0u+4QcO0qU@WcZLWFCM8s9BoEx)I?v0Cus
zX}iv<BZJk;3sw0hn9aALk=&@JpYNCPkd^C|H=+eO)!>sCI+s@4N_etM$>P_OakY}$
zUh>IR_oaEodyAV;ZK==G7KDkNit~W<h||s?tnAl)2kX)U2iZ9+CTE18{BoX1T%D;L
z9`CtZcBQFQD!b_&t=dEbw`JLA!F=%uzS^&MI;GHGG>px)K2G-C0*Do|Z8+P)1Rw;)
z^m~BU;Kg7LLZPuRA4#<dadq_Zt2C{Sny)<s<2rDuEPqAkzuL_vfB!GbeQQH-nR@et
zuJ4H^%q{~vYUtAkH_7&HZSG}jt&{hA8V-TvTW5P4nBBlZMQs;3muz>$OPRth;OiM@
z7<G9cSY<<~cg(8fpCF|qglcUEM&C08rx>?$ey5E>-C@Qj!zE6^AuO?tAjDQITlo*V
zKJ+@1=-aSV&l#Ct^CIE36c&Gl{+3$0V!_Lr=un*Jv{txAR|Ya)0$yS|zaP&MnI1lw
zK@h6><uGK=>$oWA6}*gyK)Dou<I@^e;hKq(G+}_kM7`3j-Tg%gz*y_(bEV5D>IH#z
zXF-xXdum5*45#S}P9<LthtO;$yRfWY06BR2>GjcPP?W94QFWKTeVP+%&Z1ns=|%cK
zskyW>44C2iA639LO~+%3&5vc{<}N1wqZQg;l@PqlyYuV3@~zf&3fi>yC==F-bTcUQ
zt|SZNxzfo$AjKBH*>C}iSVpw*IO>m5qgKIuF`vXwx9bD1{H;gC<7Y{1T!LevmmK!6
zJ}m=S0rD~p-4(z3o74>T73dzQLK-?MKst7Ii9%XkgTm6=E`S~!IsDB&@;cuNL!X&@
zfQs2#e<6>xX6XNo$w%Y3X!M`-ShTvM%n`g++#x)-@<z9b%!h>Xus1(jUg1q=BH`cY
z=gc-ny(J)Zj4u7(DY3(E;S@!OH-Y^8Me78dehEx68HhsEjB$AHH>x=Ip_+ES&7M&<
z2t^oZq|lhk+;a$aklJK@*v?K%ThnRzyDl2vj1<m`9@jBc*_f7>yXvG?X;BdnJiHVM
zh9EFWZXun`D;!Dj4UNa+Ba5Gw;N1WV>!vENOAxu%XX^9nQ~2KV_pBPK7VQhSCi@rg
z<zpMnA!$C~)NsW??}`)wK*~T?KsV$I$CrxI&7CSKd`n|5Z9`T{B74}6gp-osPG^{K
zLT8tcksfzx|GF(J^r*V8&_iu}%p8FL6<1wVWMRO1&lU*_O9$Fn%|f@4YzqXh%<D*N
z^P}QG59e+fHVa=s8|t!1gUpLG(a<#}%3ZDV4?!$TV?6PV4aC%N*|3V3o2v9ixf+S&
zY&gTfCp9L%=a#{w=-%>A+X~>cdcx$%N5<tAf^!UrT3rr%n<0(VWzK)Djuu)bCBEIX
z9aO7<;wO&xZg$od1e_MnCLlR}{wSQCdeGo&rp6^zuuXil2hpGExE;i`lFr-D5qHY~
zEj@X^4NRecKeMSWTR{O`+}nvQ2)C~`%%HB2(0XKl!~`-WBUAc<j^$5AZTDO;A_1~0
zyzi*QeJ}~Xk;Fkj#9jKu_Kk*gxs~xIKfP{n*kFx!RxvBxsgQBG?SVy}%$PjSS^u9n
z1*T0!j{%Hud<(NuwRrcR|MASIvp;v<Otfc-MCW_fLhsT1gfPu>h)*xh)otP%t>S$w
zQj_o&ic_c3fbQJ!5_2W^#5PBdR-(Cl&V=v?ppUBmRnB4wODF#H78&hD5T#bOtj@m!
zFv;{4$+gN9#?WX-4PHSTRl*G{wOM0(a>}5qCXNY^s{=E*hmgbJRh4B!Vq7qwSP?ow
zv|0Jyp@gib5=nJQUZ=TscUPieqcY5Yi_o-XOv4bBu6$4K-^*a|o(EVheWIhdeiwA>
z^&Ojy-bSJlVc5GklY-!H&2korMqjLyAdDxR%5x7{-y$Y}=boQc^#hJI@y*mq(|kWI
z`n#PJd5$Uj|2R~bqQs?a>D$RyhDf1HjHNJc%qSXdD+ZGYMhUa;sR~*QHS-@fWZT6G
zD9Mli2ZTCnAn{{tR8Tp#Fhqpim$UdqJ3yD30p$Ul{={it6r-h|R$xJAAD2pby$DOH
z?nqNN)!ErdNic{cDbtocyb|h4qbZqM+PJqVcbht{A8|Mwm44qq`Q%J9R}^anQt|<I
z1DCN`>fqgi$@{#E*5--l@23X2)AcFz{{1Ojh}Gb3U-N<X+alI^QHLMkYqpUxcLWrW
zePqW2OjJP?FzoGei-b3rSBaRr1_bKXry2xkNSL&zWO^Z~vullkW9vo0Hujv<tkD^&
zm;tuL7PNHQDl5|Bs7*Y{{jxtNv|1q`g)~Zv(qt7M*rYqhXuUolY?G!uKdPDzGJBiB
z13T*W^aYRyKhgC=eC;w_CLn`hR%07;GB5&Gu~pljKl^mXloM&R@FQPR{k)+$NAa(n
zIh~f#R_PfBL!PvXQTq(%h<xO$PR8r@8!F^S+_)ZT0LP1s=u;9Ws;@5E3aX?IReLUz
zf&ITECv0pY3W|)=@Maa}$TRpqGBT|-!I+$fGwj<;sgDvpwJU8NtG}npGJSYty|;XN
z>8a|e%bVN6Yxl3pDe6z<HI4<uQyxpIeYTe!q9w*v6tczj_>8gj*%%GCSo5l<@2XF_
z18ILBM{cp4a@LxNac^-TM*-Q(z1MvG2in_Rxrz1&yFu53@)ybSO>E{rk%B{-FJqZp
zP8dTZf8(=1)RTiaPX>2MPhWP{-<!jamJ2fLaZm~Hi6#s79{5PG7~#;DWPF_kmL#`^
z*39XO4+1uWm(h(+c9+JSeN;Lc>%nupj5vbgvFp?O6JFH5r+U^$@^FBMpcXr&2nwq!
z61lDvmEa86TOT>jO<7RQx!dXX9#){dmw=k*jgvPk^Tx&j`NvZOtJ*+0+dN>k4qNlG
zyFztYWhG^Uj%b6mSbl5Kz=4%1n>NCv4*Q_aHu|id_^2<#;vl&@I&py#E8w{NM3;MZ
zQO^U2rZ-C;*Y2tIR^He`>8F^smpcoX7iqkK@cW*J5;hHhwVDsp{e`+RhC6D1P|1Zm
z1TENOaaHEG1(eWvlo7@Pe9UI4DO(ST*u9>3G`PJ^eIbJqi|ifncP*X{)eu8gS(;Ks
z6rZ&cubo(`xpClJ{HcxS;gE*RUlCUF9xMIl9mc=dZOIA5@P3K^b6XAjEFq_?>Yrn2
z$>gx7`Frx)se&Ym8N`p*K_i}c+Pu2X6iw&O?O`CnxBZ`!KvoNS@pCkDS`4omnQg$l
zD$t1&<8-IHtVe6gy}uUznPIKs^`0aW&SdASMaD9xN$jmLkRV@c76AE?o-c@JC3SOz
zD|kGmxT>&T_V=o-)7`8p+)iQ-LFBPQ`g(bf&NyP43ZRS!&LvQQ1iH&P%|+#zyNzC8
z;}lRw>)|G(>45OgN~?u}Bv}*Ewngxo$hM(Nxsy$+64qKiBy-CH+@z-F)eZf%ufAh>
z;*FykwSvRdl<Lvi+~~?B<NE9!;`gQAs{^%5AZ1h;%>k7JjDA!DT2DN7CTa?^gOO^@
z_n4k_XT%di>t6)ds3K3ERXt*keRG4h;p?O$IhaV8II@){^JCEVVAl}<w&^chs$NbR
zxATMO*WQc~=Dk?AjR?#n%g{gTCm8ux0>#V(XP{c!XQrz8A}$NbnFmn`Iv+bT#t2Fd
zVksOhK$<a3u;=Wy3Q-N`oPp3Ef%Ud|dpUh|px<?b$?S#su1c`XTo<R=c<)d98u3=?
z=o@Y7C6@_Clh>zLt^Q^1e6%gv9|6(p1~3bPL^RZ36V{S?zZ>kv#qADKulm?cBvF3r
zZfJK__srV}GuLk%p|GJC#M1n`;J0SnVIFk+H66vkbf_nrv8s;Rk5cJ#fW;#}$C=3h
zs$dZq=VJ8Ab-nsS<V9tG9n+xol6oP|>9x?4=Q}5SgT7Su@BVgX_kg?I;O>}-cnTW0
zL{V~9xKs~jKu4`o(U-%$xL!mqfP{ds1ZwosW?X$W_%RdFepeEy!y*7{JSHwIN!aZ$
zS;ZIObvPGF<~6BaYjO{$TlYN1)87vNBGds3-I;7s7?H&njg}&D+ZLGIvb<weqO=63
z<1v&Y^Z<lo5<sT|jHEb?J>SQHu<?(7iIUU6g;2<v8Y^~i#ye}Td~1fqf731o&ePR^
z!8=t-9Of*8tFkGAmFduH-+HGdH-H|LzcT{FhcH@Zt39b%J!#m&?oy2u5^vdP()C8R
zD*?%}LRPP=6K*r?$BLEK7k|lB6MwO!+tNzsn`lg@#A~dmSx+F2&UoqS+3V5c(Qodw
zB%r|n?!&W3T1IKNmd97dJ&4o5kJLR<niE)^jncb5iWt_(!rbSgW)DuITy+T!9RdHN
zZ5%v>CyZ6V@GbRY5sjn2d9FgQ@urrjpX=)kT=fxW#Gx=OP?)u@SU33SX3^sxq0kZX
zvXn&~y=8k-vjFV3{Zj~xHmonRve!GZC9E+5+{M>TLXISpq$tjx)Z$qrm9tHd+_&-a
zkMw3lR?7gJ6w4Qzo&=C7#gj-E491qZtkNkR+GXI1z`8o986@4i8OAqGJglh>nzU9i
z-0|^8ZA!NRGg1Oq&Y~pQzbGBrRn$q8TT?L&vjXFWh}Bh|wC=8-)~0*7Fh9g5>QtVH
z6vBn)VV(eWndBh6O0_e=h}uYL59by&0s^_K`eJAJ4D_rb>ns9S!1tEQU88V$>`+-v
zA6ErCmsH9SJ;qPpe@ayB9=G-GAbW-^m%;Olk=vUb^vnbU7lnc^clH*-@5ATCD2K4#
zw!|^oP--a^jSMW%mW&0kfmA#m!QA>F$K0v1tGn}0erA_PIiG8Gm?R|K;9mrvATm^%
zQQ`CUm>6GKC3qi=%iwFf4pDHlX0(=9zO=Mm;&{lVrrW$+f+DrY;WWhH`EmUvDJfU|
zMBR+u#%|ofuPQ=abpf*+nw&Ou=T<;AWx3Pe&IY6q%D4AdO@j`tPq-x6IH?!*jFEh&
z_b6--c6+V*MkRDB(g9js+|i_2>>4Zhh~K2iQ}YdbSctqVJiNy<_?=K68nV9yGlQ?x
zlplUb|Feu5fF@^)&kocOdq&HB{t6?-mi(2xR4jca+x2ZVkqyFLkl6>^B9LuROduv_
z@A`JzP-49>Dl=Enyg@TP1?SYS<t3R}ol?N|n!*Yrv6BqiAyS*pyz($fW!u^A+Rcjw
zYdU-d9@c`|?Fr$Sn8_6O&}^21UAjNjdL}3p0%{2dYb=sx{di*90OjB_IM4uDNQ+w0
zP!PGLT<a#*07a@{j+f{wU~#d0wMCJgffGLr0xhVeUePFVnF>vz2~%KBBaM#jeu7DQ
z>8f`PF8y_`5NNQ9I}~sGH$g-p%Q0sRhRY!pWNXMwMC_tDgW!*64Xrr}>v8mN+#J<a
zR3$FJ8T(*9fzfQtBhz_)xJPAm+q}fDp}+k(;r+)D)evwrv<9+T?}39wcJIuRX5IkT
z;1roV?>D+n=v?*e)0w}rvm%x8y@>Ps|KNc@-+$&n9DLCNBof^ftEwz7JYPq{ZEv|g
zN*l1~<Qx1dr#t$2WjoeJ&F?DG1lNHl_8W*LaNAl5Q~_y1RocoHV-z05{WZYqn`(63
zB9>Ugi76m66MN+QS%^|(ZC^cE)ZKP$)g5EB2Q*c&Ehs3Q#eo)(IR$B;X0UiXMw5sA
zVHITUZ`!dbziEmB_%?%Mi|1NNYQ<Nqv-f+UZI625UkgyYntLmx!o`;`7kTh-|M<oP
z7F30z;CQkipNBCcZP|k)=CXzuI}Z<>s;O>#es+bF-Qg@;UrA`yJbd{(O8-eT$|`)Z
zT_|0cieJ0Ymn(kK6!M}&hg+VLt`wG>)7#kcTe4${hiu0~S&}?&6i_1$X2bi7Yx}5}
zGB0MHC-i+r)V8N*Q$g*2WpegqZD4Zl)XwG=Hsqsr22R6+M$BUkBDeA1wVPV#0Upq~
zOZ>%g2J7K&mG~U;a<0MYl*rnZHo=EAv^FezC$Nq=WUJ=q>dQ#O%lW;l2?*iAp!$}G
z00R#oFFrsM33DUe*0c9+je)8pdq;(Thy4IOK*GP*e>th2dyMb&Eh^@);QJ9?;fULM
zG7NEl6&xilGdsHb<MS&>_2&tg(`FAx==I07KV)OgCg}$kc0^K|ibh9!WZ>usg{Zgp
z&_qa&7mYK-NT21cVOzR!1kO}m)0CJnT7br77E20JbrqiNQpxw{o{>>JmM*L-=({_n
zr%dxq4CE$ahXp)M1K&@dnFD}IU^`Xj?(@DqI<?RM)lt6ZA}3UHb29cP68(Yo+76$7
ztCo(+-sp_8@tnGyR|!utv#b-25i-J`X^164{ix%{GlQy`a;denQ15{O>&xK~ilKyQ
zFny{(*I--e2jyq8O}(CZMcaLdA6A~p%XUHIl1Cn$+3OXBN~bxqcg=(<Uv!j&G)UA*
z2p3^6U$LH<Adxcs&U=FAPD0dNTREvR;@`Yl=-9AUf*Yo1jY6kR4#B<vmz6H+@U&F0
zJx9@N$Gu19vd~I-Qi=*^yptk;iWwsj-;7!J4Ib0M#Xw%vwtO~X50A%^3;#}p{abck
zecsBjG^9wb3%TJa;z+fi<>PQlS4lTA_H33Sf`0f=^nL2+X7z{uK-X-mq7NJA&z#ZZ
z<7WN4nOOm#)?<-$b1qC{60j=@?O1nm#J<F@kU&#&`0sc30;eaNSb(UX-!SHhZHse@
zN0(a*;Vb2uL3&0cj&RrPi2l%#HM5le8YVO96U1;<m=1K>_#gtogr^gup;4>wPG8sr
zHdUPZOsUnl@ph^R(Nqcz4v}WwT6(>(jU>-|Ayku{w$&mBt$>%R+6;HbAh|Ljawf+~
zt{kB%Pc$}u`C%MmukusDMwiTGUEeED1nTMCph@w0ZtgQ9Z~@d&F}K{4h>f18P3>v!
zXE`D*J_h?3F1KMfPI&Pvhiv$p|1CM+zwbcT7;H{a<Fe##H)xZOaARs|M48#?kd`Tk
zU&{|`z?Wfnc9h=xH+jTdw>ZFQyNOC^n+$ER0Uf@zg=>V7v0=+8G-Y?Z=2H|JxamR^
z5;fq$2y!aN^Krd_;Svt}QJmQrOo%u{&fsA*#`fHB(fE@OhflKmpJqKQPOd1~PIPzo
zzJbPDBGvv6BanH%c>D!S7=L~?o2SfupjPM)(fQYaL}8ceX-YR)uB{Hm=@RvA%uV8_
z(f0!IYqE_-k5j;_RZngR5(D7BqCaGO8mJzJ1)+wr*bF*Q^DZbYjBCkUjK=GdzyBA~
zup_-o6}-A0`-79hbi|+}fpj&fF7hnfPfD@YjXsyIP#qc%mtF)(&$eIhRfHUE)zF|o
zj7c<6UOjsON0@f!YvwShXbkkMtKjgkC(ztK=D*EoGg*>j!v0VzmjUNY-_3iCK0t|z
z0|J5k*%5L?r?Il$=&SXLJ;r?<y&Dz5cvl2(lO0MmJ6Xi3LM5<4j4XW}p=AnR0aoVD
z$(rLuj6f%<6cF)G9VyT2S9e>SxL18(9@$W7l|lCcA(ek?n@d@DfS(%F&*1G~M)=O{
zp`(iEX`4MW(~_^4iDs7tA$}Xk7g~A@KK2&k*0B5^ylgldL<xpidu*B(#$W0cX{$FF
zV6sJaLhg7Z_}<ov8sr&CmWc@LT8$f+4N9MOdHMT7{HJ9in&l}U%!i-Ap5FYmYMlu4
zu8zi(9o4}#kxIAExosi}khQx%98XMBpn)KMfQjH&wl@*(2d<H+y$0-BzJgr9B)OVl
z7E{mdn0gFzNkl(pGs3WIFR^MRqc>?6G6)`Px^f-6P=*(DlNpiLpe-v5-afo0pbFHF
zHX_1XwflX05;0>w#A^?r6ny3~;wD<^=$BOWCh{U^cBiQrin6wr5xH@{)eoLXQF!~|
z*!9qHH#a&79fFH4vuXWspT=rmXlQu&e?r6uSqh@p4TCYv8D+ugGFqO$2(qyr*6y#5
zUIr8CWk2W6kGbNh2@D<;B$|to6RZ`G!ojmUqARCscEje{;+R{(SvaAXHjE-DuwIZ|
z(MBY>!Mau+is9R$4YVMDvX3F~<Moe(fH9%nzc@TjN_WF{{dBQ|O&XXqi~o(E-k}tR
z+vX1Q+xLv~7v}frZDF#k9ga(zQ*h5Kvu*_iPW~uSai;vWNv6Vd-MelqLy`2lO=LzS
zSH5OPgcKp8STh`!PgpN5utxwI_$lYg-zjIvpC#{4bux`C<AqfmdurR(mcW=G+TQ&M
zTwLprXx*IHe3b9PMzW0`$H$o;*v>3q%i?2LTq`f2-9LV0vk@Bm2HMs6)>eV5Kw8wt
zGS3u@uC%z)s5nxYOLnuPJrzhI4~=2Ye8-?i<`W<;trYY{n&w&#4bROpUJp3_7<SF9
z4C4ap38@}@_4Emq`#X^)H!1~oHHh`9JKTT7YWk$})Ux4f)g%=u0|yIO&^)!6RT>aS
zgSfL0+|N_0yz7WBCw|@0@HzqR<&9f57Y|^Nqnoedu1)_JUv#zG(K99lHv4>}5gDyD
zka&5~n)_>iL}a_g=urky8n%++78D$sivtR|%=2catF)6SA-m(ETlA&*4lEIM(@p#A
z%GD=1GtdR+(M5ZiiR$7UHD%;iQ_Q2hVFb<eXssV8`T>=Z1T%Ev#>o_+2-^qg)H&z&
z209it{N$$%YVNE}cqa?lHYLcaGey{qLS&bICRA?{OMR|DMf0TLf#`c211O{TevAD4
z&|M_c7LmBQ<KPuU)6st(O?Iefl$q@8ozo?M=yjcywGOJ#`698OtZf?TnD;){P?;DM
zdPGcr59s9Bu$P*7Awpy#NczJHjdjq9AOu_A^1{mvrF}bnL&-w<y86Kl2Bx`iH82SF
z29ihe<!NHrQVA4M-;ru5nU&U$$oc`>M#}GDMjRsw#+-y9ibR6wycxRHn7D7WLU~16
z{d+jYGE-D(Fa^EP^Nlke&KS)KV@);5R;ZRjH<r$OX^^OP1Y<Qy)h?QJw`mPn#1|s6
zC(QQq35P?QzBx%Z<wcJ15txmrX~8xk1B+ed+s_PBs)4({e5HU|R_Un&JZX15(Jr*E
z6*}?;!G-2e>)`_B+}_4{3k9b|<|bAGSXd##6iHtiK#^Gq@YNu*VcsuU%S%=@1BT++
z9#Ivj_zyRU8)ON!aTb}m^FvNxEX%pHM*vY5TUzJ&*i$eQ00Vx!F!%_~Q4j86-yu4B
zDY2h;oD2KJz4*kz2xm-q9@lee1{P-dy2}CM@5G5r(Ad`F7C%VtlLZ9inA^T`?M)@-
z1Pu@rutsFzk|SAJxA7(t=175P{`{!)yr3YJSre@ytM3oKUlTB8^3MP|mv4F(WJ{Z;
z+UIW^Kmp@{AISIBtqj@geIo#szx3Mmy_iq&@>(C-q`NvY_fW-2E%o=Dz`rke>8Mev
zb~7q`M%9(Un~^M{{;_k0zlJMoJ&FVgOBDqfr_0RFjQ2QplgKe^+}?0C+N57w&G7k{
z>qh?QM41m~gA=kBhD@iAhYqzD&+~_8lrE9P&TnqGV#x{IRh;n|PO+*$u7gf+laE`c
zyiqP00C}Opw060`1q(zNB$Ffs%)NF2OlkZ^LI!Qx3#%Kgwa_Gfz`#nf?lw%&xf3+V
zN}-9`2+S&+-Z$Ojnkt%9@u#+h9LHAsm!e7MVlj$#?O$x4G)64i>s?}^GF7Z_W|fxR
z(FFdTq|Zp*>Lw<@%VoRADt99U`OQ>BB0{{8(P+&2*J04N==@{NW=gC%y^(a)GV4Wc
zhn4A(uQES0eYY(&%q2J4Sz6-1h79VMg3v#IGX<w&%ph!<WcF*6rwsWftxx04Wc`xA
z)y)0iuHVkFu~)hl<yx2r^@K(3Jy{c-Hedz$s>;skFpAcUPuQUB!YD^o3zM}8Mwe|P
zSW$t>Q^m|ha2agcMF`qnfXhm7meZ#mfa_JLn73l@f?-<b%FPi{-cH1W{dA-7?u_|y
zaqD_I_OOusy_0%q{9hWw6OE_wA5Mi{#p5+LXcB`~DMxPkA)TJ+0dYp<l0h#`ti0%x
z;1O#TnYdo?iN;p1TO$pXu2DyM$_&nr9%3;vn;YOk2I50G9!9`{_Lo2&CmV%8vmMu{
zr|ECN4zOmG1jthdgp_dq(#g>ysh@KZ8_X>dANQ{QPlp3%1!-k4Rt~^jzorX;!$r=9
zX~NUwEl~8Abvk^95HFZ$;zOr)J@6qEG0eu7roS5HQYYI&1QlEM2<5jbM#)8Q(3_P)
z93bWJDsSkBk)QCy(Z1rik_t`mO*@^d2neR5%8!Yl2h}5_&-e`rvGC-g>4DY4>f&iB
zfNeP;+BxUjX%)qOGLznO&{~B|xi^MbU!Vd41tFF(aj{d@>(wnj7NBbA$g$sP&@Mc9
zmKgevmkzp@H$wL8(a1>PH-JT59)!#SP^&pirV>4RyIRqJELa%+R%EIVNZt()E>mMu
zO@{WTqTad{14(319fk>#-z`hV(v}K>kU$jrx?;1DV2kDm+W_)uTW8Y|V7&Q;b18)d
zP8J0>dIHZmP88&Vrj3Es8@hc3xI#-An-#UAsLz+35f`3GwcqTA#=QXVomgZ%*x9!$
zhFV+fB`qd@Xv5$8WdGX`a9HTTuRHPpSn$n}-<2qZ$Qz?xPm8_f`}+!{1vL4*(=in`
zi8+-J16=yWDXS<lmfw4|$g5vyTIIgR9EzL(WW^r4bDg`0r2x<)K^0LsMIq>4&JLX~
zCpNKrCHVOAAF<CldZf-)IC7p!@*T=O*IVxbT-ZUw%EvRlpk|N^^~GZTR<s2Yt`=?A
zmTt{~#>#1dEGnod!AYRWjEu<ikdq-2;PBYFp7id6j(91euOi%$X^yJgB!3GO)iALF
zRa)LZPIkn+$Ke%<SW4118`NydZ3a*pi)%<aeCGMI3MW-m%I-X>a;LtV1}W>LIG0lK
zrE&=$;K2<WUg;#?Z2=fpCbt;(OGf}r0zkgR+>K_U1n@u0YyaZyL(RbfkDv{?`_TQi
z9%ba++V&b1rp`j!qIc&o^eAY9lUy}C%OQUM-}Wj4P{z4vBMK=Ei_xD~cMyss`l@H_
zh(?AP7i#DKD-pASh_TF;>ct<e!Oui|M>X-QhZmmS7OZ@w5S-!Fj{Qu+v@xl)xP1P}
z8(nph)e@dUp;<&W>)FgKphVe^S7s~3tTw}Xy%u{QwMm<}Qw=+%?`m$G!WKJK*nc*s
zXta?$7w34Sau2R&RyowUxEW0yT(L5V^F>c07-j4g-!i<`vA<8i05IuR&FzsL8-{2M
z;b5N9utN-haw~Ika-=E_Xq2=W%*qI)(-11wm|az$=eDt=9=)It%WG-{8S`v#z=U7W
z>i(`6&a^OPQjP%Gr6&V(zTMs+#}KApL}eAp7r=SUNcLmUxoeL)z8Hn$HFHYnh2*MM
z_k)q)aE%Q{D?$PNyY{$nm-h-?K#*(D@1d%!7UgfnnH19BTVmh3-{X?bDf47=a`SOA
zhEU}ty@DI=WXeTs7cPV3B6s?O7v4qmChWbdg0=)~_eewJPEA`f^!2OaRv#in%M{SI
zD1BuyDd`ljTq0Y4K{9N%J-CN50-*2<3cp$KKe5VYM-;g+o#$8TRZ<&g7`e6o!cKBP
zTvhM0ho5fLs3NPrO3c;;pZQS78Syim;ye<ssqDy*X%zh_mDRX;^qUc|wFUd0(6l>R
zBsu|U==O=F#e94SSYS5el^Bq^NVC+Il3Xb^@kUsadL@2{KnWtdTu^O|Ox6S0?-5Xe
zVi){e!am{e`#l^J@od88w0J2JEKu18Y+Y`c^;GvJu?Jrwr6MrHI_OxRV@&xKJGhog
z9)W}V(Ew;t%fot2fAA-I`!}g7(wKSUwF;|bX(vC8@zg`_@M^Wi+(J*Np2c1u?ak~f
z-X|G`8RD*d+_s1&sT~YW=?l4L_^FY=P<NSbdl9><Ly_v97&6qXzNQ}*AI=+4lPej5
znA_$5^h~cX_d>b@+LS?$V$f!-3FJbMYPIyGU(PseeMXi*g1eDV7&EZ!e?OP`q~z!C
z(y)06kbm|)H%xPf;>CvZ>s-{JVQEnWj22m5BdfTelUk6T7|O#ne}oNxiV5nHRPE6^
z#z@cCd1ZllH*Nq=)K%{<kCi9V1*K%b`%BVoOmf@i65b_M{I0}6m%sF{AR+jfJ#-CH
zC@WZ!;(|2-b_DbBQ(B*#ALflfpnLIras!ec)St|e53UQ!C)0YC)Ves$IStHFJ@@d|
zi4EuOP&nVpv@?mw$-F{K0tv}~J<^Y0$oUx)nN%z(%fUs*pW|6K-CV@?+eI#Gz3w6g
z#GQrSjH=v<#%8){4x9yTRO-RT2%wc^)e3SCmT<Q(`W3Hm_6d+6U}u~^x0}YUX;~E|
zF@5-4ZurWfJ;Bxk+s3;L8mZ7QPCiC<Qh^>uaYiLN_cfNg*1VtTDU3wC)7X!L@x)(=
z#8hKTw>FP-seW2HHP$E?1S-CMC&vZGht70$A>JDz+hv~!CoZDhUT(Xp==*DO9Hxjr
z3ClqhKkh!V3?*r4j?)Wn;lVm53w_1<@2xYL_@8%&x@yIMr4izikgtj{W$VjyWNb+&
zNfO6(K@uIPM~6b!jf$EAWPaP?gjVRtE4z~!qDtyinl6~ZhUp&@k<#&#8ME}4!{sYM
z-L<_o=WeL1IVwDDR)tM1iff=6)hJJ$YdNgI(}tmv8kKYx@R18$WmBcHhn3qpM6VYt
zQMi8y6qMf<Wqw!78{i8r5Fk516yLCM1kAFG)OC}kivwDK>V8U4y(E{Z2x$-a$-e5G
zZ8#6!2@8;}=}42m5K<bp`QyRwmY<BNt`pmhS5YF22mSk6jJ!$Msme)9O;X+tJmyJX
zxsEn*_xv{{`hPp!L9TlNh6&V6Kn{~wCUCWiBsDjPcRKR<IfO^k?7!X87XbXUU)+^U
z6{A=`1|J1R)26YNJE)|61%8^0z*cI;#s=>vEA><K=c))ui;N;EX-}8)t*l}$_lEOx
z-8@j~EhrTc9S8SbbE1mp0?ME2&M&!qS0w)UYnL$}`6Xj9ONLbnxVd#k`+Fo3Ok?X*
z-yb8{iLY=(e?c;;lGqqH)^~yeIo{x#BjViq&BKG<JdUa~40)6G<|r0gTSLJ{Y!)NL
zZ0^j^9Hc|{lpNX43<;*^^n#mmwpJHKm)AGt<=?c1;^%%WKOCW;LXS6_<f*56N+&Xy
zI2*z)TW4(p3y%s||G1$b>@eX!XqqVUh?7n28{*aCnlg7Vu!m#-F<|a3NG?OjotIYZ
z9URn&z-oCBfj+hZ*P>SF5}e3Ktr|Py%+3gM9Nh%lI`)<FKRsO9u`;k*n8+?w8_BWd
zqUgv?-QXtG&gr}=<x-g>Dxb7IU}YEM@0U_diBed_jNtZy`w=XF=n%!_+haH2y9pP+
z%^oN1bo+&k0um@kX)=E8HZn#ZWQX=+qKG3;p%i5qGb*VKuPEl@H{;D2j#1)%V6}+_
z{Ph0BEN_A9$?qqw8xuB4`io5)deFNu&LfNwlb>g{ep`jy=3a`QC&>i47F_|eXxi6R
zb1VyYri2*b?#`~n4qq^fsSRLfN5Qp&O~a<HO4x>>WWscJQpPN5A?VP!p_1)$BFEcT
zv)Nms>-xO}6o{^SJlXFPi^JtH<B$(fv2%!z%l@MX<QN*v9Lb(b1gpezEvY?=_^|aq
zv?kbI=4z15ipwKX*OLxuCl5@N8h7GDGhu5^0`Vb7HDDHKj5a0H)EA=OQ~MQ>Ojl|v
zjM|hf_EBEQjG%Hp>07SEiF2f?x9?w3XRJ0PWqpLTKh-I145a{c{0v4oj^EJYVklY|
z8_92d{*b6AU~T%>Shk`nGr1K%@hHCD-myr|1R&%hElx9Zs^z@a+hf(0$I&f&m7coC
zQ=g|$btl%1)RgwRSfS^dWm$wENI)c`P@!2S3K7>NVV4yvy9~Q{u7AcRN}Px`Ctk+8
z0own0dguyksEkw+F&2Cebb;bEpr6mGYpO;-)~pYG-n;{x6(G+xLZ^Qjjy|;O)oO%+
zLVYp$ZZ706Uq3F5VQ?}pM(AX03#$votE#;VL>m?%lO;7viD$xEI4oLca69@o^Kg1!
z_Zb7|kZNvK_er4$v7NU1OGBwkhGlJTDVVACY|0sc{y+D+W6x+hBJMdEiFs2LtUYFT
zod8hvJm<WfG_%-Ujl<YXWR6c_W&;xh$D1D;#sT(c*XCRU+f0eHUypzM2id}$sjMn%
z-ibJ^`k$CrD$e1>0D<aK`BQIA<C^bp3&EkX#kqK{(yu5i-Auj)E}ycM#T2*>s(h^K
zR@n?!0UMvi5p)Y^QZG0CrSWwvz(~zvdxkI*)4)?wOJS6xXjdjxA6e`w$jjB%fZ8@o
zJ0l6!I03b3_!Ph>j*Fb-!`4=J-xh(bJf3GS;XwsWD2v}TLa4jRkB$ht;sO<-v1QQ?
zSYL!DVuEImPe8P8av9mOTDetOwTLNqob+I3O|r@Xl2TxXe|#24qyGeP4X7)jO8F`4
zrb_=`d}eHZR0z$;{5WExy8AWsgg*d;WJ&t|0JNGxi}m;-vw%2S$BsfKC>twQt=j;G
zCFl?u%Yj@(k5&L!N!3d2=1Yp=kvyOy@XN$&?Wbr%AC;D3b-J584*p3Et5kLA#Es6&
z-<^>_z7{-bZ`z)QPCZvVT&ZTEa#<D?=bw2O7262l$prs_h;imUpGv3!7RtXA2PruW
zd&B-`f`kM+y8dM~zLi~EJO%bpu!)9D)(LtiT+pL{vV=Le_FiBX`bh=g1B!zx(49m5
z+DJ7Ug=+DjJ&FuMC0ZF(vW4#TAq`qm4J-(sMcz|?s&AW6VamB!1;Y^~g&Q3-y4<Rp
z6Jc9WNYp#2y#s=6^TFvrZqJayKuB;aD7I)=GLuJ8+W~+PuYM80<x?D|2AEb-ALDV`
zD6sLrsFU)2F?|B2KT%NE-brDstY+rMo1LlaDoo);jxb;u$N>4ils`dr&5O%vy~aoq
zSzm9owUyzhBwOOGq^;nt!qCmO8>7<sw}BxUaWd3k5lT%?&!(V|lP5}H_0pvBZ{zmF
z?KkZx;PKP`H?KN&u|iGE+|*b<)@s3$CM}(}9xf}ow>C5%OM~ZF+DRt_B^|o1qp>=e
zDT*iwr;Tz$%*79%x6*F+PMZ^f4}_wTXfSzfG?r<K;_1n5u(J-Vx2YgHr|vCMIBV!z
z!HDD1rhLvHze(q$IOrwXB`STIV*$D6wy0l4SZN&gT%pPe&TI@|)VWlzCrD`;ZWzpK
z?X9h~XY?MU6yDZ;1QpyVP96|075J~rtF{(MH0%x=FLnVaO17u>v^Q`eRkL*{yTlo1
zDXx|I_~JU=a&$VP9ae{kb0U><Y+?A0qE(F=;2vXM*UC}m6gfIAXC0>DNYI8GD4N6D
z1MYeMS1EC4qRMELnI!C)FDj&QQ{4E3$;-e%D&SXQ#-H+?K$BX|Ts67)B;b?=tarf{
z>lOQ&%(mZ)&j?Obwc$Z~CO-dxw*;A-SsN3?y06itlGK}dNerj~@8q~ZybcgV0oHO_
za(~k8j@dL>?bIw&gH@ks9M!_0xUsJoee|Z;FIpDaKz`9Z;PJQ58RJ!$sOh|^HAy)F
z$Z9bNK!>a}^4%RFQ(BqJu%S|Lp4%*n#~^?qiN0$y&mF?aqJ3Q&Q!-$XwTqMG+w5v8
zVd)aUfmZ7{`KrzR;@wL#EL8O8qvSwxPXI!;68QMC*ov8tFsO5_C%PxMn_ve9WVi-x
z%O3Nz#8`^us7H>ezE%U5U`Vtc=ID}WCVviB!AKBTFdd}o#P$FIj*wiA7~*N7r+M!C
zl5@CR#^jt_`@d~`aj!CR_9=9Nx~D8acc+xJQDIKR$4wX+i!QBCAFU8q0y?#X8n0$y
zI0V`^AvV|GShaN+O)$f<W8eQN&fe5LsvP<v{KXAeUDpn!n&h5VdsII)If5~ryhOZN
zd`36=k1WGpt>1hNkd%fGsdp;M>gXWRs-e0{9e|Nby@3Kl6JKkR^qwU0L)`)M18T{Q
z=%haG00X+l8(6CU%2+CMl+E<Man~*qHCSEga3SD!-(vEPsF7J6P5Xq8<KwC5qHaoM
zjAu?cceD5A1S(cLtX{@IUkiIx$jWxJaLsZfyn|{G!f=PztGze4Har{BjU7q-EM*s^
z`F*Md{s?b*qOoCSqa_+9hI{b`gv|n9CUk**;VNs=Yo6NcQhz2<erqyap*qFUoOb5l
z%=Kc(tYFvLz^VV3)M!HbNX5jA3UmQX1{@nRIWt)jEp#UpsupO5w&<?zPzZlA6!B?d
zH-$XF6=2C*dh-tldP_WMwbcmpb4-h}KO&7(8VJ~r9I`iRE^pmzI~iyXiFVe$Tt&)q
z+}d`N!*!5$+&DU&gjdhFuV;Y1rJZLO1TAx!P+OlY4#&}O9Gn3pG$Ui(hQF;q1QCSj
z;1E*2^kE27ipipz)1Dqqf-l3PRRERz3S7k-yU$;z#-;ptp((R4qMO7%??DJaHaEfL
z=`jOyV8qjK*RGbt)o)AdD~B$kx?BQuJ4qhb@JPyUTPS!JAVoT6KWeEm^;%vVOCJn>
zmTQt<vloOl6@zQe8Qxx;y?Er$Obnj+^*asR#6rUZ3U4WgovkD8<69T4$rH&^Lp(`b
zGJiE=?)vNoxfN|NXg!NuI^3Z%OzwSzy@J@a*Fv&3W?rofSs$)m75|Ly6YeD)_+^!s
zF}#79RRm<E@Hg`cPy@J;;h2V5{=#^pdG|*r<aXN_BLZ5u&3-J<RGVv^3k$O{&TDu_
z!d#fZes*2l+&Tl_m?i%dP%lsj#cLM$6`>t*`*b7#{Gt|*oTQ>~YgtnH{mGP6I=PNX
z9Bfl~r|RZa=vpA4uY=vYWbq7X4ZutoU~Q4YpDbxq0M;FA=X9kr4JjXP5=+1&q%yY)
zU3`VS)D>_L253)xd;#lCqe?1&H9)7L$H7*w!z$;Ou??*cn@*8)|H*c`1ll>CC8uY&
zaBEbOI-Y{qF_{I9gBCv1)ma?+9Y!)<n;k+Y!|saQ;GCV?BJ=JXD3p`9?Df`WPW|B_
zbY#z=I8s1M%bGkK)iVtLmarJ_R#IHT7IPj;b7yow`Ka)<wNOP^p1SnKhbw7S!RvMt
zx6oB8_HKIhP042|n<1$5k&R^l=Bb#tnR||y?2td87q<Sj|B_hN7eGId7tT-(?LJIr
zAB11cb8S+v70~g~7mj}3kC~!Gx>m(pnlr7SMi#)8ytS0dRdA`^LjSB<yTPBJa*Dp9
z?rQQt+abCG?+qc6)Uy$pl&0Xsk~{s~dwkmL48CO`ohi?p*atnhf`uv)Gfgs-Zyxu7
z!e5{BcWip7k09Ok_^iGz3tne!sJ12lNRiPClY&|{0^%b-LMb`g|7=o4VC`1bp9Adf
zTt;-=PGEn7E_#!3*SJp46(D-VVv7J*TRg#$MTAj$q^@lq@w;)f;Vm9o1&&oOzOBQf
zHwfpRVMNo1bIcfO6dSC@4f+V8S9FkwEJRv$mwYPy-c07OL%?M{7o+D^`G&>3P1?-`
zClM0(MMRFLxXNemH_!gz3hhlN(Q1yG8L~{`6Lh*GtkTub+zft;p<L;@z49G0;`Pml
zN~|KJ;OTZ`((oYLf5w{PuqNUps)1!qe94E>prp3|in`jUpp?}`7f`LD>V~TyfI}7X
zpMno}da%qmkQzN^4N|)H9*v?yQwf(cA}Hw<r$#R(U9I|qYbf;=X6~>WWh0|EjlXlq
zY|AWQR!T8`?-(cChfY(&9;a5=N%$*-3_gLvkRu(~CgNzG)Y$*EqW~<F8dKr#H`fV8
z2AS5$TwU$$0-r(5wIZfE0C~+qS!?l>(u-yq$vN7uj)hu07Comf^_(s-`<j2fr30mk
zBia7wvTia2gFpsVUT&a}5TQF+L79w}H=y##=^u<5ow)d|sHCSxkzRSC0=V0v%(IW*
zB%f3vfLtBL=R{TO7^Le8QP}?lwi1(Q6BcUOGRG}^dI!F>hqHRSA9G9Hj{054Py>IC
zvpb7`NP|bU*1von9irGfdEu=6Q+Tad|D?xQ{2D*+cC=mL<Dd#35%0PxU8Yu(!=_wi
zei2v|s|a~r9C|e=(b#KVn#UEd$XSJ272~N9#x?Dn&A|eW71?lI&o)V@0f8*oKL`v3
z9-Q6lEqhAY4x#iR|Gz-6cBatMDo0L#K*h^+0bRn`w-IaO4%L;I_g1~u)i<4cGiSru
z9<&f~6J<r%Ow);>lmfaZbK;)5OzsV{r9AKS=jx(m&7r>1-SL-h{kH8}1^XNEbX@49
zM3!ZIH>onyV-ol48@|6|nJ0+hvvIdTy^7A=hd&G}Sug^L&sam1t5SFbI7W0NvBo)?
zF~zOWW5DldKki%#EbD)UVPDan`&p&s1<(Bku=XTt5sh5zr_)Stz~ibUBc~T0Qo*WE
zzzG=d@rk(MOB2{;ZsTj#=nDQaH=}jK`O~E-W0B_yPLTiZy(@1n9su)ayRJs@0Oy$l
z{;hjRQ<hviNDwut50Ve;Rv3R0tSaq;qf4=bh#(%XSIaTFko@tYO?QRNzD86;qwqxT
z&XfDDcYw;!95l|;HC?{jQrN*Rj_n6njE?mU!DUJk%-y+&KXYBCyoAFyeQNUc5FnX*
zdZzdSa1Da!^UCG`V>TZ(>^L6%g5?xCSTeN+a`t5w5J3K?qn<YULg-m!i0`-rWJN1r
zd*S2M`0D<O$k<y^8f@_-E;Qt5&0bW)iQqe-54EEsPk(x|5`S^|JiXs8-f#%F(HN{h
z>H#7Nz<^5200LU;qHl4A2P2QmwcGO40@VoZ_<p*Qxhao-3f@*I$ko_Lg4_|%`84<{
zX^iJW68fx{>ii2dSt$YO;P%y%%>mM7Mlj_LjrqLNzTdXEKvPies<&$(tw^u)dTLDn
z4!Z>Gch%~y1@!Y|Lcg)<`;(Nk%hWF+BdKIr>Cd>>2%Ln*L-A)yb~)ZQtC^o*h*hlf
z%A=%f!43^szN~bH`IBBCMmXph$Q`oT0mvPeoL?QfP(_HkOM<gDJ--{gqyH5!Qvwu$
zyX;EWoFYxUJ)cl@5ojgEBmND(4ZW8-g-{fve>MP|TuOO@z6DBs*`jnN>5Cn(hE|ub
z{GTiQu*EsD%_b93K0RHrgM|@3vPbvMd>&yP$FFsyUnQuAj66D8a3QcRq#{HlslBce
zGkiy}uDvzVn^b^=VwM7u+%x@*bGU6nyMO}!Hh&4F83fw8a&pRa*mDBhu#kk2Di{<i
z`(u`$it!;Ni=dev+t?ei1#KmVSW8!?z^4j{rOU6~wiFTQ9?%!!$FhIvYGf{?=-035
zqyKmBlx}^-dd;P>b})uB`dZEzKVRQiVb)(^t^{^sWV!12UQ(^C$YGQHVWh9)Dv%7y
zxmt>e9dGqOBm|VbX66#gkXWtC@1z07m)K5rpO4>z?y5<yJ+Ut>QgA2r&SWmfvlmn}
znvUbPbolzxZO@m*itgq-ac2OA0C}C{B%frUEU$g7fgGR=f>JAM+{A%v^uayoAR?}?
zNFb!BIkFF)WkVQ{_K+RHA($hZ8vAqAiLS$M$<;pwzr41G#=R*IbTVnfp|BVo>tME5
zm|oiN|7$RL)jQ5PG1nhtR8jDgBQWg1Ehn8n{f@0W*h>P^^`6G06ahk&h@;PThX@Zj
zRS6N%WMRjKNM{2F;cy`J)ay3(R6J%973AP@U2fsS4w#%P!!Nso#vPVH4C7V(qxcPn
z8h@z9b&E%h5YOg^N8rm?r$#X#KrEOH1KzF=9BoVHNtARzx<Eej3=pH?_Zm3GZhY5F
zdvB&PDe<qB6!2Ix!HcL@-zg2}@h8~}HJk5fg;1U??3-7<lN2HCd@HCwAzUgi;0gsu
zuB!VFACi83Qk9?-b|Wox5ZEW+b3h6(Os@!3((a|iE-ZwaXco6=_ZRy+^$#@d1|x?+
zEdWY5RCTTNXIapaiD}W0&5D#9!c~SM^RKF8x@&&u5P$fv(SRou-R;U7oDL#|TaKsN
z>$D8H2TWB^lA;u(PE*%=guV?PKCJINh4dpw&$6Z6TR2!WB89e0#a*skL5Yio>Y(q_
zCgThdo?}WaI@oU^x6Njtn{`NqgUyb0tf;{oY$M&38N$K$%=Mv-bP1Oot#TJi3@^`F
zXFC<Ra7!|)@{1Snw_R7^7*(5LO*k!e6r<t84HHO^B7_XCWuO=aj!PZ<GNEF{(cz8f
zlgFzS&^$dN_O{svc4XU+=|V^%U~@cAT;<q>w+y5&^iKq0nu0}uPsS*6J0P#AKFR3*
znX3n2_R|%6VzEFzwUAZeWz(f1ol7Y>d`+L4$*K_+xUQ|4lts4Z5f!EszI?1Ed%dR(
z^-HH$ZLOmzgmQhzU+q%^7v({$2-PxWV@4{UN<v~H8@?2V6a--y9Isu|fvKc#-MHZ(
zjNsqEd>WZrY@!|iOxXb48T}I1_Y+xLJ<gg=iV*{xyQ4$6?R*17NZQ<Gy5`Qjl=Ka?
zTUiS3>jiS+QqH-z%+*%b_4DPpxV*(+kUroGAOX!{h<f?_qXw0%Vf%JLOWX4v{DRGa
zL*s};MIqV3*$>U!h{>`s&wF5!jF@|!+&VJ(Y;>%Y76Ig-is9TR5TgJ>Lzr0n&*}@@
z-l6N&Q&0*7FYXn-d#Tl3JsP|eq}u`ql@-1JBjD)K!*|}h;&9QK<01z&9pM_O6q4J;
zU1SDoeQ*u+_{Bely(LS;GJ;LvDJLk^T}f7Uo}~yMG!QvZYHi9uzR&Xr_3>F#R(t&T
zx8Qc;v(h2-o@kivf;YCK3}OS#QBMN)5zxFAmLK99z$+V+A`&{AE!Y`$lC!5(`S@;I
zME@mfgu$Kx_eIe(I6D0kEOMZVZUOJ<@^oh@HA6I};x7q%0LvFg6pjuJ&8qh%CHoJ?
zz?{KHgdS#e1wjFPmcHj&5x`TPDHg#xyc5fKi}7mLq+p&*v?qIG_UH%!8VZ>D6GW~C
zQ+-#LFX{yDzzn_lGUtUuSVVK6E;Omw)|U?(7ua#f*kjpoTf@c7uk`+6tHdf3;KAm|
z^&f%Ws9%^3v{ErigO&NIz-}e82Si|iblDWcBF|JpHf{B2eP<a&MR_t{nIayw26fF)
z*fF=F#7Pg_D2A|HRa`MxJ*5zZ!ZVD-l^TlLh*3y|A?QXn<co;(ZPrNnc8Sa-ILh)W
zMg|cpjpf8Q)Nn`N=i>eS>Wv=q>_!MzjMV1IpFW^A;N7}feubg^(jn3`Smi&!fGZ>@
zNL$;|;L2-k9?iG}`RJ#^`>8mf8B|NL7c#J#hSUaOM<HR`=sF5EoIrVhY#dCivyi~7
zjN%4T24vTVbldmj)U=JEzI;Ksos{>8d0J$1QCf%?U+jR1m5=PHx7HV*BlE}u)&Qk7
zlv>)0;b`00PdV1hAH1h$7%ciT<clY!7}`u(aH|r0L!F?kFn{du@F}<?LEkrXv*uLG
zXbZp~u5S4vu!hYYGebLY=PwUes8Jd`el~Yz7(r*mbfO$9A&7cXDO|plYIkh=z2GcD
z&m7zmfxYmcg3wfepBfEvX=5VN)8icQ09HmIp@!~}r@8X<2#{<}TbbF=fwm~gT&CMT
ztKnO5wl5qfNWMm>SFzIU+|6g?L$!wekJ-nc*g2Kbq?4ucXb$#$vzoMX$@z>f&=@Zw
z0h#Ovv~Z8^9u1&%+@|QEOsx`m=l-j5Frw=#tK8T~!~Fm?V3e~vKl&44-oXua(i!6$
zH%{oFrWX&Glv@_li~>eP5a$1N<{+Py`2l*;yY#)BX!+=!V}(%BF=aJ1luF<8L3?aQ
z@sDfGwltpO`T|T6U5Bm089uvJBI#GYyw=qE6JVwiqXOUl#91J&>%%Igp@|!KD-a89
z#k|3)32yMVcaHe3C}k|*Qf@!_s;p9?DqWpcL*st$tK=P9qiaxj$H4uytSKlCvxn$g
zMcWC+a+PI5q8VpJBnCf-QVxw}Z9dt~o-9qJBF#t%jPSwtA9Fg^Wu3`GAN1NIba0F=
zHY`t6&R6FL*)HfGWT<TEqn-)>!yfOrdkr}`j1^A;scX`0N^N0wD$gtR2A=H%srCNP
zI&GKh1|LIFXdvmihP9?Q^X!K3(9s_gwmuAn{8lp|)q@H{ZP_WVFF^Zt(EO_@A$vCy
zxW}`P?4DHAL~Q^$I*0iS16P^vAKBw<6VPC`z5Y;zr7iGz>1gxv@tu-J*t<eL@Iq(!
z!3lKcD3A7mUgfN=1)}$Ll5&LD;yEo<<|^IRfVCT>DH<-LZr#T>)3*}&g@#~g_s{XU
z?KBV7_+J>*mPWIX+tCpyy~|ebq&YkmL$|kBtCp+L5uJeDi%tWax30Bldv<;Mo*VwV
zh35{Kz`|L*Wz6Wv_v)1CW_sGf4^_DnVsc43k$+J16r<@F*TwNr6x$6~OOwaRFy(Vk
z&Vgf(!>J3NX1Fx28ASJp#qf)stQE)75A2{ep)2Bzgz696jsqs|9^KF2G^Zk$4lMGX
zvAPdib-X3`>Y2@|Vc;u*+xaESuLXOSpPP;&R{|8rVQfKe1?>yS@shnZh^d!+ofg64
zQ;7Fn6^t=p@F=ElNP>D_F#kBU9BC2;)~u%whld2FQVeO)FZ!k{<V<>w$<nI^L#<E}
zOES)UiVaSZGqV}Ho{XzTQA?S4m!bM?*Vyf8k(rRHmLP8AiP9m2uVRF!$zhDV=-yG<
zYi6{tISwI+?Pd0^+2?G`EyTN|a2*`HD<UAmVO4j7z-r_niuB@pnyPCroVqe^5`%J=
zMSj*LZF9(I2O_q_rEwCpK&gOje@@=K3-?dD?j|L}!olyo<;cY&E-b+9Wcs_q&cnnx
zlsg{_eZnf(xDTY7O-z0U{%z-;;z<TM#)haf&$^PMNeNdy{6YUzz9HwB=Wny9XZSq@
zmA8K1(;gW_iuG^f-o@e=%<$%!qpuL#aZ0Y|RWANpni=Y+S2ZCr<`by=>xEzLm>&Rm
zKahD_^{QB_<bfc~huc;f3P<Gt%%y$U_?`lTMU~b~EHXoRnEtFFuPIp9gx%CegM)eT
zXl?NS$_(AuJ~#>Op&I`Hy?<FQv`A_;lUnSfy^uccHP6QPzPYG28vg}>c(^Z(V_Y*F
zIC~#V46~WNjHQ8s>o5{oYch4P=V|#>l-PNK+*Yu}RCgv|^c<I^UqEj82j8d`^2b&E
z>ptzum~q-r7l5~}kVNeGS*p9jN3Fu6CreVsgM!|#^qhTk{|?o^k5!264>uBqZ4PBy
zJcx{&3QQ2s^pDo?%$gn!>=ub=PPF!8ZwldZww8JDMR+8#kqiPU0xs|=s>W8PG6@=C
z91`(s<-3EoO>TA#4ZO-p+OAXU-e(MlQuY6R@U&&tvB5|n>7L$Ozqfbtn0fP0Gb$EG
z^ZvzSt?I8<x}WgBUQ>7yOw)i-07*4e^-I>Qh;eDvhfMF2E>yRxD05`^a}=aeC7C%X
zMl{{e$3^65?h2yO^0I&<@tIMn-zA`(%;Z?>Ek#F)T6fIAHDF9__h)^{TmpsvExnyR
zK^u218wz_9^{)3f-QH{k@=1>lJOOztCXWy{kj?CJiKIBV@@-$_XPz(>yHGzE^)1X=
z8?yMA{Y)0aVoj|Qc7^Ng?8azn&QO8x{9OO#xQ2<o@O#<ZO_1_Z=n{n_;Pa5yG?P#q
zpBN^liEQ^Q<3jt^6@t+;-hVS~!)%d8T6cfK>vD)ZTo&>KpXh!-VL??h$u|LqZj|9n
z2(D%6CZTR$TR*~-MZW2GQ#VjCb!o>&a6gbSw9v9u#4ly%3L$C8a*Hm5YfDSUz3kpc
zvd#F!R4R^PI+19q=`~k1&LG*0^>8#>6q$(>LC4?&byQL*dwMclf$)0fVgTbZJs=P!
zx+AFaaEm6!M%xUIY-+QE14vi3wdgnME6Vs8<qiAmBBbRo4Cln%6%4te=X=iYAw@yf
z5>o9DDkH)6gv(&oHAiB0Dhqs>4}5RfLVSUKb{(!Ty9MCI>s$t@@Rzydxn2}NGo;XP
z^A$0$EE2DAzStMJ%V#5hkH3iz=`N4Pj^~IfTXc-XuavMb=6+<(Zd-sfqXg;RwnAor
za%6H>aIKbZ!Ym#)Aw>hoW&f>le6bfAbu92h0B-J=iPwujoz6LE;;&HF*uQLrj}NBl
zFbEw1#NwoBu;FHK=q7y&wk-^{!a(aW>mgHPg0VxaK!zyv-A^e=Fl3Q$O8~FmA$Vfb
z7kkHFbZ5)cIZ5F9B2&|Im*w-m?qcIHk!Z~l9|a4{ICA&ajEST{eG(eM$wP}P+prwL
zT!YO|8RhN2J9N8);Dxs}v)4Ry$haU1j}-iY$0Vh#bo*Cu;l{P9c_wL!dumxVeY|PD
zy@7OZy{7Id;+EUC=FMw1;g6}%;xjyt6L%ec&43-{f3f#%OjJmBl=AUHf68V)pv@!Q
z$ts9q)<AvSCC1j$KpuNX{1L(HM>S3%%oqyALBmH~h;4&w*bV($i?u@RJsd_b764=&
z0T1XB=OiZg8z#3Pt1^iR#9Xh~(d3pH%w_?LtV)G2TuNqF#cu=oX5-VYZ~0HB^ctIh
zMQd<#$5)vrAU*h61if@IKGZ{fAb?XJOCRzD0KxbZ155lQHc7@%>QThzT3{iIvs`hB
zlfST2A7FJ2^PB)gL5Nb8j$4H_!ORh3Lrq6($2v`=U4a$KkLCYj6))6I32T5uo5Q+B
zDU0wiVd+p9MjNR(ga-JFeO&Gy)G>Rd<Oor^nO;&)8P=zcR&u}Z!MoWUSnDah19eas
zDUVDdffy4vNWVZp@Gb-Gha0=|*v0OKYawb|LxLfS$7)9aSKVrX%BHuVvC(M!gA-q}
z=g9+#$k^GyZAWLK8gLv9D%8~{perF)htvp>0-d7L1^=7~C@@_twcRt^L5WMm9iN!l
z+A6PA|HpCjAs}o(GkG8kXH+`Pupm2eIYy`VMSpN|Whn57aPyfOV9A6o4K;#*l`Pii
z?DZnaG@DqY0fvw@ULopsa#(xSZDusmDE@rK>=Oebu91^4<Y{C^G*46mf0WU@Rq_CH
z1rUYkrF<bXpY*Evp2`#1O`mN<28~8^cjM;4N*&3B+PNszw6ePmTx;*HMj7j3i>Me9
zw7*$fX!#CoOOo+0-W)KPlMGij(f(S%ZUrXr>eCT0^_<Phs}ZGEr6H~ezYDbjTTQ3V
zR)9`YOE1xwp%p;4jUw;gY4j1tYp3GI=wLT;c8D@*D@DQ^D!wBaedJbdgVEK21k8Ul
zNC>&m+y$xxk8f?!qA>i<v#ApIF&|1A6clnoS5N-t&H;fHO%Gtl2HN@AO|=s|POy6W
z`VDTL{93ITsT7(*R~OvWr%@U@2;62gsVlPrF<fcCvD7uE354~?j)B%hHOfZ+aCl{k
zF)hqm)d7Rmq5dx_KNjgoJ6klUfF{D>ocF5C!<&g{!5MfXT0V9Vc(JRKtQE}`d8Tt&
z6_e;yGk$#kElcI8?3%#%#7gJQ3gni-tV{gT4Pq+>)mL5bNFcjwg~C5wOUcXy)V-Of
zdSt5C$CU1yO4}g>P_LwR*rQ24!_ztHQgsZ<!g^sRmcMp0OQUDwV6H4x9dw0^D)*-k
zaBqh$0L;u7$mTB(GeDxGrm1Isn%8=~w+zLUe^KEVp9@Nk=8;QPTxN2D(Xlwf=J(qI
zS>~qH0;j3mBsDf*;UY2y4e_FnqMoRoZw3<HiPdQJn6p<?rSa|fFk3dtFVG+upUb0j
zu=UcC2(94>hD(=h&ddUbAL%HAx;o#2iV5#4{RlB!(3E2i;z}F_d6H@0e6shIh=YEZ
z<1bJdLu&Lrm&CRm`Bh6$KY_hoX8UHEA2FwA1D({xIF9XI!@U2zal(O1(#L|414zf4
zgZIgqmQP`>kkcH{u|GVUVM#?!I3x*jjUTndi>(DzhJ>N$R2TFGm6jxrx;=N}WpkQ7
zA5j8Hyk89X<io<;b)uE$&-Rbz>nDt}e(Rwap>nb5Bizc&egSKc2?Yoz#S73Dyl?K!
z0ulfLnpsSe$|L4V3JSW}C-Ts<*xs0$G?78u(sMw%uh3#(Uzk|`idr+=0I0c*%EXHU
zvDy@aiH#rUh;&X~>r;x_yNg1WZ0|b?#H$Y6I4KMm6wRj5c~h^~f<#S=fWByTJhugc
zz*X23JG$L{$AR>^3>GJE74ZCah2}gA-LeBHf&xilp1})-WAm@o7MXrRrdraTF{A05
z4@D$%y`SFWE~MN(sw7-Bz+%{2MV*i+S9|f@AYF}LtrHA6v{GoJ8r;eC<l>pC$hSwS
z;$0)T)rlQHt$C+$d>X78)H@dxob==SZXv%W%kzQEB2~&SPD}lQ?uWw}tr{@j{1J0A
z(fJBOos@TdE<SU=N=WV*n80jAR47~LgrX13_XSvQm9_~pupw%Io|}VnE|732Sz|nV
zC3`?_8to$?2y6KT-;=~vRVt7eQ^IQM2ArBJ%8)Dz|83J<V~D6%*jVQOyn{>ySjcs9
zHay4Ll8)si#xc`0`SKo)hDH#M2twS{Q_4rjy3Vrnl(Pz@hGMZTZpwuF{7w_-6eWqL
zb#A~1H<D`AcBC8YT$~SK;iY7+=T=3)9e@N(+UG~$F##Ox*K$BOSYj!OW&yR?B1^lP
z>OmDzKZx$0T_zwz)A1zTc%$tz^YErs-(wTNr<@}^2PwQLZGNS^kmP++!^f2{?j6$|
z-hgx69QtDoFU+?qt#eEypN>2NqEtn5y&H9oOKG+}oh;|ZcXo)rU4b?hbjENkv)7Xi
z@4w*EbL1vgJ29~M)l3nMFuO<>16VCb(&7BGsP6w_q7Rr(WKA~G==4c9j}S)*$IUK*
ziQrxLEQQ+4H=ndN#g2JRjWFGV09sTl6P4kaZs!+P;6z&f#K8czOcUR!e+6wB$9bL;
z+!lC1__jym?G~XYh`?5GN2-8_>EEfMet5s&J>^iF`twkr^5K)}&(FBeMn3h4HPNr;
z2qsE(WHvoRFzPF27Pma?HLoh802ie|B7wo^({fs_|K3_%+%{H+sBk<U(Ys%#>y5&=
z$zCY6{#V8IMLhiQYn;2s^WJSxv<t!`s-1M<Na|C?=!T1N{iK#n4)$Ygv=JwXOhnJ4
zk6^zhU5IC^Q#6euUymP0laRQ0FzEY(V<uf$RWo<W+X}A|c0=QAz_YD&luqp3WbQ5G
ztX@0I>HPlqapyMgbUrj^sG-gk9e@|iHCAgHtVcVEob^iA2&Ud0-<Ws%;T>%UXP~3Y
z;~3$?qcH_`M#CFi#)aL0-Ju~SKB}3w=y8E;7@ig&lu#yE?weq2SaJ$00Z1bDHVm@I
zG_dJ?#2`wLRjWn`W09{Z{CR5b`BJv?@P*`bD@gY9srlcvb6$TxX3j3K$>9M650-+X
z-YUb)jR4b&dE?9uCCkQ^S6te0l`uK0NWQLN^R-yV{5rX!me>ukhU)GQ)#71(aEx9z
zOXB8+&yC|@NKE9&N?*jGTT#3v1N@6GDK%5e`HjuGS$X{sW#sC{moD#GR8q^ZL%B`F
z8XYBT6&*xUmiT%EQ^WX*g)3DEZ$FHIE>|OpgxWUI%)>14@<4|6smLTL)Hn;>crh8U
zEX0~hEr0%skAtms%RDv#rRmFaIe&@@RDJeGG`diQ;IPMkEG{LSisjdnQXPJW`D$W0
zqyO~eaW56YHO`aM=zm?M9-~8Z-d(@#7c#UT90k3x+61s8FL@tf_~PSSt~L2ddImyq
z`n=MYC1cizPTI1X(Mh!B>_U16`%Pm#Do>wq2fc>1FmgNZu$zW*vT*jzAaHs(_47po
zlV|pwd~_HYee&&!gk0$$rG1$$<0GhZOPKl8-UXWsjYi=+dQ$8u%ZwGi03tx$zke%E
z=6g4vs>3ruPef${)a!HJ(t@3jV?0!~!m>oeJON1ghGNT{sTB8dv#S)`$S#1VtZV8z
zdaWj28&KgUM>cn}^V3^npmGYZm8_LBQ=zNdXniqMa&#mnq(X)_=gr9!m<DXI*GlPC
zlY0%UKW^Ab*L_F3aWS&l{2FK@k^#Cxid|3(t(7^}0|@x>yd9AmvNu;y%w)wm7Uk(Q
zaFzPWp_vX`skgwYvJYa}Z<38=i!>5HX{gyrcqo_A$W3~w){IXLMq0nDQpDOB{?J<!
z%ORF{iIYD4Ig8@{$k#(ue*xM3&&rWL?At!@!T63^!ggV=Jze(@d-uV2LWK(U{uo1C
z3i@fuaBaH_u+f9fa041U&)YS{k1gj2=QXUCV_u#Gyd${^A)X@N0K?v!R?e8fX12_0
zy08{z&yh;fkD>CX`@kt9j=PB@h8%K~xzmipE|^VJNp9nFI|+f44|El-{9<kbdu9Pi
z<`Afns{sNGh6mjW;iA7}iPja{hX<U=lNG5we>L(w^S)Qb;|Bt1Gfa1EH-9SjW;asl
zD8gC!xjnd75(cjQq6hPYf!Ssn3A9L+PMI_k@GGlZoK=mo@0WE{`!+^RSYh5>Q-dS7
zF`OJ^8#*PY@5Nby#xEW!L_ZwgWNqD!!IbvJfV_z;aqwaePy_)WQ!2g6T6s)w_ga+m
z0SH51qr+w&GWq9JdPSp9yWmB8s}A^g&PhkJGu@x~)}6)x)3OwtDQ37_LF(I2v%d$=
zh$R#E`>20{U6|9)u2EW&%YerZk+9nEYwj_v&&O9}^sk>ALxJ1dyX<GqlWEgP8Oh}u
zoRMdDVzsQ>2=2E1Dl?tFcrb~(tzr|`LQlWJ<d)OeY|qrtk(4q~>H6`Rj=izmrkSUf
z#|hGzi{skyqShcr46BoNIj)?;RplMBZsJ^5v$8e=Fr{FEXvE6N7PTU_H77!6W{;b$
zW08u0unf&c?j@Vb#ArQ4V@F($!Crcx6B95sAEY2gBRZ2cX4mhOO4WBB8qS5o^BV{%
zE5bzWngauS0ks`@L*xXfol|L4FF{oyEy;ZJEJup#PFG+Hcc=^rG{@_{fia~B=(^Xr
zBte*4fIsC)W$ROR7p-5(TohzmG>f!FY^E~3JDeg|K%-y&$_On$UauGM#WCN6PrS_{
zQ!tK~Or|;wFT-&g&Vv~WRRHD9Ug;;}T#ISkg;LG{ItSCsJI;Gb&2DhhrHeG3#(U7n
zu3{NDJS%b6eyDG^4yf&xHeR7sAb}#Xg1%P$%(UySEXVAVXzfgKa&t_nJi~O~yL+q#
z_gh1-(4-Q>q87ZJh0L<-A=MV_AH8Oj_XvZfBbtU4@~`PSvQiL!TF?HIf{6JXhVH_x
zrXTzwNH-F`{5r3a8w-sZz*+UZkp0d9&N%}GA`v5m5zfse4{(7n+!pxO;Jm1e|GvGb
z37ibG8pu~>&?gj-au$003|i|Lb~K>MI+z|U!k}Bri>bx#57fK1j+)R-_Hn&N=gH(K
z<8JN<*vVw}ZJN8n+yG=7#jl*G3L6hv3iWqx^+3FPCwLezTwrF;&W5A4CD`>=a85&I
zocd+I=0L2~ZFN~m>5YNb4Xngbs_4IPTFTpe3M@KG7nf?N2enctQ}273@4k6W4)<V0
zVO)4j@Eae>o}{#LmfLJ@l4HoN<Xt`{m7?5Sd87iv67#N9wg~6bZ|GcF!S-~6AUL0c
zG`1YNmHc-+u{c26|F6#8FS1ps_-F!FtbP7TZwCdYRN7KvnO$J-%Q|bURMfK8124vV
zp99OQW$fnkJBvVp1F_S}1NIq;&cRHFnz3+6@8gnwi6W95jm;CHGtNyN)E6nYP2MUU
zS*Ziv7(2V@Cdh2sk?d3@k816gnN6qFnZ7f;TT|#{(6BF4p7GK$YvxZXV};A!(@qg+
zln4)R^)A#u#K2L4G4Zxr_8FbQu^Crq$Kn5A<njNsuG6*RegDzR#J9RI2bMKAMrSHY
zE-}x{JkEnh`hwOOKM3oS!b~x6l)OiAX#7%x(2#OL7tZy+!?ag$hyJ4!c>6y_jwgEj
zfk5V0kn=;6syL3BQ2aWhi%Ig|rR4;XS9<y;{KhAwP77&p4oHpO+kOky?aO+&fQ3xK
z7H<8lXZ(f=-=@)S4E>|Y>AOH?kn2inSMVN!-P_m^*O9yMnuPxUII14xPyvJw+4dS!
ztly-{LMcgcd>$tgU7e+)k&8op4=f*(nm6*om)g295iIAcw{;`<4hu4E<qkM?bAZQi
zMxIopr6P2_od*W0i2vUBFzxtjh;b4H07Qk*9GA|~!NsZIpI9LyfG(t-RDcTIY$|@k
zDQ?OJ=)-0#9kb$lybNg+pT5h_I$>)B*VXjAyQ*zs4MbF@DS3xIfs_~rx6M`?)hz#n
z&iOHorl|@63Nk5Srp+kn;#Mo`Q0nbVk@J2l5-5+6w!_8jL3GZZ*{|qyBXcnY&Q}u&
z@NiV+`41$u`w1rWQzZ6UD*%pi+uG>OeC#1hlQ|XIUlU?PfQvG|2w>&qzU&ue166r=
z3Nl~Y1bp}`CS#)qCq5bXf^}lflVo1ch=v8Mrru(eXkqc^TNgcIi4m6t!hhG*S@G-Z
z3(tTw=9S(@auMJKjB^E8mW_{WD13a6j)4~Re%zlT)h+vs5}z~Ylyc`iT8OK1HOiR`
zH6URtoB+*22s@GtlMPFX@Iv3`f)eW%)fbUxv5`pGW=;p|>4UE2+n4G}wrCqTM1xsf
zCfi?RLJ)ho$5;z`Q45AKNoi=R?&A;H*!Ts--wm;Kz+h`U>7S&*9&&l5`+o_EoSIp|
z$Oeq&*c%Es%>{4d!$Hp`@ov7m<J25Z^5U3Ck}_68k(Y+v$r<1%o;R>%Mm`s$j4@8Z
z)J>W_Bx>3>(t)ONuI60{jaXFs<rflgg}I`9)Edu=Jou@38r9z_U8wE$wb^b%v1jd(
zHenq9mked~dPTim+-cDLiJSXJxa8GG*7Gyq##NE;c8S5~b5#wp6QX(zcIX&eI2!HK
z%Ox3LpBUq$HZBRFpBnoR<Y*H;`yaG|D*8&|6gUP$o<P1iv~R`I>i|y-H8l0v;;NXm
zz*6oUww;=P)P$;mKb@eqz0dq-FFAP*6gJz@!k}<p0AGr$g}jtsBT%;E(p_7+n$e3@
zkk+@THt+Xw0dG_gPHq-_f!gtU0*$wi_y0O~+UUzK2q7t;N=Z5w(LvlNj1A2hm%JkH
zgE!_YC*ZZ)p@dS%OlZq$6Wl-fAp;8O!%?w^|G2~@lE^W=InZdIfsaH=;SN^N6}T#0
zr|9^i2Fr6fA^A9x>=Wy%#<IfFG=t`hZLD_07vyTW%xbZ0&rY7b81Xgx&Id+~zVNhZ
zbYR6JvuAG<4wVd98=`F?!SJaMIR5WkjbzLAGOE&R&D2pz!_rszFldl7-$LHa!cJcv
zk*@7=+QPt|%#&Y{D^0_4plHvo^fKU6@ue1C(emDwR9&%)U}xV?98{{gt=)L&gzTC5
z#%}JisJY^Pvu4fUY7=sTBPL3Xx`K@F6zd>LC+t@u@Thh*O#c`dL?E4^H?7GawLDeE
zmw}HXM}+s@m;toy{IZO4PXanhQKQj~-AbVkw^T~0^VV187knJS;81W<y6X3FEUVlJ
za~Ds8?^SSg??oq1*}yDHIA&WNKr5zfp+a24jj8d%?(J@ab4yMR00$iQB<Uw7nS7IB
za!FV)<F$^+D@lD6acA5)gHeH=rpS3I8K6qf0`eGf%)7IO;1w*NQ!>lA4<C7x$P3m=
zuYP#Fg1B!l=B^KeUi1l~)vt!hY>p;~R{%HG;(%L}=DntCAOYC^b6nhgS(dPiml_+t
z9<NfBeCZd1=|R}4Bx4ShDhH`3kFD-$e>3FrASy<GjwoPEcZ?%4>hXKy#m$+#n4+l?
ztCLSw#mHR<v#MC29e0dKyT>a>uo!WZ7q`ya26GeV1r{MNRPt=n7fr3%`I?~gnyWPP
z#v4u^=>k&=P6flsXG*n(RuBCs7Ngu@*sey!IHt`!{+!^nDJMLEiiL4(S=kL1^{KGa
z`jdSmOsEGv%tlB-&3R9HB&=pYV2lWfUL-C$sX~ud@qCx{HxkL7@0n{fmjSD4{(Ugf
zfOt!<`MNz}(8Gp<#em@wlx>!XaTNe+oGfNRRZTCrH6HsKBsdPoG{9YTUfGl|ySPX&
z4X(5&_^{p(K~E1ra%|^Jh+=pNd<}at9A0LRMk+Hj=U->`Fz8On^dRVn<Rbd_lS>SL
z2Q&ETPzHd|&#f${$HT<lS;7im<xoZfA{!Mp=Q0=6U88oj?$--zJJXJ0q{a!XG4)Zv
z<_FlDKFwpmGHj<J)ixU9J(GlVARz2Ag&Ezf0{67Zy#EVNx8Ij<Tyi*EgVny!X|x((
z*e$t9<^=0{HJ$W_k1@fH2~GRA(38#d?dsi-V6+lv*HTN|yz@3>eE9+xXbg^jt6P_6
z9arDO-(=j@*|?+WYuRcEv#-%PMuzNhX}6lncV%f?CdL+YX;2&^M`tH|6cbV@Qe8pC
z=hpa(W2~OEsd*f2T~Hc3t;7~yatEE|iRij@84x$lP@e8}Ukua(02H~T<K5(d{7Sl|
zyD?&fD*yuJChD^67WBnC?VEe1>nK0_gfM+Iplw6?Hj)|I7SmX!g~6RQcX$#xdj8Sp
zx{ok{a4X~}BlQt3*Cub95;<*fIo0@Ep1RoSt!bz4xpsB{zoA@2MRlyI%pTp^pAbIG
z!cT6mrs@0Aa9#X)|7*^aw-#!W=F!yB+u?rQ&AM{ziATzR)<pm{m`z5b5k3TbBlj)k
zr}H|eCPyez5WtrVI7_ZUC(FaI*xIp3$zAxjwbN^YHR^FIO^Qg@n+4wsIy0IEMgJyl
zg-*xu?dKZdR@8_(GuOJp9$W;ni0~X;PZcGyR(*O!lqG@cks8GQ+KvKdwGA;9S%w9y
zSj^^$tB-rk?X*VKU*a?{4|KjsS$Xi{DLOgB5GljUbv?jwpTYfyXF2ZV)^hXS_fkdV
z<2xX#LjPZdQJC1Gf<mWt5`=$~R)j?qCOzY(U&Ucd2h8-9%ghTI+AW}t!kZ_erMs`}
zobQ3od);r-X)3=fL|TcM<puAG+KhC(fbWAdChb%&0(EQdN>rt0nMu-P-E7KnVS_BZ
zh}fCZUl5Qq%!0OR{u>mR<YoZA+*a#lYgPB7tYkOHiYF^B&BCiiUT82oH;Ac@>LTsc
zO**%ljoI9t#m9LDNLQhs0Uaic<a%{&(i$2Hr1WB(1|t7QcBsZa_G|?aW8rk1TNi0N
z$r4qh;c)$Fnm=q|;K!R+*s3eTe}9>4F=!%@BT^?wzKl1wQ<r@Y6kNj(7$OI~h3O`&
zT>8#=(me;GDcS#3Fo`PVt7(BY@jSvVlN9gG5sS1BmyCamXh2W%{g`D;+ia-*XYK1K
zZAOxf)bkc-?pzPLK2<a1pwcUzWbu${XpLB6m*PvW3orER&yL;`5$^9ilzl%Udf-d*
zv^g?pX1!n9F)A*@(F)EWi*@r$azE1_4j)ZeIVHFhPixOqIb>}>t{opFxeKk*Q^pF7
zy5pek+gnHymUB$SB;|J`TqX!{O7-%y96pYIhG}S_Ny7tP;As~crC~^O#6omvgv!v)
zO#zKvwx8URo;AR|LZc+NFssIBz=o$%;+)ZO+S=}Qan^*ro;)Y;!#~*`b@P?TApF^-
z8YjYCzE8y@4V1NHQ7DCSY4tcTvl7F$FuNm+7>YysS}$ynpbcKp8@F0l4ZS);=~B0E
zt_0cC&AI>OF8Fm0n{X~?O*f#&1pXm?@GKuXg>Nv!fqaVsa+kif^DcG#%~Da$fgKi4
zT5V5!;&h$n6`d4-?J}=gOQ<#<(dzEzOybB%OtA14@Ib3ZQA?8(4&H6A`1Jng-~Azs
zw2>Yh*Wx;(Zr;y{sA(##c*V(;cKS9iyta~i*c&FNv-qt7BP2UoHSfwL0y&SR*cwl~
z(52E4_qmdswb_+z6=D=yyg86dAh%eqKKNk?*j+02%U}M{87>p+F8@9|f`Qw)%t4<0
zV8&3I+KR9wB5Ed6+&62xou1)s+p>x=h!P|z_@O;Uf+SwTbObyc1YN*%<%A|mo0V|5
z-?#dlxsXx(^_k-*(yNW$$E+S=cDUn_7~!+>3DV*PHvpQFPg<*6No1PKvzE1mHsd(*
zX^-&@=8g;P>RrR7#XNo>Hs<twDSOeP4|6`5-oenRaVd+FIdq}k?MNfxmHDUS6WMd3
zm=yDWrgUho&fGNKQhwog+ZV%e*g!qKm{9prZU5#+#u9W<e_S4FDaoNRmEixuP4M7$
zuN*>p6&tK@bK{wO2CJd4bF?$6<=wi}+cB;rKv&Q?%pB#sNQ9T-h*>=TRK@k6Lo+B{
zrcB+<h;nS;xSxCKNI;bw8q(5__3TX|AjLtsz;;=e0^5tmhh3Fs)Y;?YW)4(xqQYUm
z&YN65_pdTKH4Xo5R11WVM-63jn4&g=P@jKY$sfX2Lgd7A-)32k2Q2cF1FhM3i!W_A
z#d*P1<GV9n<=P27Gh73=F4^|oKq#Z0H8^a*omVR&pso%Moy_Ibw*v!Q&2Yy0qtn_m
zzZwt}{-AZG<?qi-|9;+UNigTDP=W#QOFCxXNWMNED+TenXL^u@lcO$7ZV3>5y*Go{
z353+Aim*DxGoWOMKfXSkoO7?5T7J>T_m#msIYnwgz79;VqQ%CAd4anOX~h3XFQ(D8
z%Jgw-77c5c3hw``Ne&3_P$h#6kEc^n>fhBBpIW!5KD*;6cyd#w%Q&a{tdf_`=pmE<
z&+G1g!(8KP_4Xbd=K6i|8|S4GZ9LF|2hfe;L8D!2q0DPdVD`KnQZ$#k<E@Xiwjgko
z9;}@{MP!5XEh(;aLdpl2;JrXO<+7Ch>-7}iM=b{+3H7pfww7Ibh7#Kt953MD17&`i
zVdZuIA$+8V+c$5f*G7;&REZ1*`_UkL^TRdUeCkB#i`7Va4Ii;QeH1;SJLoqDdDyw5
zA?7}t_&tww59E-sy}M)=?Z*>%RNSS4I?_}oM3tUDpmUtQ#{$+e8TNxL82I*<J&sR|
z0NLC?HOArkRRHG=m5urZ&Y)Q>e;~YqI0?Svw-&(kWyUJv7-6tb`I4_KwIr%z_^>BA
z2ACmoE7q=y%F&;-jxk+50ZOq=VDW8FloUpf1AuQd%>p1+v^Dg*shV-l1N?K-kAQ)p
zl%$#ea!ZC^a8jn$u5k?YK$3XHB08!Pr92*3-1uT^x65cpF4^?Zrg8Hj!TRnDZy$`m
z@IqZVeciKckdA=rbxCY<>TO`K<LMj_RxKV)`C9Z^J**+x!r-UsW@FQAaX&NN7SF-U
zGsR>5P55j;Tbm>+#PgJyG@<STu-%j6!mWpN+7j8o(t&6X;x?5S2CE^Om#{_t@1z-k
zdNcw1h&~?&|FgEyGj0ng!&iJXrtBH>MgfZJ_43eab{J=r<AdSXM9&di<kg7}JPVGO
zuB)SvMaoe2@20iMfpmOH$`bB}%yXGZRpF@Kl-1%qur?VI2s22hb5~K%z`0D~ML|$f
zD`f#{d9W>jewBT2QwH~woW#-vYd^W2nJUIPbY%dr#B}+{wndQM4{H-IBOnq*V1Y-*
z{9;(;`>RjSo6StM-DP~|?hEzZCNF3A%xc=xvJtG-i_r2ip%ADe5uR5HqgJSYvN4+^
zpw&)Q;GmMhg#IXASY@cdpO~Tvf%4?PK-r@#!_yp~DR<Yi+Y>y9IM(L}<AdmN)m#k=
z=2Thp`U%Oj)65X2B2G5x`KRyygZc4xToI3N^8Q#4HOQPa>tfcAfR(qE!B$Ut^x8#a
zPRQ~PR$$2Vb^T@9?4aL<=HIlst3#68#Dm#wT#-55ARM)iggvT3rw<((S6Bg2VJ#4w
zK0Qrz!SgwS6R#D|zkI5NY>h{Yjzj~FIz`9%A^Y_)7jT1t<mD8dKlFUAQ}!PLvTRgo
zSuPaL`?7%;XRmO;i@UT5IhK~tHi2tZHzwSU>a#HT?~p8-^))WU+jGS*(hP~cdE)^~
zA~^w8gTEnZjRd<!yeIrkK^ANp@q2dNL1P<wm_vdV4DbNisF}(Kp({ML`t%GS7y#u-
zU(FI{%JP@C2){r&z@k<0&z6v;CrUtL{1G*LoQ6~OkRuH<%eU|kbo(Lh2HVn08)NV@
zM<{aHVr(w^0$uMRB{8djhLUjor`twWF-TA1SDAV9ChU3T@~anZ-v4enJBWRUaI$k&
z&lFeE4o0Z7p<QFAzfYQmE8|IY3b(m7Hs)BibOmO~2G*E2)HXFW3i%*e%;%ovAeR2;
zaj59Pk|Hc#qiJ%oZtC|B3AYVz+Y8VF1CIk^TkDV~afd8a(TA1X;*W;~qC;P5v-s;&
z&a?_?$3k!DS6qKWpmW>Gg(>Sm_fx%;aRP89m##B#9&Ir!iWHhsc~V~{{>2@1!zLg=
z7?D{#(%FFkC5jtB(~^Hq9$hAk?W;3wD~m!o^E?fhVZFM@yUP4{u^WUkUeg~N*g)nz
z7~XD>>=R<SZtUY(P>b|8?}vWj@}cZlHs!h`&cpb;FpaT(I<m^Ym!o6nA{ojwi~@e0
z2zXtA<QSsj(|Xi_9iMA^+p*YZg%QLakqZVGJ3c((nO~shl*#6nbl0eGeh&P&;pISj
z({p5HSWWHJi@a8&z>(mYgb^b}$g%|-tsj)h^vFo*Fl3%kvr`sIl4v+*R%Fg!np&`X
z$y)YM!|F-u!0Ch+(ijQZy{G|#X#UtS1Hrdtb^h5R7zN!0Is)U$hgY%SNp2T8h&%`B
z8-L;56t_I@#GmG)BHq^f3uCqo!mx*jr}H_+IQ9J>7>e##$?#9^XJ~pSaGSIXy(s!y
za4{}mP^dQcR(HtzLWLK@vp6<jB*=Lc0?2P>kNqJk25Wo`ArZpMmpBb`hIN3y5CHB9
zBD?Mc>}k*R6M5opA}JS;O052JAfl9Y5Jz`ZCERUKI&ydMb`m^xM4ZVzvHwn6`04-g
znXAWl>{K@Gg`SP4bZ6Om+!*QQ5;Q<Sr&T{HhSHTCeFTeZiEM>4`y?}Lk82EhgB|#Z
z<=aVY&ea_*crSf)Ndq~gPO50Qsn=?utAKz$E}(vAP=sZw0<08c)k1@tp<A<IX?0Pe
z$=_F&k{aPp&tJLy2rOpyF+<q$1sYsA!^hz4w3{AnwlUQ8a@9mJmeq39=|DCA0lvwJ
zLMatdpA3Qnj2GF|00HSv{-9XCFP&M@4?Z0e)FL3=)YTSn`qhjir&?Ogk}v65I!Q^u
z>O-KZOzXz-j&5%_hoaTG_;PEAP?;qOdHc40ho=~F8vpd*$$}*~;*USQ1NrK=r>yC~
zm6ip>nG{CG98*vR%Ag=R-86jovLr;*TY@^^!MSewbQsMRf1>MjXbj7zlm%~hNApRA
zH!JK$SzB=sN6*!_qmiEkLAs0CXc~Bi5;m13$*h|z;31+Xd?cip?LYR@D#_OjDzl|@
z(@ID=ehXCLWYT$^q#Y!1C%<OgP&=gFwfR$Xd_@<jx<4$LMxJLJf;IKrPD>D8{kFUm
zWQfqeFlCk<8UDv7e*05}*{Z!;F_A{r*eN*2a)40x#+4oWoY4g1EUSB^FfTk}evwZ%
ziw5b~d)eUA*lZ$}XasXWg(KtksU_0O=+TIBYtHD>FQyTJ5=BdL>l?+_UNDUaXWhQB
z@s#KoP}Dg>S;|Oc*v>K>C^^}FbzU{%A&c2P4aXcTz6Z>l`Ar}XioFZ0el7orJk<(%
z5H9mPFWJF7Pb9TF>#&N6IY=!<OF5aH>4a!^>G5B&4A_S0)0+M2%nugD%x?MJ7J_Us
za=EeTq#p1)17*S!%%sphbIHWAM6yQX%oT=erbS9}u_HF;ktOSsSm=Ac(s#UGJ4$SF
z&lJVU{<MZTuC3bkX&tIbn?Ew+-lsS`vOU%iAHg$k+}J{-zRHew^b~Yy)Le3w6+!qr
zMhvBGJu>?m-bHX!3|EPb3I>RFCEuUmu5h^hI1XlnEoyQRv<-6uT4%v>9Etp>O@}Cm
zRb}qL(dK~53~y{Vq^Hx^*l5&p30i$QASwjL4*mbAbx2iTsAYiiENr!|t#JyZ@-5^2
ziWIUwsT)UvsX7&W*uV$hVm?)}VX_K{p-03x&YH1UaL|^qNISVtvT*30=1%1%o$+cE
zyn8C0(|U4h1cw^-A3w)?-vTb_4c#9-vrG6p%qu(@d4|>Owm2Ph9g>%SpF|e@S9p(Y
zD=IIY$m?uBCmQY`L{m2x+6eBI@AeqdX$*^GtCi<tJXd>vChphA_ckE4@k1mQY2iNB
z5Sy}JXnT<ew692e0kFsj9-J9<)riK&u&;kcD%N<7hw8~Q-Qo$&W$xcQ(`Fx>{{u_!
zbw{tt5F{!i(xOlC(7}?|ZiIsz3}QY02A8Qc;$DzCi5bpTz`pijELhha$nw;8W;;Sh
zV%g`;6>0+UQ0hbB1(Nq}PT&<rMw)LAr%O%Q!a>IwXM^0>qxfTQ=`IpR{!K-AiWPdx
zaY_!FnqM$OyR!UFXY8^0<u36`M1wOA3m#_M6x=Bjye}%m7W@$`luPZe#Aiu*zYqk0
zvI)_SF|>A!jApiF%p6{;#Y(LnR2|I~j}c;m9m`a}Cm>l{s7xXl2OunD?IEX${t-{b
zY<*X^P`b*^6^TVlTkrzNNt<|gv&4V(#?TGds;ucXKY<FGQ(!$o)}2QMpnGG<*?0a!
zewFLtw1eLy>g_tha^@GW6_{aDlPN|r9owYq8##!3WMKFXVCaSwel+2^{tPRHnsmv9
zgNfHo=f|$41>yFEHU^yoL|?k4wW833YZ)Zjnf>tbWkrckQ2uyVHT_xXe+^X6ok(ot
zIR|xg{ojEa(jr9->S=jrH!kc8g>|Au<#K1ry>{Ah4`JPb4K0kf#|<}}Nkjf+t&Y-?
zSNz=u(8tNsA*;A?ytejLS;;$`uEd!NywOxifb7%aU!8$8ouWra0G<jH*NlyNbgdtO
zF#5rj+b%&zIhe}GwF8!l-UIm+E{^Gj6Tu017yCUU54PiT1*og$I%t$c|DMOJD%y!%
zm9}~~s3Gn7@D3M7_hEbfbfoZ1*X)EtyxSfb`d2UvD;H_pad{V;xnMK<w*6>0k&YAD
zA99`y{94=0B&fL4j+Ihk-(KZM50>_K?S?aagE^yxY|y=o!(g(zYzg=@KZJHR@OMa&
zFpbI53)IO{WJG@YrYwRd=e)>zCQf=Xv1<FB9KBC+v+r}<u@$u*^Z{ikDYd<JEIjB8
z1Twa^C?&_nUXu;pvT>1RwrHa24ICYBsQ$APuIfdcM6BO#m(dsiH4sy}dkXk^5W0_H
z{a)sOinmMOnDLKz1jHKgq5a5VM~2v%7QFG<$}P_7@I(-?5Bbn`$e{HYxE~ocn>c!h
zV({|g0OiH)ITrNCx!M7-4iP)(%4WJo+e?ET?PV?%v{3_69lbN{-lX!n-V19JDq+ij
zcf=#hab2>ed3+^?jH<eA<KMdtrZTQD7}aeoxlN!3NQ7j>BTMyhNofnla55Cx*Z5O<
zVCab2ZYsKdRdr0EE(l|6!5peL_;&wQPxi$jbbvp9gsP}e$*HuV+H8Tl5;GpNyOjwa
zQr-rLlb*P2TU|?BOq<*{aPL<+<4)16B<dwsJ}tuM9pR&-G?$F~p0Zb(aUckgEaQz^
zGjfbfW<q3qqS9=4UXN#B8BnOK808bQlN}phoY>xAzPVuLg9?8bfZ%?%OA=)~2+kZ|
z#B)t~FrLbI6?e-V;R12D*W0p93<)&0(iZer3SekDKpD=b7`-7Rr&bVB1wG^-DTMFo
z`h26E*UoL*EQxTui5VW~7`t=FejI@EijI=Z_!$8m+~8OiNMQC}9;is1_u0^xJtZ|e
zxcoB#<5nPyEv9Uf*e%-P!-hID=(Ut^=beYbpxfj>YE8}59^bEawQMr_F~P#va)HHP
zeOWr^5S>`7CBz4{T8gjT4z*rNj^Y^`<V{M9?<rJ;xPUjb%!wARE<&)ub&Rk%!Q}53
z;+%W8@9DDmZ1c^%7GdUYdcs=%SJj;ro`)&<+v`QL82G&+PFv$sTk82}9hpQ`M{uFZ
z=r?y<#tY2N+oi!J=Rbh9>qE5}a`>$HV32lP&rqd3(G|P>DantzsGCh;rqnHy0I(!=
zZ}Q~GOtW@iH-OGc<#Hgs?wnbu!iav;_bLPxM2;^Qi?`|1Wtvl0&JGc-tF+ife+cTh
zsIomNW_kk$G-&!YT&@3P^TQ7>Y5qS?O#ZKnjF%0PLdgbakZ$S2Pv8bWlVnAF_S{Kx
zS@6FL^Yj9(<}g4wHasb*)V*hX5_qGnHCdc+Rpiw2zLvq|F;>&$Og*+uOp!1vv*;zW
ztAGautIk3<obPm=qrm%RnO7(Xu&BHhpJF@F8YB5;*>v2C*5uxL^jF+9xx5w@Hquq~
zE4V2&!kP62zt2mhIcrj#6b#@-@MfP=XBLzXpg+;-)n36;!&_q0AMp5YRUDBkp&p!~
zL21kzb|32zhP5+Jun|G1G<kUtb9)whEz<^Ri{U1fDSs}HwPH`>Z!3Glj$ObgH$KE$
zo0B7d;q{)~_kJlxj85C-r5^x|B?UiNL1zaskBoIQxM3mslyqtA=4DXbVVqC7LpN+m
zhe;?J6IE#c!|7*#4V(UGIOJyW<8<sBuy!j07xNLT!(Z-_uwQkM+XZ+b179puCW2@r
z+MkB!1EIH(CDX~!(0&Z3RiSM*_G&cz#6a?$-489n`G|bt*4PhTPm^ml^PrkKdZEAh
zA5(f9c#T9Uq4-KJJLAXcQ=^kE<p@iVU6un{15Eajmf1^vty<VZLl}t>Y3!DB-sBaH
zG!>Zy%}G3EHL**j0bMs$vGVEVRnSlyvzF<;7&DSx6JfLAQw(8)J=s%Vfg<U48q2a{
zvv2wGGN<`%Dq|u(pyaB!*&d5Bu2tYdtVKZTvM#(Um=kxPtnO-f8>MA1F9!kr3zmaB
zBESq049c{1qJ={`=N{fxu0$0*LlOW@yv}G~-QE1m!}h2^JFj1<3n#k0ZvDGxSG@OQ
z@gaT^fyi5aUk}U(v8|btBuk7-#x7pufT%1`egAYpye(+BZXjc~dYQE%?xta}Q<i5w
z4+apN<+r~iYP1wZePmW}Oek`W5>?r>W59%hU~I!XTfzUzeH#A0cohpV^5_Ec!oVRH
zLr3svR()VF<J@)jSi_alg9osURirl%D4?gca@T}Wt0rjRSw4-aH&La1C+v)V4-Ur9
zHQil{r3ZfucpZF(m#(lxIQi1l|7{ST{l)7Ehtr+EWx%$SpD=1b##1s1_loTWvh+E|
z#2<$<SG7SJs|L)LM<|19BrKCKR4W0bo2jB9Jtr!srwZ@><Wb}`#w^X{(8(pulV+H(
zxGr4za*guIoF?-Y#t*bzolQD=(4bhZ*FK3_iRzli1f0(*C<gjL1x1$bf}PFeE4m1l
z{G5>8UpuLUCPU%K_RxfuiQMa7$;uxg6d%`fVBsM1Cvyy!hXF+nc0Q{)VN7R_3ox?F
z9?Ys8FyAn^9a{bTsB}ggIIuuc6K}^s%xz6?Hu@adP)Rfg8`xRLB|)=iBR6K!WyKlS
zGhkP0WP7AWERugUp&$drp`GvH3<Iw;&9alS>}B?vj|L=~PsP1|2v|4c<in5o0|}w1
z;<@ylm^#lZIIj|Pvt85xjx(s%0DbO+q<6S8+4?+ICYnI*<RH4knx~(g)JCxPp4@m2
zL9wcHioq>Z7>^}EVC@`Vx6Q5Apq1~HT=7oC^>mX}JEzW;ei0}&7qPJ3@<6yfwy`?m
zg0`=Fj)=$)RSc$;{jNG{ftB6W^0Ia{*+&`~2Kp4UBdh*>@~(`Y7MJgQcn#ML0r%li
z=EB1b^W-|EHi0yckcs{!-bA4sVd(VJIG<dKJW}g5*fUOOZT-RiTlz=_Sb7nPelx|8
zz<6g4B(?sOj_G?g2uRWJ6i}-fK{!le5+>D}GjF;_EE+;E0+CwOA0@Si!eX-#(arH2
zzf<EiG=Ri0sH~6~gc?NNb2~2-yn|f117N9Zn9M(vk22RZGw4*4`_M#Z>6DQ>Pyz2w
zD~4zy-J*-&jhV=FMRo7`+o_m{-ms`NZLlAE3=t`D)%`^Z>pI`wpeVgPmsBSFpQYm2
zV~GU26Oi$K>IlB$`1L@q=p4n$oV58i82$$6>`iYNFn6UI^=91hNA4BX&FGZx3i141
zs~AhK4L#`;f!Rk_ggGD(WGZlLQYb3fy`QGpR>3RHe+pZaak~^|J!)QIL5Ku7LGjls
z@8F?KdS@i?cYEJEbgXA}TEMUe&W)2wFcH9;D$9EIjxKyFr#|xQQNT+`LjLu9$5o&u
zr^)(4|CC@rC@Qd0SFb6oxZakB^^d+0Gbb#iqT4{Lo{OQxJAkX9tpl^rXPp%pxPlI@
zcs`K)wWa>XqXh4v+x^Us%tpRBSu2IG)TwY{1ayFgW3uylI_@eBbH>mN;w<<yuL#k9
zBZqM%2-phi0kOLPHG<kM#kBb*3tvKdLQo!Lzg0cr(u5>krtYY`wN7s+fxp+WPZ-T2
zC7*IE-+?SwS2~!`P7~q46rx{30D+0nPEcmv*(;kwl0)iZp)hlpNZmD%VH3u?9Bn6f
zDe1_b(^wilh*z}gI!UVdWv61ZX4B`ohgumsCq(0<29Vm$PGs2v@2?w^4s>Fdo{LS#
zlEv!}Ga)ye;XCdgp(b2c9S!?RYbC}64*`~2VDi!X_kMC$g=GS}ORra7uwSbH1x<6c
zJQ*J{4H{^+s*<wkWuCM6Vf%i#G`JhrIrzMP9VnyE*AAeh91hT45q*xcyn2x#LEfvm
z&i;K+64$^dAPb^m@0{sL8big6{HC#Nj)+ryU|5$T>4&1|u%*d7d7~xPUdcHaT45Dk
zvTRH{4yLrV(pu`qO#fkLa(ILA|5W#Ag_(Z<EvP%TMxp6h7CjMp;j#)gHpU_LC6x0K
zTngi(OeC_Plt2-<u=jvs;vepzl@wFa-p;$w$)ss1Sb;=;y^O{NRs_7&W34(mkMf|_
z)5UkVsPFBide%6jX80I>XP$<(aUMjF6Ni1+Ie{Rv^I+*DvLZSXjVa?@6aMuP>D{^y
zRM&Qn6F-P-8jR%0B;;Z`r5hZOGec|vf@18l0JenF0(6)US+t!X@j7}p1HZK#-)FLE
zAqvDppmb>V_^>X3Xmpc<9&ir}py12AdX66FU)8(*F?kL2wTB9~Iuw2w%RmTcTLinx
zA65rVt5#t(T8Ztvf3`OE%9!`HRLLQc>wF(!mj&b679tE#=uoo5Rpm)@5#<A*Cn!bG
zara^mSK1%DpsPwzhO2b(fmS{UIzLiLn~_0x!(xMLZhsvYa2R_NRNBcT2WE*2L4BYt
zca=IgEPCC-Rcy~5x#ta<5lEHxwvQR;$j>goCicj`9Ou_r1l3UB0t{p@bddgh?@+gf
zR><wk5l<d=jZ;-Hr-2H;3Oq|mW&9Gx`#ROiWK#V-Mo?y-jYnVi`vPZ#G*p1r8M#5M
z7Vi;LLTbi>R@cuWL7S8L!Xj3dJ+5<n1NFe2fhG`lnaqr3I+mnJ7R^WB(S<y^^%SoU
z2)rhE&MuG`Q150-r~*C(*-1~heaPq6Hu!{XrKanQ15$4CY7^ZCx^{+*<|1FBMY?b)
zTV8R)tS2+WV%JV?*}l+VH6E-N{9F0oSp?h&mfE&b80nu44Hb9^h}_pN3lj9nIZ2}J
z{94?55n#cq-Ow37h&EK}+h?GMk2gaEc#0AeU?p9shiVBOenN7-Qo+vLte?5ukpS-l
zdtIS00c`%feeoFyF44$&a_++2!JnzMhJ%Am>~cNWul!f`GVRZ7a=1HnYaq-~7-LIp
z+l=7jo-rsQ>-k~2Vo{#u;T`Zc2s61Vu36tAhqT*a5SN;Y-K-FbJsrDni1w19;<wu9
zA*#GF{m16_G?)S|pnKO=SpP7FJLS9hJz5EY!?WcSl(k*9c2E(-)9R0cC>8ey4T@M0
zgP9BwS?j3rO&ZpWPNWjNcw#^eJ8mX#Z*>3vKg)uK$BdHE2iLn$flC@BFxdul%#6tA
zOnLkH=h$vm)4I;!ylM>^#S?_zm6hfMfRP|2z?dE|lvIEjGof0GKG%DC5&n*I-G`S-
zdN7aM*|&;><Gbfrq(cZR$xS9xt<uWz2e}a9hsw5jPcU;d!b?){saX1bcI@2wScM07
zeE=Cd<C)2z4t9>)DWlRihN4nA|Jw}7726q&Dii1gRQ>o8e+P2=RkQ%qsl#tO+De|*
z!Q!1RCJGj+lHCOxGlhs%;|j@il!?KlYq8ww#n*o9py3u~Tyf?W$uLR-hvrNGca<w;
z89E}L4-irv2Ywg^rPot>xL~FlBBxxIo%f8ltH-$q&6-~uE<RAwN2H&DAD_*h*csUI
z5pWPtdBGBDxWIYqXxTVFvmJRGk5xI~rh*eM9oJ{y1xI@SCdDp@N1-)Skiu`dd0mp1
zG-+M~$Wb|wi_urqKu%be;5~Vn>-1PWpitJ@{98#PuAff;PY|d6@jv|unJ`yVG8Ql>
z0k1_S1!%*<r%Am&sU=JFZ4e(DkXNk3D~V%UO$^1Sh%+?AHG2*x2$@@D3sL5o*B9n_
z?{O%Tb!yI_*+6VZJ31M<6!O)gQvsW8)kUes<b+W9>No=WcrHa_giMIiAqp-H2aHHB
zo2TthoOag7b~lXMhsmc>bAQ;j3T5TT(M{FlOpFaFhxPmm7X_MLb(I}x2fzU4$>`ok
zyOjd!*}V$P4K{Y{c1|Q1VU7)C@-nGy`_pLdggrye$*sa3y()?60~`z&iO*pFDMV5Y
zU+EjRia%9Wk`JJ&ie$NFSHx}$bA_^qi0u7U;z)}xbA_bR5I5w$4}cH!D&26|3K>=M
zH}aVE=*wfoa`0*X>gD0?ak#0Wn!ykrrw1BQA1d2RmZTmun&*h2P4)Vlxgw~44P1g4
zHlBr%+8*2{&Ek_-J;U2&@$w&&R;TExN1;Ldl(&Gf`PmCr5IPnDpAPMLSZQ1H)>ttv
zn;B}(bfw*x@L`v#E}?$&>#wkWZmkf<9Yc!j=wFct{fTZf%Jojep#cnL4TYq13Qj!M
zlrP2s`#YfHh?Agad0H-C4O3S;&aMh^i|3<bg!LD0TV>+`An5@IRVD1I-)T;3GzY|&
z5TmaZZUKY3R@XKc_81kIYSmdcUOu`hxf(y}>S77fXdpfpDpro^M0QHs#X^<yj5xQ^
zTps11o7{z;52Z5I88$FHtu@5F7saUkyaHp8g$dGe4!O=?_=<3uUD$FSFH6lm{%St8
z?$#Z1UoY@mMY6~Maa4LawNMD13%NpJ6xLe~fq51hF&f^0hKmh#3xxkGnFyF0F!^(b
zc%iU4?q!*%X|7FJlyCD7oISh}xSqddhqs+1sG3CO>XsQaU}Xp(y9dt_wd1EXg>Jg3
z{%j#!QeuAz7g~stSSS*h4|ESJvcvvaXZj`IhJ4c6vaTGYC|BAgpuXOy9|zjw2zGsg
z%3*+iEb?YGcImQ%cMFTmK5WEAxxrmsn~B(R?-eTLyKY>HrIk20Lb_3jzoPz%=oO_U
z3AH#p)AHKs({+$}A7?8!Ue2p?Q`ZYPMNSFAr=<J3h6V%Y?JhLLRS%5^FeY-mt>}D6
z=*%QXi<BMt6FfjwD^G-CG$3zj)A`GE7svu5Akw>U2ywZCwq0+8)5DBJgm+I^8sf{k
zMIyEh*acUg{oORd*Df~`vNL)N4qYt8By$wYvJwah)dPW4Z+{4nM{1TT7&H%gZr{uj
z;<^0~>agbT?29&!dK!E+dQ(+v<KgVF%8RJa4PYG@X8(1VN2w0#Cs{6M>GJn~?mzm(
z<ARe*z?WZSz?=-NMCXQJ7te^h&^iL>M79_!MfYAgDmDda$w-R!IrUIc+s|NJq!9l)
z=OHj>>w3QZ)XBZ+`7>RT!(vQYbS!cC?$Xc%(B+5~$>&+n1UbR;JGNFqEk3s5PLb8P
zK4v7y!d>Y8Ozs=3g42G6R47nlR<PC~jKigqgA-!AL%hePpp`Y}1=&!A3%MW7;y!sy
zG8e8h(eWid#tKAOEBs1S^P=L?NLz^gC^B|OP^xKAcq+i(=OaE%6M!q@_-(Gjp4`Lr
zm>`pd{KGJ=0A6{zlgt1&Lk}U`3zy^!(zvawkjf)pJ_EpzilMsvMa?HG-gi6>a-Vs=
zG{)NCj@!(bTDnI29CS956>3rcr)z;k3Lcp{^KqyM5l;ER<U$A9^oxvPlPv4YkRGub
z#6r&ZiB&}x?R%le#KsJ7X-VK=q2B&9v`ZG;pn~$8-gS~eNHE1k?(qbT@~8r9(rMlI
zfG#BO)tFv9?|5i9I-7`?8JxXyEaAFyQ6mHd5&)X-5)S^V<ujedlzuQqP4xVL`+h6h
zz?r-QXHm$|O}?ivG9A`)AdKV^LuN$!-sq?NC09Jy>GgRGSxIFV;4zDD4=hWmSzibO
zhL0k{URjsE@AOUmo7{a<kEUnl=#w}S?HGMC<C2To(t^QPa(p$BG9Lv?RJLws%e`Or
z9{MYCKvfkpYyc#gb{!)>kpII<ARn`%VU!&6oxt`--)3@MX$5O9m;m46_Smm{RDMf>
zYp+*XX~&o{j`;a~i!yP_y>EuA4+?#?fjD9u8E9v?@D_~ntWp{U>-89kZBPcKca`8W
zt;emU(~!^mFh0Drl97Trcn5@$L8CqMsu>m>%RTO;W(KA7nT;y|9ENJ3;jj_ZnLs9q
zttaiIv=PZI!MZV<l)&;>^!0|TP8Gl_GxLP2qzrXt3LI`A%xM|{{LV&no^FiVAf=aD
z7nkZi;V&sKKWGU+q4`S@z>NX^uhRl;kmwpi=RS9VPLGyO*s*_H9YWH-4iA{ScdraY
z<@(<miaAxf2#>=FL2cxQ9+`??>80%`(sCPFcf^9e=m4U0>r+#F#IIAc)yMJSfbBc8
zW9!xrI3`9N6-+nzW)R%uP2KRpTedzir)n|8|Gs{LXBnk_HFi1=wNEtyC_BcI#i1-9
zJs(=VIBS^0Am{HZ;R<ol82*k1IB&o0q~3~`$7mSpCfaQD6iI2*++^Uqx|`<*q=V`!
z3UwqC=qVehN^T2Z6*As>IxniN-=~p}wzpG_S7?6LfEW>nfR@HbG{aZly7PIEl;0W$
zj~B4Q$ArDcV%;kZtvGTDgvyU@#TlHD-RH(0DIr*+jJ(j?CheLf8Gy)P{4La3VyI5K
z7-OJW4HX&1-IPSk7VH(w97lj<8D1L667+u&@=b7V=#A9126OY%5V{zE0YX`#@5{O2
zmZsf$QPR&3zG81w`J9bwJz0$Y%Qji;ku{-f#}G=7v{-V_#I3TD{ZxPr@iX>^YL%sP
zd~s#wcT%;_yJ;{+RwHzKNg^MM<#0V%>Sy1ZAFM5~qNn>NkUer*YSNZQ5i}pEzV8hf
zrG0m1hlx6;Qt&&MZ}l*uB$)CHmDO3rBdh5A4uB$Kpd<_eoy=^P7bFldWSEjTZw=4D
z2K5{N;<)zJ`{CJyEM-y1Px(k}?jMcWc7ILKtk-(&;xY*m-H#@xfGS}i6XLF-C?ggN
zgFbl=zmSnO7MYuuqc&>$*H`)H-}bvIyV_I4>)-qE!ivw=*q1HusN9RMfbPZxFp4^b
zXBVkacHt;{yABz6DNEjp!rRj&=2o9K<YQFS{1@(~CC<FqRx$eIX1h)P=jtVnXjQQ2
za{B`IXV^XrMkvJSkfVu$)9(rUM^ffjo0u7?Ay4a_xP6hZ;F!v4*ylNY`;ZuiQwV{N
z#F?PPeWSNyC?h$tp+q^_KzR8;+r!E(k4UR58)z;s`2$n)JxrPiS<LqtC5CaG?wkKy
z<PFaIwtc3)WBK`o1<jfLfM|Dca7|%nVD*;QUKNE+OSx3r<b$hgx%gMoQ%QIeO>;|E
z9+M}&CL^ngg#gsN$@*)Fg{w!Dt*tx8FiN{;b<Bf{RZIO^;InY7bF)Fa_)0(BNMGy>
z+QO!>BPoC&(i@ihYvzR0nMYXSS;6_d@%TAtxMk(Zk~>sD8GBx|<xo$62IfiaA^b+N
z`GkqF@Cz{#Xni>s$@H~9o;N^3n+qT_n<fIh;-RVrBVB;%q^8kY@ooodWZiJ;r*6@v
zF#pA|?>fR!+KI)7F3x>b!97as`FSbusOMOgE6)sJZE=Pb#pQN#7ydVn&>`;kMPEly
zP?|eoiwwSR8dNu4vN*Mw6L0RMNCZ;dqP?+5^*_=IIVk9Q3OAxDC0WeF*~=$&)R*J<
zh?|XAAwuMC>FYncUx)@!HQ^%;|2HcU8>I#K1>dyZ0n#en0^nLNa>Q%=y2d-XxbMt@
znzb5enq=RZcAv>pwuWf#>C-`iT$l9PmFV;&-Tb#*y&;oTiS3D7d@;cg1|JEU8k%!G
z%DK7h;wGgY8Apl{b`LUJ<G&B(2{R&R#RxjD7^E_{S{i#5*943kRAo8e!*Ol5LVoTE
zZF;i1w?q&h`f);9+4mJ52|ldI5bP^z?wGz9%%lGpq}bB1S?BwuT@K!Mrz@@6hhMwC
z>q0aky^a97#G=|f$m3U`cHUX2+%n35X4myOzs86{%>%Ix*(MD3F-+JqyD<0~4#Ka>
z?W;&_m$JFuncH{j;pr2m%1gh|{NES?x>IX2zwt{bo2#dJ_6p2guFg~gntvCzmIo<f
z`553UdD!+4Y=J}{65JA@(J7v0>ux=mxCaj+R4N#dtCl|!4M(K3MM#=05$rQ9LEMPx
zveZ06zl%w3TkJ<ysd#E>wSq9wdw-;Q|4TVD<>>UA2ZDB!?WJ9y2bEU>)Km^jr65J}
zK=Jau&UtgJpsyN|E2*GLc0v~#PK_wneTzZL0>LTPY-+;5Q)Wy<9ecycTr%$N-Rr_l
z0F%(@nmvbOwpvzbIKVmJ>1LCYWDDeutxhx|1k8)>EEh_k?jNnn7PC_505QX(&(e;O
zci~(3ZAk}L*eb&#8o-7-wxV6VJfL@O#DW7A3j#Uh!T`&&E{Xu%dgg`<(j{PHx5?sH
zX{9tqj~o$tk44`(;8g^HtxgI?BoAk+qDa^go-MiO5UJGnTnKJzeK)>{^UPeg^3r2N
z@3W&x<tZge_ZQEz`ukstuu=*>PjNd?xt^|1t_jDc?PZ8z#C2JOu;8V?TC%aL7*C+;
zj|nHW)mq)8b=;}v@k#eo`d_^Xv#ZccejxWX^*0R}_6ue^E&(ReUUP!dkuoNFRZ_*b
zJ@2Byoid9{+iwPHf`%d4c%?49e{_TjPM;JZO*atmQP-ps(Nz*eXH?m@nOoKE=8&+8
zFfiP9hi%9HA`>r`pYrc|3p3l%pggwRmhd!f<@KqibSv;4az69neB)iIZ8ETPBX$xV
zyE2dcohzNfZpy2o946cGR$1LQspLJH;$?NFNZ5E|(;TpZ<6vhzk<tG|-Yq(>9f@bl
zdqVz8E_e&c-dP`?^shf3HIXchxf24VN3J;SmZ>?pu^B4~a#j($@xke0J6@2*47*Ze
zX{1!KvZ3`Es22l!;b~fq@Ek6K<tGzYGo|aix9pcgfl+#zfGn-Ei!+WkJ$sksdKn6o
zWJ$;=>M%|3S$YO{JftGl2F{`&h4jR(ktC(a4ib=o7E+7fU2+siUgP4Bh0D1LPhG^5
zVHa|bT=nhSgo5c8>Ka9vJqTX6V9?|GBOH=u=Xyt>*9?>ZML@d0`?31-n$=TkU5hgx
zz~#sP?qE=%v=iDpDieBNl)Xt^oUiSJay{S7_*gJ6EjSZv#r0-t=&~$xAXqftmRs`G
zC%apy*$zTw(Q^m$2jnQ&&)7E=kOwEVyxuMl<=J}JBP3nfnnp2tIgx?!?metr>&;!<
z6rOpahFV7d3M1Q`FuYH#OMauG)-`eR)1XmKS@mcO+h(E1p1_O!*+LJBw_5U_v3||&
zB1<Ti{(=y4ba$PpXouie{00$#g#?KIBzvMHW|fUX%BYm#XFduzDR5E5PV&{QP_nS)
z7NRtZtDAkR8QszSAgz5}`9o9Y&WnK|Lh2~hZGzu^zXaP$O+`fh*TfAI8Ba~JT5S%A
z<q)(Q>l7x*LCqo5@Z&ZmS==544;L%K0p=?5;J#->2=W!SbU>aJxV#E*gr3)7%F$L_
zBKqz1Hb1f&R!!!x+Hq%mgR{T)1?Hz~iBK>FaFKI30?+a7-MBAlIWhXuQvJ8TN_Q;5
zK%O7|lcD(t7(-o~D;);&v?h?|XfwPWX@qlN<Ri`WQIiA!{(?%SB4zqt=10Ftf>yn%
zVD~iUFfB;ME!R>bBRqf{?_bK<i4OG%;@-4FBp?;TS`!;5`(-Ieo6{}Y#JgO1krv!7
zWhoj6K2NddE{>Q{AAlV}wXZ}-i_BiDfcN2nzHzDXjUC5{SfAvz8o>VxQ^H+$MslB%
zwQ)3w*G(JM{Ldv8=W%_gy+SE2_1E}TJ6P&<w8U=*P<x=O=)SyX8OSwhkXT<dv{r+e
z)7Mq(LOC$VvDNPU8cPe(WaO`x4`CMCT#IzKYN&!|A-JOXs2-qLkJZapjYee#1DKVK
zfq~O<5}w=-WSjOEjQML}e|>)KWi}8n-$J0Jb4%&bM`_R%$?qCc*Don}?2=9!Nu7Ac
zNS)x|T^b@+tb0Dy&u_V)SfHc7-JK>ykL0xM&fdf)<BFXphj=PP)+4lC?1)JIXZtR~
z7=DxW0STq<Pn||#cD8M3`RGuDLU~m|#fJ%->?6g5mySKmLwGWeqQT_4A77?1Fg3*k
ztwib8@(N<W1D$#bEyE~-s^i!P=~X`K?+<?RuhLaQ>!TZd-rXE5qHNqX?P8LK!%yjB
z+(f2932nF^os@+yc|O@9f5YoBcVpN{_L2{N@;##W9&=h|S&zP*qw-kEE7KK~giDHO
zfp;TBcv=f4CV>!++*utCF#^<b?+PR-anFBMj4La$F5h$JQk@^h>_y21cm4&nrP8%{
z5CaCHZLp!}(jd>w0N>GK=lx|F7?{F3dKyNv@;3^OS4jEnPuX|!ox<PxTTnB7L>TXq
zKDgAD*&?GRQlNC7bk!^m=lqJDUcMDU3f+Z^nG-KD>F8=mA8Z-j{Kx4?{5&51{*uKq
z&*!d2s5#abMkmw{{!iKVE^&WIqgL^zgC2o@8L(C^1gCf~xwTDp8A!qigg~s-NC~uj
z9d#lhhmQ8Q8+|Ml;{ikZGKQUp-IBSp{jb^oFF(Dd_9H{8l!eT^*Ca5(s+d~10wAL*
zVGq!k?GA!g#&&&Fd{=u=Mwkto%&SyJ%B={ZgHj?gkxhz9&}BQ)R@oEj25nA7!~3Bq
z4<&8}a>Yzh=EIg%zTd;L1B5dclpVP>^ibyT3d}CmoQ<GFFcZ{98>dgS4h_{Fk{;*v
z8#%~|gQSi=)?iKd5n37M&Ilx~d$_eICwrj991{a%r|M^$ru5b5hneVI!62$>BFCVQ
zV0@xwTGqt``0PALBL=(fbP~6V53u%y>27wSJ{Z)<W$HAdNh?^F3;^7a3LRaTei3K7
z{*Y%PYL}!wPnQk_{}(V~{v65gUAGAMNorWD-inMe*A;fZ#Z>xGm<aZu9@B#8M?sZy
zmB7-&^$xG(TAOa>u6py(mPP7&#~bQiY^Kjg{z7OjGy!t7tXMu_jl;`4R+R=IN!t13
zjKveFOAE;X63LE?&>57;xETUbTQmo1M+~}3cE6u}vok54C}FyTGgd1%&;0@CJnBj7
z%F<Iah9cJk=ELSKkRv7`Kn7B4B}i2vvqMXMe3;kyp`W21>cef5P;fbfi7ic`DW&s;
zsO;QwBGB@{!RTEIyZjD+sBY;Djm%h782M20zZbc}|0mCML4U@Nl@}{Poszb&YlAzN
z4%zQ}=3il%)^``)rTUqg%Jc+3-*=_2^M!9QZBN|zKuzL}PSo@RXNY10bp!>=;$AC^
zcz@H!8Jnn#>_8*)ZQ9CP+^HO%6YWk<DzHw52&d<oo7=a6akM2{4a%oPdo`$?u2Xp1
zAz1Uy<bw<>+HL@mX`}+1v4H2Y={YUDm~}NhuWa`%_gI3@fDY!{DjAP<C6AR$zQ!LM
zv$FPSLJcaKl+g{V{qd$X3roh*3MVFXS!F0MMOkmB-R7=JUG;)G7=662n9H2^<sT!9
zmB&{2Jto%1C)E7fZc7-r7D@`VH|8PuF^>#gj}8i`nW6DW87tR@u%O`nGW-Dk{8KYX
zuv`EjBkp^OFUf1gX^}(T&)&K!Gw)dqYQ_4KBHfcYh%8QA<x5=y;zp=~CkzolJ3HK^
zRTW_Db<hl$sMlO&>S{S%7D4pdyA0@rx=1^ajZG^t+eqRi17e&Jjq(@7yOjtXK(xAp
zDCuCDEiN&@ZkvE@awJ^3#d?BrdH>6Ob$kv#zOe=$U22{odK`IdkOA~57CB8#?a2Ks
z9?a-nEr?kX|1=@Q<mdJBA>~(EB0pJrteUBc@}@M=PB_x~?|)0oaSJGXK`yPJw+6PX
z7QOmC1MuLLRys=!z3h3x@y#m#usm0FJ%(>jy`?6;e3IFHxV&(lwN2AIOXn`AcG7Vj
zv}J`!Q%}%WyaukJmJ^8c(nnfURJoO}P;j}!Y+<+I<3RU%h8UwC)+dz%Ox3;!I*_wN
zVLIhDR?_+*gOp?mf<`D-*xNhL%sHYQH?QMyMghhyKCCjLJ2V>CQHOa7B8?@I-EwT~
zAE8Y>5n#c!swQw{u#o{>gjXnGFSZsO1#Va(D}`ieSO=HUS2s{P*6QCwmTB4va99zd
zYV89~Yt8I(@a1PpfC=JaKsMeqI*(K)vcVKy!mFDTfR=?_+|!5X&<MhWNVX_R7u85;
zu%YAnK50SAuht33UyeMN)RX!t&@59wvvS+ck!W)J3u=6D(7nLodPMJ(&oL7;VbfE3
zCh_+Pr`a9#>)oIhtjTXi*ndm>&Op}2&NJE508f6CLUfb3ZsP4;=1aW4FjPq6j4k(L
z`7PK|GZZ47?GyWy_M#M}GToZNFK`^?I-Nr?w8at|Ph#V~ty2wfnGQP^2risDj`)&T
zsD5^uXJa=|GBlG;oa`E-jTq6S?}yeMHvij}%sL+pD&~AsiR2>BK;?fFIV8%5|JK^r
z3UFq3<$RVd*7~1gVeUZRa0lTCP}vlc01-P{MN<uKa+DCti0U|<6rJaPZNtn2K^X0d
zQJYdeIUB~PQoCZulVF#LN>tg_@q4AAi%oMnStDAb5M@xr)FcaBp6B+-i%$Bs%6Y;%
zkh|N=qDK48NREYiJ`1%S*$98N%s9`iO$uK$O5Q~Y?Ng_go5r22Y$TpcsB{}qpeag|
zy_r%qc(KMg-Af@EnJvd|K-WHu+f4xQp~Q>dz4_(rYZIe%@D8l{2CAj&&M(3AoK-)w
z0Z|ZVtkmK;CqG08cwn+?gIawwl*=Nl-j#@Wldx-1c!YXu1(#6lEaUE>*-V$Z=#^Pb
zU=|Wy{CJ#|lS%O<;Ejp3ZF|4QS)nuTe)af2B3LD*i%()Z`u(D^njN@1!Z195DGCqC
zRI>n$(d}G;5&v$gmk5q0=(vHz2PNbfHiu6vug?gQ9X}5D5&dfSvw|GIKgcfj?9VaK
zY`$pa009ZF@BBBFhKaAhYb{Up<e&rc6_~o-Ako%|M_~)&i~ZRd-ts=R4F|hxS;_Nx
zUI%A;2KFellBZEN%$&O=Hm9j@exVm->ttb{abtcpJSXn)UaG`<yoz^V5f+=hkY%c9
zo*O%FX&TbtMXG?W0Dv!kLKQeO>BDJUedZgm1}BfyuaBlasGZM6QTA0Qt0$36r#-U-
ztG@ayj&621T0c<p+0h%)S=I%1ay+NwFu6d0OBKd?Sqya{W}}WKG4cp=?L)Mx(lPCS
zVjn17AU$ec%?yk5gL)D#;?bw3_S`@6Po6VId{7NKL)dLWL4C}2pfC~;HT`yqw^b(k
z-4lx@OtF*pO1gO;*A_!QUtAA2#o=ByhQ^mxIhN0L68_$q<A?;prjdyu>1=eLI5*=E
zZXUz;yp`%T+7q|VaC>9V^?__A$+sH$Z-CRwu|UcL?<d^5!)2h*mls$ej*)41sg7-L
zW&f@K(6wCRAlUTr9L3j4a3ZR8kb|A=gV_xJR?#qa#%7Jo)C720r%6o^bBpS-#;2{m
zxZZ+(&uxvTjCB;8B@z+%l!-n%mGMGKyluOiU81iwPWy2`hI`W0tgN2js;_lO`J`0N
z7>TchCl5lGDjNnsAYOm(=Zaa!p*CMdxuj>lf&c(i9-3I<ZK^_yu92b@NjtiYp#&Et
zULUf1iSuA#?_tskjKjX$WKzCv1}qolHl+8_H+8C#oP|*ZAi8q$sRvE3fwaO#H@iT3
z=G~(iC3UrQ%w#ml0QH6G=#56VdLz3^^Wp4`FR{;qoo=pio{AdIyM43nu5q=1C-X#n
zEn^&O%GSJfiZ8c>iBssFDW;zQ!K9`_`BLB^U?vE~6<ju)(Kim_gaJw&7j7c>ciF(w
zBNQ(Pio3<S#moQfmF{wA+<|G8^~giapI1bLzt5X^V#gW43#v_dxCa3Of_{49H$&po
zgkDq4*zZ&wPoFzbgh}=zK;Yg|7;g<F?>131A_TO~6bzI;emZ#;9=)^z9ua8S;6!dn
z{YI$fy<jwQn2QI3*hr+#&FODGY)6>4<-Jlv)qlxo_#rpY2`h@z7Gw_3R>;Gk;}lNv
zW@rShSDvh0dcHf`^7Bgz8vl5}&4a}pmiEO>Sxp|RLw7xMQjD(*+evs^)obPYrw<?3
z-Qrt?5JT>h0?$Y*{JoicSs<Toj8k`CD}3w1)uhSyXtoI{$QoPR#<bD})l#ZGJR<Ec
zLObBi&It8;>-|mVoe$Nx#GyIr7?TO^zS4pNzZ7U3M#L~EdMa`d=4G*K&;3Xd@U-&g
z0??hoa#tuEkLT0F+8MAzpccwn21OH%-B60s#`&Z=ncNgu2!D+@94&e31o^^iv>czQ
z@Vh?%Y6(!9n6XX>EJtF3hW+2g!&paaCy;*x@#*k^Df%aeG;f$(crZGOFC~|oM9WWZ
zr;C0JInKqt;iPUp=2~rJj31^9ryUB*$cdikao<2p2Vzv#=Y-0%Mm|~BkpVr$&jZ(<
zPR%4%g_v7H(ry|@*GV>bM;}L?hWaF+rO+FV{yBDU^*cYpOBBvV@YKl@BKL3=72{Qm
zm?SPW7oMSTpAOTn@51*!(x!rn8K@X@5*9U@VFi>e_2X!>qgpmq9Y@vqJZQg-WIijU
zajjzU*_dXc(1->7xIm@>QW60(0O)hIeeYwwkuRQur_$9m|4YhWTW(HA@J^Q)TeB@i
zy1^=#)MYkjJP5OGof=&4bjn!t;m-9Mvip|$g#0zpb$VuQ2F;R(`BQN_MLlp=C9+|s
zxb+5#bz&v>Hi|LSz?On`Pv~$m;de)ewnt@ST*+q4PA_gIh*tF>HBXKP4ZTWY62PkO
z!p0@ZEIQ{Jn;Bp~>>`Iu;LC=}Y%YIgjpyts5*w?PVO>N^^AHiJczA+H)7&X%q(l*4
zjqR*g&s>y5<<N?svpxWbZ}Y5@^f&^k$)8e03gAH0c)>5vV{&bMMiyKIMt;ILVuieB
z6D>;8rWpn$#J@ATsF$jh;%b4v#<WBEny;ny$Kbv0aPju33|lieC2AeVvV!Q`W%Tyc
z6vM$U{P^mQwr1a85(N&6grf3fjk(K#wW#ZQQ!!=UpyE0<76*1S2Tc%T*q{nb=k3VL
zp03>FY%b#9J!qb~0?U|)0vD%2A!VUpqyZ5I7V4V3R_nx}iZ9gj`tNdH=a%P2gZ5<}
z>VwH?SNe#h2+x)*C^T~rkjj)^M!)3k;K7UuJG#<G9kI@(AL#0IoDhg?LhGjG*LZYr
zI!@`ciOZ7A{^FmN*~!5%pbYN{F(>&eeSw=6q=J15v(O>&@g#l<`W`?s?=Re{=4Xqy
zHo;W#pidpa1!`hOf<-=isgNtGm0L>WZ&pJjp07Nziu7SM<wP;Bk7U0g;y}evjTX-(
z?UC@OSkm6HT2z}EFvukkLX=$PL{}M;iZG(AxmXrVC~1P%M&Um=UJ0)avtiC8ceAji
z=A1ZIq1*s_Vt4w|cS-U?vw|+j!%pzmk(b7OD!gtb^ulc)Vb)YFXYdJVv8PN8JLykX
zzeHoTvFS*ETyB3?Oz?T$nI%6<ZOBX08jm-OXK(825v+%%F+m>rXcGeuXK7XdKRSFA
zrcTx7SK}L2c}GOqe)HWG{&J$ocqvSWM^w^LEAA9)QxFZ~>Uvr6ByM9pi<><|1=hBM
zz_3ziL5#O>;jLl)6|Dd@=L;1PGpe|IMA~2MMlCuf?)!YO-OJ;q_k1hNqF6w5PR}Kq
ze^@V`3#ih;Xu3;rQ8IZ8*uGYO0MJ?D5qK?SBt(k|^e}_L+al6BNkk!8W=93@v^cUk
zxfrjPf!w};@I4+v&&J?n@DEojL_AwgohWa3y-l<8Tm(A#lb)m7%HEY*4Z7IfK8pY^
z&hnC^c+kXSV4-?3^>xU?${?NRt4&<ClE`%#WJtkV)O9bB6$cz;{TH)zpiHmtbbCJB
z0oLlT0;PR<z))8@e2f2Vz3nbGDdEB05aHbuhAdS<LVSa<j1A>E$7>f76}_N!8YcTi
za<^x6GExom7}G;NAV&e5-`mI7A77UIQZh1*V5VR{AV)Mx?=v*nCHA46!mc)l&oPvV
zMuxkQ=K~4@ViGhC-W-PDWH0dFB<zlM{95%Rv2ZUja$18q$~4=EM;hBkTv(R`L|TPS
z^jZ$)nobda;Tm@LtGLlHFZrW`9&|qJA#yOe`7rtxn+D@l$V8n<M?Nc`w6YRw9Z>Qp
z!zKb2$$axM3m|#y%P$H8fiStkCGFcP^GhbwGBecdjAF{-8hYpFDsYdy0oQm@FR2sF
z%tX^s%iyJAtpD}*Y}rx3Y^S53S1Cy<?F=m`#}Z5lxI-{d?=7h*zlIN6dFJed+<c~!
zG~k!Y&94M22;%rQi@r}*rwH#F-0JF6Curj1Z7%#E9te0R(6K0`^;yxK$VZ@^?Ix~=
z{Kq(SsTR<OmF)JWk8G%GK_(D$8J>e#p?a!2Y^K_+Tk!*hp_|JOqTi75La^Rc$a$%-
zBg`I*D&M~!;&sQ2`yKI**K`C4(3mwD^(x+r8YAg+vWU1q+uCFo&=8i}q9d2vi>FgT
zQQ?Lx{eY+lJcVP-2nkyLXkCG=9*6@HW+G4H+pZLa`>PP2mUoR~EpMVw+->L3PEDOY
zv@BR~=rQT4r)@OVa*=OAo-W(6IwffR2*b*{3UyC<8*fdz=XGp4aJ;Vb63%j*;~^1}
zP^K5Y|4(KtmV+PS*?ts#wC~G%f9tg0*hcGvOR@hs4=w^Hn&YrN_*tV3wn1uiZNtl3
zN+ZcL`x?fALQ<YQ&*3=nW)BM@Z!lau2r0)F?T2|ALv^b|?WpVnYo<@AW$@7t#Te-g
z+`n?@)5&c%htJWqARoL98dCJ0H9gQ8e?mdp11msf2+hGeBVTPpCb93fU%}pD-m5mT
zCg3tD6qn#TqM`c(H!bw}ia4p{;U*r^(FeUI{~Tt{eN{Jmz%?wJ>NJ_SRUyNqJTAP(
z_hXPR{5Q56<O<T*x+|}7;NBFXCMxlQdUxw0#GxyW$P_!da^y@fRxkzvCSWxWfOf<h
zJu@T041Mh<Y=)^(^ZPdhU4^>uZh4N2)pW`_uG@?9sOit^aL%(a{tJaiU!f?y#Mv1y
zoaON9Geavw*^x5*1V_vtDAxx1fRaDduQ(o;wO2;!;T6rFo%8)b84mY)2$2Qoyjo*8
zuLcW+JS{<D`En}ei1mPAw<W6TLbo89d$c1txUkGxZtQaD9lg5cXnZQAsoDb(bzY9X
zg8_9_*wa$D=MhRj=A;K92+%mm+le^`SWB6=J*zCT0@-G?i$0R3_e5@ciP$wq{WqMq
z51HoL<Iu6uJo`h9j!^}HA(HO)JW)!srLxjzx%lI`&=m1u2CeSgAVpS6w7^nL2&jw8
zUS~dcBdG$V_G~+3Hbv~+9(x#(_<CbSl)+8A@go4apbc=)+cRrtpg(DOUZ~^tG+_x2
z$ox6;nlv(1B20V%J0H%i{n`4@3CCY>5N`XFok*%Kiicn;Nqr?hHTg6Wq{X=8k4xck
z^#{{GpKj?FTI|6td5uM^A$je8>_Z>`l!#?>Hd97~V=@0bX&iSmG_gSuD%*gRr~zef
zU3iiQq1>fXFMXTQEf^qnzx@DrD`)jgTgxHP;Q?zfYI!BReYxv{-L{1L5P19ueF&OJ
z_x`rCHV&Cjxg)}B877{N9&e}0`Oly!J+3KULNspjU+*`id;1AA8a)*rTa5*KYh^EX
zi+frL^)`4?@CT13OyPX@k-iu&g8=I=3*0VpTk=lZNKgeee03d;!hHNXv~ep)YXHUq
zZW*(cDqeDG#9@0i;*5^#8zX@mU&rnl{?sLA)QD(So|S_Ip6%UKdp|(yXIa;o024>1
zvm)%&P{dysAi?lDCBN0+5<tUHUE)51N=?;xQ7|v(CPm$5Adj4=trs>T$W)r(c4a?s
zMfrPJw3h&NJiw?4yd^H#0_a|fRz4rbz+okRpC*G%H|)>TX{4CE4DDzwdOcU@0>k7e
z*_hIA-cala>$<1qLvgr{k}o$KH@R&9YBg-oM4Z&_c)u3EPk*SUZ4n;`ig`Zjr%k#t
zl|OY8cHu}XE$q3v{?$0<Bh0$OV|=}dJ&JVa^9V^Jxg+HG{epGlD6?X2XUz7!d_dxS
zk8ky<)1UVe16$bPVN@nJ0;m4_Wi=;rhH$t>lFIsb-D1dP|JL56LqRG|URKN~<&)Lh
zENm7v0@uTO6}z(a=p*CzfVTgC2lC1JQZaLGSn<yIeQGPp@-h*MujZy1&>Lt%)*uQ)
z2wwPPU%5sHE=$)k!GLY5h0}KRN2A`9^|er&%r-<>yi56nsF5>o+`|km+(la-2d54!
zn|tQo!f`PdZj(UhLs7htnP1<#ud4zLY|)#kWNAB?!ALTP$GdDca}yM{IB$IiG*pW&
zb7Ct%A!6=iKwl_)Fv|1(gifyaDL&;EDb7)2a7h$*S&OAd2Qv@(RS3qA(5JsLN<9g9
zSlXNdnSl@R^0(>N{KvqTu}?8N0)l)>`yrxC2o_Fa^jc62_ctn2V<I@|`d$aBaUQke
zFPo5vp2UWq?4?ns2r{}n5iB8+sg4w&n>cSsMn(}xF6Jult;M=%;Qz-^qI7jqy#jqr
z<i6`yaR5<SM-vsTn;7`<`?WwE<%cfY)D+30Zf#pB71ed9mEDFEIOWMag}bOSsQmiy
zQZaPQCj891nSRKn7xmuAtPZ0o|Mib4hUzG=x#7+F^{|KS9VIK@t1lQc%30n0FuUD1
zBLyItEh6%+3ibe``UW%Q>Ug~6N#sJm<;`1b{A$lK78sos2H5c5gam2G8)7}&KK)CW
zD3Cv8g&l3)$YJhRm{n1-aQb7WX>P|O0lOjRr}I$XVfsL!^n&ywILdt<>M_$X8JdTC
z(p&oMKEB`uhpnZ4vT0Er@rmytE*6{R>}c{nI%CLLPo!sNj`Ic?gkP0gYyePcSh+ir
z`Rg#M!qBg=nL+Skpivn@+|kp}^$IzP162$^PV*rP(NMFB$)u}OM$x5Yn1#6KAjENT
z8AS1#+gCiEq^2bh&7brgKj!7Oc&`N?h!%E0lB4749=kyY&To<7nz8)$5BRbUS!4=5
z(u&-vWyEkMk8&SG1)R9)(M+d<(o+tLJUEz!tM4VIzHTpR@tCAlNE`ss<y(42!KIk;
z3Pqx3GnQD+S@8e@e>ll1CsG~fk=w3jX3C)Ve-6e@C5=lFjxIM|wDkSelX|aMyXE%z
zs&$>+vc4##6GRL>A6@Q@Mn+zYReNtta+hZaKz`fA*<$?xTvsjw@R=^jRDDL?L;tcQ
zb3WC5;;lo&Izy_sVK5hhfF*09xXUSL5h@g9+Y`TY?|C2+IFKf82!AG8M0d)Wm$yxB
z23!w`ybOoFlbQB9I056D+K1a}**C$_O-Q!cYJ5x*em9-|Frzp^V*bVGOu#wwFEZI8
z4|m23F;aa@@Akl-`(<<ckGhKG`KkB3sc>l4i1JM^ourlvVsNeM+ZIZtH$X|rp;fFO
zD{{yaLxQU(HiUk=!nVBN8F1^eieX~M6P<D2`_{N+r<Jl3A14yPqv0<b{9y-7-vpgL
z>9}j_AsUFO3zc9B?$+1`$!U_j3`_lqO>LP|{1zB6WT*bGga{TAnLJZW2N#k`00>Fs
zdULxM(-1V4s2mOD#O=^V|APs$mUZyoPrZJ_%w&fv2{6duuVN{hh!DsO&r3C!hD&Tz
zV<fo1Mo1m-tLb==+26~nSj6|84dpv9*iPbo1WwMQ<C5406*8L2nLCv6Dj~0M9RM!%
zTn5^PsB=CLWG<MlmtHjif=0dX>#FIS%FoQse1;@DmBI>inTIJpSrE!Z!X69U^9NY`
zE51smN+z;>i1Ta=e~ak7qhwzuORx16Dvf={LO;cLz7mQ18zi-R&U#>9cP#059<-sT
zmzG6xW$xt4%uZ74TV)s!W*HZ@DSN|pP>AI&vFH)H6WWIG57f(!rGaqG8Vkzf`VyRp
zPOSiVG?NT+n2c!ZeJ>(-?`=JDy}zTTkoff}ZS0s&QA?S#u5d+xKzB$7?hE$fi`sFw
z3;dEljc?AF;S)A8JG*DpraO9dTRb<iqcLN`WSWr41pA8Hv}%~Ur;(|yXQnlU1c|xV
zi?rdsvHb?MueK$lk-bA>Y=k2npaKf4CId?Xoskl(ra^XSrqE@ZB#Z$--9~cXqYNIp
z1$5hDL89aZ<*@OG{hMa4D3++t)%k_vCaGty@Y1tKo&9rKIaB2}tii^^MTy?`Sq^xc
z%g!8}wZQDbDcDkCOAMRXBfIXKpgHp9^jd!Ezp-0QFhthvmQ_Q1+5e#S3;_G3?{4J{
z!=dp-{>;>P1ASQ3E)B%}txfL2C8>fL2bT&?^vL|`IZXOmPB!&7viyO}jMsw!gu6Pf
z%`e~>-f3EuC7@t-$D5vRybXN(Jy>IF(B~;!H%p?ZbOU?tI)(RV(VQfao3v9}+A~zq
z&0N8dG8EmNZLLqprAv!L-yboE0mK7y18acJP^|so=OF2*k1`O`A8Y#&?7G4K-fJ~d
zuOoj`T@{6}Ji<Qj<%G7jjnB&@SOE#6-Haxwe!Y$)^?phd3wql7T-)zjdGG#;)1aWf
z;~eQ*WI#DFjMY{D<Q4Lp<^w=yAIxMLf|qXrvtKSXY#BPrW>v_(l*@%;%a4++38lzu
zZmq+LpnB!Uq&Jd`Je3O+Gl(j~OxS{UCI91E!uC>zZj(Sjd;#}sNw52*0~laK?9UQ}
z-C!$62%ly|R5c1BEAW7X^2sCr<5-V}8fQTOtX_g>A&uq6v5xN!&}aAhKH7_jqWgF@
z5re>EKqJGd?cqk=m8|&PE_Oe8NLl&&a3eB6nV_6k6{X5Ohod5CI5R55{h)$dHMqiM
z<F-QX<Xfik7WkaxK=(j>hN;_vIQa+@D+5X*72cRQow0Zlb^8clZP^R$#5=GIEWo1n
z!S=!#8-{eWv%Z*m^!1rm2n>r=O!gl>Wau1gTP+!qTV#3*@la>T3`E_i0i^4^8Jhk=
z0ZOFn&XqU1oSMY36>Y4^L)q2sh)|7%SS-_7TgZB3>fh_J9@(r(LqKA0*i0W46bPdq
zwv>{qLhdmQp{XvNTD$3PM)l@%BEwoQw4;F8s!$Z!ygk<p?(RcHzGTq?e{s%P)r?u6
zKj7EDNpEM_kni*Daq>{6?Pun`ODFD>zrlWxI>j_`v4!~>9-9WEE&UC3g5A4vKD!Wk
zzS#<6mCTuhl6CFl{8}1e&xPdZR5YdW{6cHoZWHN-)6;X<lFg2iIU;Gi{#-tis>dZU
zgTOXYAOp{xzmzX4h8^5Km!fTd(@eYrwk1WuREW=&dod~Sv1uGWL(#_G2nT55;dF12
zTh4``koH`1cH8JojkIk@;B9|#(645u_(QA;48*S=Shjofc|SAq^V2sGbFM^)W&7dG
zoh1FoHM}Mqb}J9Gu!#F^2cHsLa0R?b9lKhC0@!9DX+)ev2?uwlGiwB48LtLVj)t*I
zXZNOvHMlD#)Cq_JgGrN|j_1=Knmd}fDY^=S?e&Z_B@K2fb!;Wg^ZSuN-w6vA-l)HI
z$^e-TM8y3%ES*;tEcaS0-nlqqp!t@PEQ5|x$bU436ZME2N%x+LQ@75}8;#8(o|s5o
z+UkDt-bhThZo@Y9jdAfyiwvE(rJI;ZpF8@2gZRtOC6*KJCzs?D3K!*5s(hbiD_yCo
zn^Sw@!?T%5k<A8e3Y4=&MI99X#PY17ghI}d3fqlMC|pjrY_~-;ZZ3h-#;Ys20NB-@
zXj(;0Rj#<3tF_ik;d0yP`>Q!f#9cg*ZuogS!f|$`<6dixzU}9!)}$jWZtPjHgY?J_
zRYim!VgI~CFgXm*_q*?ByT14Ca?90zGQL;iAfdvIMBi=^oshFC<DApxN&z$ifetY5
z_8We?^Yc}B&PDk4L0taRvv%3Yx%KVS);flPiO(!q1U;l9()Y8nuG%?!0HEInx>6E^
z(;Z|#RdbPcX6`AH8Nprap*e5;+TLnCBV(Vln3`gn^V`R*OI8<?_bMq;rDr`v{n9no
ziGp_A!yJKy+dW@?`1Xf<g(_wf4Oxg7gY4!Uq+BrP8@mbGk10K>_h%Lcy>tKtSw3i~
z-^~){Le@i7a#~k6T;Y%dbN4`d^h-;e4$Pgor0T6PX>#J%-#=*U)UHv1Of-aY(kqX*
zj{&2~wrJ$zA70d`8<c~)OPth6>SdzCsz%#Qz&uSli6H@EKNN!U?|drRCP`%gxPBB1
z`7S?R1^~^Ga*>et?FR;*91v*kcgt~95Wb4b^L6^VyU@SnU@V6gd_%)Jo!$&7>C+3+
zIn97C?dP;!QDPz<Szc*KI9@-YGH@8#Ng~oTq*3KYvq@5}_iCo>Gaj>w6Rz-m;SKuR
zR=c<kT@6qFJ!H_<uGA;uiS25nKRl=X2rbEryqanNO{PynDp?CYP2vzN_Yfq47&ZJn
z<tU^99{g#WiA%I;%X-*wK)5R+^UI}t2QTpzw##h5MQavH746vX8U*~;UKP-e#E-)k
zx~=tMxvd5I^Q`8YTE@EucDGJ#@L`9cxQ~)0Ms<q+DZn*ue3j;mgLVFvyS2E+QChwB
z7ifl;q|@M4$VM{bqMhQ2naG_Y<}FczTWrAnixm}iJW^QN)rAdW4`_}J84*6oV$_3_
zNJ+v|8w<wo!Tr*ah62_CI=1-yHl5P_auyK8aS15+$|l#eeSkbjsZpK<S~6AOW8SSI
zh<J$6n{hO1GewLp*u=Z4f6u$z$w(`3&-KfACsNQVs6exdR+4ei@1nr@xnyDazaM?l
z7`=nokY|{8Y04{ueEM%@22M)gk$p%Y_0Y9FG|G|YP32Yj@~`ei1PHgYyZJ7->L$Zp
zm#;*UPoPlx$$Ofnw6Mr3grP~j+qL2qNGRG*_JM5#4hXxT*nvmFT6MhTLgjF3t10jG
zFlNAKuR*y>qPdlQWgcKz*I>XuyaR$VX2Gs|T7v=OL2g^krlrMszC-vEhoIujT2*4!
z{nhCZK@fPlSho0T!Griz%qKHv0^S)j!WtWw;@^4zW>at80)1TvZ-AWpO{~Z(rn?R1
z29$w8aj5{C5p<kqJsw?T(qwuTkEVmL1%|CWAWbbWNOP(vuit}i>wzF22dF!voCu{7
z>>j|3A6HY6ZQ{k^1pu<0>A}VBx3~)R;$hG410ejwN<dCX5bthr2X8>y!=pVe$djG=
zH~Q0Gqz2Xa)jMM-WI$hRnyH`eYaZ{Pnd$oxsRRl=k7X`|2X26S(;!m_Q|a|+5RN?m
zLesmNrW<e1T(|^h?a#(e0<}lkeYgW9`97aGk0%!mSGPzMblaHCVFYg5m!Cw;rjDNJ
zTZ`^%>mR*OI0-mx)n>YstRf0yYOUOxBy?dZerR1ve>flDr8*gdM;HC#pZPu21>z=C
zE=hVgaCo@iKMnuQ7;ouj*bZJe4pAkg35o9*I$c{F=4)1i8@-LTpVzehl0U>#!z<*Q
zrN5<96WLxZQ*QFg@DWC(73x-A6{}E+4V<?<<V#25?5G3QO*G^ZAQ*AcTD6ts8keuc
zI!!`sY=!`=A^bEk4gMIFx3>spP>qS@`(o55g?WqSX=i`LR9pvM84xVQ{E<9j$6Dwz
zIGIGZY6@;(s^hH6OE9>SGltI2xjR)ro^^I{VGNz8l&~GM@@o;ZN3_?1>8qHDh<j!%
zv4JXizG>hUqPPPZ<-`HAY?&pqhXT-UKN91z<7o)0&}taL*v4Kp_B`s@W)S&G&-*xD
z=gtBj_*LaYi^af;5`*LpGX5+dEjyljS!zfy535gP&oM9KT^7pYJDBP%z{jsAgNVK*
z5b@#1Grg?rl5yZShpc|DB1<|r8C{uY=HS*GExnI}?yf!WRobL$DswaN>etfq_VOdV
zNWTi_*EuPTdNsEJ6UFYv6x$5ohxlEXxE-1LoDC~!jmPV++BaFZv}6=#HVLpj7HB-|
zU3-8?nR8{Z5Vf^K(n!OniEuE^tn7>HW_!|QVR1)2YW@?{R}4DN8vS<rRH&{@@nG6?
zy@pY8rK?GkXR%03o!v{3!`+rWfOna?9Qn&5hE3Nhhbx9QMlW#&jU#wqH8UU$Ka5#4
zp%l7rW%{R%D{D%m^+V{MpOvu1`Rb8>Oa&_yW8AZF6K{KUf?M}QH*39l!-8k)1#SyR
zz4#I7VOWItLD|jc*KeiSto480`ub`PC&`^^ZiM)%*H736VgE17$pC7+h#T$i+7YIw
z+3{QA_VT6~#4$9T5+^FGbZaHh?i+?5Asycbr_lN!R*XrFkaHfLza{Xcjl5NV!JpdB
z^7h2Z^oRg>WZ-gFtC7s7ZFw>fDlBb|6?C(v?+x}RvIFs)gi~mGCaCX7b@jW%9dJxY
z0r&yBEEs3^gD&h9=xC`*sS!wZ-RRg5#QYK{{wG!}N|b`Nt8{%p(}9gk;L>Pt-&gGV
z^Po}>V4m;j$_ZAVsl!Im_@O|nd_3kK3=?~y?*yo+aoE1v^Id~KaTc?aDUkoA;+(Ri
zg8(`Irg>g}ihl2f6=)gw4^Z4M0m7d@$`~<P36VrJ!ql=C;k>sj>ZpEtf5t>hKoE%=
zz>@`t2XBCTi@D`JsAw~Q9qOK5zDo=^^F_6+d8H;KK%{pw&=SXPo;Tbe8otb#0YteR
zDnhKAwVsum48;9&90NeZPHq|feV!!N_p$WSz5$<P7ogw^CG=8xr3-%^D^TYvKo|e8
zMcwbPW?U6-slsjULB3u>Pu8#kMwsXVHq5yfuJw7sdmzptHX#_ScvHHd<|=Qd+#lJf
z>`c$~V|Q!ppxb2egoqoCoEAQVHb~^EajLEH^(SI6w{r5*C7#v9WYg^>KfOX&2ZIzY
zP9k%D2f@Rnc^Aac;FQNOBALSs+d9kd{nNpaJ*});L<AHCAV)i*$1}<J(MMpXrL>N(
zVk5v+c+OVAG<!@){n1|!dRH<9MYhOM?<Y7>nZ!E^Zkuj^;dNIbThbB{O%`1suiT_z
z)s>1vg%3E{aXYl%ZA?XItTy&x3eVDD%``t_oIT3?RvJ}$R%$6|ld*VT4f+dqMr?x`
zA`2xCLgx^wXNNTXbVniR>cgvuIopmJwTZMMtG%jFCqxhr>OeRh6h{O$7QG#U=7Xk>
z(0{&>%bB~EIG<4>a9YO^n;3giO0&T9f}9uR&u0N)b3b)U5l^5iV8ytEjO70=&?k-Z
z02%D-`Wvuu5SDO~{8s$oe#y?&+SdqRg`eT=S-M<TrH2|3lpcLKVg2IZxY6Ricss4;
zLCk3YwTnBUFjk0>lL1f%)T^>@W5*pGV)A+iB?ya`dLS#<sphoQ@bN*AIO4Qx209#7
zv@dI}Jg+C}4zV#dQWceSQHdc=EqR5|)(m7W!tg3vO<ODeW}4;6cxhDIlUdRB*9uE6
zj#5xL)uM?4cOCsEvcE+7T+kEyMX2MsTKWOca)w7Cg*Z&ORzj7}cPArK@a8kh2G%Yy
z>%-?|f+In4X$nIAR#WYV6A|N(@FMunKJCh47+R~PM5aMeKGAm-k1|zo2aTHnv6;lP
z^r-OKGD!;a7)ryBT80@3LaI(XEv>4A&5eur#t#)3CAI8lhnX<A+RH@XWo%&+6D=z)
zBpD?cI!}%h<OwUR5`{EMRM!qLxSV?zFSzQ?p}Xq-zF-kWQK7Ime!J@UFefjX$^R`u
z-{l9c5blsaSDP#p;JnJe1dwO8KNy5)q}<tu6fsgFZMRA+SKNR^4p~WCuLnQvDy~2O
zx?istAQo=z1OB^rU_uv`*c%TL-O1V|CD3WcJ24}R2QdRrE1h`3ndK1B2#dw%mX=up
zU*@6RLKz)}x8q3T8rADoP;xGevM>iJri){QV65g{`a^cUOVfCwO50LcK@9$eEg7=A
z*;fcc3<7a4)9GkDkRk!3s?j0GR9=O@!MG0-lWy$Ukrw*}KUu|t$r0KfUhM<+i8nH@
z_KqdstTFReD^Y-_M@1CzM96@mt7f*ukW0Zwe1`mRv^j9i4%L7XO;g51j9(<?Zo1cp
zG!yRrp5JY_^w{UVkK{X@5+uzW_Ypji)qlbPUI<tNJ1h}0z68J(CjQ>%`Z&sfU`Cnn
zHe`Sd{c|R$L|Q<a=C7uJa|e<55C$9Ad?C3&RGPw{5mOp#-zvPW4vp;^%^BOf2rfg)
za{CQO3-)L!u=poM6vQv@Oj*)=vhor*VHK*#s^PXG>rD`;xzQmp$&x`D#%EoVzR-7|
zx@#gzoi@<2)oi3h5b%(#!ABN-;oVHs;NWLW3PjVi?~SoVjFB}!P^J3>pRe>9_#)du
zTin7?lTxP3l}NaK{hsZL+?~hqg4v(UYb6DldsyGJEa}Sg)z)Q~G1_aO*cneK-%Wsq
z(bPdM)pIF)n{A_WjLZwHQ&6UrGt$L~YM0BC*qr*nSc)@GE;duiJCT$-9j9rmR;g*@
z{nZIPIfeHl*<cODxprgm4VG;KOpdyM*<uj_!!!|972(EeEaqz67W(XwhM=q?_^jE&
zZhzU)Ad~?#@Bdwvr0RyjCMCvvjF>OM#lcc;k=T|rO}1=JHqhuN7baJaUuq4Dt&r`r
zUBBd}6nEtd2jDV;+PQK$b?-*@e>=sZa}%-dGKup@b~+i{7-{|Xo|5kol-$9Wft2|V
zCis*lQ=zSw?7T@@6;(w$VbvvEU!C6-Au<P+<vLw9FI9?~3*4?DOs&$dIK0eRAwQx9
zC#zyi4%Nz+p-*Pwza+ArFU@z(>7S(VW{Pb>40{*epl}Vn#F6eLR4xQ6-9-2ZB_S?2
z!KHEhIV^IlvB=8?8Y$!()tUHw6^Nc8u78G#4a1$aEpZNr@k9+SDX`BnD+yzGjD*#T
z#<~u{rW<pbKsAYrE6{M*P`|%tn(1fiohOMHw#Q6Kw@Z7V5ozEE6n=dMdrV;>yvL;V
zdn=rS#>=g*f&X5-xt_=9@)gD7^II|h^_czX8@GZgwJxU38L2;=P7JM35*dRL9FMhx
z#jrU^?;C`{qyJQ|rZ*skEv^{9V`52<)Pw#SnE5CDH|^RZIDn^q<jxBaahPUNs%q2D
z;XCI)hrbXM*tF3&&Pd6kLf{dSR{O1r9mUM;`-Fb24B!bF%3w8WuTx9gW1o3)0c`HN
zXYacLWu}VU(I%d9>7dun6bd54B2K8q());zDEb4nI~%}k!zBr_^~&j3f-V8;4N)FF
z;RU`V$3{jRN|<9LSfXXgNDIae%*60T8e$&4%L{jpW{yq$BRxf67Q5mW8A1sn27F8q
z_d(3$j?)<Afp8*L&f6hHs>K$=jHJn?IOK>u%0TV2WL9RJuYP)vicxlC?@EV2YSP|g
zS*L|EkFw@}@AkjC*?x#aN_2K(Q2!x#^SuTiQq7$AiMe}QGqXy?pzohC0CNIc3i7G`
zKQ~c>@qeVTa0Mbyh~lm9?kM8!k|(#Xjf&?^Jeh!*^7Go}h@i%a>t=u?qbPCpt<P{5
ztx9G-r!4>XI~F~hjXl$!!la#sSYy<{CGTp4P*6S6WNu0JWufy3VeJ}VAdV#CBQOIj
z@bH-=n(Uq1^cPFfEr}xy;w&@lBuQ9N(!X`yfL?em>~bOVw{(fzL;Bx(=&DkkrIl2=
z7>f!p-E9^Qr!QYHH|J#E-+I3AJku=vgF70Ea5v)N>K3b8UVRFtDQl~-H{SnP?FN}g
zrv1k16S!TfR@(_?!|#g}P)-N`()9U#@Rhf376oU|+urbUUEEnDQ4Cgq!&!0*V2V8I
z{f1L^{UbHcsmg8+X5kNCVzBJVDlbs1wT9zGd{l2`K+%&&bGtpCtKm2Rw#0)}rnD%u
zU@F;>_l3|SKK6(rfJhH}V{zvr6d0YfSNtl(C$e5)4hGe1%4s|S%~P6I879-6P`-2w
z=nay-;Y7C062u?^z_;Cl9RoV>Z7_2N2vrZstE2B@qp2rcrY~NS&OLD<YEBPNqSp6_
zxpuT$76(EGSAqM-!^JUXyB$oWAsJPo2wRCEcqIx~t>NKXAkNd{vUD@X9L^&ZTs8CQ
zlY{+}F+>780k1celFK^*3NN9S)4ovF$%EH|`qL6rn0QOZN0whv<|g1{ZV%o3B6yJW
zB-yvFpNBU1$V0Ku8MsqKs(F!5z^Aw`VuKXm&M2zWtAYvOA_ew(7;o@Z;u+HG$f%^@
z>uGWXa5C|b^Q~rB@w`3taA@G8>Cxu)^4k`5`=s#?HaQ}oZGIzHRK(#KS2+%AYmpp!
z^pJ$F-VD)v1wBqw=n8<&Gh!f(`VdRNOXgTAh9@CS2*Oo}Px@D=mrYa&R7h<Q<`wf9
zS+u2Kkoe@c<x>_fW*<1wN!vBtQ)OLE#u3o{YtvrW*n36OCtviT(GPSf5?rbZI@LJW
zRv5jWS_-eOsh!{gRFlv1^~Jdr8)x2jV^O`pM%73Hw;*LG0B>KFze)U%-PbgF;cl}T
zz*!EvYJQzT(GI7{L9hI)G)G|3HOi0d=zH3ZTkQK+5dPTdbtHQgtaLS5O3rRznFOa1
zs!r`rVcm0o!u+>^vat+1^TJ$untagm1u>-X!TKHg2u3F2ZzodFynHPWIggZ1ln+MW
zuRd9~i1VI5%t$WtF%=@x{0BD%19;R5Z>*&8)l9L9-FqF_Sod<Yw(E^9$pOq!R8>=>
zcVF3BreL+qg}!;2oCn`cPzgN9z2QM423d7vI!vo)g{C{!r~$MEYQ3EMFR%B3x)Q&N
z?gowc>E-s0N!4us!K%bbphXtG>FwFZXTo=SUyRv4Y~4G53=M`)!lm*x1AZi<8w8`-
zxL3wkUW)#VF<Xbkxu|LUsq*=bv*OSSlLgczNK2B_4XcH%Q>@*>^ILfr(zY~Oi3@r7
z<r7jFKe{pkp;-zQT+SfZ^*fkTYhhO`vFutBw}yzz{dAD0UW+|xH33Y}s!I%FFS;uy
zhua4Jf;+bE<mT2sVU{{4Sg=hSv@A1=2F0k<$>Cl4StpQai}no=-P^Z~6i9qBY<<wV
z{e(~hgz({yC&_yrEem<2(SV1v4bBk%F~((WWC49{7vus&ObB?e?xUArl(GA^xLe6E
zOO$Ro!)`k<v8LQAhi)56C&D;QhW3Ek|IDAkXU4h3S%0XkH$s^*R)k#eb%Nb7#j6{K
z&{#}^!_Ja426l{smY7>dCn5~5TmBk2P7meXSUVo+jp*pkWMyhF-RR$c{^{p<05mH9
zWFIZIqkQ<bTz>&Fsb27D+MS?}-Ia<tZN(w$*osp-pr33-eOGFdC5e+VO6a713t|Ly
zm-{cuU9L?G<cww8IiQQg0Ll=Js<Bbn4$-gT6~+iUye{3mTt|g)H_`0_vAm=Y^u<k2
zT;<s$&Xtwu>c^5iy6`CE6`YghM)!FshJ_CcE=<zwB-F28TIj~!!9U&OEA_*5_vq@|
z&xJ@<C{#QW)W+xd)A;S{6B}{X`&Oz?aU)=M;XZyQcOX9B1~(6ZF&<_{m2bEy6AhO8
z#li<m%>JWfk6^=fog?tsdGft0zNsE!nIfE1Zu+H+4oKRa&I59C2a1~cW&<<{1uf+e
zNIo>HFNOdr@*z!0xo(zl`y$eB&S4)$X`G&^)0{y|xOOCni&QZ-lJ8L11CNW{Tf-IX
z>F&i(h0#c}HHh^gv?~j1<MK@#@i)2e9vpUtL7Zgc`#=ohNHyF8KO>}lE^KaXE6ff3
zWI?U&2T3ovk-4OoLC5MGFZ=yM2>@0!mwU}NE9Qv<o;^#Q>UL*Vuc1)RwRSZl`1dR=
zZ2EfV)v*-KeQy@4K-t{B87!h(q*E2*?f#B4Mx8udVjMQcX7|0_&#F4u;8n2u+<^y7
zDU>U4{Nq=)DC2DL&fTuR1y=dD%O<13nj%SpK3tdYY=5GuJVFD=B2#a!4f8tH<63%g
zK8rkF-|SSn*RVcok*bx%MQouCM<P8WL@t4$HOzmPkLv^Tm+1h(7VaZu64MAfc)VB*
z6lYl!6+%*j?Z=v+h9D38MJ?4UjnIPphO3S%2QJB8{9o&GV<}^Og`^vdEnICjJ{)R?
zxuBqIVDhFsj&ME)o}bt}p=SY~*;6T-udVQNIkv&3!4i?(vc38W?Tztq_`ODNF_<60
zh$p7flb?Gmrp6wYw)?{p*v@_`T@U1yK-WNaDFv;<s@*3#6W~D<4$3e~K*SR<5}$MW
z2g&C!cCQ*z4PN}iHe^##_Pc;&&G>R9X<=$~VnkFTkq{0R0w^<@vnMnSxwDZE2L`Jg
zN9LUY(cQ?HOxD5)3iASFA#Q*w?iGcSJCOdOIaL@Ts8#OrZKbnqB|e2Ie(y(2D;pzk
z-Y;@8rb-i0xAOTqA(uF)+C<|3c<0LZ?tUW*X3?GK@@?iw6LR~8dHMv|C}zF9J2;I%
zs?%pNKd0pv{PEmRYl5fZ(R(8%fUC$Ws3nKQ<)M+6xE*>jECn3vU(9Dm?Q%-OLslgJ
zAMcDZqSS%roM>Ob9Rn%tl&Byt?vO~n-T^%anS9)ikAC7W)VvDwx*k*`J{_CDlRcPP
zQFN^rrWxuKE71;~6@C?PBWg5X4G-P+aI7cblEFu#CXUWRGC`jaWoqTUVYQwGefaf5
z&s<y^G=t46ZcB@EpkIDtZ?zC?8?JpJ6&*?zdcxks|9~h9&_)vG`8ye#C3tKrb1Gfk
zvtBcDU)!NmDHqYCp=0QtC)3ey?yVJw?P4>R=7*8zl6aX`jAnrzmWasyQ+_J?vOI!}
z(-X(%_Zx#J1fqP>gGN^$O}*x7T8U%=zPQ(=6-0#2Rk72`yLD)l@2c~N{(4|o=|x^9
z+fBI$lu{N~FnS8r3x-9geKWmE!BE;o${W&PXe<Jw5wt1l_a_#1-2|Vqz%>-h^NT&b
zE@{YJed}~(C;`_IDDHQkQGEtjhJ5un{L{67fvdQ!>atl5(Z%GvbGt7lUaC*wb9t|R
zJlz<A)o{++t{#4~5sK0g_~dl?-RegHL4*ef$m*Awp^5wU_$rM-+2t=BJhX--wg%cS
z^y*y1jr|ii!VdeXLky@g%eQ;eCbb0TL`=ko(d~xPgZs*iayB}bY7YgOYI&DVKYS{G
z^Xa*wNrv=hd~ggQIVdi$gDyBNs|tbcs}rRj!9jeFTQ80hprxA3C@`oCc^NuEd%-%C
zScnx#Ffu<Xc)|86=$aVGdq@qi`K@QZm8(!+^PP!p7m$>PvQemSFVTsT-4KjT(yz#_
z`CnIutY+YroCs>2|MNW~s%l<U1j`kIbuv?}!+k^lmFLWWBr^Zqtb`0kheHOC^c$d4
zeCgAA!81Q(D&2ZfUv~Z)&u3*HjkFphV9A7YgP&aTv#Et|qFOOXUuu*ttBKb&!Vy+%
z4#?>Dox}1n<tELjzC~+)TOqXVLVR9)05-*qf#iSr)nTm1xk`P>Bbj$!cO&87$*h|&
zFIZ(s!9WFo>bEb(p@8}{eQUrN*oWjS38>;p_I*J{f%lMTwC5#Jm?4)N7XN=9qEizH
z=y&Fw6c8vS>r_gNDS<f5NbJr<jmW~<m<V7A<g2b_5Vbgac>P6!q>sYO(vWZ4XVF&3
zJ0f3hqYmP~0bE;=^$iPFaOPx5BRwnR`uwImvf!>Zk9-QRMr$!3e|u&%kjmn0qFFxY
zuXDpuW7fF0XYv~@67NKEsED*bNEW-3md<r{6nGcG%3x%4;z$(S?6|seB|3uVIm?Qi
z4I<WGyy`;V@dFn?{pG*!kY&+R7nM#D!qzGAH*bZSU0#4AaGt%r*!4xdFnPTRb;KKs
z9I$o<*ODVc<!4vEaM{We;(b{cuUM{B&X;?}mJ-_;5uGM+A$5nRs<ITT4ioSNQ2gt&
z-?8DC9j1czF|z0uIJ3+c0$@`p)tVz#sBVD3Xl?3a)t{9#74ob4pZSuf-k}zef$m<3
z<;G{<NbN@u2HTEY6n1-`5(5*t0FI-<LdwcF*yx%|r?4_^51WrO%|6A%Vb~%fB%g6M
ziyLKf`FroEoJWwsO|uIM+t{BV61I^AY0PE{{7gMBU2T$|4LvQNK(l{v#{rLA*Uj0q
zr}Z+8r5=m-s9=d?j?%N<l;DYVZR75vh35(6x4!~7KQR>Mn|0b@IqM?U`{{;rZe6b_
z*H-OJv4Gb-J3B!EwQX0Lh`aUhIT!^#|GKXIjstF(RQM`hChNiwz31XeAG@{5>{&2K
zy=(`b5r6?PWGpl;w(<3BMzeR!mL~@Nw;%E+#nScWho<(~fnp^MxvDfa+ZFxry#{37
z0#2?fqM&LX=^{WC<CR3K+bre`fuijSLp%E8>e=-p6Q!$|QfjxV43|C@!H4KDVR3e!
zETB0A10V?S!@iYu=+Ix-=_E$`GZrDTh!Q;qSpT>$ItJxhdjIf~OU!wZewxS8iWG|w
z?>UNF%np?MI8O_Y&w#51mx7Z>kO6{Zlh8m_b#gogzg(cv7H{6bT?6~m?}qteRLq;)
zB=-1<$T+N$3xQ3vvc9@o=BNX_O%U=i6}d#fj(!3Z4i%)F0~9C2PzX35-!?&?buo9b
z0wiZ(Yd0L&`2<^Md{agXX}ST3i@KIftepFhCIibk$Ee%<0+nV^_|@n=qO=+Tifi;i
zbbih*yyaZ1U~MiuC2RP6dP)OgMVX8jM^;7x;F)Abr{zlK=qs1xv#FYZVVSDWh&dEh
zk;Hh1vSo)&vvkzT1(pX3m=@!BjhV;7WLwj}!>$-y(zQlMyD9rhepJNM_-~5a)6nMs
zQlR&^Odw<t53xd2#B<;FvD-I+jNA6|n%7H>RVGTm-b9c;-|qasfo7ZpA%3RhxLTQz
zI-r(p{I+rJHu}-bsM;2Nd<G}oTR*DcSTaCz&1Fn=8?f=SEi5XfOJ#QhPvVl9(tAMe
z%@;EK04dk%HmnuNi9;CzUCu`qLKwB`@dolRD!_4Qwc*nRAiDu8#hoOk<QOBG+>9(&
zbDDtbTyAY|>{L&KXPRPv9EcE7yKSiuXju&vt|`^LQTv&AI1jG0P%bBoa?3pZnrMB+
z^zSdP1CGgjO>MfPojKR{%MXOGks~OA)X3>eAgAK0wKoKev)<#HIF5BS%UL7itw!i!
z38b9_DF^6;C&J|GcYegGv6&U7>n%sgDtPC*Hk6z**i3DeV`4R|uLh`uiy2N-?{%1Y
z4eG|VJk@?77id2=xCxN&8yV-H7{#A<uwa@z#>pUk707wedDLz^v61L#IH*L&K=`T0
zJXOv8ZDm;3TFnHwk-Y)S9_?|J!8|d24VOMQ1jB&&shw<80<Pu?TH5qM+04^g!B(qJ
zxzJf>@8G|7iAvtUH)UE;M|N3y`~qw8C2)U1OMa@4)u19IpWR+&2)cAu`f5kx@ko##
zA2P7uFD&t7Vt}Ayy9IpoVijYsm53swbJ%Y<Z0?e|QAy73ikTX8s|JXKL&QDGf;JkH
z^PxaB%>};w_Pf`y@qyP$v7&WkO1+Fzf!v3=N^4GhhrMk$)YZ?0&%0@o2!mCG)|mR6
z5k_}F+AQ^B$X=+ttl`(p@ko@Nvg=+2m{-;<%7tiGoD<v$B-dzW00G!o+#UL;aQjEd
z!ds?h{9c7x3&j@=2T$urCtaa}LR<#7(Rom2QYSr7)4qa96rTG825S7F)oxo<Edfqy
z5bXvk##iNo7+;VQOH%0_SQXI0O;fI^%nULq4IDwej8o|-X2V>e*9EZN+5P}1@eA%j
zRm9;e$`t0jb{=e!sG9G=eA$@_bQ*EQP0aj5ZAdFUiOS>j8gB-%{S`x{N>-oN*7To&
zS?{IN{O0E<D|V&~#*e9czSnc2U@2f@i+T5FMXihN%CJ}TF-|Xc*uv13zE<&S#D6A?
z2g^sLaU^IhUoIXVe|3}JW|DhLo7aZ`w>5_OqC0C&v4zS91uD*N-<h=y4#@D;bxKFt
zC&m(3q}rp}l_QZV*glS^hJBcwB5UxkR7!GC<XBdtvFjbOb~cjRGcj1UCuTWp%R-0z
zM*_-#p$2WZl<TU6bmr<=v$}p0(Fc&M=`s>_Q`(&Pa&UD<ct(`vExHd=!Et-s-C&Pt
z3X}puFjXZPs_Bae>ME`kKJDoNU+Z<j&Kb9h32k`zbLoT<U8He4WEv(xt1S-Z1I@hq
zL4!$<z!7~N+xSB){9K!EK<R1LoIC!dtq)0OCBeyqhA5$5lS6A|O6Vpl7NTCPH?A%#
zaTKN$<2h?Bh9LlxgJ;^HZf}^r8|T)roT-+bE%&U?&fPtGxhn=69)T2DIU);wIPdTY
zAPNQ}D3=9S?>`S4Qvz<LAnXyanx3=Zf0UP_waOT{v6Q9KVJSz)E7_dG`geJQqSCwl
z`zW4BqU{EHLe~F`a23Jp`^$daM@Q((k4DZxDum1t?c_ts1(@HgqHHGNs5nRA$hl(0
z($k4a(8k_XAmBPwiTttKmr4z&p7AQ)$*(-QF;j^As_Lb9UBd6^A0uG>iy~woR5zq@
zo$Wlf>egG67@bPj1B08wj8c%sb3@s^2S#4<xPJ-aT?gVgJ|IWv=iq;jX66<!8qYLT
zXU%(USRDV~q82LlLAQTH$7gLvACWk84+iEELAq^*#oY|&+JVYf7+5rD9kDHNM={Z~
z!v3m7xw>de+LT=xeH>K6cuW8;{4)Q-oNWN?Wn_tjmxCouxv4(o5!8_U%$-3TTKZ;}
z`K#a@i8XS!9>Ay^L~;}7qf^1u3rt?Pb+VC%L7`ITo0|xSM4tm}2krvVkhTzMn@Qz8
zIeNj!$*&zZMBB+9>$#F3W?M66yJ6wWOmSgk_0rr|sgHi3JGeM~Hp2KKWtUWiuoqN2
z)zfo|t{Os6Vm{?@=Q0n3N%RB~E_%y-8jJhjFPQ~TN_S;PtJTFj(Sc*oDL#C(-}M`L
zmwo_FB_5A_>VJj>oLMKvN$GWJ|5+os6nPodAx-7QjHW*%bTK5BhUA36O9l0#)L;gd
z&?i;(3A=O-^lFn0?N|!54FV%k?RkvP@5Y7N8D@gSHQNs<skRt!g8kojf&$M=ynkX;
zZC@;u)7#%^X(M2(f0?>^Ph&C~XCN;1iHB4O+s2J!a*BJaYnWaUPi(fI<m%*-;=^&k
znEsp<Y=8=&_$k$g_$<DIarm1g9m4t#h}dU-@%0VubM&W?{Va5mq)(O`+8OrGW3m1O
zjJ%S9pPSjXt6iy#Z~XhSZGViNu)?~YH{`{01X`l`Kh8v_@v8hqud{bOKACw|%PSu5
z?=0`@ch)%{bgJF@4YnW=I_rOLybL|qhm29y7#h7}{!c`#v^E98i?(SopbsytB3Xp7
zvUyHDjuk@7kn$A$_|uSM#6DnG4HT5HuFSVuu>W*AgR!bfy1Frtk&67AzA+FIcV-kV
zng=p#eVc)OgfaGhciR)j*k<*`n!{znqB<QXd8~$%IhPhabt1A*+n@vx#=iP^)e>42
z)Lk~2m77x8Ky}}PX%4{03Zso`ikG$=)EA-vCib{;J-?Z;%++!^H1yTEmY7h+fr&BL
z_0a#qM6dYcK&r$b8V^!xd2lC6lX-=tekJ<cvuivx@_eax-9u6r6?7@%iUBr1=z>Vh
z*bwBdL>*s;L<rEG(SiRF3BVoTgK8TPU&#owp55mQqF|k?Mm90IJ;-a>t4&{Wlva-d
zLQTQzy<F+2YVp88m3s)SUIhmqZGoz^siq~@Alw8YaF+ubdy^)>MWH**8l2(%UoSO~
z*N05OjrKBkv~to7QGWhXJ_F|@dMFw9p2jum*y$){{CR8^cwl*3^;tcEK<W_h8hMOz
zSA&%{!dSWSvE@jBE|HmEY!SJq?fO@xd4!z1y?rutM`QON8s4F`7M`1LxCrLWOXe(!
zJc=5EA9V9jE+<Za7H|+`hJdk3u8C%z9sJzIWrP!#PtMas_bwO=y;Hoaa?wv)8#(K*
zUO8Lp97j~W;!L%`A1WtJ%&H%a{f<xZo30bZ(_9f3U@aDHRIKIf>Hv`DZnyWh{>><e
z>1~`xGX`$<$QY5mSBwyt*Mw7|Gl}TY){_q<w~KTowoF$ZNxpabcH9qHaqIa@i`=48
zX-KS-%ON}3*C$3pOs-98I(7>r1(_z`sAmpSYyo%&2fp{E4j7a}S1ih4mXG&YW7?@X
zBAd{qHzw!#H%3mB$Y83KdqR7A_R476aoo(>cugT}TJyZ;)j+K4ohir&(EJY}8U06{
ziEp}{+R;NsU3A6e6v5juQ*yFmzv%j<1SD49<llC+os0lrd_N8!6R5re7Y5dkS4csP
zL<R*Ttw&1FXU4%BXzw6^OAOM2_YFIXLuOSiH-v~aFt^@oTu|8*gOTwYzHa1wSykC(
zOZ@Z18_meCJ9#}9qoeTRi_n`qKH|o&3xBu^uijAM$SL2btr-L0xnP#duQ90pnhJ=Q
z8RcoJ-Vv-;2F`TZ@0M{??MkD`wl1ulA*TO#M6x|Px)X^zm3W~el%i#UN*FX;-NNa4
z=T_MKVkHM+*eHU*{ppEje@3g#f*qW17ob}6GTmUDx^%ZVAdff|#v%Nxx_IL)aYx=D
zc|XJlcHNjo5C0%C5z$Xta|CE;mypNI%w>)EPkl|rR9EeY$y(EV3{CUD;V{zt>MSpx
zMVg_PgCW|Rj6|3~iJms|-~Yoq0ahy-s-B=m66q~TDjxIT$rpm>WN5*!+pu+scc$7e
zytp0o-~7V+{yghE{D>VYOcnglV;@M|z0f_D=)L}iiI2mvVv4G=Z_CwUawvU~aVP$L
zJF0=h!tg*<5Aa7VQe{{4x{@-20)N*b&5ru2tl>0BrJ#n)B~LhnG6>a%@-o>q1AMl`
z)4~WdHs+8eQSj`ai4UgvhGZ>YqBG^g?4er}rlj%CNOI;Ic9r8c2~2a*-HYrNR?HXY
z$f!E0KEF%QAFuk0hrS8o$SX2GXPhYZ-t*E*#@`p8#2Fj3D(RZL7M8grT=r19Wk~yg
Ia678(5DMIXjsO4v

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs_gige.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_multi_mac_pcs_gige.v
new file mode 100644
index 0000000000000000000000000000000000000000..09919fec7a709768a9c9c8f93d0f56c5b8ca21e7
GIT binary patch
literal 243832
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|tMMqi-ig)t4}F?!US2w3
zT|ucSeANA|>62mJ!RtCxtB>BxKQu*A@A$9)24Jt864oA=;t)ZoB!+|;-tkeVoNkIY
zW2wt_)MW2QP?t5xNr!Hug*40&KN1=fk`Bq(&cMyo$YBiUAo&wPukM?1Fj6xVdxQOv
zB<yGco~{AtB2{>uxau(7V{J<oPpV>KOTJi5qel#GPgMR-crM<@XDh36z(l$D`zxza
zlX!%0Lh|fPO$8<T`kvVO1f4)s58V%IXxd>eUoCIxSvqMJktF3$_TA?k;2>f4QDtO6
zK`ueloANjp&_YU(mA&9nFI_t7xMW11^0y8%<f1~uYS;iOC$()Gl*)T_82^tYRj8;H
z=8JxT=Ie!=0LpLjZmc7InQc1<MZ4B}M{v`pgnC+n`0H6wDCX3Wa4nlGv>)4%fc|8^
zu{3LLAD+krf#suENS%W-Gb^PsnJ+QP=aw}WoE%g|&^7WO?~2Q(cRm;YEoh8<^8tvI
zBm^^N4+jx}(TU%=C`Tb4T+f-K%K~uUeJbhVGH3s|1sRjOso=OWC_6M*({LhJp0)0;
z7{@^AGje_eZ>3(0^k+}|c-yKICEjU+a<p})>I?1L+r7WLY{g97gvmF(>CxhC;21da
zV)hHXSJiZ*iDRGu$cxsox$!VfP+3}J0gUkmgHjrSfBd34{A;$K-$d~ac|oNXP<^q>
zxP2B7WW{B`O#fZ<?;hW7jL+}NH$+X~yO>nVXq8B|2}@{2n@LqZbVF(<EUkE?F-f9V
z6F@7I4Pcm>BR<a+eGYITdh%7JV+xI%#+TLZSLa07Uoj*x*5c{C<U@(OmxVRT7P|LA
z==^Akm@s(QS!IGab6nkuryQBLl2$=~&1(K^Wg!p%7?c8hD1q`%r0Gh>xo4JZ_x2-6
zkU)Km`DZ;LIMaSBkV=!^PxSk5g7YlLF$lNl{R5Q*O-8IA;Uh5uxoKHv{adK@v(#P3
z^_Z!i-VES!fmCtK-v24xiF^7Htz<a;PtxdtM+zp?zj?PKggwPYb*LzO{WT3&b8#Jo
zNV-Mlg7Lv-C(@WgiW~v3+1grVSfdO1wxo9why50S<dy0f2t>V*5>kf@H9|`%10o#n
z3Ppv?ZOYP@rsOZ`X?}Pq$j(@)H$O~q!3!J{T>&Aw19*?Ae|5iJER76Hp!9)%Z1|@k
zi!|m_LMFw6&cQ@o3=jKL4qSv*3^AW>@{Zi;drWi5TxI*)KX0mNht#N4`QNDT{Yx??
zf+BNW)Ve;gs1K+x2kGkxx0U$_<Byhsu^E``(GKg5xN%bxp-?5ENDS1mm&K3<DHpVB
zfvYuyD%D<b7!LC>I?Y3jD_eaJG?e;v%~|7Q6fhRFQ3JoIlQ9FReRKP|U^<qJG3O8(
zeW)V*2dm>%qCQ2E#_zKWG?^Xg2z@WA)aSn?o!r$FA3J}?DCm0HDWLMR$YNt8Cm-!M
zdFKVW6By+dvQ^u79p00p&=^*zsW~Vaz^HFZtXXd_MK2!<SM&QL+<Wk@-^s67rz&{Q
zyZEB0dcQi_W^g>Q&^Z;SS#$X8XV(0uQJUn`z5D9uh<qM<{y=`;uifu@^V)-z>H?Bp
zxFRj<lsm$Dj_kH1O0clMv|la7Crd!8BXV|HL^b2e-EY*uEA%utlq^SKzAsVG+;v^}
zs|@wO>Wd#VzPV8z>+90mm|Z*?1MsTTNBNe5QmP$tI=a_n`lFQ%>ixkjPgV4!T))bo
zftL*lC1(mM{zWIl%*R$IhE{OT1<1T}5?nmBLlbm(X)}L@pao707fmL4oMpb|p0G!z
z(&CikSo_8PT5HSl3jC$&>KFl{Y%Ks*>|p|(`dm1_cwy52E$aEfwTgqL&h3*cObYZ9
zvK*>PLkN5>#u$UOf*PDQt>r5fpMn4RqSqfJyiPv9h4#FmNK+jk)8PL9K_iQ1q#;TN
zHCNxzlhrbpgU9~y<-9F5D?H`zh^lRGI1;Pl?dik{ms$ixrc5nlL#TXM<Z=_UteTCj
z!kmJMIu8``6&&cVo5GomN*gkAyJSqiL(O&ZXKVN$dEiAIijF)C{MHlZDT7alDfNn|
zaBO$6FwblKDW@T*%25-FEO5iFf$_>NFPrknE2GWh87`b1PzI?*T!}eqFYfaI=&@Mg
z=ewcPK?>pLk2VH*g^T#HB~-l7CjI4*^Di!W@%>Sd<fy<Tk{mMpLpznAz6OkZe?TRF
z6+XB8PuCNUKPg+NI{eQ|@va?|5EhI7P*!?MMRZ~UgHV?ZuV#fW)-CijHz~kCBZ~_2
z*gr>#Fm3+wl?AI&?vahQ6uRFu+(ij(2Pyu~NSB+stDb1k*36Wnqh9tS9b$JR!{&#l
zL)BmtfYjtk;e_4L|B3b7<5r89dLpasCTo?W2%=?8XZ6`6*S~M&P5*3Rz1$`5*zXjl
z4??ErKM)lvpMbv_uNr!x`<Bz**e)uTId2NUt=b)J#xo-M4AY3qppXLWN_EuWJ0TKF
z4$gmR<WrRUzV*lwjZ=;QL}^`Ag4_~2PkElZN>kwqa!=!FNsRcEK%ihwcM@g^1u1AC
z5JY5KVhO*Hm?46Sp$6ti+fS0ZC=*{CQHri5j?H4a8#>ksS$%<gF?fk$tRXM?1QE5M
zv;`Ky^E!^Vah8Tyeyk;+#A+q<6Dmqq=Bs3wCrKKB7>=uM0p015mcVUr6~u$NN9}Bg
z>FrxL2%BKm`T~EzcOz6v{=6se5s9ToVBgMI(@;JyrI%j61#WK?8qy|WF5r@NSJ2A6
z>LJ@L9)cZKNScQmYhfjJV@z~Rp`CR}OWP0jkY3v}K;e1WXf$MWEIJ(wAgHfLsNGL&
z;C65{`}J9#ZiCir7cE?LEhJp#m-j`$VV5@<M$I8*3w4gQM}1`;zly<*BZ$kj4{PCt
z6n3rwWlMK7_`XrrizJ^wm_x8pI6KWja843r;9{`X_j5Nwy4gfT1Qzo1#Z;l&y{WnJ
zSzj1YK^x?I-}WnWBCEAtxzqsOWH^6*1Z<ed=MuN@Fpx&~r<J!FV^CMv7X{_}j_$jO
z7pJ8W&3KS`lU+HLfsLWE{mmb0c)3JGPZKMv6rm^JS9kKd@$YhrSm*<QTv;{#W|9UA
zh(P<TLZKkb;Utj5-?C{}ZB?H^I^JCfrkZ1wV$uN5OG#7EhSWK34*Fs?gb>Tjthznd
zfvm-u7*1X2>SlQJLXi~O*>K1<xu2>l_a<Bka!;H<xFGViv+Y%H<JF~%V~M3ok)jg)
z3l!yon<@)VJ6hKFSy|Yr8i5iu3pUmS$^dG`MRioMs{Rk_gp&oa)k$wZhZ6@7Tlh}w
z*Fj}`Myu9j=Dqm>VH!i0nYEVgP-ln<W!sR~;Go@$F4ojWT_EY4Hs3cp`ZZ^$tY4fF
z4MXMFh44(f_#CIn;W7^s$tR<!A=Asl@&V*fy6K6j4zXW77yLe4>r?EV%ZEqPK8Um=
zB4FC+En{~9FZ9W4+oNrE4_YnnT!;;?i41!rcH|^J57GNX$1Lw+w(4g^e7_Gsfh!>Z
zX)HLm;iqO|ve?VxAe?GJicp{l2$*mwWi-=Ml{mqDGiaoAESTnhGf<-V7iJ~maYD;e
zoY8){7U#ShzXPVXC7%_zV4zj{bruD3mSk|g)F?DTB-{fEBmB6ZVkuX*m+&R^K0uWT
zX4s39GVYX!M7{0Zn=`$LH+~UJPt%Ho;G(M=(<U4vC|L-r?o#g83DP;-y!KysOjPWA
zN`ZE_lm}JN88XxRh@eL<Z64#vUAT^^n5QaS(^)RkEoR?H@#`0%H4mNYM$*_8r?cm}
zP*O;@H-zD8cfIPVLAIJJr+fuxHTDvCQc_ZC0=IfG+$+B@<_5GQkSiut<4=?y|2~#r
za%siUf0(MdGsQLVr>8zS&%YW=;fq@Y=db{YGG2I)@DLm-W983V0K8LJ--d;Urs?xW
z`^5kWP-sa0)gC|6!nYIAt)|&n%fFkGVz*KdBM`RSJdJs!V0Yg9(N&r{wwk#7dlsqm
zWcdset)>|_;u=7Rtfg+NfxK?dF`>*gE3ha(3{u^`T7epq-GJ15uDBUbA(%R8iJz*E
z@v8(cw>510)dRTHGBj&alLw*m0sk4rAkE71yO4i*xS6ZkoIHdgrvakF<+eYr$7vA2
zk~hL7@8q!?%B*iAv)djl<)50f3r!blm%OV!+wXje^_90Fm!Pw{c>7Z06V14z!61jI
z5VQ{1;gE`HIZME&E{e|SRi4a?z;lpo%8vUd7Yr1fjJ6*=_o5=6gLjY@g%*tXu81{J
zj<lY$W-T0KQa87AQ<M?T!iw?E6Kzr!g5<MZ8l;yBQKztFR$a`y^Su`W*tuK)OI5At
z+PTyo1~_FU61+}Os=ikg{;2Tbmz|PqKSQyp*?`(5bi<y|C!GyMafbj@5;PQY$Jn60
z<f72e27W9eyhegCmTVsd*pUoxoWWrJ`z6MvdE!48m+3cxo1EHZ)XPa;V<n<?xqn<}
z9b=|7!4AYq^ZI`7T>&nJzyX`kBJ7Qy^9LjR2w%a9AcHNC?kP&lByY69|DAO#fSwov
zRvdvlq8Sw}hIrd_8{#=`3oPWQBGAYz{ntGLdh3Qpc9gpow_rx!7MjkRwiKhIY%@hh
zjCa^mvD101k`mx)8abFBGL2zDx>w6vB;A6jPVs8a*uotta6s%Z?uAqIs_kWE6_ge%
zQ%v^t*y32fu>H~P7oP2@r(|T0H-DEG?Z&L{aHB*$eP#s8=feK=O|ys9EF-Wq#ZbQr
zpTKVliP<azSC10IOcAa1Xtx(BFyMxj7`fH=Om!es*5ePfJa_i&&NVm0!Qy>;yEk^J
zL?4jUcB5w6rl(%PZCIzc2ke3G!z`St%@f0)0`B6D{fR|JWVUHjl@NI{1{+9T+Vn9<
z`IKNgS5Svce(*jK-4ao599E8k8-rOsvO1P${9NE{9LzWaQ9tMLm8SB~=Y&unan6$r
zVMg^~_ODB8Ytv88rk0vRJ0R;HD`ANej?JXZi}56;`)D51&v>c?sW$Gs-VAIuTHsE>
zdX_Y1=Do%D_2|-b$=9CF;9Iu}<RM>51=0MSNv9q*50`3t0o?2t_etEwaPee_nnq|6
zM?1-vT<gClhbqruD_vz&&gj@u<6Kk`7it-v!-Le=&k)BG7XXnCjbE1ZGn8pfl*$#H
zaZS!v*Ahe)kU7&4vg;8j3|46tU(eK3gbu#xW7?iazmpan=Ssxt4ljjh3d{>wc|Bei
zb)@*~(?<X%CUJvF4wOr85w=ONowEGo4BMThYN?4`)zDn2%agwIkDs)QvMbD_$pnv+
zco*VH(pBn2LD38_CQ)7k(m~S_nsm2vnLxo)VsH8GFaEJ%(Fw%4p`hZ(3xcI<lo?Jy
zISuf|w-OH9=50us^s)$nJg|O`P0zH$^s$GD+ry<C7mMJgC=qlew*l_M<-Jyv0Kh%|
z;1rFe8kyVY<2<>-q|x@Uk2F{F5)?IMxf2tE$~lXpn}4i!fOa8L0gvZxAcVrpo~D@d
z!mH4CD5WCfc(+y9$9!M6FZkR}Hbihj$(%Xo;(Wr}fTP7<mmP%Hpe64oz3%~ySdjp+
zEOUe(f}TpV!Ih8=fR;DTV{9>-@nI7xG`^#Gu>o1k-jI<wpS$S$-EH0mQ=x1qkl&)i
zIBF0HR7XyWm$7xscA7hd1hClMfX5q}Z`)897744(S|;j+niz~x5GKeLNyJ8U!ZA&&
z30HEG%~p%&1gy=MNQ<_CbBEUhEwkdHv`slF-*E{y_LDv~-5MRxbT9Yzg+>6)fl1BV
za={cBQ!P&JLAJu+pr)uK(8FEs19vl^YQ~i24-)|(+xz5y3CbpD7wnn0J!WNK$}%4g
zJer<k3%msW+50AN>8rLtmiIuS(B3?Qw!TQ|=F7deh7p!p8WoY{q+exUyc=x}P*9jt
z?Uymx?59;JCyVxf%~ZkD^qbt0#j3j&y9ogt6Jwk{j()E&49G1FXe{4A{9{};qE}8+
zniN;Gc#cKaV?2~iod1(b#N#hD)(A_7;5thxpCY{r?H;aYD&}Vf!<2Ls;v3XN2(W!y
z-?&K*PEil<G7E|sf#N}@vxkycRnnDHR3nuLi(hptL^qDrlRn}&tE%?B6=yIHJo)&I
zrnFQ8b114vnO|8(_~T2|5g-|4!o=^N{1|FbGJ`L2-07l0`vrUr2g@$suX3Czp_NyH
z38vx{p+XVi{!Aa1{BTx>di?G05hQ(Ju1ax*(CG%CO(W+;vtt#FP4kVhW5D6j&54c?
zc)d}@Oz1hTm#o1-1xNASkuf^3`;Qb^BpgUq7BOu$bS?3or0=;j>e!aOXv_neCPmyu
zm?HrQV|952=a=WZm<SFj5&A@Rg#D`cLR6B1`zPTO!=n(d;a)sOp?z(CSOBk!d8)1}
z42Lm<M}HT4Z`6c6Kr#cFrNya{@So&@R^}jP<)jLpIB-}vw+kOuAHy2M=@EXgo+SHT
zy8ubM(?jC_MN7ztb!CVxjL+YohKwy42Kho=*}rHFnX^?ll1GsP-f^!R*jWEu*3yeL
z{8;)!=Z|umD!ws|!vSB=wDevKUK2Kwzr3D#dgTBa&<fnmYf0s^yxR2s;J5#p2iP!2
zQfR;f)n-EM6t7X*AYdoEIdFvvMzUS<tE_PvJI;#R2b_P}<kiNY>}TF?cGxYC5+qos
z6H1|O*=4M0Op3i4K1Z)=?p9~(5xZJY8?gPIlh1`PYcB08Fs&3^spv6;GEb+QIg$O3
z>IJ&i^w^4SAeEv(?P;eX1(6cwK#_(K_kjobngq_g;@O*v$n)FgXo3rek_WS3ss*e9
z{1};E5#2PLw64AbD+)U+QM<`xg7+KE*|+73nPdZ$v=N5JWK&*b<)dx%sO(%TsG>D7
zgHrjw2$WOlNnzg=w=@yY61K}#<Ds!A<ptbFU1*ST%F$S&KiC-nYpVwh4ZMR!AhQZB
z7ICA$O-<7a_lol*SHYPpgr&Fq)<Z-t)~++?*yS>j@j+&n1lnbsqr$Eoq%}EIyF597
z&ExwImO2OW`!NKK#qGlbt@5UBvRIc%o0(JOYHt+g6`6rLKTxt9`PF^i&-FR&@IVzl
zqOvPF>nfb3@L`u^qTSD#+tBflqbR4IG{%p!6tT(+ilfwnxv!@99Wd`5+9Fewg_QAe
zK@UmJ$vWJjv2+_}@?xVkNh;$AU>kZa28VeiAPct^P#l`L18lRc{Vq*0#<KpIM;dtd
zXK(D!*mXQnoH!Lk?xQV}Fs<q-we*~F;p~N)1K4SqqH}mVNU|S`h<WCx&p<a<^1%U;
z2Oa3bc1GU{b{m<^2Dv}Qw3ZVV-zVYklCn(BcyyujQmwr>AylKhS!~Y|f?0F-Q_|Lz
zaCS9ymyn1g$9*@px5bh<4?m<|upUuVTv0U^_-&<{ksNpFvw=PYVbxQ%^6I=?x%uH@
z(@h%d8;hCyxanBQCGOH9aPpX0@bhOBU(VTI;D~jK_i5LFr)0Zh%SGUgcfs34Dk1z{
z7R6%aN+@3)&^Pb00fW%JD)oRZyfwj_$=MvT^r|4QOcM<cdm7fygUhPc)dRi-I0%*}
z#Is-a8??d!g@4Q}H9ty0=mYM^l9EA8OH|o^-+LCKXkxC7hM?_SXxN0a>03&Cxlode
zksby0Klr=77tqy_iq4a!L;FeP8+_K;!tuTK83Y|>U;<`qiI<jRZSq)*@Z<<g)k}TW
z*a{Z&7;ziM$=Vp(pLv%_=MQ-c(Lo~uIfwn-Y~e@*SV&6y4kx^Nt>VhkWr!aAGGr30
z?U5uqMU-JEPM14f;rkDNtJTi|hXZWb6_$8d4@<(yQz;T(M9ESWr-Q$0^h$o9#P-6X
z;5gSdo2=fsB}MTU&FN5<RksH1IcuY_c1Vg{$=!JoTwzpA`Bn;>t}h`j(Yn()s#n}*
z6-REtZ(l6|Kw+A$8u3K}I;5p~JmTGaDTEkc2mK`i(X<b#n_G(&v=*(Si%>QgE(cwh
z1pt04IFlbtbqO9%^Y^jJquQ1|zt6mQ^|P=G)p(j3I;zW|h!vHHChwtgev2cm_>}8o
z7PfaGLS^~O$t0h>BUXLr>5;>p^5Q{}<2THh$9numU4d-bC=gE-dIF38LFdIX6xMe_
zyK$sBX2_9&%V!n|a^v^BE<HPFqrpvCnd?yQMbjVf#BPx^!WGNGvYdbrT|v2=h&mYK
zr&YZ$b(f8br?L4k@-~)yz%LHHn~(Inm>7GO-&Gc}>h)k_^o-$Yffhf?LSx;R3Pivy
zC9|VLMn>H~25jR`+?m6N$FkX$<#PFj>$}<37X#`luVL#Gl`LCM%Mk8T^ASHyDf3?h
z!U}&e&5ex{IRQ0w25x&kdBdb7vj_AF8XOx?O#hQSOfgJ{*1)fz7W!B3qEmq?3fFru
z>P-%XRbU_nW~RQ4K5`c(mUz|8>?s*wUbO%jj5FvB^rF$)2cj`bWkKA+;yR%c$9Pk%
zMN;R6LN)+I);TROoYkn9&GNmO)C2;3G3E<^@R%3E7^#2Y<ef^+^^?^f)~IQW(a$Vp
zVD*vfvJkRkreDHMMuXgoURdAYTV`q2x32CmaOH;=bN(%&2Qt7OP`={m!Q_W!$Nmuv
zeQ`jez*Hc(A7-Hq$#-kpzfJ_A|6*IpX0pz5J|67z(+i0VvA}`!W1UhzLa}k7(=^XX
zu-kThaBz1XKstvzH!-;Yb5FQ@`Hs+6x@u&D328h7tqf%psmSx5TmM5w@<UU!Bj=8s
z%hZ!O^LyhkMj8@tnt}no)z8?%mWF@T|EFy@hF~S=$l%-ZdVNWNn^1)DG11Du8@ZBK
zFT&vvw+(v&MzY}pMwy&qwKw_a%@(alU)PYK?RgIqyt-a<_V@5Ya2JHS*fCNE1D!<(
zdp)5HY6oIuwH#N{SO2fB2fx#Xql6~G6r$4v4h7mql*`n6zg1nT;q3!Wp%Zd)w)@>L
zNpJK!Vg1sC?pjF8c>@m@!n;T?e2wT`oVdQd&x;`>#_2P=B%G({(D9LQ=Cs<%3EM`~
zD1=YUk9JVPR(JP`%HTQv@|Kfg{=`XW`F$eutJkP*!$OGb<T46qtHJ)&V0~aG6Y{c*
zwj4`(*jm<F9iA^ZMGW{&5llS$B+5g1W7&?VLfkRuXmfCOS0gLB0EnKr?2c*JbtZ*P
z9xc8hp9<$qRFvilx;s$F4yZCCmssPX>2QE^W9I^(8fD{8AHT#fqqV^xqUiQhtRq9A
zY$%Vpm&Q#ZBWV;+4K9d)FOOn=lp#|ytg<~3He|qL;9@<==qn!>$N*dZai))Spa@Cm
z(d5SJPXz+r-s@FEi?zYfjMRaI;AYX46G<WLYhqbh>!u|+X0QDy)r$xkRLSNg943Qt
z-U%CIyhx`=6VT952W|z@fEa1@ZU4(tj=HHkDtGJhDR(T&(tD4o4~YKwUJ@!NUPP5{
zXqMRl)cu>!**wibj^!14AOHHl5JbJ5?n@O@CthV+lG*4)0kGAz(hFOfodugOS++Hf
zQ%ue9SZWjlG=-xYP&vs>{0lCr&tIalTpbYC673@NUG*)~6o@|7k*AG6Iv?9JVlYXm
z`_mp%>K{TKe?5^YtEAD+qRkgRAxg?S)%x(eSEwPI?}HZLwX$}Rvj{$lQ@#81eb}fQ
z7__^HKanJz0m=w>11->3x4H3qLN*T!_KRhGtr))+Tz`A{uUFP4u{%I*BAFwVyd6c?
zuLlhNR{}|~ERzS3AuPD1TZMx28QU3~9H6R$l8a%%{i-h2dva;-tY82{!0{8GHt**}
zU@6$84hYuHdFK22Hr-3lzPo0>m`iNSkZ`#CT<R$@PJFM_)R5YOzP}+9A;2bQrdnNH
zPhx0Zv7S782CCXfyjQ$o|FEc{&1Yei15axPNlOgKLQo0RKB;NR-NoA*8pQLu2Qn}b
zs?9GAK?|ifnn-@z=igl98K>8o#HBN86;qwPhS46{(h2DITsSy`5$$EVOvglFm2Y}b
zAi^J&UU%+9>lZ}s=-jiiceo~l7+#)F9sQc3Zq%KTA~qIgH;8Y0aPU#IbNTHgQiiJV
ze<5%_@Ac=b_)?Q4FawM|-s#TpvEsF9`SC`G(fqZ>_k*H}U++8)ToEVwpwXAC-D@i$
zm^rvb$BH(1-Tf29xp!TekOG##If>HhFHS{#l#uAP#TP|YYYsd1E~zk<I>0?5He3Yh
zq<)RqjW_$L=Clm4R`0I}RF)REyGr`7cyI;V8;^9!nyH963&$8#s*M&T9@HG63upx>
zqA+FL+1Y9h@Pd>zcc>VVa$#3bP=Ph*8L>BujcA!C>@XlKcpF-e0;LhpjOkXZ)pF~A
z@^u|tX^|*ZI9_juna6sfX<V`bBrf9d&P9!Nhsd9^h#TE4cHzzf&<})JBl5(%9uc`^
zhqZSl8f7~rYbcKtr9hHORiVo*+$21=;f7@MxnCRMCvDBexnfM7RR&$7>p$*(NN=ql
zLwhVdOJfinII(Q;op)bL5InSLq}DyKE1W=E?HZnw^0s-=nefECoNgTEfERG|Dk&Bo
zW9eiyqSE&;l0<t9zExR&%8CXgfzJR`*#ue~d8JX40p(z=AD}7XGG+4K$Bk57C=!vd
ztUddkp8`l=^;vL_6-*|X*zT02nj(yi6mlsJaWU3hoS-yLs)~V5+cxMP80zG7&RfzU
zF2T;_)}NW%pH>};zV=aS#r_7LMl{VoOAd{Xp=<169T9IapS;^)kk>#5BsCY#WV>m;
zWH6m%LPFfJK~ZF}gKIEsXc<*r13lqCWf_np&wu+j7k#0hOO6QWDlR}`HCZyLg4D=d
z9*0(@==<5kCF9D9fONsvs%<=ZbVlvpWZQ>oZZ723Wl87!>O?4eEoNhU!F9_uR(f@!
zntm#717~f3cl4+y!k&eMxsz5%#i>lO{am~Fmm56Ov^sBrM4ZfwGKH}A1dWJ<JGwM&
z7(=d@r0pVxO1Fos#6xHR;LeUDKRp<P%KPn2hoJ2pURQ<8kcURON&v3FB6WOx*L#t(
z)mS#XKEED8&TQ)nln1996peW%=7BK40F@!$$7UMJh_+dFDngVVvLi}uI;(Y>_n3}?
zni%fqcR8+W)s+ON$Yb|zBRe%;EF>x;s>jyrk%du}2E)u1f>QELq65{3dtZY7CJqeH
zB;I2wa;oP<h-{oHQtdp94mm!0M;zD<56UNWa(d$x5Kci~^2@h4Mf9L&4a!vUZHvWE
zz1Ne_J1OeY$u-0xKErSL$wm24MS?Ie#|hFVrI76^Gpi#Yd&8v75cyvwrNq9N8`-y{
zK@}r1gBKwpXX{E0+b{tDmv$0BDp=CV>$}<02KaSr_SJ{r<N7rAoWVZ(o2FYBwF7_X
zyHzsKH<#mArpRy8uOF7Grny*+N7Ri#s%s^jKz12as-5=&ouhZ%Kr_cGpV1O_gml0S
zl;80OXJzN!0NgN8tbp1amOhGrfF`3g4N5~!%rQju3hA~N@H(-Y_j4*k!N7L|E^<`;
zg*9QXh|JBCUp~m`R5$-c{KmK+#UPnzp3p;}yP7nR#29BwO^?;kj~$oB<Tu~?H($2m
z-ld@yW5BF23|s2vdp;Fgmtgtk++^1<b|GH=89H~cHLcRX!H<7V{#w5=0qT3KXbed4
z4u>l>$jcn`zxWRC7|Lo(Q$ID&Z*4PhmX+OpkzSeBHu3Ulk-);=YYp$g+9(N>!uG_b
zvz=LWjAkWm^mjJ92pU<8g&+#8<vF{SwCImy4tMJb-N{4>uu5ypyY+g7@Rzt+yoEd!
zz(Y}HDYg_m|BWVpQ~<BO)b+<kNP5TQhkmwn1Fncf=oo<`h00kvVR^1l&SpHP;~A5%
zsC|?(x`J{>Z?(eO!RGf2a0my?F1G0Svz@RynaT!Ty|*`Gg)vj9pQL-bVS`;PzgOw5
zR=j_)Oq<!6Qf}6NFB)MdPiZe~bw6c18&Y6sIrUn6lF|+Ii8rO#J96SK6D9)85Hhj4
z>hDwFt&<}%m?Exqw}-%ugbKRinRuL=l`~`21)CovXR!fE*9*Zq&h@Y6Z>S=U<q;MO
zMaEwI5}lHK)<~0kH5)?bkUc>lu1Eypc$&X?Tx)o_@gSVf^7xi0RQ-t7C^y_k?J}oc
z;2H3yZIE6zEAp1fPhYwvq=#vfOYMw+NTbr@C-+t8c#K+nTRW?R<B6MZY}a^kd~qA2
zIfy%@Zk>@YoPndDk8zgPq5TL;ChPEas(C-V@&yNohf+m@H8=|P3OvAx!nBR0LoX)2
z<&+3}iuQ-YuP(go=d#?dF)uQ66fE}53w9LriFYv81;)VTU~1@7%)U4CHfXfS*bW%K
z7jV`N$%TKlqg4CDusEJeNwUL^>p628%IlFPg53O?<8xR$kvPPRi*9%E0AWn^^Qv#Q
zgknGmVm<*h%K$Z56nfv{W=<V{Pemc78g;SuX5O3jBR(}UKcDqSc8krg^`+tqKTLVC
z2Ryl-jCng}1aJ@(YrXYbTXA4O>EdvufR=^@M=A1JOzq1F@XX78mHamEJvB>sHk)$E
z4_g<VqHh=ug@I628Pd=@N=3mtc_X{^p=s-vogOM4r5aqLr}P}tC`ESc{nfwg`1siF
zZv$Z<@?owHEOLK=Ltn7Vi}z?6GZUOwLL0CUUe+CF_ro<~evBms)K#Zqbq0t*w;L%-
z?Dz(&cLsPQ>978d?tdo^Mh-9ra2xuLZ<C`kn^2)NXmuuFeWI#fGzlSxCZ`z$vUigw
zTz!td(aTM`p-0Cl2$_QccshwE5CRs|bvJ3Q_WpUmdf)=tQNMzY*rU8W28ri4KjnQz
z_FExt<nh>*`2DdFZj9h6^-lQP=bp2-U&Ju*=I3IqO;w@GaOD~OFrVtI5G1mLLOsst
ze_r59iTaKz0BXz(#n|sH&Gm!bpu>|2M(kBr_P11+=K6+v_+~Ey@sv1rkJ$MK>O69w
z=&lO>N`0Je9um-6ZPr!f0^uK-uzhqp#20rrP-i}d&5on;H9y<Hk5I=G_$x{5_;Yul
zCW{l`S)DUpj2C{T+oVx3SZt=u;}0;BmZ(;z5Mfg7{!Q1tpKMZZJz0q(@2#$voo+}{
zHA=wl7y-~hZhff{5ze!2oiAm@Alv$Ek22n;;Y~N$Qqh5*OA+)%MV$5!XN9#;*ey~$
zTGh+_{IhRQ!;zKUZv-~bc1p6f6Usj&aapxy=fo2$KfXxP<{`u&DCwhqKSGp%QHOFK
z`}+F=_pdk*LcQeYjb8)sV;m0G0+XDyB?pCK!he5p358J3Y~QI4@^iepDunu@(cgT(
z+zoTv#!zd&7rmpgx^64NPkzU@KfjXL5@Y7QAo|Um=1+*GmluoQFlGD%8T;Nd9E%Uu
z`t}PRlYW!(UP%=z#_CbjFow3}qI^1$?U7!E;cvnZUv4%$!;M5a?xg+DXw(8qUDt1$
zf39x^O7OMXGWsHYV9Na}6t?*wy0hWB-t*HuZ#cDMkUQBn(3(GI1HbUs%Y5yZkYB1{
z>zrCt_jg^*z?CmFTKfeMVP_bhVS8`Bem1`+1HDuh(7maeDodph&FpRYa?r#gMGXOv
zi;#z#*|K!11Ze16`Dke)DNPp1_bu-g`m@|urP6yt@No*40Y5&ihE~75J|0~pB1z1D
zm~ji?R%JIC4=~O6ep0A&!LoD#cbXQ=#27>~{aVDs!ls$kr9dR8e>h^VdO)0;MV^#r
zc~tu_i)a2?H$D`5D1wiUMheM~muHi*jmHoG<AfEJO+I=zzR?`0B0!@P)~>S4Ysw9D
zvX6H?#Ack%-+0x!#f3<)3~lEQsbivL!}@$b+15|sctd<ZE@n&&5^E!-_nX=%`#+Q5
z<exH2iBhupxea`Bh~g?+`_RK$y7F+i8BsjOJo+6|I%37q>vr0%$rJTm;|r(YacQXQ
zAc2HjGZ2BuW_9IohUTw1W3U(H-V_M*qW3PYZ8d-SdV$x(;J{#j9G>Vi&%=O?8w3Kh
zC9)1ulWIIs;5^Woq$IcmFk!62@J(erJl!c5NV;_Y3)r#pBW%t7Jufs}T6ywjDkld%
zXMoyqwu&bLy_JDBMTY#UcDeqFSagC{^Tbx>bK`15i1qY`RyDkYO5>%k0!Ymh1@ZpN
zTCRby;OFepa;^qfg33!`w;QIxGZspw@Cx1iAC&Y;LYi*nXG~FIV2(xH*Wh2CKBtn%
zHK&v^-Dq~x0T8Ykgqk-V(dvI8`noH|g~`_$ueJ5pUws3z<@-P9qG?1v3H(T1X@&fL
zv1zX6-VHD%@SE8b$0mr+g*sCRafr!R95+pBG&KrK9I#Db?gaT`f9-cCQlIg)05!eQ
zM<mKX{zHeB@tlia%py!7k}g>Nq!089_N(!QT<;NCaVdoNyjF~)?Nh-CkARh3B|{{G
zkT)kY-YiZD+%NQ-<HO}cRo@01&X};IZ;*qJu*X2u8c7VyfNF9UJHF9IYGlv_-f>zx
zP{AgF9MTqp;$u&7c90L}&Le8R8>3u>ckPtm1gUfI_w;qD?=Lul1nA}Cw~^HEo|fhP
ztL~K@S+2V~j-SS1g<>oE80C!<Bw(E$4lyt=XvFqOq44dE^P49s4mubBT-^sv*nAqx
zzKG#Jkk+2EdV4VL!DaMCRmwiL%|c*$A}nc&aLd7+nG5Z(KQ!YJq8@&OAPr^+e-~&o
z1R=PCPk<VWR#(MZ{VPXB12($7`ln70Al14u$j;&Gn(+sD;gIDDwd%TkU&}rJVyr7A
z14bWhZ$pw2GF3r<c}3*?QO6Ed6{Ux^;Gg~7Y$LaGR)VtYHK#nil_B()jUQ0mNVx8>
zeRZ1q10wuVX3?+eP9Jd~$^q>r!jY3|k>ROjCAbx;S$?-Tg+132dgc6L?`6H+n&kuW
zXpwoV$s%1rHcpryoXZw-j+1D>Rnwan$|aQ7oO2_{j2Rw5g6N~ar9Fuf3HNWh5k)#8
zCEo5<j^&3OB32PFbX5dS|AJ3ZtE3dS%{nq?jGXEL?wOwJyyVM{wnMG4&j#BF)HSbF
z!0Z8+Z9i(?2`JU;eNy;5QYc^X5LK<buSS>~qRVgWV7+&Lebyw+ge(;@tQO)MtoU&{
z8JH87tyjqwK)VYVDMbWqsu&=Ez>;;|HR#U2U_GW5YgAYPkHl$_{apgMEW0vvq5{s5
zl>Q&+sk$INLI3HX---88C)!&ls|3ymS&XJ?x;Jy5Z|OB}1JK`cI>fM}mC4t8MuF$u
z+(4&=HqO@BVl5mRoug7>X2N7(?{Q{&Li{g`A+I=<U42QohRRBE_tr#E9;JEUz8RzH
z_mUK+vBl{%eXVG6gM*)hHTDU&_fT^hzaM2%zHYF{a7USmyAnomLi_~BjS8cCG7|eI
z138z-91;A}!<rhO2Qgk*_)J%EPbN<brg3s)ppN<}T|zu7Y>L~5Y||qqHP5yJ<Hty7
zl1H?4^1<=UWi44&YO@L%hU<r0nbe$Yw(C80Qj-79Xr5kh|C#jfK%~(LSNaop`H8-3
z*ar_m5CF|%_AFUeXZXOh9gOa17g3^$0AJ2PXGdjxba?Jr?tPe(2Ayn{E*w~gK0c{p
z)}Zv}v>4+2NPNE+QzuDIOqO)%(}g3Pt&Ji)kX5r_!6u>ssBh-|-lMGW7-mM7(Y84G
zrkx{NcH|+y?1&+fsSDy#v%}9aLQSDliol34U}096C2`ve!I^7nJ1o;w{Cgq9Ndp#4
zzh~qSY_*@MrMeu$$)ztR+z4uE1FrNE?}*C9xSIgSrj%zR5u8n>jb`HW2RHle9X&)J
zB(FSzBb`057YWDI^F{r@X-*l9;ToGR!pUR@k)Yl_F7XqYzrbd7xWaCQl7AGXz3dEJ
ztw)auxM4lO;;*4@XbWMSA3=z5teKIZOg3A@;oXp)M^?aG=%4j$V*m@r6{X@0OopDG
zu9=B+gS<2SHy*>7lYN74%#*TulHU(@WVP{>hx6sMQB{+?q7M`pa&eF{`eYa>o@76-
zP__+9ulyFDy421ETU~3Sua7L>lc2&Wew|G+=zzT?lhVBgcU4!fvRT6tBVp0p47a(>
z%FTcnU474co9+nCT#{qtPLCV2wspU(rnr)Ezv8<*AHDa*r;6%AmaOVL?gtnH!0o1j
z=k~{ghIyMakz07od}zNMJ!{pVpKl2fnc{t%K~DiLK2p?;&Q?9*OWob=xeKAbP7b1t
z|16>z(@JWE{h??~!@YDJPw`Ieg<D*VZ^*mtvb?5kf%i#qW448&UYl9c#E5rMInY73
z+32J4SHyUz1ITINFQ%xPRp95pa_V{flj2&%Mgq1V6A7oyjC8;usTlwy6S9FzN*e#Z
zZl>qIsvmv_W!0@|6hF`2WyuzvlgApzXVw5=%+H%1;i5oMO@o%Eop0>fu&U64ijhzY
z%^ei<z)e%7{VN6@DXC}(%+I`?Jss_)x*d2)gh~}dei7Oap$Vhqcto&~$^vGgM@SX_
zn99p4)TX=7?4+keA$+L%hL#MEMZh>bND+cnW!;gb=E=banXG*REhz#EmBlXhuD$!K
zdKN|3<ZS;u9|D68K(Kg!8>RaM^9HUtJFRZromhV+YoPqtIVJ!yV2hw+q++A4(f4|x
zrhl)==Q!Ekh)Xpq>p81bSEaO`<Sv^(61^U~0uN~Qt$oN&p}mJr5$w;!7Hr&*qWu2V
zoTaFm0$}WUe-jjFuWf2ZsQlQm7ji037ZJRL;mv8BMZ~=(@W<=#cYqay9_LZlTMNH~
z0i6_67GW;7S5b1SE);-q7h%26lwA4?5c3c+#(n5?q4vz<KZMx+3=0T%|B|%H*svR|
zV|s`@4?41=e#!#;RfA3gVzvWRs64<Iqm|BBCx};0y-B46&FO`(bvvuouf>o?75UrA
z>vp^CHjzp21SIliHft7o({=nScTWCW(Gg>6fWIfT#Ub~y{xaih0_8gG>jjjTA|bj0
zaNC~b5YoclX+%JX@dDVQAjqc`5*YJ+gIRWCr((%3?H{C`W67JF6SYu?{tHI&LG_{>
zCH5Ya`cn2B7e)zPeU$Yp&#dMlK%Jo-rDQ8C+UV`#=;iyz!jRcB92CF_HzU4UN+||Y
z>JH5mp*P@y^_1_7cow9rNj~IQJqGQ2{)87H(>C7Y6iJ?UoSB!?a@jl?L@l31PhC-=
zZRfE4W5_crhzp^a9*=%0`iu>ZnCNG+!jbMJ&1h@)w)~m{b&K4TeFUzzlqUALZ@a!V
zj_-Gq-TS2&M7d&$C1Q%^{`=L;B*-J?(a?=487YgUPij(;eMnx2!nC3+f%<QmgJrHO
zAlz3;4?E``>UnmnQRKkrIGrLpP;o*a>2d6rxe%g?`#a`w^h~tfwN?E4>m#XGi`O;=
z)C9Bq)8i{MwQXxy@CE)E)`7!&e@B#`g*Th~Y!#MB1`hwQ>`!ZRWdyGXmaG$h>KsDr
zSZJl)B-WfNY^cxTmVJxg-P`b=>yDkT2kX!4pmswSGzJRcYZOFmsu3vr(Qd`0CpLOb
zoX~yjLOkGSB3QW)wXN5sL{F6W87mI9*i9`;$ir5k(}jG9u9GwKC_{^0B$t_F$u90+
z1TVvC#jZ<NM(9)~UQsLVXrKXqDv7}!AsPV)*{F;cNo3B>w8FLpbk%yc+%Cs-7^?J{
zEwoe*ys?v2Lo9n{ouZO_^MspEvE?JIeG3g42QW6C-qKd^LkGdW1KBJ0=-ro)F%PT&
zv4uPmXTvkA9b10~aLHH_zE;cHzTuNZnR>}RPQ4nZh^iq%uAU<&TcX@2;Q4b!AqlW_
zM@Ft^59ZsK0KW@vy1Bu%x%d>(Jx6S_%r?LL4p2XgO4@}<jXf0MG_Gt8gVuG4EO5{1
zKSeY->=GDurIKFKY1o|n;d}vdwGCaFdJjA}DBhWE-jFzEJI2Hd{S(oq%E>0i4^;U8
zPS9q(v!1jrNKu0V0-thVCB-Mx=^xoKh>~z+vJ(>49N!T%UC$)`ZNc%I@@DUJyON)}
z3Qi8<%qo)Yo%T0Fxded&1068%{PiiyqKCP?j!Ap!JwQ(@LH$KYr@<~BKs*wMyXF+5
z5a9C}yi`6}sa=QKdLSv;b7u|(+9eE7_Y7gvOJQ-nYP4-mw1N=1Q|<;ow>}g15GuYi
zl%Ff*Ah{j1wNm2;(!(D*zfYbrPMl>4DT&%MAqvknC#jY*0QKtk82Ugw2(w}%KM41w
z=nN39W;;2hFxq-mrP%hT*H*wgk5-Q_uEn|{4_P-MEbSN9nHxymbpr8OaJpB=+P7VN
z7`3cM;EX21N!ED$?(5ruoO}i~d*r%l{~S?ALql3G*3x~3@3q8tu;uI!xq>@MV5s52
zQzERp$rS4;lvdzKalMCzO?oJRScwnK0*^bcCe53`!52BkLv@oX73LMxiB>-WvEjD?
zhinnoCWbrBtu)snjR-`-^`4voyR}+*V`al7VjP4P_)YT={C^|!woM2xi(ukNj9PW)
zf(s$hJ`d3_?sJ7d$q(2T9<>|4V1JZddBH{`CFRC(e@(v7f5P4We9krm%riRXF?kj+
z@z>II;CHv19=B>%a@a$5jIR+0-nX^02dnoMPg~(67ADt@iYQ=<-W&}2H;?5K(L>y1
zSEP)oq6OA_&j7OBqcXgx-_eX1Xh~C!t#LU}LrJNw7wcC4BJp>oyKyN+GKVO(nDYjV
zbiH|J$^w}UYk>u#SJu&F*UYxyFbA64m|Z$gl>Mox$9l5(KR*37kapE#4QA77eg9k@
z^1W1>QBPwg<UGKnm;(Ekok_$9lY;+Nmw;sPqwM3S2Vq9r+<v2@(1k*4g6NKl-!hTS
zxQy5=yiJecx;qq;c8(JxI%vitNoO;uoCUhv>Quv@H5{VltO})4+><?*ryl_~A%XBt
zMJ6=0oA@I$0yJJu-LPG;sbtrrQzcA!c<?4|w=F|5qp6Q(j+N4YF=o)w`1gG*=W#wP
z7cjJ(%%<*P`Z&cdW$Dn<n>A??XRk#J-!cbNjmGjp1&SN!)>)Mx4`fmJ6b8{g@{5Q-
zm51g*Q|?UzZn~MNA%P-K@=Bx@!vL(7wc(Gz6Yrz8VhENd%ySoHikz-hKU{Zef(i(|
zlSW%Qo1*&_QeuMnB%r+?5hU?UDj-X_#r}bxh)O$)(V5GfJyS=(H?0lWFMMd*9d0GT
z3#d#}UOFL;6^Pal1^gvEBot^Zs1_OWD-#L*myp+tIWv~jv}TC*%MD1K29H9)b&4FF
zLD`Ljs-u3`8yBn^CO%4nMQ62&cgH0oJLabow|zFlzyqD3(w+y*^@Z;yt$j!BcMqn>
zd$4-wgDzy0T4KT?Ndnl08<u?PY7QH2TjHMWWAD}P5)`K3dwM0~OfLhl4ngAkpV>>$
zHCOTKDn=FpU35W+UU77Xyi^&7r=U`Cpr<9$p06t!?9NQz4J=Dn;j<BmtvdDjb}D+@
z`%{ptL^J7AMdx!+)w$0+S$#JN6p&e|uGt-N)Bc0cVk$#tMR`C~mKiE(cs7=Rw6eW#
zzR_t1#nNalo)4YfIjdEGH0oMQ+0IT6g3Qf%E~$=dEANv3*wde%1M_iDnc-yqPBI&k
z{IJlHpl|>0RBgUYnVW4tZZ2~)5d2$IPKSR=c}su=_L;v6trSWci2t7K!g&%8M|WM<
zGKz59f*O;jsX#jl3)bPB9B0iUVDH93BaaFTKA+c~N|d{*K(gRv)Z?)uhJ=@EWQd)%
zoiJO}8E#fr(Do=du&$BIz}@|2SnGAPF!``7nZ=JMM~`!{Vy{~UuvH~ahO#Hc4T2<g
zJUVgpIu@O%cchj?JSB>Z!}}==v)e`}^SNGO>Q$wkkQoLn7dAj}pyqZeTBuN%w1~%w
z-KGHVNbz!zc}6);Fv%dUwa;Yl&iW^LQQ)%KAs;*$O?EA8>^2H{n2s;9?n=SOM9MS&
zy}#(i?hurA&21mBm{z(#imC%2%fd9cR7AI1GFgPO@M4W18FnYYhg_%vlU6OZ?nBw@
z!9>(=C;*`fMv2_VLm0Q8`%y;`E43O3|M24R0a2sFej=|Rg1G~xtpuEjgo)k5+J{Xi
z_l$&13@UVld$au6JC1=hVm}O9>-6o1`JWrPlSK1Q+Wo9)uZ#>3)s+mDCL1HlU>+wl
z`IG$mkb}jTVR#)b+rBf$px+w01-qLrqlqLBxm9r736wk-AgmANG>V1Rw8>boSvd2o
z=hmg8sQr?lAC;`uXHl;v7`@UmPdj)PZu?qfsBE2VMxG=;V6;jb4sIqm3!qaEE91`T
zqzii{!e|g{lyhzQ$O!!Rx9wIU#X}mg8d!^DC2>Wpf;TrlJ^$z7a{dn9$j)Yp`4>qM
zCg`+e=WxTsf-gr|q)e&!nnT@T!r8$V*@&q9*T2uZ_vFogHA;i7(GzOs2uFIP&FqzK
z0yvG~U5W7UGGg8lpv$p8WSdwX%Jnq3aTM#-n?+XnXhYHq&#HIBcX%`3AGP_f1}Kr&
zMOA66GpGu~6dl(?^+*X#Q?KkcvHp(MRfu=61i+<ef5#QPc_4x2_n{|Q$`~6E^tpdp
z<Ag6w1DOCYC}(`C6*-XK@N0XCyuID2g_Yu>&?PiNDQ@0nT!PunPYbL4q#N=4F+EBc
zeB;i2tW&t0Azffu^aC{R8e)E;E5(eXggay1o>wB9X8x2Gpr&SX_XSr5CrHxG4%HkG
zZw&OCTi42Nql$w(&dbHtGP6$5a^?am)C0ddyrX;rk)$Z)i<XZixl+xz8v@HTFB7v;
zcKzwq=+vh-I(&h>9)c_`^+;V4TVMZkTw^{_maxXa^(kDH8Upe3dXoR+?%`xvaFfRd
zB=a?=-K`3Qmv1n9|5ztfPH4S6&i8I$#tn{7B`W)}SNwSbD#S4EqE@&dA}2!DI`)jU
z2}5@T*No``aWOjxtlT}Vm&zE0md@^2D?4}fJgZ8BcGv9xyh*Lrbf)B{ACO2wX9{B-
z!2oH5!Pi~G`qq4d321M?<DC8lI~Eot7$h9(0j9d@ebdj($o$!xNzy}g-49Z`VV;zk
zkOL-JVOE}wiqi@RZ}fhF&odqNaGcx6z-1`dbP_Y&C%*_DkMZU|;U5}dw=#}PE#?Nw
z0WR<E4I^rX7G2+(2-4{kMO1B<cJN~P;z_O=uPNx9tFVd>0vc%xw_Wg05FnUA5zS`z
zt9<7_I+~r#hnda~A#p(56q;g?kBSrGNRBILWHO;uHAFpC^~uxdwM%Ws38EDB)5}6V
zZ4#e()n55L)wc_DstKF&c9Hl6MGJJ`a88_RH$z_Beh(@%j{&1}F;ka2v|ooTfmlKL
zKYi}JTj2f$OyV@d>y8JW!|(C1FFy;gVoYu1s-mS{5-_JT{_?Ezo?d>260LA;BR!r-
zS9BqD-;6h2v)jw|H2l@@=0b~nXV)4Tz$F_)A6e^|U`)cTvhTPQNkG)gB_i`44O;2L
zU2|>0GGwHg0b|v=I+pZ7dr+NT;WQ?-3JCx=K*+yRiu>Cy)NBdm0q9>7W<4E9bCzi#
zqf}57zzvb^$kNrmCNJ>)dsn()yF1b`Y*sOH3Evz|z6BO8G+Q{yaDq>CU?XCm#~HM~
zujti`%Fxw}5kY-XJE=pkBNYh3Rr!WJR$*|FKSVO$P(J}0J26MH$&%h0QIG2W=e+?;
zkx-j$6P)qZl?auaKY{DM#Uzv5V56Fm#-vj)`JL_xYCDkeo?b~i1e5FB#}eicGs*bX
ztRSo&@IE3o^*<NLR?C0T2=%1PYRvSQD)zYny)0W1l#O*?#1$!AI(TmHPH@pIyvlM|
z7MKcpB&drDh74IXR^9}5T8%X%w@>_4PW=v%v&*x34QgE5b(ULD$G{&`U^ZiUJZ`}Q
zY-j2_O_3^$AKFMB*V%gn<5<D*QY#>s^8iuJXhE#xb@A`TLZr$cWtM`C-ll$Bzda=2
zGrb^I&_GREb)%+TEa+p;^{Fq*#zy$Nh1u*gW9&&h?o@B=tmg&I<Y})|Scu~IrDqcU
ze)6Z+l;&oHNZVJdwb1$N>)=qhJLJR5dIoiKPW7cW)|cF<hVMtd@3z1WH2JcW-qT(m
zfGi<v7|CEKT~qy;K`P1P$<g<&6zZ})4uQ)L)p2zopHexlfU{R;XFqs%pp0TV<W%hp
zB?-m0aP^~%<c;avkG$~YZq-&=u;hUInD`|b+nfiiR^P>6dbJ(~Om<orHO%x5lhGS}
zFb`GD9+oKF9Qf*i!-Zk=MbE>YjDhs$PMMRoE--rdv8YPo^<W3W>fvcN%0G1e6fMCO
zTi?r7Cbo+ZqzK{lU?5NqU19OW{^2-`(V;=$5#2(sT*KRzx4jqt1qLm(Y?81x^(3pW
zN2fq}eu#iDQ4rM-My99h5S7kC6(Db-Kk*IA+i}n-&`{&_>l4ni%2=8VjjxXYVfOq3
zl&nxL;_B1j7;oErYy2#Xjd9XXMin(tyJqu$dtk!QYD)MT=4{XS$mMLhDp~T$$dJHd
z1eFFIg-OAm_5~Ed)F1NG2j))GIZBd2^icnu_jxo?@_@)Bs%HrhOsrqTR%Z;z^+{dt
z$h*NLLF;IvxQ(#D!@$XmJ?RV`stm&ur*hCZFO&ZLI#3nj9Q>!}p3PU65t+?RF;YL4
zRm9m_F9f;u%V0{+B$E^_XCiXlyzF-cDqf)LZmzp|ytFbiWFMLcvEL1o08Ov8l!^PM
zRlrO3Gf763k}O48ft?!l!w!+D#gB)q1HTz-Pic@=RjVkmlC>p-TU@2@1oK6inWT^T
zCOxx!`kD@O-BdBI&HO~_&ak`wxN=f|0aA_3VpPUCQ=!UN7MfXf49nP0$|DIpYb|V1
zu3&t|d;eAS%=mSe9eO0nvY*3M;0Vu43NBl|5gLbv2}=Fie7_we-Any#%<kEYXAlc5
zN*l`YQbE_P|03j9gR?C51>*d(yQl_P<2Xm){>)6c0Ulz-psJ6AsJBO#9Drr-gVZl@
z?$0DXGrTy2grkB~KKJy&IbHc|a13_%AGzE`^yT;g*4eLcqDh01u3&233tCl};LB}9
zm&W8Y$=*3y`{{PT$^{cxS$crYU2GSLf_*E{o8(AL5Ro^V<iI?z$g~{<B)75lqmkqf
z2*5g4LC4wW5l2ooFn|~+vI<$;veZg!?!4uPl!;rr_lxLxdT7N2$GSj&AJft8%WBwY
z3&i2dLA<NLvB*~~e=zMwkxZ!ssd)$^R1Tb0kt7B7vf#*wV1xV{jS70r1<vmfhXH-a
z#QL#r%VhQ$>Nd$<#LL(F8kg+2PdVpWUQwmQ3Z4dNdG>@&D@7HNC=xFG&de(m)P}d!
z!_pv-)P6DPBfz)hM`xgGGwKV4+NbgTk<<EP$t|0W8~=Soh)*72FrV}h%+06>z9srT
zqh|hKKj44&Qw?OKu39DKYoqW0`K3=bJ;<Yx!NGr-A>*-W+FAbBbKCv;FaOsJkpx3X
zKy0HA&SdvVW!f{FUSgbHJ%!nR23RhqMZ+E+^|&Kll>qP9OJ0>=uWARU8EhMtDE~*T
zh!AJU*IZfARUPhYuk>1=e;&J0S+?29aRPiuk^(Xi;lr`9KuE-r`s3YifSV{qL=_!5
zv9F2rne&RyVhj(^(?WAsa_;>xgtydA?^K9VIdf&kuhD%jd%)Mn>7_rx*z_bli?u1E
zJfE~&8J1#Q{Ct7r!1^l0?H0;u+7D-<mu!@q9jODxK=+az6ssQpTva}Sm6Pa*0jmk+
zGtJP2$WKh^eerNv!k_8KN}-i)?;mZEmRs(GXNng0W<yiPHD5qKvzH|Rf}{|CR=`--
zRFDMY);Z61_U(Y&%Gx*q36S1V5?u6BZe9H6z5UclvxWU<uyaHTF3uKH{I^9|ADR10
zxeZIYyrb&pkDAZm<npD764WbGv-H3e&hMOgGK5xFj@DQzDF||y4*VdJ>}GdzYzWPM
z_yESST|El4N`}{AHcgPhx^wP1VXj{Vdg9!OnlFLE+a8&Qb{)kvm5PQ9t8T~4W<+4g
zA4t(~s+IL#!oq*UBkbtWVsZ)6;cjM#m{vFygiP`1MCF*Q@Z3FP5dYRjL!e6dd4n4X
zeWL$FUVJbdmGQTob@>S0j*yg>{pG}fOo>p1qwllVbaDc8)q0N0k>t+N5~P}j)}BN@
zuaBifsEi<-;|MU;1XP<hp98=5;)2TRb$#PtB@gOy(W?o`(kdWQV>p}7*1X+jd@&OT
zEYy|>)e0kD8W(ggb$k;x0wND2;dceIT?H?@zTPkY3S7SLiLVxz8|hP+J<_*ui+yUL
z=Q_wa_Ne%W9k_I@dJml|tcvDz5TBO74Gi?cQyy&vjm9kVi6-yTrBmy{AHOto?8Kpu
zz$zs2lI+@xtdgLTjSS(Wwd6iQg;U0*xCQwfJ!ehG%G~>ij^(|e`ZtuCs?0TJ{N>vw
z20ZDs5lK9Gz!3et<;@tq$bR!hzYgE*+a$)R-gMCM6>463srI=GtjDMw8-p1UTiO3~
zS!tQP%agU4MZvXGfR5%9w$pma+_(8T=97#(YbRqx(pXGk9BItJbk3oW>YR7=JYdY3
zFOtEPkEaZPV6~&O0x}S_&%yi*#=Fb%2KhfKKMr>0AO#Z|@Q&b)H_wM`z8!;rVvDXZ
zP>9OKGFy{|cvL)}#9pCI4UMJ0fLzpIp)Ly4nj>vC)Dx-ta?BY=l&AF-^x6W+{KA1P
zZxDiqiBh~0!%z>hylRvHe16P&cq)(qIze$rfw?28TLf}ffh5h1N`vFw8SX;>i0Hrc
zA2@9c91R)CvpBw^h{7z}<-KopZTU#H`K4IY1r8tjk6bB*ual7A4<713RhW~L1!a~<
zH>QprZfM+-%_MRJ&EQFqYoExV0kj#9nugpCE#YP58>{6fekO&Hs*&*Gc?4u&M4sFn
zNIpx@{=Vxpv9`Z8sbinn{fL)aWjW8&(2xZ*W{#L&iIhbuZ}M{mb3uD_LSW{4(kN~6
z6R9vgKFjreZ=f%)%Mj(=@Gj(32)$)Dw33q^h&a={(koS%(eQCq%Ay)+PD5oDV3bi1
zb$~O3)Dt;dhU)H*bQy+`Sy6|7%}YQVY_166jpUVJh)zH*dBq*sb2U_?0OGX{&oBBE
z-QyF7P+Gp=K7mYsf+oJNgV+L&6z!nY{X#RQEG3SzS_~sh2==kI_s0zrWxUSD!_(?a
z(<N0{Lp~Gt6KXB#YFDaqa8q^rr{{Z&q`G{Ubo7vR6AbQA>=xTH7oHkwv+E%`UOVy#
z6vk=+bT5aoF2-=IM)+qt3L+_+Ln++tqIT}k!7z?&Ov_`}kvTU^xz=Ju9viCy*|_SG
zb~0PzAZn+?LFBxa|D*uo5U`Sdz>@s-O<VOiYD!F^uOMQ@aNi7+s+P`WzTJq^)5D4#
zomaRWLEdOY^-T;wQl%D?bhWX-m=#Bo9cn=JrvhjIN=hzGx=)K@gJIXAoDnd$HEQ}@
z-|gLMx`h^Tyjd5$)16dQ6kXL|0jT%Oho?<q)*E&t6a#Y)K2n7b*yd1k@s@Pwmrkad
zj&WFNO;OpE^VM4L;P+1KV<#1ue)B{t52G#hxd42B)!CP{mkWOS4Z_Ty^jBAyb7V6q
z(I({{qvurglWaNX7Hd)Q4Kv6JQPwx;S}7}tMWS8;=6sQO6h3#_*pFLNVpGzu{yCM)
z@TSzDPNY{zLiU=)S~jTwsEVF%bD;g5$0us_?Um~TN<F&BgD)u?zT(=i1@=rTx5r<a
zVK*CIlGVZ6{HIJLVGe<CZrf$qp`_eA0^EiLcDI>T<*0<opU?7aF9qA&21Up@Hbxwl
z=Nh?pqmSHwZYdJTtP&r+tCSf2tR%{|+vb{xOl$B9V<8+zz>x2Ps)~oG{BCU(Bj*dK
zD>hp8iw)=aw)d&;dknuH0J{eMaw-jG7PUOV8Deo<!ZjQF$}8xFQ|cx=)?}5`iaxkw
z(Xj5I$pv1*4pxd1IbwOXHUW~wlY6%cBz!OO4I&`dCQm@=8nke(Fp@vuq1*#+KJ&1A
zQ&<Bd24AXa6TP6RAYYg)jHWwriY>`e&<q>Ndq=^L-oS5J-d)YTVB7&oj5w2|;4iO8
z=zf;{woz-%wUzoRI@(=0koi0r3!aRLdy{zw>$*A|V=gT`|BO2RGY7bd{`w49{f$*u
z6`Bvz!?~JI0skCOG-n}&Gf)@nD@=xdC#++>)jCt$aVC>P^Twf0sMvq*l7tBtIG|N@
z0<zs{*JhVSU@!{bGEj~%#?QeI+rOk~El4FsFu^aixDi|{AhN%=OsLgx=%yitD$C9R
zV%Oz$-Jr}|*Vz;F31h>L4%XX}SXd`{IAE972M`#=-SO4pr3_OckI(5MB$`KkUg62{
ziGMyY`C;7outzKvuC=8e(SI?N{z%ifdyQR*cJ*hXuQ#$$nGElN93dxD{?zg<Q>ckt
z+)u7PqvF{F_U07Z<GZkbdk;qax*2$3VSss3BvrkYR!G`1YaMXue34Z@k<xGu#AryA
zAG?3Ktd}tCnE8U|<9{0IyBA0zA9AJ{?30r(Jn<Dua&p?>=!A(#qrjO$=!T%_5tQzn
zWpA}Jtt3Zp`>BF4swP<A0Y+#aP5}QGdAdLdl>J#5xv7Fy7jWf;>@d`oOgCz~?!^pj
zL_Rh?wP-(7DRoP@BgzG89}-s-Pb+P05KEZ2t~3w~lNo!xG?K#yh|y#6g?9v4HHiLq
z!-!;fP|%upB}eP+IWtOVMi_VbipQ)tyel&Alf_u_E0v~v>ShhaQC;UzXn~^Vi&q0W
zEglYaoJWg*P|Ee?Cku52-9S&NNxQfkIi8TcNh+_9>6vf+S+_%+YJzvgx|^!Xa<NI7
zmtf430O>XU-M0C`_l-B5L&%>O2NdYb0&vR1q%)&BWCQNY<lIX~W?Yr89OH@_<SPmQ
z#^sqk1_@NRqn+cXeQac*uwfC~Cgr%i(Om*l*Jr>onZxW~xb$t6Xda1`qAHw5^aTvk
zHi1oq!oQ&~-tXi0z=HzhxV~b<@vV}U662{|bI>$8))OZp=*|j^91ZHkgLF0}t7fOR
zO{SNGa4x$_6qZtzY-s#={+_N>@&`4BLtlx$Pau~RM4A_gIOf+1AX%mWK=_pRdf{z$
zeiG<*k)n9#hRMxbvbEpFBSkt)IQs`miouEg$(qkTn>Uu{Y!b%61kH;#SxvOT>9G~o
z7OZ9~QQ4uhmg+?>AL@a3Hozoqu@VYryVA3b0JEBt8L%{QgL`*Zk*Wj$2OTnFQto6y
zB;@3?mAyL-^t%Pw^^^bf7CzgN^U=O5qjh85?FA+V-MHesxk@n=ty<vHw-2koDWCO0
zXK`Y5MN$<XBE?uCxO??cA7y8$Q|W&hbZZjNgZX~|9!V)cWmSH;wiIX5V7;_p9qWTS
zOdLMqLf-A<X2WwdDJ18u`_xRf2R&p~TKLao#hWX3kmNBHeMAv6pvwiihxjh-6+PR*
zMt34#_u;|6SPSeEJv}{o&$71~b3x#YPOp`KSlAqO2QZ;xE=%kA7SHfwfX`U`mb9^N
ztpgv}(XcKi7eM<&l)`Yk0Z4<G^MV*EMwDx_XD>k>#DC`tGz7t`Ezc|Bsc4kbK465I
zX+34L(Y7_ExbyKV;t{0iBmKIZL?fAwsWr5Vxz47;2p3+&k=q@Sp9lXMb`-~6QV8T{
zL|Gb7cxOywAq1ZLqBwm-P6s_$V~%>Zz{lIe;1Eq4!ElB{>9`kngm)mebr~Gt@pe9k
z>6Qugf!b}GhOl)>VK4rNf(MWDX|EMMTy!HY^0LL(*dzoV-3)_1)hW)=T*6SCFZ@kT
z_;plnW~pq7w?`x|tCMEr-InLGvHF*gGP!Rs9yg-FzY*!2_-o@vnDG`4Mq)LfCFW@a
z>VOTCI%IQD<3hiRfXBQlmn|jtgMcNblRs-*p{NMPhrIPLEkXh7X&?a*vfD&xa3F!m
z6<1czMCd%_t8>1cGjFbbq=-RmzgrDx&75%VwT{zl1)uM60Cq+(^2oEiW>4bKG73SH
zCR{bsIt-rJp6YA%DM-DsR}#IhYYm3GwL7=!5e+i%^)1dpL?eB`<+6g4)jy{bj~_<Z
z>Xtd+AY%7USXubyIRtx%W~2#~7?#>&N>Bf@p20`mldjt{);Vp1>sdE#Q%y^i2sW<>
zpNct0%L!4@Q*|Lln+{7IBLP8!%>b>NYtb-z!3ps&2jFI3N?$^Lv{<S$Af3Bnab-_;
zt5OG?&TvImX0jGTID-a50{2Rc0J;H$mr^)=ktT)`OZYm%uM}jK?VYlr(i*=by^YV5
zuMkIG$2|e@3-_n*Ibco4$@jY*jE9BmQCrN=wJb<B(f2uLg!zUAKH6pP^Es*k3gPF`
z-;~HyOqX=uop7F4n`k3x!<=tfsn*zL;q7cLjXI2x#+3JCp|{I*9(hYrC{(|&whH@`
z)0&l7QDKtl{sNN=lJaeMi6~67B_V$<Gb>JR<RQ9R9>6EUKR~5UR;&UtpQGSbFrcu|
z93HOiWC2WtC;6`K8ZIu;=e;PA$b}<#`;c+aUmtP3p(D&4-E3Y7woib6Rt_xrC5#v<
zc-@i~eu*!NIr=)Rh1a}$R2AIy<x@}iAvaXqhOv>=1?$?$>_NhQ*yY)>W^Jf$;i}Xg
zLK3S50IEoNgo2j^w?7#ArK5u_U6B&>hvN9@L4(j9F4n6sSNR!Y@&B6ir8SKbbZ;`I
zLeruDqlsB;U%OzMVO88l!{KlbGYp&684_9H`ujv-nnQ!Hiw8#WM>R6{JYwj=kfiqO
zU2Qb%4oz2T4@dhdXXc27Jv~^?uAF<E+Lpl4mW;VO5%1YLb#$DW9*FDz5fsX9^t#v#
zt6J?Lq&>OSy!oc648B!Ek=BQQc7%RYt&fW%v^ruN2Ts+x^J~dfm2Qf<MLp3jh7`MA
z8(%&SH;hy4r&bzy#TD|7)!_z+nv2Mmnn^Byi4KNbkxWSyy!Fo25YR(xvXqJvxD6~6
z$j@{XIe{SQw$W9DXKQf%Z}K_S2m^76Cte{+TLkhA87tj^d*O*+9YQthXKA#{J7ppL
z1SnXxsKeP1OOZsvVOd>ub>9?XUK|8k4|oUUDsLgVu^yN${XEh%s<fty`rHr|%ic0H
z1DR4HGFh}$!TuH!OsyZ2FD3qV*jzyEnn?@RuH*phW_eit=k3qsrfFn+9bUw<MCfcw
z>nH;-)DhZvN|8Cw?KGNE2<u$PP&5IOQ+*ULfHx}QasWSGl=6bCz`9lvuv(T}M_(tg
zwE0ppGtGzJRC4tS2SHXJJRhX-oPxz;R*Ty_bS`p)$|TWYJX;ci<fOV#4n!6s`gu;f
zDz0KOqCLwgYh2$CdTd2Ads~prx4aj}T^wCpG6|Me&%BTDMxQMVO<Ylq={EW^fB%?_
zp?Lyvza^2p{GgFW1dL;|m8VPcCFr24GK?z_=PG}+*!7YlBHW|u>`!9kI%+>^%jR7q
zhRL=d-B{z*(9Nah0Su9Y8d$7xoxU_Sp`WvxL+^40XpH<vnyE+Gi=Q=_NUeH*4m7IF
zAn?H6XyhT5HS(jk8&$CzVX4`8(+xAMntrL<Ey2Vc=}6Ipcz<c%@7DyD<EX}YpV9p$
z1k4xtRp6L%9{g)vODng;_Bko0V*H(BYFx<I%<PyNTYDzloQ@j>gr<I)(sjP`q;{SG
zR9eCnrx;dKlB?ru>6PTpph32|R5v~z`Y2E8n)zBPCS`54e{azKEU(@$n$t1*`n%-f
z6ia77z9vWtrOY3iiPN92fv{x64~ptfEzg`oI5|zk6THjJ#1{srIt^c9UW1rW$VThh
z9bms_zj3?1Y`HvDJ))Uo!&J$Nv+z~<$h|=}RH0c!OyKxR^9LD~Nhhy9`?$+|>j#0e
zRP^*`%^rX=xlXWP<!GoS@K{ac^T<I(KDl2`OYl(iOS>#TBW#NEF{Xp_xE26T-{eNy
zgxk3^gc5>0aUu3HbD!iuQZ1)wDY=HVqJDVE7W;3ES8prTlWNePXmY~SnU!Of61JOL
zxj*AKArP{FVR5DctcI5uxKgF*>A>2yNs>9u28<(`s}|AlO%v27z%~ZKaA~_OU0HV`
z*b%xPZoo$PP~v}1hx;!-RH)R+jwnLvD>2II={rCDtso;XkYY?8ghdhqzSBV%^cEyj
z1jN;!sN^aD+~_MPPK*EPGa2@2l}k^5q|mE?NgIlvuwyCP3-JXVuu-dV>lEhD=&z)2
zICH&5^-<dv_%wq{R-CcsYCQ-tBc5`_%yE4PHFP2wh5u2jJ@W$005S^=i)Qg!jSDnR
zF`3p4_K!ac)*{=oBn3G27j&snvmFlA_t~Ufk6OXYaa~q+$I{wg9J5F*taso8FYX2O
zb{9VtIlX2Ei0<goPnI3*>sX$}Mi|2_u&GKFwpiBfoOCaPsriCRZxv~mJXk{Q5`Bx|
zU|$uEnK(l&ysh0qZC!mj5Ns8}y)B4jB;xa-@-|Bb{Y|3C-)(ln2*1+xV@E8=XH_qb
z@-W455>96e83~3bz8EdJrZ49}74Nf}Qh=Edq&v>>$E28w%|r>*8tAwDkQ~f&d~_gw
z(}msJIp3su*ypH@Lj5LZ--0!xWn>Y!KNRZs7T4tjyO~nx@;MTJq!<#+XHG<Tp#JWd
z;2J{B-cni#_J4bU2vLSAdC7znOc!J$2eTbFHC!;fNwC}-LN!v(Do3A!S#KulirLK{
zMC8l5x^Mu5`yv);XhOwS_aXT_f2|~H(K-#L5Q~y7b$dakom-b_P#v}m%_ao)l6{)E
zIT)|8C*;5enqhwFxc><XYGb|MYcX$*`GCjeP65WUH&=KFE!bYfs<nQ-Oyj(6!EtN;
zI5;4pXsRkvVEH`P!+)|+bu!KE%BqGEhhwh2|4B%2a9al4Gs6?o^DXz(kSpncIDfZj
zDU~K}_=F<Lfhk4}$n82Zpl64R_fI1vRbmk%umsyLC_!UYOL{w`;zGs8zXuhkSc;Ko
zIx3f4``zGG4-11dZC?dgKKnqWdE>jp?w;E-_bl8CxnopR{K0hp5TUh0Th1#=xu5w0
zTb;Zvm|!H^xv8#e4aZ4?PEw_PO=%t|BRFlzQ6{4Z*)jo7;vOZynAp?@vS<rRL?#Z-
z&)Qa;ci>HStPh%4FexKBuAe=v9>6M#N5NSxM_#CiLVaWwdIvvbJZfaa2is|M0MUA}
z+kvFQox7Uk04*2d5s<w`mhjt-JgPm7NcSG@+&lX_RQva7hhp9zgcuTlZR?XwGJp{V
zA^8$pI-~D(olv7>#FkCh5j;O8GvDIxj|oGM^wCENCpsSE^v8vpl>I(L3oez166#6I
z)zKLS3MNB=w&Ln~s@)}Kj7$|M<8-PREi_hV<f%O*<dfwK^z66Tb{(ZyKep*<+byr2
z>@XspiSE|V^YX66vwaFo6JOvnxP_HZLE<H~Nsoc9cAmM`tYDJ$ylDRZ`7h7DE3^4H
z0}7XG*1R#^S7vP|Cerz=IuD1z(E4L;9eSaHNu4%`lUM3`8px1hddb0q-NEx^hjzq!
z{{WGymySC9rX(!6)qN&GhW1lWBDloC{LLW?0=8R5KD@jx_Ev$AR25AP6L54*$P+23
z4Kq-0<*_CujdVV6Z7$N*qnM1$f~cLVp#A(BJp7dCATvtX`ec7Fn8|f&4uuf+UYP#z
z3ctzYC?@G9W(+t&I%NDH7;+?8DPOaG^!b=yQJcNG3y~D0|NTXA4ASyAFr*E#1)DuA
zEdAi&mOOMAY*20wx&`ht+^Cr*KD|N$0p8IcFShSu6k2M1TIGC|EkgMMxCkS1_oWk_
z0%&xQpW-4k8R01IvU{0CNITT0>PG5Zk{Hx(U=#Z!!Wp<omJnZnu;w&r#M0ZrU%lTF
zIk~0EP3xye=JE_2k872e=l{+HQIl^UNvT~hqMN5)1a|d{0}SD#F04_+8xVo<NSPy5
ziZm=xw`hzc)s65H!WKc)Df!aNZd|FKd94uh%+R}S7^8JXd<Z~mC)CQEWM1)MS&9j#
zwnbq`3pKgjf8&B6EvZ4+xcl8h-p#BqzqwkUxMhGa!{`heEXh|&U2k}QdKl7hlncCE
zqpa){pfCGYU#x*@hy);M1l1UD=-U!tWxgse7pwZx%8qD_M;hKe@gTqIcK?10Qp8{L
ziWJm7@8MyLTv_AEG`O&qA(~BLOz`k7<{;a1PsXjb=gG2zLxJ{1_dObp?x=<RiEAOV
z|9Jq8iz*=xTw!*bd&<<N3i#}D7VRqcoPx;rx6F58=}!A8?4gN@9kScNhPGKt$6*jE
zhDfKqd80e@h;k_SP@e8}WRO1Er`EH6k`Rw%dr~OJUmg9t2(9)BPTSzt`oR_XpsJpU
zIW^6Vv_E8+1~nEYkQt}ol3#59p;#6w+q%h)dF2IcY_I|ZB|@zpI;77b2}@(E;!@NL
zg~St{hk3XVgqO2{lrMGA_rXz=ajj_6B>d|(2N~W^{FDKhpf@o=b6^D3!1P`*(^(5c
zrkI^KfMQsZadV)mF9e6bp_Llc`g|pwjYatWbz?1Akcg*BnlS2Z!VK`6>{qFJaJPyk
zoM<u|r1cn6ZX8QA-Ou@RUpNrrW_aujHjf)aaBnMS#hzlWOk`?qGvrJDPV<|c=<Nrb
z`X4N7P0^{YHd`eG%rjb{sNe}pH&FB5E41k(*r7ePx6H&CyvN5=Z$xO|x+jlI7To-0
zm(Ya&s%`Z?*Y(9!Zc?7!<V~~(C6GK@TG0zih+2&+2;(xlsO9HxVek(8=*c7CXfXU+
zi^m2_t$NM413xI5b?xNur;HFTm!CI6>YK9*qa9M34tDXjYqz|n*5vs4p|}bOYyoMg
z6S}TMdwGO*kyrNFs2kYF)rPz?t7Xl<Nb@M7%jw8)`eMg!XY76qC;7lOv&$eQa>%7O
z=ZxhL(mCTG6V{}$+-*cBh+g={Fz<!F^M*VD)2IutJvocGCrUnuw$JGyS^t*BC@aEG
z6GA5Uitc!ttyz&*Yh0fNF8(v@uF{JjXB7t>1MtLsxizbi0!PnCSr^g|wbaA*hm2Yn
zlr3%OR+PJ<;iglJ%JY?kK8{iPW6cYzD$LNllqZ8bzjH<5+<bb0=+|kqkbrA$39+nm
znC1c=UN$d?3eBv_)YB-XiB*Mbd;1?P{Wp5x{werg;sf4Km^I+#pk!xwXnHcyCtH@>
zv|8BE5|Z-`F*&b3Qq*Oc%ZY?Pv<vPc<M&hd;S`x{gI0-!;%;5XqW|XMVSt2S`(r0o
zOal2QhhG!Z#~oValxy@ks4&&Y6DB(((<lRb)LnIX^oPblt){kx2^$U)33HT22m7(~
zz*CW+IKN^VY5gNiJk#Q3PvfXUad4rtq;GUoFJ<_9p(wv8AQ|RuT5Pbk1d|8e_`38j
zFx+u;`?t!+6XgfekqA`caLkJua5N&!w#|@sOey|9Q4}`~{N8Zmr-%5*S5G6#QvnFo
z<ovi#@&n|l;I=VUwWQRIhiuLd`(CkKtSI$66~%&dea3EomJA5_7jkCx7EWJe8(q=w
zgl3p6AP_{dgLfpKX6yBu6B+How43wu!jAuCuvPFN{lvBeW!!|G>Y-s25gl2aiJu=2
z#Ki^xOjr32=@GE^fJG1ak_pd1EyXChYI5kAGL!i6<}09fK#?B7KkcYgA1Az}Z)P*K
zL(`y;mPV0Z02Dnd86Xc=EzBQo@q_oDxoQ5eo`T<6={%Sq!U2B6`$#6R^cwA&EEDe7
z$W3@GmDd`>mj<QHm593yoN!|Fyg!{ZRTqOVJ=%5iyvtfSg;$}PSHi}j=C7f$M}I$o
zFE*=%$$uuRO11NKu8OKE<9o=LNUkd_f$XfzikYUz#B&qXhjM@oMeD1!;2=TN1%~$n
zf%!kR-{B-w^eD3(Esv<mX{Ny>_v6CIHa&Soab0nQ@CU3-JsIoR`gb^FMK^_dPzQQ)
zP6>@eGT*uuOg@^$kUw=hl(l=I;FFg3XitOpM#scRTHyX2(bD8NE3Pz16y>{s?Rj~$
zC_NN4b0h--fCP=o%K{MSJtSuQT$r59;|qQ40&SaLr%nI*w;j_R8WLw;B$LmT)b96-
zjmY+46C)_Xpc9XM^&jG35k$;Dtg;mmsgnI>Li!1a!nuTz%JlQdkYQMJ;mVeP;6}81
zJ}tnx_R(4>*9L*1qG!AzDRaW1{~`=u*xm&wP%*Bj4Kh(!TYpeIsHlxhbm|Crj!?uS
ze(F#fd@E5ss_9R9a%#Oi!$jI27%pZ>CGeZ)^y|2(?PbuTww4rV`~9WoM5oWOGgV_L
zqR;wfp<tq$AH8W_sXl$iwr-g%w;DrM))Q5sbJZm+nWF1?==*`-7~_w`z%py=86!|l
z-RC!L^RVggEKm0zKi;bN$f5H->FRS*5LvoAAVEC5qt<*FK>KTD$GZ)P%u$B3p0tUy
zRhLKNxQl~FlVm4<ptF5n_#7%gPRb9r1mErn$zz{0klOM=4pVX396L&!oL&5Lzts5r
zK!igvTxrFgfWdt10Tjsh_Cfx=y<^9n6(?}r21SLkWQ=ume2=y>bXwn%>8dwG8(%G4
z>!I;aw_N3VMiD|IB3Orlu!~?^MSB)E<FM`oz{2`?Vk3~nV^<c?sx9p)vxk)|3pKU+
zTV*p&twdp_{7gR)K9|+`{Wiu4RRocmDzyl{x!UL^vTc-@*WH|xpfwl@Z=79i$I_N@
zzRuS&{nFZYA!LuC${t!D^+h`Ur(4t#Rq_X|xGhG+bBuGj!xN$-GOXB4G@Mt9-WDH8
z?un49;v_!9^)OHY-W5zPj3vZ=Q`Hyu;aKcBx<v^R=nB&5o&8wGPs>nU&!Yf+t4Vz6
z$%vz+#Twr@w<=SKdIE6B73W%{fpZ^nliKrO23beg-chVorpURDk4}K94tn20mM4+T
zBHdC46-pA-ib40mSO)|)b37wrF|dXe%GXx-5$!-G0Y((@((1w9Q1de&X#IM0tn0qJ
z8Y13wjVxXoB_ll9T=JyAP<*;O!`+#Hu#&4s`cqFT#d%5!vAfbEMM9c9(BuPoU&{Q!
zqBtj=s~$fCBj(sLN34oq$#l>=X8Jb>KGdshkQYS5lfJ(jNq+avaN^0|^0@1@<2`M{
z6n@D5KU5*W7V%K+20L^yW*3+&{1*3@_Zf1Cms)U`htrqX9zQO3S>-#Vr>Mq0!X4xI
zL=Cha9fmAaRZlF}_lVu+w2RJ5XI=vk-P|T10Jzm7)IGZgT1q^+lCr^`&YXA&`?Yq2
z+v1YwoGp(Q=5>K*8AJf8)M$|L5c}dwAHvr~7O?yt){8$NN`i*d@xVjWrZ)i(w9L}8
zfM3^FY9)Zi0A}$W3QjU|KlCwpN2gzdM)`8f2U@(N=rBaj!0~S(y6tUEq~@Nb&`|j4
z<KoR?@#z$Zmu!0K$x#n&3LWe7DBmj<>&qNgo8^!{ezSF0mL9}lijRwEIKbW6)_teU
zKpkh`>8Wxf=#zVkTt6C3B9MCq=(_OvJ(YDC31%7ds1TK}iXvWP9|yGQak^!0(!Em>
zk@x%)&99A{<NX`8ohQH9%ht3_uFvut;>vSrA`bx#4?+AdP2a@8k|U;a)xwk(3VSKz
zoik+`%FLPk+@M<DY+^1t-}u~qa_Z!=etnW1tvdpFv)4I`nx|pHv~B8Pnhu*Qb6@dW
z`v>l@%UuS;z`~2br6f|}yT7)NNgQ_I=&HulQl+7N4Al*(n8K&8!fv!T(;k0IJU2F(
z_b%UkZxUk(%TlnJl_I2BGEn-SB@X3W8_FTM9+dv(rZ9xct3egvdL%jOH^GLi%1~n&
z{n_hR9HvJ_7=PUEfMp%YG0i=~qC3Mi@B6cSUxYCy)E^SnKIS<~3|ZSD76go^jx`2d
zEzds@K?AUj-iNYE&kgSFRS9f;)xLW8QZm1C#ait~m<8Jv#aA1+@2fTOSIPs1TFT;7
zQvR}mz;8-b*RD2)Y-ZSg)Dd)ZuT0yN__+~mPE_iQX~B!~E=iAqMU*XwAPMqOWmJOT
z{z6apo&_)pHZ+UndEbK}5M&9TbRdsMW6npydgw9e^?3$i4hosw9L$&6N`ig3GwKUh
zEoDRZc<R2I1}P%mrs~s-n<(lkDgVEGAi977%Q-?5wVFnfvAubVsOkS9p|9fE3+*rD
zGz`WS9h*@NcOiFvWh*hoI|4>)*0>B^#0DhcB{AC}pln=JZN9oqdS~LOZ=QPq#TAO+
zKB7tMMC3yIu9$wSDrV#XbMSNKC_*x?RV{<-r1eov71WSyn8Cc%E84?5@h$Ka1HWi@
z{jK?r@nQP0?QBzK{pzaIazmMrnwJDuCuBRblGn$YA`j>2g)M2lfkj0Vp+wQmZPc~e
zNX^n{kiCBvbXIyRS5^Sb>StLMRlltLNobQN%!7q-%TiRb$CCx1UAlhT%rl~*PMgcy
zKYLmME4h0LuEV6Q&6xF%Lve7el}kQ-D1RQeNj+g-t0wD1>F)xmz1!GMdE%)>&xOEO
zN#JJ`{S8vkKFw1Ih%P#y_dAnJhq#+cE<t=#yzJSbm5yLY9=eYk{xXG2s+b-k49AXu
z<qYM_d_)rdW7Q#}=<xDnub{O)>Si@}SD*5)d2}PpOxolAE8VH4F}+y%5|{9*X6WD<
zjeXiEmo96|#p*>2kybqHo3$Hzc%)3Fy;^9o!VF9Ao~j2rL(LqBVmTN&5=m^6@imD~
zg=ldp8_6X90c-CxV_M<f<lN%Xu{wv3Io}C|BjMFy`jtkSI?DNsR~7TLm~fTW1^Z_w
z(Rg~?%i&jA-i5lJN3zoFhHasDA>u5BVCzYGM^L;v9M4<Q4Gf?z(OShK^PZGHZ4`tj
zKmW5ynQSKDLl<eUJWIl_Z#00$ia1YJ9m8naOT4F^xz33ssKOnaRGoe-i2gz@`A1s)
zFR{^5>|I~lOEzfjOg)vf;pgGSN&gXojSZ-y>|=4J)FHvLTJZz~(3Z17$t$hgiA8?r
zc~7JPj)~g;nB<(ik`{x|`Zfj39HyTpmn1FjrBu!62VnX!I<^b6G1lc|F1=<SaI{AW
zj!pZ1<xNW{F{3KrQcDo-7;JZ2T!u&X)O(<({u^XcIR<^1O)fmrWS*e(;cFgP_QE8Q
z9K_Xx?gemozNx@@!!Zes&vodC%w#h->l%&+8)ML1w}i`chuAvAj@Y(45%%~N#Oszm
zsxbM{1Cn)~mC0k(%H{zUT4JB*;0<&<Oir)W>->qJSFiudU(*D#PX4EZR5qHSCz!oq
z*J&fSsGBlaUP<vIEg%18NLEy%1=;ko;u=Gj4r`a-lmMG|l%IV0W75Z(TQEjf?wp1$
zy(y>KdvPLqyRUAqw=VC^B~>QL0FKVAA8|3!>-v2IJ~4Ljo@|-jALs|t*iAS1c5q>4
z(^bach^!4La2k?n%}Wu0BN4^}ZdW*7;EsCFDal$XmTMaJ&1Li_mtLK5xqc6@DeAT)
zd|JX%!)_yNoSc;vJ|mWq0%V>a&&_TUy-kVqa^jd*WI^72DA3E#u>AWkU@~VO+`i?d
z=(0d2!Z5@!6$#OmC-*@~)wL+Novd;Aw@CHI*f@K_sO3+}S6Ohxz}pGf?;}Psw3qV+
z;;c@YBK;n0sCU=nJJedzcInxvgHHQ4o~E{Y8O2D>@hfRKr-q-F?nu9cD&Eeo5)==P
zKhLj9s38IQg48<3+oc=r`neD#5zZcOR*1`jmtBJJ$%20n?7&{Gd)5;W;NbjYZq<8T
za>z#-P?ynqSVPQm;K1Cw7ag_7YBwbR1Rg<CqJrT(FSXsM7`AhBTdQ?1iUP-OMU`eO
z@=V8*EwwAvgjjD*>>4(qMZfw5juWwp-))V(!Er|L?EB5{nc2OK0G`XLvknc~BXSl{
zNlSg7Z_~i$KNvAvTkKfe)0!Sd4CkQHixC&4UTI^l=-jxRi)hMqsjGFYx0F8I`F3(-
z&(k?Qk~pi2k>3R-o9@iyjPg|(3@iH7&~J}1@aeStbNT&mz%?CY`R<Jgj*v4)6c#Xo
zjf3Pl0E~42QN^x4%eB@^FU|}BegSNU^&~kP;-|9WyK-xr3ZrWCelanO3|2Rqq$~nB
z%SjXfJtEdOl=N6LWfd?Y(*_taS=d_9LN`InNh1A^fUPN~_Kd3bAA3~yD_yHZyfMq-
z2MRxPhO#|cN>{%LUj6gdJ%jcVeU-*^biNuR`=w!$ECdCgm{mAJKs<}MTnIK7PI!p&
zU`*9<yn|poR{~|^o~}6#O8UEDMF^&*1+C0r#vFLAF9P~tTj#ZVSTfl7KgB7DrG=bT
zc<*s2AaDJrGI6gMOjl_slQgn^zcWGH8CWYIMBBxRs+ZweB&$K2C=f8YIG_ram~?!Y
zPgB9*naWmiRs(&rfP5j_7`@Vd?0YUH(DfRay`};~=I?23Y*%e<@J%^AKkdTu<JPzQ
zbScn38)|NeLzqS#?tOr~KPHlo2u`w5N#2;vr8vgC-$DXQ_23U!yI>v#Z&luq2P*8^
zwnWrITZHDN#u*S@6~P}G7nQ6(v}87nzoc^3C*5Qppq9DjeX+N`B@Bwka%q1JGi{=l
zaZ{XT`yE0Oz8;;AYJ>O&4XeA3?Hia*c!t?#T2C5hZD_~M{NEfmms8w>*;VV&i^Sjl
zW7^P_<NL5#UG}i(V1{<BDr3e0Duy*a?=ETwMq9iWnUT}I5FH@YQ6hlFZsYyVgZsel
zG}HOULI*NtzG=H$(stofCU{q04(Pv6yw@1zA+3VXnDOMK#RLdZtODQ8KOV%B*;Ubd
z2csCFOvALd4k#@lHIUC+mb*_GR;?M*`G>We0vX6EE4;R>LdY>x{$>9XyXt~h3jspK
zdLzLv)sJU%YOn+yHx87ku-D=}Fo9|#Z-0onj2V~}ai2_;jSl1hu>;0azKl8mM#)m;
zxt|(M=K{@02Vf=#p#Gc-P7z~`awoJJEhgO?B&<b0KPQ%B4|#&Fg(BB;Y}i+(!<PVA
zP6Pfi*VLkDcC4Qb1DKJ?F?Dt};L-=c47z=cv_PK^HpXa(o?hXydOdB)XPTKcrY;Yq
zGx?yG`H$pG@T`z<A*84TRm9<3T|KQW572IuQcdWOQJHl}$+$;4)=03QJ<pf7l+QTW
zrOoi1=v(A~U9|PF)FRq2yeXdSdDn6#>+7pAlTPW)0*EFD=9tYbIS#lMI7|JF<#z<s
zI*LuRqOA=bBJu(d?oS>jr%_LXa;mD+Vsx=gtD%RVO}AJGst9d|_D~?9#w%Wovp4Oo
z?WXCAC71T?4HohUzVg#)|GO4xqvM9G6ij+!4CmFC3|S(b%{3);Kd=RR5Y$)yeg{YX
z^~lD9ArUzH+NDWL1I59Zx^EW8mVNj%8Gk+1&Y&Xv5}>L~PEkCtHi=@asckuk4nFiv
zy)(`ekmWRtH$t_6yR!iS$G?S^w*S$wC_i+d5n!?}FoP4rV&b1duEq)9*o4}{=N3}s
z#TBB7UvR-#7MyeIDTcJ=yM8&p2b|<R%NV%4R6`)CjKvetrV8T=dgi{menk&;_&09=
z{CKe;#J&k4IKNC50_l?y>{HY1l!{d8+)~0c4VgRY1oB+=o2LM+NFN+kX4CTvf3L%<
zc2NC8lHJMk!^-ZpJcHTl;!-N7I>ApmbHLgt!cAna$?qKZrDy6U{AqSj;PeZ@C*vj)
zY-YZlH74ey%4SpthVMnk9TVzR|IZo5r+53GX8n@pL%uGPn0~dRjYo_PHhN)JMqr{<
z7!Qyk*1U^MQ@$I3)oKunD-r`iDrm0O0VV5|1<et%AY0CNUE2wr&+VE3AID<AD!pjD
z4Nd7DSbwDj&y1{m@}rxdLTm8aD?MANnM5q<^aoL)w>=uoF)+ET6QL-$M|D%@LT3%)
z67c|9HnZ!Y)x!Nsqe1=7cAUBEP`zJ?jGeVr^fw)0dl}_MM3=J|)Z&h+QI$<S*y1RS
z+^KLxcFPiOzQH|F2||TYh<%rz^A=0P>))7E=5xHueKlhps&DeS!vcd;a03x>2@{r`
z=cpQ#s21$X9`j@zN+XZXMJ_p`8!{`5L2Nb^Jur03j9GwKZ_<2^j1w($k_PUzmRu}w
z;Hu!a(rdl6YR;Yp-O2#eGKxAW+4rESwOb?GAxE{O4hW0%9QNN*Sfxip#uTg{@uC`n
zoemQwjrP(CcGjB2ylHZmO-Cr=uq*#=-4HR*Zm5`0o*IZ26pzzL`?fow&#8Pfc!}F2
z?eJ~R(jGod!UE0grDQ?YpzAs3=UFy(=P`e%uCX!wO=_9J*~_FM@R2&?6)q*(DDD)B
zXJJxAH+)kpGmyfaef473WngrLvdn}<gg;LzhCkvMk-9B&NJI8;o|2Svx?uus$D)ml
zLQsJC^g*Lt3Wh2UUkD{A$KA6e(?lI$R6*%S*iDvA#dmr>`F~-T^#9%wH^=Li{_=Yr
z$PKaQ+2reb8m%V1c&d6@>YDYGW`I^)PqF<xY3d(8t~`nvWc3F}yC2_hV|=b81D;p(
z_ym&huHOD`4j_Z2!rj|<ft$X8DYi5e<yO-0>#KK&m;7_tVJ9*Au0pNWWRbULDN91Y
z)+mDHk%IF(K@8L{H{?d)qVH2`kyx!6+h#eja(<&<q(}Md9gxo+;Q$=4Z&AIZg2U#G
zM>VoQSz`vcI;8Fw%>ZE!p94c3KlmzS6r)&9M6s5>CwVg<tSEtlymUk<h-A&TIF|6D
zvV~Fk6t@)9`{Li+A1`C>H2xC0_z{d%zN!_Cf!_OaM0Ae?GB-v>gQ~P3BIBG+Y=_&|
z9(><B1ZduNbG<P+Hd3SFknVA3e?W1;QX_^pna&DkgOjIedxicK9tB%3NuHo;xv_K_
z9flbkjji5TU;GYV<Tk|)JKo>xwVXHb@%(3e^JHnP(?e}f5{Cy<y?myxVzI1*Qew`V
zPkEJvsHf`_fTV2`IXPzJPgSVmPR<d+d?k6^GD(yzk4+pWda}ni4rhjtq&S@>hI&Vr
zN?|M%a>lw6ns;i3;}647J0JnV{SZlh)Gpz9+vrehc(N&1rqs+~)bk!V4Ok6##2c+W
z*vLoF5D;b&@=a~2Y`TT?yMLtWQ-}^1l3Tp#xxA~3TmtF_6LP8<MO}zRah)Y1?4Uzg
z7D1m1sc9+a0%`$sv`yD!Hv*Z}x7jGRpJuTXp8FyBGqMRS%&tR!pR62n*yUeAR8gX@
z5f-9`&*t#5Xw8Wg^=Y_`(E_yrnb)!7U;n<v2xjM~RPd82h%KfRFel@RyS4eR@^qau
zm;gll)uGzv@|w{@HuRK2Niys%=QUM|eBQ79^pBN@0i%fic2z=aZYx@}C&K^elP<XR
zVWuFHnD)RcF<J7{f1+S#qCV|H!c4ux9-+2<RsDLG&0H-AVMS(B#LyWt2$_qh6A}C)
z*J9f7YvKL+Dn9l&97xUmGY;$h=R1pQ=|IjxB_A1g7H7tvhpR|LzM-HNxqCCWU(k^}
zF1PJdv^jI@H#Ca8k#(?Pac{-5$b86)#dSzS)g0Zl-BdNrHz36e&+$<NiG7O^RXCH+
z;coeEa(cMoHe&*V&@vGcXM@9Y(bZ*o`&9iQZ1u>RmpQ(URY6@wS9I?e`&8SW9`>`W
zx`;=laRr7l{oNeg!>G^(wSADwk8;!+WqPl;geEZck~0di0>x!9GJ%F)1hJjc*{!RJ
z6;9F@c~djct3Of-BA)UZD@i`=2YT%_5Ys)YqW%HhGkGJAv$_q*`T$Frf3#%s7Dg#_
zpl-~HTv|Y)MinjB?Vu89fdq82C<qYyNX{^KTD<`flk7c}y-X&1u=SKg+r5q&4txwk
z_Fk56ztG6qj;}`q@pqf>M>m(y&xcLC0<!$&2f3d4_+N(wV1gRmE0v;a@e<$|^zNLi
z{fdk$H9-03w-3$SvE$zM{ZKkr2dlV;Dwa@ET=;SPr(6mUiqJ<1TZH22<$>~x7lwx+
z_}4i@aHCL~IqCQYq?sT+iuDX;6y^?&T)!Y%c+cP(xpkagZ5BC3lFzl0yna(fSsLjY
z5_GdOif9ooOHgFzWt8<N5=#mTPw*qIokl8a$rEXL7Pc-LZV)9>R__zs@^zCunE(+#
zjnod=BJ>6$U>S;@Idz?!x1l1lvNZX|woiaBMm1t&?KYWBZnvFMtC=nK-yn#_wLk|g
z^k&|2#SBIT1UFY#O`T34TA1tHXs6}?swQm8QQS}!W27e&h&8<o`+0v3!-**bD+VB$
z$v#q}5lQ}Tl%HtONiw6?a%uMF9MQgwa<w1TF9Ke^00T69pDQfzM-{WgyXRcx?6V&`
z|F9Sn)L=u+SJL&wR*;U!J0)eR2pZtU&Ws^ak;CMH6^-@nDpo@Z2H7SQS5yQDe1tcB
zPU%lada&7eDgXsTD?3REf?4oJp||zgZ-N9RG9`8#%N(fW`f6;*-fz@{qg*(UpxcHD
zBH4obysMd1a!wHw*OLk;72Em-hZPa4LKUb~90Le=9(a#;1vv$1Eq7s8$K{-?W_Gy`
z>qS;twwCPv?3495y(mAkvAX-jX$KBfGpzgy8!=(j^L@{BH$`x2U)k;fE$z*g%ACH7
z`DZoG7!u_uk)FqsA#b(i=T4u_Hu$3T=#m24hAMS+;cWha6gAE^-PaACRv~u28c6`O
zA$(s9hojJ-u8!_wQMrs=#>!O{g_>_-VE2~rinx*jj`o{p2bqWVk^2LSb_KCX_m=$O
z>2~rE#Rhn;94XDqrjmXpk4`67{rH&&+K&)ITWfN67CS6Gnkuhf(I#n%uUfCH^u5Vt
z_U%MXPZ-ZQ;pff2EFoMP8O+-R>C;9KNdp@BBeG|+4M^@^DvNyg@I5f`8I34l_-n~;
z*3%g5PFV+ZGYIdF?>ZCvK+G`f3tl?Ve|v_!6THaK=_ZkfGg}5_mbyreBs-V0C20Cf
ziQv>VpOrxO#-@&3*a|`<w?Ic+UKA!AIX2nPnQ|!Bu|o{Zhx+4P4s2n)nuXeISStB_
z{Ruo(x_M-yP4=EiG3){095uY)!I0bkr$J#!zqrk<=5p!u``PRtCa;+n5V&N@?b`pP
zj)6sL>ia+!?S~75FO#=dE}TF_Sv0GdOy&-;!To|Y{f5=D5Rv|~;?ofC#2}`lpX%Ht
z2qy2hae=nx`hf_jsrp_E#F?wC#oCXzbU6$WHL8X}#9idRWiD1z_B}?KZVz6+PVMS(
z6d@U$0IxZlq2F*x<<XU)IlDW?0#ed!DLfUGA)x*7FC$4A&_3F4R~77lXqG$C3>+k7
zzmH8Kt<*if2;|CdrIYDPHY^m5%j_jvm1q!3kgg&wp*<^iFfRZvK+wOz(o<DuU%HW>
z1EFnVfzRwm!|*ruH^U1VBt`R>sd>UxdPE<So9orXntlAxP1b?AkRaE%<VNPVOH;Ie
z0A62x{<-GG(DdcZ{84D^D(w)=dy(uY5}xQ(Pa^GyE?wkNUpFZG97k_3eiU&CnI|<R
zwFcZoWUWTVQjSf1?o~$Fc~ZbI0EN$7zXf-4mat&WqM&ON#~?P56Xivw7}%(u>X;Ko
zJQYIjy96Un6!7!@E9xZ-%6Xt?TJ{Yt(a4MR8V*^A^Q|d4`8gC|7<?(EW>uu71C{66
zTG&w<Ur@eE3--XI(s#JvEq93N8LWsQn?9F6VBmb&C2n{I4^yoAG69T7ih6L;u<0yx
z5MNx?<$;X*sgWFOBX_T2ub9Gw0Y1b(dKpGzh?ym|1(~%U`{rhf`1)Y-*{D24Q}OTQ
zXIz*n<^fD<(1O+B93X@=FR`TFstylg-F4s5N3*Pf>t_88hbO?iUK5&LrF!4e+BqB`
zY&6K=h2^s?@+_daf55bjk792Jb{;0J1qx0a)*4ml>MPN)2)}xHISa5Jk|n}+qzkS}
zsuX|GX08o!Fer6@e^{e<=rERB?6`sb)`52<2ed=NG*4kMB6_^Y)J+y5a_tg~r>q7X
zt_J@`x4Bv?lN4PcKWPaE3K7`iS(hCyg8e{k@+HfnpSNdwGAg4p`W1^uJSY%jv(n3)
zz-;S=AL<;rep;Mvmk`-hYzaAQ<)U$O)<7G5Q-Wf7yzY>RDp4yNqq9hAost4$|F{5%
zck0i4P=+TWVN3W>4ktpe=o2m1^lL9K1mT%Z>BX6mvJUtuBIEKXFTUS#LH^j|w)7S%
z(?Pajv15Ne5xdYUcU(~u90PU<F@qM=_EW#vOpjGjvPU6Au%LFalMK&L8(rZ*s}ciO
z1r|g~s7Gd)g`E8nVxyTjpLzbJuvgZ!L7NwW#A?<^UqV}FiA)2S9?0bfLm6-wwM+P|
zbM7bAU!6Gnp7bF{2t8Ve<%I68;N1xg`P)?`okgQ18FX`IZs|qB2MdzaT6m)kV*<2J
z&{GKzf0eGj{pBJfRr~;|Kb6bOau_3oUrW6?;SMonEFk*5y^JoabQ=mV#x!mjKfZio
zs7yZMlzJ!SoIbBHEM{u>GE~oa9hlCma5^WWqF#b;0-Q(@(q3L#9_|i}SR!d1U%Rhc
zz$A{TTT>YrjxVzoMs8eo0mjhVgqyu4t$%5UvvQWz?7h_PdW1heIv$ioWMLWD(e)5z
zP;$x9RA8V7Q0lUtld3B3W5XsBM+(`L*foD}@}TpTV4x!^2{7m%jD+LmV^78&W622h
z)569%>H3Lv6M)I3q6`y12xsQ-;KlYIE3z7#)Lm})b7-ut@x3sPo+*!h7&Y-eL}{<F
zANVSLjPeImmP3l`1YhkCCh&aBsokBi88+$L>BO1N0txs=_%FwQcs5x5$#kMm!2?Ay
zCH6W+@xSf)dOR2MnwIho_MTaO_dP%@Q03_m{7W;f8iC$XtF;y+@;ax)2?&qscNPk>
z9N4VU*8iEFux!FVc$>EPMu(ZdwX<>ZI`0dO(x`WFaRr2uLXpZXJ+CJp5_I`1?b1#x
z9)+5XO=~_C?g&f|Hj+BLAuI_Io2wspVg9^h^^YfSvAy?r*tTtrEC^Gr>6YL`#HCU|
z2TE^@<C2Qh3lrI81g+Dgqs*-eOYsT{<_rLDXgO}yG=A>`h<ekbb2xb>DQ9!*ed;tG
z-k>TjTW=r#T5-GcPv6=4+n{Jc8Jh8T(2i5R&0Q|pU#K7)#OJ^$g}Ck;F&qrHBiz|G
ziCQA{h?b9T5%W;mwmfXj{+I)EXFOEXB?tL&anx~B**Z&xGOIo8CamKHIAQk)yi67a
z;uJI7^N_!SG*#xo*#BKNc&+wFmFd^anHz8Dyjr5-9hu7cXbv(|3AV;yN09wx>IBM}
z`aGK`N~h}vA0Z~E%?8y#+8{+WOUv~A%+}y^n|at`kyL*dpIHcslfYs<7Uae*{l3KD
ziC4>=?TFi(WE(@l8Cw+;+vf8So6o{qQ&Q^st1ykAe~8}giqa4Qp_(v?Ocuza^}o<Z
ze}pc$4S$)oG*^NwyKS$zM)mnqo}@ka_<k-Te_F<lVm@!{Cdv2fi0$s>KJ}Vp9U!GV
zsmv=GBBY{-%7OuluRd6{1XF}aZWd7tz>GI6{lbRLF}9X@-DV9LB!Awg_h&LH(g$^r
z`r6#b#-udcuGmXyqve0x;gaG|YZeU*pW0UJX~=2eGUJARI|~*2s7NjOA89VJJStFf
zVOgLbVqxZL4|<xZqk6jYjY>T2#iN8PSv1>K1aoJO0fhLwZtOIFR)fqZDTxc!DA__K
zPG^V`)s!EH7T0;s%E^5Ws<jamr97(pL*Zbl&l@2w;)<&b_c3U1*?h>Z;7iJ|s4TAN
z`VJn^FQl5YkvR4*gf<_?48?^RD(8NWhRyC7l;OPNr~v_sg36GpgX=qIWLTP}ou!iE
zhj@X<xg8-l@`>~A+f)UUg%@O^)gWZZet*^@Mvxt%<+SnNIb8@clKNM@1gQ~j!vnFa
z@wR0+q>`;%FzZ{e7*oWu1l@+Xjx*ZW90rnwZBUQzEY3bs*wEJpR*9k6TQepB&@>ZZ
zSRs%efIvDAF?5#-3jN;DQ6m%}C-kn4#rp(LRE!r8Qwk4eUhL5NZL{_eFk1>-gvPkV
z-8Sjj(4rwH>>}+!LN<wsXvm#q{3;V>=@oTg4RUwDc(2gIdY5&=pi5MU_QaNDdOw?W
zLl#HKM^#J>+V7zfM;*C-Uei^iDL0I*htlI+x=#RLkR}7=G$(&LqquTrcPWw@Y@uf{
z52^weI$fs;Uly{^v{DyQaGXTvfwd;~y3Qks(z)Yc2vIawhb~+0zT2CpHUZI1f|VzH
zxFpukB|1|R(Lx#vZ6fMCooekLJZ4GA8ojKCbOOl(t-7u~Cmw8WYbN1X2RQjnP?$RX
z^ZIZauGxI22RG#wB|_y*hSKDI-}R<y((7I}b`c)?xNL5;YxvDb=sKmq`|ymeF6B{a
zsf7_`m8LVC-~+|Pv0t-GC#|wi`*}|(vV*J|U+ZJ~MCSm`yA?fw7|YV{A|8&aP3#49
zzN&L1w=mO}o4(^XiMezTA@&$_lVEP8MZ5QH=S;=hqTlzFAI7smhyccyZBm&1p?}JC
zTNfpql)vsy&BAG(i9w}<&liOpxH69$6rRqestQDU<%mX22p<jDfe3~$P-#t1`sW?1
zn(?O#8IU3kxQ!tVN)7L&tCTaVi$aZ<xit1j_ct-)TH{-EM0vmIn@_@W+^Ih7p>^_2
z3dC+?82CVj=G4ZaF_Pq#BKL&AD<`5CV2f}6g$`S%><tnW{3nVs6~-)j+rf>v)!zUk
zKKgT-MTNpUiwS^6C*|_%4%L45^B;bDtB?uZD)oIv0fU4=s-aJeE}D13xC3P~FqG0V
z&V#lsxP!Zeh@n0=^9l1~y(#3<$FH0Tpf~~8-{MSAaMMbf#U10&q{mxx3c(=plJK6y
zcer2Wy?Uhx>d8}7t-E`)R_#YVZwKJItNOrucQlO)p`Nia0!&%yM^fA+;m_ay3$gx$
z+yqW>X@_wgruc{PD@we{*|MUk%J%duaQmWpLHKh<klKD3wKp7r#HSxR*!IVD$Dm48
z2ZZ6bO>yIvV%jo2G%Lry!y)oWvH&c?!|_p>Ff;a?X}YOOVN#o4f%*uwQLo4fHRSV_
z3Jx_h{NC({jJ<NX!0Q9<6I66*%z}!e%qN_0Y=cbyqfWNO^RKybYViYdHZp*q0lh$Z
zyEeblz>_f=^D?m8?|>!+*zuo14cDI9Y;>3oPB~7B-hnGb@!z3>C!=Ev*FjiO#b%<S
zK(D4OcQCv6fu1x+;^|ZyieR1iO|mXmKs4wMLIB<mJ<!)#6U=dMy(mKi6Ga>kmp!8Q
zL|8DgA$W8ewk&@Wf~r#x64Bg8tffNtBP)u6M(g9jOnqOu4A|=$=WEB`mm$ZS%f8IN
z!hyaEeaT%E<5dsryw;K7g3P!mixd!wcK&;sO$TO(;=T5v(>xkkm82C+D?d@`Swwge
zhv<J-K*uFBZ|-ZKjNbFl49M`&G@fsc5hW6td-?i0k?p+h#boDB8lOA{+$gk-NlIDW
zToctFD7<6y?Gk^6bm8J-qkDZiGc7q~!iV&SF_PFb(L-lR9_Xf_VWinyjQ90wNr%m4
z6JF3QzmR<upN9Ab!h6SSfCi{gpsYWD{KtHUb}Rzgq7&qI76dMC;l0lEjbAv5D!w9m
z1=TgQsTZk!WiU!DJcvyH`EayU;!Cn>;&=r}|HJC&R21MqtS`^-)0w<;9`tG8gbWwI
zE7z5+p*UU41jOuWv7bI3Dyh+e&>zkIeXyufYiMDG+L0T)Ug+UcWqDFrdXrQOz`^+i
z{kirsAe?CL`s~Rg^Pn0`U0~Z4zc~&{=GEbhL7HjuB}j`=7@ti5W;r?G)Mhj}7Bu_D
zoJl=jRTNkrpJgWQRdL61sf)c6t%1kuocm$V;lL_`AsAt41kjb%VqFGbj$Ny!pM5&<
zs2kdUUafCc#0s&GqE(|@g@gUv@1Q)cQ>Y!}EwuSIM-4VN8QZCl^-QQLul~r?NoYex
z!Ol>PVl=zTbK>jgC{)Ou0S_;-CFdmIC7meN6OJWv<vW?_Mo{uXB@?5qiK^j!tAS2J
ztm8O{hV|2GGl+~2r)2rCg#Z#9F4EoSg4b=y^(3%D^MQ}cn`HBQy8%{8J!k!QZWSz|
zIXAfzUlMiMMb8!o^ZQ22X2zf+XYm3TXl2W{T~P#(EJ@~Q@C%?Kr;Qe*OXX>XSr5Nv
z5_&?X_gYj^-!KrHe4%lYl#UJSTf-r-3Lm()?l{%+BZTf^q2Eu^NC7#><3{KnQ(2o=
zWMXL{Z%C*^UBD6`ZLo0<vDcB1c)rZG;xF@0FNE+xOU@CkC5-mn9hRR+Xa_lQ)mpUh
zsF#(i;4_8m<Y}KAhO*B7&4oIyUvr1#bcgEQQTcVEWq@V8CRDUPqUAH5bC9Hwae2eD
zw<mie2tLH!8C{x_;Yyd!V8|@IP%|BrOYsj80T@}$F5tI{RQtrSiTUD~fpv$9AALOR
z|F*8@<5nx0#cT5-LR=eJmRke6&VX44#N{jR@OnQv+b}P5I~1>G8h)FFKPb7-pDPA8
zH=fcY{Oqgpz_Dvvr2tE4rZ#~<r9yb{`2l{Z>)_`BfyDDlS7DLV-JC5))F#62Etd{?
zRt7j%0Q)o*Ai(p;dj-$@11+oRUcD$zssxMUy#3x-eya^}mufj|Tf*>p=BbRzIXHL`
z231?zAh(uXBiGsG+z@v7maQ2-8GB6DWwW|#rbUm|5wEbH^VI5<$-=4=1P!t3quq)Q
zXLEW6FE3##YD&jIa~^Kl|C!CoKr02mF%%wSByp3N3xP~8Y$kv?T}7wP>yuL>z-b_1
z>yi2Yyt?SH9UIyc_~$rPzxx{@&B#ljKHZl=UJ+L8FLa&07Yd?dG%ltLnNA%Qon_dc
zxo!Mv|Aj24?x_}H1D2myHQ`H9ieqN4lG(Lxs?;v9B&;@TW=+;>!XlvW2$JF;Q$4dA
zK!hOk`b$!iK~kkF9t)wNY!Qme_+gd=%0rGXp+N7z2=q_(qn&FqdhM;RRy+cSHf^du
zO@7l_qb8rx_-9LPHV*b~Jk!HHd7PsfLz!H)4FsSd_hI5NHL)x`J2P=ngd@1Hw1v>k
zKCD&N(#K17T48)4h`1BY@gKxuk*w=~7)|*$Buu?;yVoiArXyV<f4(JUz(e-lkC#n%
z2JRW8I>xKVojnA!Cf%zDx?pA<S{8-j?e)6?9DsAtHd{t#b%ndl5t7@MWXyntdeFJ?
z3<vPNSYLgE)F@?u4UKI&A;1bMosk7QKFxo#q;!BCLw9AXMUFT`m|$z3n$$4hEc}M*
z(|o6-Y(Q2iJ-PtLnIKch3#oON3Y!|zF*}Zq1!Gr%%eZzAGzvnrFPvc;Jce9l1>e{u
zmPoOX3wT3zy%9=)`I)n+pr7V~GHXMa*~}!>?rwfDy(SPH*6GXu`BdwxK-cotO0Ehn
z<DO<Oxow!%W3a&Y`{tO0ieHLlL_|$t@V@E&3MwkBgXX={#<`jg-LI^)6ykFFjZp<p
zF$vXVWR(T0TUgSKzh3|(_X(IX>^GX^$-_=E_{zo3JI>q7B>QnWJ5eG+L>hL}5tL;0
z7D@>c)pWyMLvZZT45}C)maQFdmza2L3?--pgw5{yKa2|^sI7d$XS-TUu17o<q!@rv
zV6w<2&+vP*Q9^B(@gM8B=dnkqamc91lTjvFjm!EC$(~PiU0ZeBjtj++={GgkNOthN
z4defti3i7=+5f6?z-dUm7DuWFEzC!X4mBH%h#<0+PeR+6obWF~uEI-Czh>~nJDKJp
zanQ!PJdFcz&f~R<f}L5IfP+y3aWpf$%`j@-ZTNe3?4<}?l6QM~($=6s%3aaPYrL<s
zbywt5p>**Il2Lp(MbE^9vO22}y^9hH-7@h-HW^{jbxRzj^Tu?ccnE{er3<I&stC@)
z66I;QQQjE=Fv`L<xi2r0>Nd+;fj#wB+Ev^H{PG-R?UUdNspF9g=OJW$;Dn8vq5+!l
zV89GqC#~sTgTI1Z$;_}dAUToc`A%sj+g`@hx;tL+Py#qAg6ZD)C=5W<1S&AR=ZX3e
zpqZ$N-poU>_l(R-ulR%SLMIhsxCAT;J*0XrP;y1{qmTV~A<?#sPOm}bbW^fRUA7@a
z_&4>TvKk<F8G}TtUhTC5X3qIS9V<XbA`D%+s-pfp^ZoPyJ(E4jj_F}dd^dIgP5kUP
zBbxctchomT<VS~hv5K~LR(xFpV-ywpIr~P89J*z)n)gji5x0ajG&=%|5!Z7kp7v|E
z1&WRJgBZFU@`ya!ua-?&kdq6RG_X?`r-yKjf}Ng_p2W58DvPR#82tQL_=QnzAggl0
zMXK5dk*5DTc70al?KZE1q}=Ow^phI$y3~!Gjtn9B*1QZdh*2b%<wTf?=o_XZv|u=}
zg{7VnL*xnbZ;inxt(qCJ{gC#QR?B(v<!4UZd;B>5!?zA$=#E}`aw3cvp}uY=?otj`
zd!<Oyr<5D7GuX9#)bG2-4QUWcjh$~w@*Y1Kh&~(@G>0M1ya?Z*(VZoOU07c}xj7}p
zm4i3qoH6tjk6N0^ld2TNzckjbwJ~?YWNAZ!)x^6y)yS22lg?>l{J#};?A#!H8eLcf
zUyKlC%t~-z;<6_pR|4#dcD@k?vc7p4HW(6E=p=EX!3lTzXfb$TiU>0b49@uW<`Vo9
zh!{+USe&YZ#8xX_r<A2nX23Kl3CSwLCz)8x0rO%sJ<BFTZ+?1zM`NzC?xvJ5tEGuF
zm$psUqIGhQxI3)|`}KFU+icjvvpecyKV+xX+LdJAfj>+=bt)7uR+t;9C+g7gSu8Pb
zK|b=pM^1@LO-r_u_-NzC?_2M{4anH)D{t9VGT>s+HC)rqq3n7JzUaST?s48>tJE(^
zWtG=fILHNIu5nP7Ih*=2S+Iw*&-4ct4(r_~)-Fh-qb`2VS`?Hp-{qFfH%p^<ro|Dq
z21qi&k%=(pgC(5QrmZ{Jlh82<!>PMKdi@f{9E}l1{oTs5a12_s4&%&5l0YygtBP3K
zb!TRbhkcU2XANwJ8}N>r#I*Pr9H0{zs1;X8@i#RLvPz@tF%`stsAN`bDB2U5fTGl;
z4rws?2V@__zXjz&=c!K~fNUdX{wiTbTNu5gHwWR4*|hJ<3kIiy9<QOnoyt{5ps%=m
ze$0SDRU+OvirlU3n+1>B0RlGpZ2EBT<e)KCp{LO~1Tf|%DRJyR<42pv_=hz17yZCD
zhqWO2^ZNpe+?VkfEOj+upAdq(1B<n=v|{_1NC(=NWlxWN9F@{L=OTjgZpK0yx$9vy
z!;;MLh~qB1yKgynmSbXp{LI-N$qJ?iaJt@2RG{U}L#f}sQd3UI1;R5l9KSM;mp`2=
zcXSYTnVt>QGv^u+62S!S)1MQ|w#ls7`;3U7Z(Q$?L;l4|3)VVPj$9&w|8CPGwJw*X
zi=|ccZA*FIHft9s(3JAICx|X}nnuw3I(dMZa$d3~^>s^<oQ}k3KjU(Q6zO5j5T9~a
zS$xr+G6m0-AR6Bu$;}cXeD>IbL@j40l|X>riIcou%i5X~3r;6+chVOf-A$3h2Z?x)
z6F=<ReQ49iwtT1!fLmL4$%XU;5uI5w$zi$~QFQBSR=Gq=T;XiWkNzHg@6~92H}dpS
zfw*M$&*fh-yEJ;{cC)L&2YVEFWc#tRPd{g6<)8W9d2cDL;IY0Ifn3^x?eTAgwKAL~
zDEFWh)4>yuhjfBnzf70xv7dp?=eJZk&NbcUwJSnx&7ATbeO`{21wkkbvaf}UMXRYg
zZ12SrJJea`f-%_!63d)9aS<o)@w0Jr&oRG-Jz=&ZzEQoK(TG={Z%?j>6jC#KzjM!!
z|5hB^;l->H(Xg>Wa{<bB`k}oo7vW848$D@o_7vvOc%p{T!2B^5iX#78QeRhyHb)DP
z;1jT}+=bhP`Ie<W%Oiz}I97BQoVOmhV#ZqdNN-+knkn8Q)a7;bf4$&KqKZws(B|!M
zxW)O7E#mWWNo|Qp4@kzX?Q#U%5X#HpmX(H^&~}rs^6UbHTDRcWCX%KHO|(;w;gGNl
zwXbTJLdUSy#lBoqXWKL|<+I5r{@e?kg#rYs^wFRl#iMH>ocf;<`vL~O<`_Hg_)0Pz
z62r>}8`1)h`BQ~5%ShO$V0rZy@4rut1@}+ff6IW2vLO`F`6kj1tIU!>Z@(e>!-SYy
z`V6rM`J`bb?@v<xnV8Lm%vzgvY(SZ+GrAZTWbIf3N0YZ@;84+MTs48?9GOg-Kf%is
z$z?!8$oa|X;2T<<dc?9pL3*vYHUgo;CHmS78{4&Tms$hByM<t{V*0$#);V#~R)t3)
zU&4<VUc!v~z<xV=w0wNb!v4RVoh;I?fF`M(HpV}A#a}L!&B~x0q@J={gX=rOFJLOD
zIDOCUg>r|%2=c^n{uaGX&q)tID?%zmWSLZTk;<u$2CK~{qZ17l5ifs%Y~&Mm7id9p
zWWA)sH4$m8r05gZd(vkEWGrunU8xY8HlqX$!gR}7*eyhUJ-6*ta8_wL=aAPig5Pk+
zN<i-iUj#Wz55S3vrRz24T#DX^fYk9~ugzWGPRGW&%a9-ENqlXzn6VyrhIy_DkM{!#
zUdLlm34G3s-0u0tS{?YKTpJ%CRo-hSKlvB^IbH6ouBX9d72F2K+LgS`@#E`Pw_}bg
zzAoiM<nlI5*JnNLG+r-rkl~LU=Ak5KaOk?TdU_-wx>AMj+G~ByQ{4dnk8L|KO(b*g
zU3LhBnkpvtvb>wzL<a0BW6Z3f_p=`6Xp~<l9Gjn}L!N*ya`qO$j=rmiss*$%J2{1v
zz0xIbUK8D{o1U{R=Nt{Hkh>LTvn%q8lB-sZG?@=(>H<I_)gnbZkq|q@;Vx|%5YW)P
zoJ1@Cguh2@z}qT5lbG@^5Ji~8dL4f?Eg|7nBLz7kRa4O{X{Vz$^dt(($ZOGq^_dkg
z3hc<Tu$v#|mVr@yp~asoWcc;G?-&y98gmpG$h&ywNBX@~k?s#6Gc<<(M^%@_q^QS}
zd%kRl1V%0kofQ;Z_|LIb=y+k*94e+$Qm0i|BH<vNT_Cd7<q|b5DvkcWd-^;CFD8eY
zON>x`(h9nF9%e{aP(^Gu8B`w&{rFXWF7k$~;#l>C^|MVRzgmDF`VtJLN}j`1sGa=3
zG2zSutWTl`XS1y06tt3@7L=qun31$U3cx5&_}a9k;--qBDI71-ZKG666p509HkbtS
ze-*(;7j=2I*4z9qITG4jJ0<kK`0(5?K4p$1Ba8|h4I_-m-2Zl%`>uqu#d=It;X%lZ
zeQ#^3J4SFYddylq1&g_m7qD=5b#8SakC@qP`3I;%P~sU}u(9tQ<TPG)%auh?rjnX2
z!(H(GIiDIItLtq)TFXwrv{;rP;B86hhPq8t!^9O-N+C@vMEc)RyNP<_o-v0rg(d(n
z=GzDHF!Ob&orM_a%K4!)yj9++r8i#Zyrrswf~Q?Vl_w;7pF?B$IG1Gv)a;UHN4$Az
zA=bm!v2)Gt={kLya+WHJa6~1d1e8gZHN7~n#YI;Bg)%c)rRXmr)8KeS_-&cA)bf7Q
z?htk<oOj-#McitJErViO_mIhtWQGgrKO5(!i-9b4^DDeL$Nd2nBvJdUHnX$;A4d0K
zZl_S>LocPC)%GsOXEsVpA2H{B{9-seMQlR?%NYpFbxxEdW|9%65YbxAze@SI5OWR`
zSn-Xfj&`sqS#zG)g9Fd=J7ag(&-qh-qQvyM-Bfk=4|03`K}EFl)y=%OhCzj+Fqb)v
zk}h^f>){PhXBA=aiK7?$<X9W3h2t%1-$q>$Owq9vqqVi2ar*Rq8cf~U$8oHkd#T8_
zg(VgMh=DP)*;D-=J1=IT9HD#9(ssg9m{{o*FXB+)+LPV}s9Y&pQc?fCNZRi&lq1g_
zGs4?|8!X{&A+RKL1iPT`W9%f54I<$m6N|7I#Q;vPo=E&NU%ZQyrDrmAHxhLOiI{?y
zKB>ppL@OBcQ%H<^OQsJT0;;_KZhVS|Z;^@=3fmdq3|^un-p;mvOwH@lwU{JbVTtEe
zA*=jC=a`LprCgL*n`?&#T?52s`gf>7JT#lf!beJ2#)p*rgB~7RG<C=8&R3+INVj;|
z&hF=1Yfuyr#f)8&t4vV+Zohy=FobDE0WV@s0fp44&*+A4qDp3=2hqT1{MHlF1=>);
z5vReaS&sdehW2{BSPTG}D)8U^egsh1LI5#$VC#B|BeGi#?LBu!vcDs?S=^Bs22bZi
zHGzX!ci7SmY2(w4!bYWI+iK+jbbq1+)f~&+hh@%pKXzq;(e@tJZ*ck*f6s6J+-WiY
z{Vp!-8-pf~QoSvlJ)`d*97W;20&!R;YX~tyEoY>k$<B;{-=_doQB9mDoiN0=zWJm*
zMp&am{I%#cmBmUU@qDtfU=#+~K$Au6W;DkkG2QRuVw^Ok>0?ts5{8$hD?J$#Z*Ero
zWNCLiQiKpoCCGn2q21dr8oGT8dcE|x;c1p2K(Ra>vA@pYl*P@Gn0vbok?yU0K9vx^
z_98_!1ZQ9x4PrT>8oa%5du}c=(-{Nq_YbIpR!G4>sPKRz3emX@WulLfxH4tq%{nBW
z=?{A|Tye`sQ^)w#x@V;ZA-t%TFIvemoj+lK|8ou!<Oq<}pA^&<!~N4!V4k*?@(u%L
z+5uLQg}&U#h{WfSx%;F2$HB+9(U`wZM4cfdCSQ6bgmaG``40V9`cYhXn%)2jqqMr5
zpTh3K2(yyE(&icM2nk9C<@9^?3wQ4j2{^|=p|)`$RS+NhxPNc@BNf#i5^%Nx2|V(g
z>1vmNV+aIv1vbJP`=ic}?5CCisuU&RH-bNv<uPP7<O>PVincs&+M+^`U!YOU+1f)d
z(W`TFjXJ>gOYx7oXH`<<Uv|E|T|b&)-7KZd0MQjlaGPt=7Pb1n*?r5(wo(&LbsO0<
zc48wyf3_TvzV4zu<>sHX8vYr(ULMqCW@q7!nn8wF&(gU4!KLE_e5?ymw3?=k$Ub2e
zPoB-ZY@QM{!zE&O(+a4=cHycorxGnIVng9(+OnLQHbH!d%|kHN&d+V`-X_;y>vvU+
zHTlfnbT*@-8c+zORwU47PYocy$`!YX4gYw!F_5@`SY{gF;w6yWw3>qZqIFcXV+khG
zS$WercyIY7T@~x(kNb|R3QLj}Wytb6VJB8$m|V1aA@*IYDK<<8Jwwl|vXUi(7^<iG
z%6b&7+VVRkk}nWIdh?%OATpw|f@JwQs%_LI)!4zaf#+2I-R)^sjT+j=U1KcPb)(pt
zAM?&<N5ggslM$|fIaAqq041T&#PvZ9Wlr{D=Wo#e`^A!j;O@Y~{zIk&51~lp*?UUm
zdRO*{X58~J6Onc=S5+J4qevc$I6cL;1OlpNRM&KMQ!l2-NV!%Hv9sUNE0w!~eEoPv
z4Fu)M67=*I*j%GO1u){kY0y&W08l(Uo^AUShq^D4_6bQYb+S8kA^~!WX{|`tE09aN
z|BwTQZ<i6zg8oO0R0%3C_mRHMpw~jraSt9x6LQjXTyGEMoF90;1Eb-!e}A7J*~!!q
zy^)p!M|f8<4aui>KF`~AOgj$F2pwl2JZx}ca1?fS^6U$R*hlJkhRISx)hI~yRnXW!
zVMfD00qv>FX9_1|G>{mG7~7bGV*sGxMj&{#A;K;%<eUIzX4@dVNnPNR6RGJmelh)u
z=h3x#pa&Z|lu8RFL?ZppS*hWbJv6<2)T+P~FWAZDVb@jucpJJE&;<<z;?h6et_ZRE
zZWsLpELsj}kdjLEGN&*C7R*Z6Yifuun>qnuy|HpvJ#Ag}lVnJZg>13Y%4%9>f(E!8
zFe}adS^v8dt3vsC)NGs-&P1u%6B#vYL5m&=0LGLvaa4;+vKx202EX=G3<~<e;Anb~
zI_M3WMnV|3&daILY}Fm{#znRsCM0;bxBFsxxYj>};<TnT(r*-W5{w=3F1ko!R0)5~
zHeM(YsOikKzLDRqHUl8fq(bC{nY-T*Pq&%bm8>d&q@~f)3%Gj%S>JsK8UCNhA)c`#
zJI;|x7baCQOR)@95b!x`bdJn@p_g<OnzxL61DKCh*4=HWWXfQ$HbuaY1trjvGl0jW
zm^r%%=<)S%OjL-%(ekL6>H%pbDEs2#=4RmM!ZTa<30v5Y&MOGoF@1-~rW<z^v0r0!
ziMD6q{wvU|TeBdz%f22#PGN239dVCqndG+Y)UtT+bSZ6AA{gWnLPn%Slz=Zuf8_xj
zWonTiLv=w4U-nMCQ6w^B2#8-Y>``X%niZ32_3x$n?7218rgK~s`-f2dfCZ1Xi_cqX
z4$&z@DRcus;C!w;;I<gG%-qHzjs&K5rovo|=KjD|rR~XBRjvT(BhQpP+Pr2_Fi-<M
zq}5f}JU!0LObT6fWeb85>Ai(fzE+xI@2Nk&I2`JOuE-a^SM0N6RroVyE5Y&ys;@@q
zV$<}ufhKIu1bXH{@Pr^z=N=VAZ`U=|=%C-;sPSdd5)?{2n~4tZ_f|zgI~~uGI|qlm
z8;5y7V&wK*?8n_deIrN0bs7@t2T*oPP6DQfCy%T)bvG!{XM#>|YhEwy1wFg(VgG1(
z_#lyDojC6851nOCkus`Pj{h!i6%uq%Uyrh+<(?kfFi*rPh?Z0I{nKMB4pheXK3Je3
zG0Y%8x+DIK@n1svH<@X`a|~Wpxfqaqp_TVng}pcAP5eT^OrbD2`_)b>urBM@$0*PU
z8h|u!kx&`bwm{al@!->B7<r9BU2x@10r|c8yDNb+h|Wt&5YtQfF~RF76=Abn?cPqS
zOq<p7sxF`4$HoT}26zLuvW??H#|Lzub+LV{gguI=%hvNK6XR;CyJsF00cFz_d6m8$
z=s$H}$ZO|Ia~;8CC#v0Qs4n)3qsOsj#r!e`)z0KW%T@T_QyP>e4*X>NR0MhQ2Yt3}
zXQ4hvA%x%F#$Pro8_eL<ifw69WF#WE2}e+JV&cV`e-9;w3h%c56Q?uOOqfs1pIh7k
z#P4*AdY|h8O<{fIIo}g-k(V%Fn~@02VI~=V+EG#|90^}15PJP0awQVIoAEn8>B|`*
z1;U8776r<!eNFO|eub_YBB`g$52uJY>Nb>XnqHol1Mc<AQEQ!W>i*A)hQ}<@+wc{#
zR|yLGF~ue^x22YE`RW9p4a$3zJz?4jU#9sg{&X({owYEpT&(p{Uf#Y(=oLqTjggB*
zhLgqdCuY^~If%W<&8+$#8v6=vMNcf!*Sk@3^8&-=uvc<qgjIM=$MElg1AI_<Npc_I
zJ5PK&s;6rF&0p|qlUL;YgKU=+SFQOa%dvU`dNMEs`0y+35E&&|@4Sk*=ltk1Ed0u*
zU;Y!<%-RDcM$x7xj75+EGJeMMPJ9|}mBd5W28Q)f^JKAJ8@3s2?<a!;<ph_y0YrD9
zUWzdL<`RcUP%rFFI6fhlMJyfZ#8?%fbQqdH8$`sUv_cVQ*{8MSQd*|LojS09`5pUz
zI`H%IL1>i_No4~MF8r-%Rumpvhbo66gb;sy-s?gQ&+m5W)<l>Yn1fnhcC10yP}d#C
z<rah`I2&Uv)b$l$A7=mlN$tgo70v&BCb@u8ZUucT*n0UTT38jIT*~f7$jWeRcFsz@
zS1_&fCP##Ego*=Hf?z|SS2B~i7_S+cRZPFjIQHE-Pg2%kh33|moIe}(d9g#|L!nd1
zVq`|DI@eSJ{(xS>r4^6h=DGD1v|VfYtIe0J0?h;^SOEGLQA?=-QZF+;;)VK}!o@Z!
zv4u*^#r(%AQEn2sqSS7^Fc9<Ga_!+SG1G$goRDJ@EJi^0!o5F)?e~(E5oHLGpJe*V
zRS)*x`SPTaAzYa4m9*FCfK9-XcyKoeJ<}+5pGG<(Y<Km5zBdJGvP$Q@u(tauM>fGL
z_Zif>&ZV`YJ2gcwnY)ElEaJA|(w>3VU-;ephQ`nyZg>F~(-P^{sCgn99JLoTz%H7$
zWLJribSnBL+F#RWESiMsb3LW2IAP)@5Sz(#)GaaT(L92qd1u3p@FPh5Uusl&xF;A=
zX>vvYgx;6fdL({1t)|mnDIP)36rI|1*%H8_<%rV#%PDLG4uVm3yy8+;0auU49YUL=
zeqa%Ufp|t8W1WU=hVZ?R7AlAO^f@jHCo$N&P5H=}d?4=4VH2@zaV0cr8kUIQ1DOIk
zzuv5$sf(_`flFmY&SV$2^mz?*@ae@1(Q8RDp9S2N?IUw#td;<!1I-t$|9eYsX(Z4&
zhSiHxWj4BfP=35#`tk{Izqd(~NVVO`>2!BjFO|yH$73(%v4iC{8Xh<&=e`XM_U9^b
zfF%}~p@OH|=q?N4$Q(>vsLr=Yq+y3UvkpCZ*r{}mgY@{*7b!mGKw%uK6OhC4uOV~m
zS2f?j*kjz$XA=y%D{8NELr+cG5@mxOY<a~t5i-zB!GGYy-)gXdu4~ungQEo>34mUN
zCLTl#^ohK~(wM@AXMW_IkR@9<B>s2oo0iq4)b~&co(Q-@IOp+MaIB`%X6~8Y7Pg!~
z&-B1t6E<hleCiF1=11n|jEzYpV3v5-hph@RqrYCPfy|!2hAkI4&)$fl4X(lMyRCb_
z;ERA8ik*Y>VfShA<oy;gFZIlc2cTBgc;73iDy=6WYP!0_t3)387e`9gR~@DKsIywX
zz7%cA%tLIYb+Nfi<|Er*9^YAX6u<AtHVU>`rE4kaU_wUd7e{1VcCnhga|yON6OUfb
z>pjq_yBckA0@V=oo;LN3%5IeGz(8yD(m(~LE+A4Eporl-CbE}(MlF6+<%U+&IO3G&
z1kLQZIAY9iL@#ouv)h2QA9b<$rWq*JgxNqrDwDw6W=@d+(mP}!FMPuazyC8<nT1&d
z&rGNP#p&SdxlL+xj?O5-;cud)IA1;kuvkoWnhZSXrwATl3h`;!o1sq(f7n#yQsAPc
zN3PB0FXiT;ngHc94bC)v6p9uwF1r5|U~B?@-_}q3z$Y21Ag35o>-tQRDnYJUc$WBm
z?})SfJgHraxKEJ8_F7`!-<Ek?NdBcmgrS@b+98c13@0PuIS_&94oyH2T{7$X);mVm
z^J*GiO%tFY3PxLjctCC~mRD?0?ZX7v!uiFh4Hwy18Q&7Yfh~rDc|U%RypMogz3OgO
z5L`nYhzUI{+ra)mOlIl*!1&9G#~K}$ZW-C7-T=#&%#2EVVuIms(dxA|OWN;1vS_Pq
z?**B)1R@~cp<MK(wt5)iTNxjH62VQ+wjJl#9lA3_5f8a_e87E)m4|Jsoo=Y=l_S=Y
zlYy{h)@JkJ%DDMI?|xs(E525i_p~=|0bR|td8@of<=KOtjK&o@H^bXNYJRmgNb<{_
z4uI3UwdCo{+8Wj+Fca2y0}ld$ts@Z@g74#>#ZAO?%u*QrKN=tfL&KdN`ybuW$MBSz
zeX_fOi$cIE&(6(Ct;B%-;AqpykF`qEN`$g56ST#&{OUPb*JlTOlPizq<IQrR5XQj#
z;Y2Zju%v2pOak@`{{#AnO*g4<@b_ktJ3sBChQegETE)7=LAF&$ibQRqM^7!#{+t>m
zNgl@?(ef6-(mPeQ{#7hz*zYz<REGzatj(?WS43ZofcV!5MEbZw5HDb8A2T5as)&cD
z8;5F$xjEEu5nhRab$d{{*hAKmMeLk!-6Jum6(8k_y8!x_g#cE}upcAmnlOL~4J@Ct
z?OKSuBvFNRIB;V(Dr3pUTM8kx<Ev^dKI+eDnUU9@v3M9pmv?X$h<gNcS_gHOCYaBU
zjq`kY6V%kUgN~I>i-|Aqh|=wTqR(w`XeOZr*&#BaO3L7oG1}!K>BQ&G>5IrNN8LTI
zHwfms{~SpWgkXr}h-~A!@7)(%bMm$?pyVe~q(w86ZJH1il^sWQm(&6HI_l23GuB#*
z$G_^M9?+N!w*XNn|F2hFoOmXCXJMlw+%9`T>Fc<8&PMzTMwISEs-@zQUwgLdZ5F`N
zqUj58Td1dP1_yC4vGiJlq~q(ev*0>j;&2F-A@#)3Rn{2uVD!1KY-3r$<t+<<Ss+M*
zTTOaLlFVS9#+Ine%h&iH7!ZwZ&jgXYRYO&;iP)JTq_tFw#Sz?=RH-VD|3%jst4y#J
zPl4mp>3nsRf~!u#keXMbamAy}&jEv<ch3}u^uI`DRDl*HJGQ6KYPDt|jnMgU>@>o`
zO;*TOHClu{^!0{pue0b%)HKq~Iy(y1^nYYG)ewxuyK?_~_)U$En5BtAb?jUJ`yCbG
z<r830$)LvYyTo?B<_UthH)Tx{YbE`#3&FAk#LZB3r7<}6zsVje%Pm5GO!vN!6pUrX
z>FsQU8Jj_(>uIOtI<9!gm5=3?X}H|cK`!2xQ;pcZYXr9D948MIX+2@^pS3%UPt^<Z
zZhGDBq<7Y~r-3;p<HZc-#_zTR&2YPFjQ|)un--aIwZEN74AQ_H!vU%vg{TN=@z4C0
zM=v_I=Y0{W-hUr*@_v>vS}T*SLUfyCWU~x|Cm11u+Y~lOx7f%q${6P<LJawGm|MGv
z42KPzC09$$F$5QdNi+aipm?f<ybQ(mIhqEHS9qSeCDWK4z)P^GPJ8Cujrd9Z^1TBA
z4iJ%FnpZ$MJYLpj^R6&<-*HMYMLO7Csyb`(g$kLUJ&)swa^TlSW+cm}8d}*hr|8NN
zSM7!-QFjj*zP_t#xdBrD4ln5BC)o+~G`hGTGGN_B=%3dm!8eDgSsrvFFJUYh9gPep
z56}o(lCa1v&IJ6kUV9nXM1WoS#dK5nj~XHWhGvJ0r*(NH>HrwzwIt?n4B~hA;^Fc)
zlHcBZEc_gJku|o#qQ6K;N_@WXPC|hQ*g97fMBj-%ND_JkE^E(9Tk)ymaX-n=eZlZ1
z<iiN5V66_kMw%Hq61StAUD6X@Bz_b`Brm7~l4;9`PcXZQez{?0Z|v$rTr?2xibqZU
zoJZhRoEBU(egu_kGMV}H$De99=K#qed`3^|uLI*BpL$wu*u&VWFRfCOTHZoGKc4Y;
z(G!z)wj<?l+3PuMi7Y}<8+aOOrd*{Who3&hWyCU!p_&QAmK>tR-4!G-WCn8xm<s)T
z$<_qPN87Z;pO{Tp-NvPtXu=z#s!y48D|6*81AnP@V;}D~`@!t<aEG*&tdF6zP<;Ce
z^xy07az1`8ocm)&Nfs@75*uy!E>j!Zxygf%k1dXly_s?89mZ>*8(#Ta@Q2WAE5&nY
zWuh9so+Oqc(_Le`Nb7f^wV4_TKiR{O4Q<pY`^xErJOb6l<ui=&K&mjsmzT8o6P_Gk
zK}rA7M}kdwG{J>@FxwWCfZudNMwq3$&n!Rx#7pW})WLpW)ngguKs<0=eQk+!`bPrB
zTUxbu&DVKXqc`%jj<&YX2kq0ftYrsR5eY`5dy*d2|4!QifntokB|O_2d;}@ay{>VI
zf!mJ}AcqEWZ;bkt1j2oCy+++G$U-j3SU%ATR=Fv4;n!-vF6sNJ9xu_FjD;0)G}KyD
zd=*95Nnp6W7oCK*_27Y8`)cB7l5Di_X7cY~hnMiw#rNH)!}jII62l}86A{Y{L%J+G
zhADtaRD~ay4rRh;%c~g_Pc?mx4SW#sx>p|1FXTNup8u;@k+{@-*jY4fI_6#;`vTM}
zWP=-bHI7@AyE@f~aA_{eii<0G%zNygc;OS*9+Gtd2qpD$E~ontmKM2)^9xK6mh2|t
z7gH&wz@gg{)@Fq`n9TZmF>wmKL>4S!)Lhz9BIS^85p9zzeRkp%<ySq^nu_P+OcSxJ
zA1b6M-lugl=5)p*`-c=rrx<;5np3x-d|j^E6jbOKpZK!NiPul{zdjH}uTtyC3avPC
zNNtbbmb#T7bYK|XU4GKr$5+YnDKk>i3g;WRzCW3=+Zb(GeV9oJ_)n70o6cWQVneh1
zq}J)FXktL7;6>h-4KOm9m=nDfaG~dXIgWqx2ZzIu>p1QKC6@ceKrcE5DUrvM-9vtN
zwbjIq`E6nIc`~Bo_Z6@L1%x2xflR{c1BQ%(W>WaD8o&qaXC4d0)_BOi_o@PEz%PJ1
z?dxE@8SSUZ9FMEus^ApeHF+++Z$?k~B(8pvvLSdsHe+`zv)S0`{Z>s?S8ncNXgraf
zh6vSFEF|>Zx2glHR4bG8B`JZ5$47jo<l%hMDK>(0>C-bDJqDT}cc@DraLQg{ld0!D
zV8l3VMf#1ms_kH9%!6v(0gmC$a~g$NhlGWIF^~JpY(wPK;&PX7Gahl(-<J1IYC@k;
z=zB^?Jo>@$Vn!UQDRc~V?WIbbZ;5q2Tv4-bb<NX;$PT4yf<Evw7W<Pn3#mc;+>)MX
z#VX2|`;}>9gzjTW4%V0x4})mhGfq^Dh7YSSwNF{56IrHZzz`Jae0%c`?BHw(;>%3D
zFtIcYhZzFoBgpe29}CiNBN|gK|1KfV7=~BlD?(5Fwg+`E-LyXbH6p0D_fDRRcp`)>
zlN^Q0eyb!mv0+}#&GL_~u<_W<ta8Arx#EIDw%BRHQ|)&u>~xjx%H`k+w06ch=lqyj
zN}x%zFRj#NZdjd(GVU4TW-H8u+lSR8!GebKyS(6Clmu(kwGnI8jWF7I0LR0vlW+L=
zxxo4Qm7`#7MO}e+Ag0HX>j+A|C0J-=`D{zi%PTrXra2j2pZn6`2i1`Cs!v>Oy$C+M
zma6(S6)CmEusF)4{{SzPKkx#f2LG@6>wF3$u**VMvs}Y;HzrV+m7dZ7CEnTQs%3*%
zZjixY<6xQuQrcj)`fLT#iD4zszj0R%CueLBx|LDN1qBUNa2Q>yMCb^A>-~~$6d2tF
zPkbN}zewaP+uniBPw>IJ$cE|p&n+b<Mh2+|;cKbCBJ{d&WwF&cjUrRQeNZxhbuU{d
z4<j9P@i{-%HPKk#^vB~s$-POS87Hp~ty4FSG*@QxuK<z?ABgoeA~js6>M`)9=3pBR
zGOb|5w5_3$Gr2l@j2<x9djY6N$C810D_&{0TgSdnc@%tNq^Dz(w+|@)#d<`GjdUJC
zj%(KUCmdTLs}I8jYTF#;Nj27H$xqt-tJXi`*`U+P=?O-=Jv<rc2Z%_q@=?t2SL9cC
zxH~7YH~!cguh_L=7la6t;fM~Jus6sgd5!=_qwGM_narX#>kExCJ)j!_Jh-Ay#jKE&
z#)8-M|IeW&!_A;$6^Va`kT?7Y$}@ZI%WsI~s&#28se<Ot6)x;_`a%S*D2ea>l|!-F
zfe<uG!{lJv#)0U%<bz0)-EB(Rj#*@%;Y=Y|4^6C{U;0eh$UWk?(yX{t4XqiBs93@|
z4g>Fmy}epD=?t*rDd_<N>-W%3Z6=2JD=YJ)6|k&yDZ<DAdDRf^tX_125TxvFg9;cR
z!*RR=;+(Y`(VrlJu{yRS1i-%GLOa_BbBBvCPfPO!=V(rxME+}f`T@;4b&nzF54!>X
zUB!8)`pa_b2A6J$`)A^iSfR*~Y|A&})wxW4=g~##<ZrOFD*^>wY7cSUk6$5Un9j7M
z9g$<^3kGH%5%&XPMg1hi_V<^L4|CaAev6SJSbd_?6UvW-ELAst^n*BXeubR$G;>Tt
z(8<=xJllRJlHJ)SQXbju?f#NPvsxe=9zMeFX9cW&v_K@A^qRUp3#_$ZpB*nok=TNl
z@FzPo#I)bhK;zuX`!@U8;1r)Q(e!>WLM$9}GWvzYQF5DrDySTa%k&r9iH`(Wz>m%F
zC40z9{a8l%TbkiQz-^C;Q)+L*&JzRR=Uqk7K+7Zq?Hjhx3_AF5a*o_S-2xg^L~sxl
z$G#KtBLb3aR<VHq*;PE}mk1^+UAg~!UD(SODsuY3@^b8xu5_1P$o{iF8qr|$tX=}r
z*ejv-M1yHcnOipEtu>=<elDIH8}3#OpIrWDRRFP1J4M3)@mm?qy#Cju3)NT|9ir0|
zR6-oL1U+yE?IWKkW>eL@)Em@Kcn+B=SG9EDskk9X$oWX(*|$Osvl|g=*Cqqq?osse
zAE54k<#Y-I{8k?g#u&mTh`adY)UgabVF+QOoUg?0L7yn<u90Y`e}D>>x3a9k*G8$E
zVIJ_SKH@^*03*4+e;QHWw6fhTGGA5C!Y|fj%fO%zHp0+pGrx@CUO7*uJp15|3-S@o
z?L>tfXx1SgZOe3KP}Y0yUlr&2aV{#&fxMsM<kEQKRzwxLINr9$F?SfUNAMCR;O_`5
z=(|&R&FzCOgw0I$iC*;Be=gefd9I2ia~yXk^(~<d;m22}vpdD60KLE8-#zFaOuHzf
z41HM|y}JZcD6&y`m!whlNk8)lM1$t(tVvn?cg*NtB4Up9SYM}UpW7;Knj{5_0a*j4
zk|`%f*S&~fj`29nA|rGD@YYCC;p8&T`4^<pWToe$3ZTDd15-mysSaCAZ93s8WQR30
zdW=K1s_C9EQQN7BFqjA<4;xWGi4xBB%Gxs>LNQ)k4_qrPg}Pm{xyQ4HqiIJG*hEN>
zq`pRZ581#rap~^U?#-hyr$k<+WUt8g1CI-XkA=P~=ulnJr$z&CMBNp8;id}#Xk3DS
zO^nOp85e0fu&L74KeZ5%8vZ_PgfjUq*HCv4P5X4HVu%?7aShHUwL-(H8%mgAXp4M1
zMC|fgw|1K%W11WMleG6g{&(o4Cv;tOiK10!MOCuJmEB;VgedHmZk8iYfbK{QY`&<M
z{eJZN3Dl;W6fsShz!1Xka59i2_3YGu@wc}<WfiPua}ncnR#bw!P1`)GPq7^=`OQE}
zFTS5|jA>tY$V2*|3|y3Fm_4K?SgAWmoBV+fjaB4Rh0W&%RyHNnRw-FqrlI)dm1)x8
ziljQVHzVpS7j1vQXGKa0=3yN<+jREY8<Ee2X2dp9lT$@Zem|=lA8f|uJ=US9rTWTl
zn<-4`nJ)`tw>y2&I_WX^`mHk^c7B%Z837=JKCPLLK5dK(Kv>=JZ<tqEHRSLsuYb)@
zeC05@gn3w{IZRSTTbf;b|EsLoGjVJ`+`QtU<I=rW-sr6A2P9FI5E8Vj9XJ*T8nE6$
zA0&hIi+T~KNBo(#pD3@gD*qaC<2Ux8Gn^2RUn6J@PwIKZP{#=Vb-drWo^W6GA>K;?
zgFaqg|H02cQN*WTOeRu}E`@)GuD5GhSt*hOacZ2*MaQNo$7B{LX><TLK*+z5K^QpL
zKXM+f8JDDt+EpV#=<WL#?U&bT+0L>O+>CLaQX8c!KMS-?V=Js&LRP8o<Ex>-{InKO
zmI0zuVH|a>^sNWD3=qFkvhxf8IXL?=0Faao%q=wlb7T%;WDBB;NidUyXW*;99F=fB
zoq3Fxv3p<2;e*l-FLsEw-ap@-*(_xaig2#PBh@eF(h@bA4=?aMkC|PDY*|eIK-FNT
zaOCk03qjYQ%TSj2F55_oYd>yBp(sIQti7a1k}KWHTUem{=DXcFlu>Kxv?=sFBki>3
z5=3)E$TrK*h0TAzB;bA<Vw*b+-v-oW8QH&&fQ(GXqZK8aL=Qtn-{uu4SEI|sx_R;2
z`2l2I&KUV`_@3CiiM0F7{bkQ=roUXu-b54{)NDv$@{~C!@uNRo28pB4n)Q+x?%a|!
z`QDdU155br43x6e8*dCd`^>xWd>gjt_mvTKziIXNW^yM+Ve+Xjr#`-0LyfBY134H;
zCD?7@E|BHyxGsnBaIw%wI0uA(y^pd_;?3&`O#gS*>O&FfOEVJ#L$E&|F-&`l>Q{Wn
zqhp3mB^bdIJffDNXXz;SEExVIF2-kTX0V99Wa!*@Mj;w9(UNg40KWb|`h#~X97V7C
zjKCix)H1^|<v<ZV6p)pcc%);RU6Yc{jbn5+7MQmkX$U;Lf0@saMAQ`EWH_rSMTJ5a
zBCe83OfRJoq1soT!TiN_l-N0};R8Ue_X^P=2?Om~DP@FoP{unCff9yF3vgE`-j@Wx
z+1_?O06qXhJ&GHL>sayCuay^;<W<n4i9B>f01W*YT!<}RA!(W7F?<lvl}b`Wt4*U<
z1%biL3>$S;Ii|r1ch%RiqS4o7T!;I@=WYnxYC;he59D13ERD%c;r2CaQD<kLT@FrV
zF|YvqFd%oh`q{+(k$>UohP;~~adbH;<dP88t71eT*s(w@p{{nkGCWToRtH|%KeynN
z`{1K2nR)C-B3!#k+WWju#|7LbM87BQxOf8HNn_5*6A!k3^)cAby_8;+$mY;UmlTHC
z>azF<XR)7e5TRG<gYvzcbdrMGZ$FmdzEmE(dVL1EHP5F`_d4lUyyrl53vW*3Ti`<u
zx@t8M-`w2Aq-ptgr!^)l{LR5YD73821M5aByL1}}OcC1WXqDb<<vElR=y4MD`8G`<
zSjkAA!w%Ln%8d`dG{C%hGriKy+UH=|f<wOqVk6Rl{$uqYDx%LCTMK{l!vX^1W#zx7
zT*;WL;u<8YG1;XDgb8N0N^}wpcU8<+vh?gBMhnn19#Mj8_T3E4iCcPk)y)Ekgv8-7
z_iHjT=Cbm7E^5=^z>cE#n{SuI&yGDBD4|H`6zi1^YHa=kW3u(?9ss2s6HlEq40Ci+
z21kcs-tktT-C}j|0E#J#*%)U0>(mDPXQU)qXt}ytZQVl;Sx+?BBdHE<<{UXqFNB)`
zl-GqGvfS;@`=^Y=a!NqPy6{EJtY53D<ci2iV_MmLfP2n|;-tjd^0K$R&mAA9f)PM_
z33fFQe3H|8Ex{~YO=$rN{A_Aa{GdYTGQSN<)i~(G)d{NQM!5mHc?w~z>Z6^m)Yiif
z5iHVI;FVKM0=!Q~SwG|xM0Z#zpEU6&d(Wm(#P-~Ji9kI#gAFg4jU2~uGB+<sR(}QU
z#h_8~A`40LzHX<^1viyeIrnn5e{9N|Vjbf~YvY!USD*HV?OWw3=a_$>EJCn|_yEF@
z-kKhRN@KX35kT`9Z}tLD0=9ex0cqyg)4^IYq9!@+^HZ{13FWm|cq-(8J@E@6;#6st
zZzSZvSvn}%5y401T3t{m=&$bvA+PjLNhPK*3SXnbkeESDdHND$+w$~4tHIq!g4!j}
zdBcW>a<`*-l~gh)AG|W52U2MZLq;F=0a%HM9jRS*cqu#Emz~WBKEr1+&YXfjo0S%=
z&~~lMn`4bDU|P%*=g5zOgg-?*{C|`1$_sA~sksa_;@v+QCg)w`IK`7!lw~8%LlCsj
zK7I!h*6_ohBu(lzbDvgurAN{ck_Cp&?Vm!xaC}}cOB-1y((4`ZAi+K84SMpjh;nWR
zUst!7*>eg7T{R@Ql3x3l@nO~IJ(G=VlE@*DN0s}{k`R6ETPb$TNo(UT!fCfL0Oy1c
z;PIq~b2?ASNRTVyC%x^nIq8jIZ$$$#L?27!hc^M&yMT}|`AZ}HwV-PTGOnv)bh%l>
zpYe;M|3aMy`R^IgEHD$fN{@^&kGd~6w*5(n3Q8^mzdy5VU(FLEaG{7vKvVbZ5V*mC
zu}U?4e0INX+4lc!!&U+77zrS@{_JYgVdp*_ZjS)vlZ%jfx^87zEpopQk8s3*beB{P
zLmvSdrBj2rSM`h9d!E$j73Q-AX6Kd<x4(ir$@+hEA7N!sJ%uJV$U}L31JTPpCSuHL
zLiN|NTd?*ofmxgOY{wdw$W_H4!$K=lDDaM4=IR2yt4Nxobe_xtXZx|AjBiL;mSdT0
zbvdm`K)j)wsw!V@nkgv73czumqc003G;a74A^EL<W-EPrNG2?KS-7FLd#X0vZs|tE
zR_Z#GEb{PwV`VWhx#002wIKpV3ghTB=E0#nG8%5?i&(<Uxm^P3FCGtLEtBl0eySq{
z;C98?1xa5|l|>FN1i7$^X$gdSk~xJ?co+@Uj1^V#e47G9NkZ&_;7avkzpx}#AIy2K
z6Dm{hA(Z~bvA;EUEQ!LgpB|qTS)hJ)A>*|8&OZM5FvGx|6F5^w;q)uDnco`3G-SZe
zBz{4V1K5EnjY+4)Fm7<KD?*tY{{OgZAPhY8xz1`bM+_)rJ7v{K>$!HD+ej$Fp*Bd3
z3fUFPNhA-kEP8rSn-{e{r=0=mkKfBtRs$SfeZ3h*n<fVa*MeRg$T8^aH)zZ+JV=2*
zg^yMdgFce+HPshn8vAdX1Qy|jtBq_oq3A==lS{!T_+faOhi?7&ISplD5^uo48ztb;
zd^*|kGIAv42pq!<H_s{w-O{n4v?g^9I#dc*;$r&bCPI;dxy=f{8Yx?Z>E)d>x{vm?
zUw(J=poL;H6Uo~CwV?YR&c>Ziw4;VL4Q(+y{auyLDu1;y&KM;7Uezjb>E;+=^mv|L
zEKiKQE`Qf9$ubFd>>u*~+K`p<DM*NCF~Am!eBvNoNEF77@SO<3^hi3D_Zl1y51X6n
z!R#zKO%v?Hx#2hY5aDdJ<+<+aTt1xoGc5)ym>KIB$Z{rWnKHKI8tnhVhg4IXS!?h)
zf{gPll?Xnrr}l6_yI>G;eq0lVwG#4ueVk*>uVGUHA|kjTR|-ZTLi;}owY1l@9*YLR
z25OXTp5rgQjeyahwT+%nn%GL6;sB`P`iSX|seh#wn6#)fXp`eaO!n>}Bi4N8Giqs)
z$`yhZ(N#0C<rOn*Sre*M^^P6@u0Ho?_IYBH5CXh>JD5kgrxC5nffeFCrze249#bcg
zFuJrgRNDMi*zoH+QIwo(o21RSd%iq_$2{uV9ROI_5n#h4!X1?^-_(I-;ij|e+Z!vZ
zA2amb9#~-(akG9^{U*;kUt3K^KTISN06HH4d#TkGUHLgA_jI;+vXGmfv<(&^nBZO^
z9r}xvuhihqAkOFEY&yjVJf;Jr*ZrACw|}L1U7+p3BOTKy*ZQn1@;OU}VgWUNWIz^H
z*TY0)Idk94A7SYE%i%B9iN^qv^{3atFb`ta2xC~6>MJehyX+*>-eQaT)DlcpKBMwY
zP#}xkcBzIBxGkUZ;g?RZV>&)KMrZhu;d>QGjYYEgHbasFw8^Ss-I)Q>Iwl`{MqfZQ
z;c;C7`l`D)9xMw2_4J{$Lv|GkDCD{g&kcmDHvDXd-AfX7U9OL%xF+1^c=fstQp2zl
zwhGNs+83H7IIPba&y@9$eTI8K#9x3S@dHsYWtuU<=$A;H!;a{9QjKJ%m$oM)xqVUh
zIy|mI?t>*IXgPAXKyOO|wXh~`)(hsX4M#{!m--$PSk6N0y2&?=hsvBvLR0vnTvOf3
zs)%(7llei*c?Pa&?5Y_yl*BbvI$r&9!smvc+wpE&H^Twi04xcmSY7Vz84(}O&mW9{
z0B%XN>~d@6;Gj_$eqZ9ymo-=J{rveFAiAn%sKhb+Ktx8j#{+9%#~blZ$;`F<C{6Bq
zPRcoVdVq$0p;utJH<gyQCa*4^KniA1Xn`ZJQm7CVmWWQpuq2Sb4S$=fLZXFw09v(2
zbkeVC{XfaB8u5U`{3w1hQtC^5Ag%q<l@=I0=P@~XK)Ed%4HRf@>=BBY<7C8PQ5Z|9
zH|ZB-P-@6J$-Vn2?PHgn#nWraZ-<QBppsly`yXD4pudNKOuPBGohFNTtRy&g{#8kD
z1K1U-{yhq_8vinR_<}7N!=x15w)Gtf$}*{OlAP1AOhTqj28L8UNl*Z<;kx{VZudf6
zsIsFSk>7+$6zXJV@r}q|4JVhg6TSz^1IhbA|F!u%Wp|&yO4R6<P^kWt&Lke}qpo+?
zTJlSMJ+!llNXk+Ak3Z;1Y6wVljNHJ2?~xkUf#28TY7MF69X{CPE5v7biV>L(g#WVU
z%Dk;!WT0u*WTI3aA0<Hg^RN%Tca5}_?_Tj{DEAKo1Lff>;y3!RUnN*Ljzg?Ox%NF@
z*=rkI)>|2+S`rL#{VX!0n4ZDd`G0rF5lzE{K00c>aBRMQok=7#?-$i?=82R7EG4m#
z?lcg*cxDlMc&7WZS;yT|ajcJEYl&KJioBq$J&Tu5<Tbyom6^_VZv2SB{KghVwz~R2
z0PILFIf2t?>~Kny40d_M6IeDd2!a&#X=G0^*QbX9vf399d)^VXLYrQpIr$h?IDv>J
zJrOwp95q?C5y|<N84cq2OlmbvK#-{XP(t$lV3ulk<J=d^mbbF<6KN%mkpwCU!{jLO
z%N7kxQOpn}ihJ*oSs4*!sjd7I$ju*j<`a@Hu^u4}uJFi%{vO0?Yf%x|*+|Ph^Bo}s
zL}Ntj*fidv<(;O{j{m<u9`V7+Od3uNFKMBNXLqKn-H&hM6d|0CF?=E_pmv(@vFh3x
z5rZJrSMH7X>aWeZ(t!EGI&A-{{NAuP8z8nVO8dXCg-Mh730{Feb1+&P^d*<jB%fSD
z(OP{;rTD*C({E~6dorJO16oS%kmv3pqukCRnsH~`x+pJI>R7j=h4D|-S(&f#Va6XE
z(z$V=JOavZkmbLLdrstMEx6GQmTS!U<)A4)p;CHOxOwJ}>&PC5F%aO(YTYqQIs#u%
zfn8~wN+tWShU`jx5%Da9Z`Gq<CK+(Oms`VGClR26M8d1&f8U)?D908g_Ympy)uSkc
zJc;2nLEc>;*&mrgg*hVZ+qRz6kmFeA(oLF9?|J~31tj&VZtpW8h-5JLv}GWeCPFb2
zN~~OpT2V3LHL$~~m!I$YG>OJP`fcZJ&+7O%8B?`O5`~rb*Yu_^``ys*QnFhjW}OqE
zIIe*vDPUU=ogSJbtMSAk+hTXQ>?Sc!8)N*PBti;BGVsB5j1^{)`ZF{g{#TcUs_X^k
zH}MDKGq#r6hQET3>R_Tj%P3GD%li1uTk+ud{q1lnxi6RL8tv(Qdu`z4$ba+Q(c|t5
zlkDawxSxma!RdZ*C0Ms7aJP!NWgrHfcV-a)wvp^$-A;w_l<0e-fucCpXEsXW&}fPc
z;DErTR;lC(;k-C}T5b$--xb(Brr2i=Apkbq@#mEIQ~tpxr+6zfOzkz2t9e}xIw)r%
z5j=mVIQef+GV9?8AteMj0~25jTe^!5JrTJp4m#FihIS|<I7kbL(%vl<*GCuz*{lQk
zoCZA64?koem!s59UDDVNHk8li<4SJ<yu{9bG75Gd$YPY9ZUAyr23cGKoG?^oHK4lX
z@)FcMa911up)$L5j99T1cTKMuM(1x$d|~|S@7a4ux(x(?Ec%%6TdVqnFE|?Ra>7xO
zo$B(7qmSeGVqfL9ag476YZBh_weAwTM`}y59$Fn%R^IGUyVS^$$V$2)W8S9WHSQ_;
zEyw|lS$MSPK}f<s`rqhNb7f_DCT8Esw(L=qgtt=<%7ZpNx5M)XJlr?~f_{2q{$`oF
z%Xds+3@!OGP2VTedm-X^rEO_@_zj+<v2}xL7tB54s(RtqJJ$=qy_V1zP{fEa9B^S2
zT1Tv=Sl?UY!p{m&6w>&kXWKeG9i)6gYx8e%{96Rre%{NL2bwix0#{`|$g}yIca%cF
z$v8KSu;`toF17hWj!kSR|I8{1#oV;9by=|<z6ZjptxuA2!eDx7`8`codp!2X?Ps9M
zYT6{t4AJ(ir&N938L@`P>IMj1S;}aYkG}Rj8rAC2qt~@_)0X|Tdj_VL@>a$&`8OFP
zK0Nt4b&BCD2!J<%eA$lOMrAskjzjSS)y&+4TXiaUIuK{_G|`?n0qq$?W$_!FeMw|p
zWz*cc2DPrgmTY+7gaC-%BJLX%zYouv8Y61v#!ZiSbomm@(=@^!kQJ~>l>D;Ek(VEb
zkjWrj-l4!nbwA>Gre*uP@7Pe)tNV~3om5(5JQ%-m|0~Ns!uQVfpc}6Wv!{UWyqG^i
zrF_c&$*;RnIBarpB@r~%oOkD-y0?SHO5=I6kLrBBp|3~Nx;2S?{t<IYZ8vMGcYKC<
zAG*jK<X>lO<tXx*fA;*cMUJi)oJ?4u6|(zLgn>5@=Cgb%Z*t0i*$rKADgd&6Y5<Ti
zgj{g(v87Qfe&tBMwnsQ|3)Mgq;-l9cO!IaOF_hGXgG(n3)-|bEeCnZnnNq)SJrcxA
z9r>?2rOuc4Qm2UmuCSH*=W+l>ec~e#%gCuof<6<pjXyO!QfAh^h%q#umy^2_C8KCs
z7a8m`wEjOG(QSfXd61rd)*u>A!wP{BeuRpu&e#K{tz5p0bhOMo8KYSbdjTsxzt_~y
zKH&;jXX=H6)ogCy5LJTPs;`cj4a>^V>aMbeJNP<LYeXwBIi3flpVBH6VY0q<<ur?2
zq2W{8$o*!NX53v<YC#@On+dPz9LFps^0k0je)=8vaCP%tHX7nu%)4|)^{u(XRzR|A
zMZ*ffnwy>^Me*7N)VIpsn1N6Cn}#khVnGPuP$>xWV5geEoU8vjn`n5{gC#^bIxvIJ
z#8+%W@1O@bI(6UR1lAixiBD0pDQ5_JmQp3zLkQId&1qSU^uww3ywPqKD)IlEpm<u@
z)Q7u&*AhZyxD=XO4F^duuYMl=7r}dNZrmvdMaW)>AE+lRpj0{eK}ut7@WmpkU82kX
z$7+@~qTvJK(O6R_z-mI>ShN3-4_%}Tc{3?2FD6?}aqnZ8MyLYceDMqGVhu;Ed9XR?
z8})sCPt!Mk%+wA)SjGYq^gdm<B2`MK(CbB;3zSe5DJTe$*LhTeVQ*{A0Ut7UzS(sp
zmP^y|@-%w~dkB)Lfv&#KV_^ch$_lK<kdc}XCA<q0DMXv8usmKu0c!gJwn)$-NnPWE
zSO8b3EIE<&F+Eb<J)|0_hnZF~_qtnd!s3bs#9E@G`u$SkM**8{Sl*5XNmwrzjbC6d
zl8K|mbw}cK9~oocvQLb(jSJNP5lE}ljkC3Xm?9%7cB|Tke_`#{W?EUj!adI!W)|f$
zPfHv7o-b47VuOlXJ)i>;6c$LxXs>$gw>W7VzY(nV(`Ntq>7%u3n<UVur#YdF$s2&K
z+*0E<s?O)SC-KP+hfZKTde;wBg%1p?uk#`wI~oiE{-(E42Oimdql@!Dt#Z7v&CT6U
z`m^_kn~R76CNLW+kRPi1O|cIWc+FLIalZEj=Sslhwmye7mwn;-2veBBz{Q1n?(IP5
zel#Gy)(lC48Ue+zJ&zehx7O4a?cFLV*HT)9*>Zxuv2(G06*g5FhwQBilF@vSO4|q|
ze7g*PC@RS=f0&&<UEIG?CcH&6huaN8BxpwvC!dNsqcR^ZF@ODm^3I4H@9Yha#}Kse
zBxnP=EEw&rq@TV?urcXfs+l1UU;q?y#M_y-zin*%WLHMj6Hiyci=22ekJr1XH+Y&p
z+^NZX(4pK5_8ewn1u@PASF`F#DrQi|90KO3x8tI7<Uw?*k9SdCU@|o}?w2_vEk@ui
zplAxuK%_kAa55@V;=*)Ju{P_aq||n>p47sqVE0|INILXPOQ@xqL}Jc)mFkUH29TYP
z<POmnOSXaN`S*FF7r%ear$e)wQ<f$D)oqJfDV3ndky%4Q?T7i|GvhWhyqZaBgTcF$
zAvZQ0e3*K}Sh+DXk3Tn)*PS-o)#TbZ$+U7O*1}(u)9ljayp!`#CQsb5jT+wMJX2sY
z<#O7Ir(?q@KeZH6dj8#(Xrv>>jjiIoZCqW8ZtH|-a~W?ek$(qGjh|wz{lI){rHg!~
zoLM#IQg=NJ%H*eQp!uvL87i*q+=+VV-}jt}&80ZiNkZTFckH}m^)F&U?BB(JHf=UX
zR^Ywt94A~)8Z+rW{sIof;b_g;LGJLC$W|X`{y%<8&a{+UAAc1OgiipXWhMGEl`)4_
zX9`MQ;$9@2ZTwJu;2**P5^L*CL^wa@2ajgPQNO+EfbP(seW7X290hX;R4W@Wpnj3(
z0C{O0i3BmuV>Y%9al>Y333=os<YOSVKN2?&c+4Y63V)Z83oNFk3BoZEB#6=TkMJ{t
ziMhEO)E2M^Xd_hAWC^m5ibFRQJ+{cbv!D$5t##5^Iq_!2MdYi3!tOh=--3R!+5_ZX
zXrFs|hogcM|AoCRz?uXDL9p~bpx(MKgZyZ%j8h|*xP$p{@eF%|KVL<PW%%%iV%Coy
z_)I@}Z0I637j9Wv60oRwOffyjiYRa5I8-}@#tNwf(oU3j1$uwp&?m@Avue9lUM?eI
z$}3>F!)srYT87=95&6|^ChDhA-*_3@uPJNkgAqpz?NSP-4=>>|mp&)d@Ph^qJ;G@4
zPl}VHfPh+@sJCJ|?XMy)2%<Iaj@3;&)CvK+|IV4uet&Z>?Zpy5m0lLt&@AAZxL}nW
z({y+OyREwJjSXvtzszU;4S{V9>n_I{)ytfkXUt-iMqYsK>b3_u@D!fl`{jE{IEWq`
ztJ)B|GJuP6L_rcH&qk+Z&bs%{IyQj{61B*LI>T$6Ku&Ap9&c*h$t1Dx*LwKfyH3*0
zT)fBR3<Zb(C}sr~y6^S&m}bcI+Q5n}C}(s-=*bbFV0);ETl;uXi|^R=y?2XvE)40l
zygjN7X(oHM<vq?cclwJuR2JK}KcBDy3Szm#G{4s!4r01eCwade$H#vaee1cH151ia
z1Y8$|YVFGvV~%$N>?t892CoS7qTA<zVjPwl02ne|8&B4vNWDba)s^#T(WH|?@3!6&
z>V=<(2D|Lmrrkzd53<(NeH|^@Y&oT2M-C5U)B4%#?Tc~(j<+Fm-DpoGx%R~Wkx6kR
z5@o8-Mi7G;{+t>L3velD+Auij14%awx!E9Gc*Ib`Bxk<Buc+ZN7CVxfjzFHYezYsM
zT^61F>J!eZrjiQNklJ&1{0xn3<4lO*eG-7LseeIclR`B~kD;>?mU%qU*sF~SkE6!?
zjo|f~D8-_$aY(xgo^2S56R!R$^6j-XiJFtB%XBT{njqyKHNI@IVZ8&R&_QgVOaCCv
z)&;~Q+3WjyjGDJclh{2kNJRA9Q`uUGNbOzhirmV<+BlVV^9%}wF>U_Q<=yON)xtA0
ze`=4CQ!yojxjMPhix^&Hm!!_1U%T&c7%v>M;Q*;79{E+j*I|UyNeo@HBM2Pk7v%!-
z?b%n|@GAg{+k*+rc{a=fJ+pg5bEXQ3Fx58}Bmq6`Y?n)jZ58|b`eqWtda~&;x5}BI
z)as9IqnP@GY{2k&=D(+Sb}$?kCF+A=rjp41Z*%_&bcqh#w*6D+OdrRw2PDpWN~pn*
zqGCl=G4%v)2TT~e<fbyK5d5!)A5nkzEz=7UVH^jqh=8$9g<%4Xlnl55+=kO;RPk(j
z5xt=OQdJuCR56NaixlglwgxH?#O77{A@>j)ey|xvAQfA6{qR2SJt0yH_Xse+*GH)n
zP#S&%0fD(=oYcmD_ri4Y9=3igAMHq}V+pL-%U#)9Hp#YY#J-=Lo6M1x2oe4U%7F~$
z*%QIt2!^D=n9-rMs8n|TUqG7bCLTP^vpUy*s?x(EHO!I8^)BkH^tpwkvbKFe#4HT4
zHuWC1ARL0{ML?c(vaY#+lo?8t1lOyf31k-4p7b;}*2xx9ZiGT$5~BL3n1EwKzDvGY
zCRK1zmc%Czf*)0F1;h2T5HIo&y!<_NpNRCIj@UxR9Buo2YqG@w(}#Py3u(s8RBry}
z+R1woA@?xxdtM|!4#X)}OCysn*}_6=GVX`S=_n1zApbjuWiOn|io-SK4RvC!!>;o|
z_w6s$Ip(ImG}<D49%cj%L;?c#FxPJa#u^69qxA_ab^DVW2No`R0XkQd)Bau%^iw*W
zWsH~;!=OB&B{PB>F1-f^kaHB&3gzCe$A)(+M*Ce?L!~6Pf@Uad+P^uXegX*go@gAH
z>G+p3vnvYM#OA|e5gwWk?{vI8Yz^Cn$P-rTVYyn`w`Q#t-glhb|6-$rm7O=LT3kUS
zJMSdZ*_h=C<1&=%UhJ;V!n3z8yQtPC%JovaT*+VI1WERK@iAIJ3@vq|(=*2v`4ttN
zNuI%iU5VoW+*ac5LX|{m=}$Q}L8VNV864PEdXk18{ZF%C#t#R4F(ghG6B%f>ioxnU
zj~(4%Y-c?<A&5B;ysOaGEp&ALayFr&MRenwt3m2Ue%ZVJ{FZ^FvuL)E`{Z<^`MEEY
zS|XE?>>Q;0DER{>>w;I@VQ~tU%s;$L{=>xvB~<~Ix}`c$vg5e;|CUBD0ij8m?I1WD
ziq6M;_xq;WTx`GoPOEp4RLCi@(>95hWp{xa)!X06joDueomp<PgS><US#)I6F>%1>
z7cSXaqKD!ErSohShhf~bgD~!M!+CwC7XS{u0pHf8NVkSRP?7dH@ct7djvT5Wo1-V;
zgaY4hWVu1jwSCQ_&bt!P8D)}<QZhUNm%0tvk%mBxfy7*YKi9@^akC=iCh1HrJ{{<q
zNPkf(pI}g{?Q4Cv*(D@u7Q&TcV1;8a5P9owai@}}9Ea8KKIYih4|jmT`x=6p4ytTq
z#Wh>vz}0f!(}KLy@GrD~1fAf@Ql~GHQ{=;q<B$q;)Sj9X{7~GB<y`&>8i5C84Y{c;
zsGCo5YW~j0CjeJ~5)C&xi_l=RaY4-W)0!<kt8)fv3%q3Q>OQ=Ct)`a*Za{gmm6e<3
z`#9mG_x(w|FWj#K_q1k5O$-QJE}x_t7(#@s?r9VXd^u0_#6!uzMqA50&2ZJ@+DiJL
z;1Eq)1UH|@#IXA`u~m&d@MJ4IxaBkUKL<=PAt~OJYEFx1_i57$l%DPY=Wh6`@1$c3
z2c&)`K*-wXLW22&OU<H7n_?-55HWf8G;RIdkPB3It5#hYFH3+piI>v}Ddx%#nVGP?
z(bd1eB}O4D2dEsnmtFkg4YE+~<_Y3-Z8kL-AG>;4)qG|PA<6xzz|)5JbUa@@=pFpB
zUl~E^`OD55DZYpt%C1TQ5{k4(eyh9nW)N-_e-Cmw(;&UhI-iitO~pIi!$c_Xb0{8q
zmWiKAji~5&cgl&zo|<n~rQX{O5wGnI3QxW7vG(<*scU3{k<z+IW<h<f89+X|O1k=E
zCZLdL48+?~(IvHcvAn>3>m)iqd<XeH;0Z5)0Us66k?8IA>S5WyxQdQN&+^-ngaQ8^
ztwDb(er#8~l~mWY9}yj|ZC)-^W{4#>B{SmjWl6u$7?`FW{RG1Me5em2eu+^7w^LRr
zsQHv==01hxP!%Sf4~>c=JqGZVyY=tZpXfLMa+!?v8`f@yeFwJo?dbcw>`1|UJVgC`
zX91tj^1XeJE=h}H4o|7HJ*O$~(5^QJ1`uM9P^_&SXW}*%otBqECuvCk#1*g-DO-|(
zVRi=sCz-?M7m!*_**Uv!&UmeNCL$|3pn@;QH%%91aQGN~4BE3f$*-c?(3(-pJhs62
zem}5f9$wO)PPk-L;#Q$|2V2T>&XJ<N>6AAG9$Lm>JQI#(tVI;}q%~=>tx27-kv4U2
zPT-==VNw$YzKIQcirM}`5>Ry;wliI6=^7iOuQi!I_@u5Ht)cZz)2B3!V)cXcU0~oJ
zNB}`mC0Z4gFQJ<AM<$_Ll_6l1XpW<5Ui69pw@dsFZFKvd9pgx)RLv?J&SIZR4A%cl
zTqV;gY6muF@JbUXf?93pz3Ab-G*dD3MLYC$iuulA5>U}(_7~5ZC7!pG@@^sdzhCC+
zK8o%>$Cv(4Y@o{!-ma0_LiEZyzk1FngI5aNhx`(_xyoI^@v>PE529$Epj&kxWA1I4
zwF&#^F_liJKC3L^$D2UR0e^+KDsfq6lfKHFFN9&LhDL{%*oTQ6$x$MZKGEP5hrrO#
zd7n#5DHo>*SMEoL#}X;Ev(2ALU-c_!cf7yPTL<W!nrrMZSyfTjrsBt2FCmQsLhAWc
zq4R+TFoL&kW>~ujvV*=>iFZcUR4BkH*B;NnbjyxXcT9#UxZOo9pR-+tKOJ-3<W$gw
z<~J9`mF{Wz$2kLj>Aq!fr-vEmI;)?}-YwxS=CJ9}fS1184;?Zc@fW<Jzj6A>;@2Wv
z=Empz_JWD)u{ItNNh?IGJ;zQK8YpBKVMpabUtwa_o3^es9V-$#)D!gc8vZqhe%aOj
zK95T@=W<ZFo#?!}r`Ct0mwx4{{MsY-Inw{+^Z@#6Loyo@{E3R15tzZXVg5D%OaPt$
z4Fg(wl`9E{o0Np(k<OhNxSw>~OZNU-=5-&qQFLdf`HlaNx-DmWWghJB(^<y>O@Jfd
zsT^%p9$ayRBwo7V!U%Y2>ga&88r;gJp7$8Eybmaq59{&B{E-P$E@8q1sks!&vYHQr
zcZ>#(C}ktotv1A$`{XV>^}Ds0ivI?8p(IbpFOXlDbFK#}A;mQI6150kX~<}kE3e6p
zeUnzcoW#9>3D*MLkZU*lJy6AQ0UU_+Hdk9_erGUd@95|)D*uJ}yZPca*NVKJ%TY?Q
z)2P}ueOu_Fj)MF^&v;9+1oA$EUYrtkwwx$f8)ao!&j3JoWrM1m%S|C2jvKCd93FXU
z^5mL9=M-WTJX!kCMl;kmtv9)wlH8Mtj<QwDti(Y8ErR%+?;`>qdhKzP)1YkIN{>0r
z_gb%Ef4iRuEEddeEeJfFzCy0w+lS@e6x$rMvbnU2ax1}99>SPQuT&~4Pn}*6PC6^K
zScdY%+IE}*n*U|GXQfzep6b(?7me0Yp#1Q*xPy-4LT!+!V(skb%P-UD@hHMwY7?Kz
z^1f>U40l};U|^V7?`xMZaGrt|Z8)>0-G$GGzklfuGCMM~`-&@{Ca`MT-FY&L0Jonx
zvv_9Gb{r_aOl9^3xdsdr;`g{uwfa<29Rxk@>3V2&B;PA%J!r*&>Z`S{(U_PYx99?o
zyc2X;|NPJ{0&tU0SBIRj#h<wNJ+LhvA|}l<H%x!vFoH#2k16&QZRr3==kiQ}<`zS^
zso<o?tu|-d8>ZjHhAjJ=cO)az+B=v?2UJ_HOON83^C#T$$gh?xrl!$jL^MHyH)jiY
zd5G#I3(?-z+h6{Zvg7mv;0ZI$+9!zym<BK7k^ddHh2~|@s|ULd-@VG>UkdN*)5%=J
znp@T8&?f+BpA~Ugud#$5R$eyBq=dq#bJs!)-;{_Tb(q<I{)xABO!kw7N#Bibpu{z(
zg1pov-fiAtz8U<&YKgu)L~imps~Ci$zGuGiyKrW1N*}TwdOt$?L;CteOvt~jX`5X}
zbN32Fd9w^AF&e_Mf?Ga45L>44?^6gkO;5v&3GL+J6x-C9?P?{lp6MNV(UUh%8)Vp~
zZNtCr6=fL%<HJ5BwYcnz+^DNhMDe-*6JQ;j#~U`?n1pv<q<H1Mj&uR0jw^)n)dCiq
zsq02t$@2oFW}kI~Q<nV$eDZ!Pn@A_$8{6~F?_&hJ8x%AT0xHH@L=4y@$~@ks%fD6o
zb&d~ppw%uXB^X<c&x}n@?dJBu=bYgwe)mvB>Ya$YZj*NM+!iv<#MR&c&xfaj?W=&E
zLsoPaZEHD2(?en@H0WsuY-20ayxU8T7=Sf#ocQpz!hM{sMToXUQ)L}qM{c!I3P5=Y
z`Ci(;7xIcyR~6=3^7-|hmxnM1gHGUBGWT5BSR~)xGz5`r9dv262zI^VgikNtRgzBX
zY~H)>&_4cg^|ok9(>BNbw4_TT%y!BhBai6Lflw`5Hp|7MM8ZHYKfO8Ltz6<DWwDA)
zBG|tNWRKr|nl;s5i9}51SMp?q$=p}*CRf+)ONs8)3BBA3gZ$T4b>Fglk03mOg`bzm
zX1%bL`VEmRIvr{`8!6&xJAUU)6ke97k>suubQ}3R^E%SFniopT581X<Bm9cUzzB$$
zx#F!+fDh4!OuoGk&E2e>T7f`zPAka=oV)C&JYbpx4A{XuWL|K4|EYwT&2bGu2`hzI
z+nRDZsVYxa2p3IQc#f657`%Ub7VIUcLt}1%9A(gOC*6b0Cm>zoz%`OT)$7!z0mXPC
zlMYhc=`7EZ<eWVG$|8X;O0Q`tu(x#{hSVO;cq0id)%5p(bR}T<dU2;i>E9`-w2UD&
zFFuHn-_qi_e`7qIJnHb<Kt#V28_;F*To8<?Kiqwo`3V%xU^flu)5C)K)jof!5!eL*
z1jKlT^n;C-VT9&&%T0-t%);Q2=7k6CfKjw7n?gm{h46YHGLgCD)~nT@ng%LIRHhQ&
z*qb493qr0!O!)`9nBGlK$4?!nCV3(f<4DHM*W>=b?6$+iZ?`4w^DeBj_t9Tdtu2=}
zW<Bv34DUC`O!Wnvo;4sHU-N^j?gR7gSOXQkCKg@%K&nXd#?5UDp$uwwsdq%TtPVte
zgqUB>ee>_uKnz$xA2p;Kx)6k15yn)+$|m<A=V0)(<SNpIDAhE{?~jKe;$<ijvJOP)
zkh~s@+{pxQ5EwZ3wIX+p5oi8QX3vk4StF30N0EZcP3<h4Ch-K@lD)ZYg%H6{3ufA$
zh^e36#wC9Cb`Id`36VJxV<Neu{T^k`7ya*nW)YHNymj2HkKx9>9gOqrCxT^`0z_sp
zn6j*2?R>cH%SJtiL)sK_AC3fhN|TJGfQI(pp4F^a<~KT@H<Z(!egnMSn2=sbcfREK
z=B7BPUuxYbpe29E!!=>5h-EL6?=m^BmjNN&>>Ui8mf%*U9bXT@8kq_*_oQ#lV}0W<
z@`Z5PtwXv1{1|?(A8p)L4YY42scU(Ye@6qIEY2HwInbS?z}D>npmoSp`6K$QV5B&L
zMPq*I{<D_dyU<&;Qi8YAzX6;C!=RIwC;IJ<r{;x^!p-=2F1kCiBjH+Ey-q;dLXPL)
zlOoj!Fo$$7XKnU$!Y8~i^X{lq!ne<A)eShPnsM_qFULv}0qy)IRj&o@9Zi4r`mZmS
zUnZRq1BAZ!?};+K@BGpeK4TziHgw$&YCKJJGmhl&OPbepKouov<ccpMjEUe<w9E^K
zGe8}B+Jug4jt1cEp6yzjV&d@=z|Y&{fPx_O<wQ_iTOuT)9r}>MS&K0^YZnyAossh~
z5fSp$mJ$@m!_ba<9($*!%jC?r742`&C!p{fL0A0X?4R>7Px5n)O@)+e`kvT2jlD;%
zys*h4a0aY#XcxdsK(~7WWGd5odZb64mk&k6UyC#8oXgUt`kyC(PrgiDk-J4mTe+j&
zX`)5~Gph=IwZ!UT91hPg%;Vw|xTZwwky-_kh1;<*$b>l25F@bl8;8Nd5qjfQDYFB6
zZy{=a_Yf}2mGRmB)@&TGq6R_8G*3xb9wJX~WZeZZb8R0b(+zjkCMg$_2pExn1^@C^
za+T|C0xpI#Owwo8-`}#-;E3!8QW%mzf&c|~L4Uy=&<<jXeD0ae`1~J}zG)Npr_NSv
z6S_l|g?g9b;Le2YCl|u2g+pgqEhs6$?nR&-qY6u4_W>))NA;}()zeKImX_&&mJAV<
zx=m#O)E1GZm#-4gM?R&dU2ykB!{g8{&L(FJFNz4fX$tz(fOCGyMg2j_+J10z&<lts
znPIz;)ISae_W5GH&pwWG1TOT85S*nOP)?K=dX(J3b8m%=9Zqd_cx%>yKP!+;4_l$8
zClP`wrU@c70&`-$J<NIAbF%~A=p*mNlT7f(D3_-twWP?z#d9z0QG&`B2|O74bVbN!
zZMIWJXIkYz4`wV-%<{tnLp3e}?WvSkY#D)1wnE1YWU7Yz?-IhnEbel=ugu}FoM1Q?
z64>*`9A_qI#uyFhJ5RZP@CcCOZaN@(UgP|i%BB~a>|4PLF`gu7EbqY|V$-I8QI%|E
zG*VhtEV@^hf>M6PBcW?6{OV`#o_s}BI}VLI7RHUD%7^ALXFpcpX{lPVGt`FR;kZ;?
zHN=-{iJ~0Z+NZ&(#5L@3G7ia4+SmJrm=YWPTIniR_Ys|3+MA)}(!>O@dYw3HanrJI
zni*G7X0Rmo^)ma}b6AGa{!J3A{FZ>T6-Ye_m({qLwY=3{_;iP+q;-oZZ&D2DWOE_g
z)5`(KK(=3UFV!yIBR~oO0Z+?>M)Pgvw_J6(wn9SqduYJ~ccPM}jpxO-2I7x<rJ+7@
z1ShluI@aI#qVofzI(aw*dVb!8ljWSZR+P&HTP_~MXwum+SW@1ex)WnwR$l|7Tm!nE
zU{X`yf_Ia<;hfFB&~`?hL}T?br(W!5-u}9Y2GZ^6Z0_zC<ctW<Kp2BvoAFF@|IJq(
zwn^y#9R)i~EIZvC44cXE7*UXuA<>~?9RG+T-X@gzdI#-!!bPCiv@rcZZbMNT_e`&I
zVH=t2w2Lc)%GF@=r@YBZuOP?2E%hu&*SgWg{rjJ~6c?201__FTL$7l;H|`m`=Wwz7
z>3cM;xC>I}A^1MBZ?CMvc(=zC%epuIE@)*YqVWv+p#0{_v&^PAI58PCWMerc*+6xS
z>+Ag(cyyn{gT^iJz$UJl?Wq;Hy#Z%R;F~BrNV@4dL<{O2r^!s~v|(GMD2LvfCc5zE
zQa5m1-CD$+VbRTY%Y7IdSUN;5k6hYbc_n`KwFTR&7xYU#hg;2;qa53%8uband`2T{
zN82<=;ok8^ZBJSK(Enr^chf8}LiZnuYPL*ieBFB&=7JhS6%R_-bd}aO%MyvFo^Kyb
z-G1fUHpv!67z-{RnjPc$!na$Cz_Qq2d|Oobc@`l$OEW){GlJbJg4kqI3p0MFAs4j7
z+^)4R2oXRT((9-AXuRTH8|axHJ^*7KXj`~9py>Cnl^#<UG^jK24rEm@9rv=U$6(*?
zt`x(7AGl?7d$j=v7m6K5{F>AirPr_G9_SyeQ5d2Z$7>^QFhX(~Db2HxS%3{pixT`h
zvu8LXWC2tf83Wgeh=h>4Nxgj$KbR2mXr&zo>eQljGRVF7Hm>pD1Bl%}M7+hkR>qQS
zW)n8*2=!l&=k(sKtGfaFL}4)H=GT1})BAsci(AYL)RC;c&=&C&1Ez-kwbD;A&HRBi
zRBfnOe*R$q4ZcK3FL6*8tB$xz(55`7*hoV|`Ea#H0U{J}iJzuPJQ*#twTk4ud@BPB
zoGx~p*gy7GZw}2W@Mx|qs*@}4<LO|)GtW^Z(h#yRqQUh#xc&{U{K9(m=x_)snQ%vh
zvQx|avT=&DrT;bT^bD;FS7zJ9pu-D0HM3B76OiX&jU75QB|6~bI$4m1fqUEyuO%F#
z5a8;SS%OytZThSy9P9ND*!TQc9CLoV{pd--e!&@%FBk~|Pd?{r@-320G5A7&re?k~
zw~sV!5zCls{F?8UVh!P7#yosNTlA2(2tD}KuDxYBZ=d;rJh^acEb?DdkH|AZJhW0&
z0qmTYwMB9$BAI+#lEOA(E-|5q#$y7RaFGH({=JZPJDf&THUErkiT=)!@}raOg=Nf`
z(log2^$h3j@RpC;*_;^Xh2tqOp7l16$r9-_ojWbe(?<TxgjHn(*{8?8Mz!|!i%%-T
zOx`Vs;j)h@Whd{0m*=S?8b+a=;@Y2;j#f8A?J7jIqk~F7*SW8XyiM&sOnAD3SO>0p
z4lO%01QDQ(ZaoKvfXDF`L$;I^i+5EU*lC|L&+9J~A^}^5ZOogidf9LKX+dN|SDCfl
zo+}YXcTyp}ue|G5tIOh&RO-a)4mL6d1vTBGCbpKpuu%>r$#e8UCDcZaKCkioXExmD
zGVdy3(IoyxIbnkxcy?2yMSr@&7(jAiVobVs7wU6FDu1LLzRvH++8cF}E3#Di)yoFE
zw+5}S#TUvf*@E=t<Z&>lXM_CO@KjRp6$sP*`2m9IzPGwbPa;{}Ow_F>pX)VgyhIt@
znkel48&cPGN+b2kNpYgj6dKCT_L@88+XpcY56w3jU^wV2O%f6(d~#2~^2eaCd=$o_
z0t7<*$XxX)zP>_9vpUbYkjZ)545E&=id`=9cWo&iN+?dym&^Dlwpan(37GN?$axSF
znPLV+!0>@ny4K_T1W}>Pq1p!+E2f)3(5ed2mw=`X1;H7`dDGVCxwst)@-8IT`>gJm
zxa${>m)ZYf*CpW`l&+NDx0e8NSzH_8ZZBE0r}Ha`+gi{vL~1Q*;%bTUIZPUA4hkw_
zg304Dg5$(32B$T}sbU>^!g8Tuu~IA=>5^1-w~bRJBCE8KG9WuzPvAVE^Na%wO)hQ@
zg>-EQAAx=B8$RJH`D5+JL#TpQo>>y=`NjWZu-Su^TbsF~R!At1bJM_#04JYEz1(-J
z^=F0i7clt6)6Jg;+Mr5$HBqi7x0CDiV5e#E2WJGyMC43eRvKWBcw0er$Srg;b`l9=
zny2!f%=!Z!Nro;&{7Oymxorr3p&6h&EJ)5ev3~3{vR|lG4pHQZtg)*G2TmR2hTPBj
zY}o*QT%@WA9J^8+a@PZN==IS4bE0>rBjwg?RwSMq877F1B>c$t_(+MOG*(}m(MEg%
zMb{avAHG<(8KNDejxi+#9@D4Z*D7ivv~_EAD~;%ltCkW_*N_8G&97NVK6nOc;Sg9p
zcHzMR_RFZLFA8-i-4S6)*a9{`4P4XDdZJrInVjRkemZy2NC>$TP}@+P>5oR9S2=aj
zrG-Ja6Q$xs#xQH-LPasnY(VOm5D3sk620lNYERgI<XS*^AKJJGfx~R<=+kM<^g&;3
z7--PyBL(g;lVfWaK24q$C()aJW85|BxbH{f^=a@un93yb4{6>uBQ3EM)C4UxMITv{
zkFlZ_va6U9G!G+-^U_dL^#F-R{~PuRnT!{TQRMQ!1QDYjdSzWb+T>2)3lnYukdfAN
z*Wx;36}4R7Z=W>J#saVWQMH0wx!|J9aKmy+YR-(igM{rcdz9ByO2^g_B>YPatlsFU
z6xAJYXLd#}G*A6X7iKM>q<XNE>pJc+oDHWpD5Z=JGfm`Xk6G<qOf&04HA|zA6WV&~
zfZ_Srrg)5d6w+;q*Mr5T)`G8UvfhNzX`yB20)8m)PHXrpFHH<4W`cewhG$f--rpk=
z3@&(}jFYUAcU$t=hmiNAs7aX5oj+?HcDg@gDm0Q!t6;x~P0h<Vq1G7j@NDZkS48!8
z6nOU>D81e>S$jj{4Vpx$<Xr-uv&v86z>CksfuRWsEeAE6Ce^cnTi4Den29j-*q{V{
z|FGhwOEM*pv1)4A0T4F^{T9O#m?DkZ!Y}6TtsZ<{8;+#K>Y|&lFb^}!g%hNa0;!eR
z_)NUq_Ql=vos))3OcI*JO>sT6OibK&J;vN+Fmt)q(0E^dmMIetQ|8)|8QIvzB5;`@
z)g<9pS&JQ~E*)k5YWyl<i|0wm2_$se0ivNf-Kpn9(t$iC9VnuH_(AKLxf-Po({a-?
z7uI9t?M{(~gn-f*=kDkjCSs3~8#QKDE0)hLOP3ur2M|-B)l<-%+d#kIQ9M^Mf`M5D
z)#3F4^gAv|9DH<8tF8kLg>N+pZ69P!6nSS_pM5m#t@G02C2idsME=X2(BC9JL4H#Z
zLPL01rLPy`Zc^+x(yjUjaoLY6x^Q%ImYe!qTT1eLO$R<W+rvOL(AvVRv0FrMH$AEB
z#Im7rZ^X@XLnq(!dYbsM`}i+ok|>pLou20>u!=-9avy!2kPF<(4EryWR%0garLADz
z*Cy*c=ZW2L_Y6{fdkSvgUeCJxv6HEoGek9uB22olnop{h&+$1!_@1UqkHH$3Ap3WG
z4tO~pW7?es*K&<)20n;_?Aamd8p#@Zcqh__9Elh7x(igz>Mxmg0!eq)GiQV6v%QA@
zq>{!sgQ9>I0FcOZCUjEEyA#I+P#M)LOPXO5<6gi-iH2*WxIte1B@H~B6$4I+X;IId
zr{d&;Ouz{c7YtwH`c8xQ=#x4CFh&k5LZ7FH|L)mhvV#{%o%uCuo@2Kaeli9Qe74eY
zH^mnuRU1!LT%pcVRu4czRS5|K)l-#7`VbN=@wR_kk$;N9rpeDVD47yRC+D2{3Y?h4
z#cahk(nLx-o}OIvI9um62hCCvztG)yD_U4L60Qx%oyyazPV_&i>{&hB$3H<^V^iJc
zqYa`{auXl6Kb=MfTQG9vQ;BZyrx_PN+U?GcZ7mk6Db*((*CQb>c5AFB_a74+fq-(0
zO5F7u$R~O4q;l(i8&T12nQnww-&mO6L~{}=G4_vNcRGut)GEUE)M%It!=3{w=wZAM
zO4Wd`(z@dnIXBPRx@O;f+iPoVF_0*{LO)Jo(1DG0$ZMXyBQPRpubI>(m>Pt0!Xy~w
zwxr5)b;sVcJ|6ZrphhIT`#)XB!Xyv}hRiya!bgszOAD8W1NtDZD$n8LT+0q78K-&`
zlDi95t^AvWfFF0*kid;CR06OH`ZG9#SiPcpPCwL0XDAXOcq-GiQJPyq%ip|2AD)C>
z6!ZC34Q+TNf_J}wr8i6Vo<9umaf+Da0&Zndxx9S!S1!ksy|?^J>U6Zr>|S^GQ|OiF
zGO{I9(Gb)EywD1zw5aL^I{stEHAlI8m4#Es!t_a4G<IC4^CFE7{u9~>0Xdpp3m^u(
zvHmuCqsX)HGdG-W(g@w!L^$YulHTks!ZsX`>OvLL!CQ{ed7V}*hz_k1M=Pq7nU0Y@
z+*35X^@B{o%|#zbwC{T4?WCMfZ(Fh!`E|vdiv!i8&UYvV8u@D>!*7BNq_lvrMB9%P
z*Y}KFV=kUog4u2>xj6?obR=Fu7*k{Sv}c!Uh{yhG+2>ABYH{^Xc^F<?k8v!G8+9t7
zN>x_h!KqX+4aeDZI0B@i;OJ=fG#DKkU@4oFT0<N#hdrT?CYIRgaEX7kU1&yDzH|M=
z+8c8FxqL@zYh7Hf5?TwB%Y0|%0e1<8%@jpm-Xr+znc6MmU`BdCDW@V!7CCtqKjL7g
z9Y&Z9J*#kBrkh@QfgROA&^yc;jgA-%8mxHJ2lNUpxBMdX4dQ5Is}ch^D%$)Y=q%$E
zHurdvF8xDw>9FhOCzcAfe*Q)|8Ggx2faB`B6dwln9PL!*g;{Pucj-omkf%ddaK>BJ
z#pxIvO1^|{FqPQL=4&uBhtoRsZ*HIbx#+m?n2FsymhGr&myHCm!o?en;F{&SLx)nV
z;}88h4Un!%HlTHU@Weh^watGvXCT3<S(}H0w4aYZN3~a?NGsqSkrFi@|3KpDi0e?M
zGkpiwJBT>L3msyLL)J|{XWiZEOyXL&EB@z@vFG4-W(<YBB~>Cz&K35_^*<r}|0)`}
zM{#0>LlHnWR1XHne~`h^Yf^{hnj139Y?#!e$zurOpP$TrUZ^ODzz8Qb$U_xV+~xKG
z#8?15K*GQG)dO%V?iS~#Y#8E`1auIi0c8PG6uaMi)gX&^a3<e0OPra*nEi^@yre90
zi(*1`Mc3Uxf(jX{CH%&uj?~n%p@Q;%6QVC4hshdp8?uv9@Xg_$Ft;+e&tluy32Dm-
zZw)4aq$1qZNt3@32((`4He@4men&JBCbk_iK70}_(CErg>L<i(J3R&&oPHd=TvA^J
z+)*kUi8@J=%*(H8$XpeORN$+C>7Q=w$GIZzN%aX?Sv1c#_~bp_S9-x4SPR=pj{$TX
z&tYyqX&M{KGgP{`y&GFm2YQ#vUH7Vd6tNy;4}p+4OffSwrRDcG$WBokcLGVy<7_y1
zPqHBoJVu;CXIy=~XBE+;2}6!z>*05i7fe^eH@r3LqSa}3@csWzvak#>d};njvt%f<
z#oed1m^l(+jW*^pWFdIu!%Dd#|MHY%G+-l?Bympv)*rA=Y`Bt_FTmD^%=56CIT`d?
z_+@WZrzTdz6Xai(|M)4Y=f6kx@*i#=S+8GX#Zjg_6R&HN&2rPOHr{>V0KtS%isG<9
z)~Z28iHWcA3z~{`6a&y-!Vhva0iw%iyYfNOVGCN@HF!(MPwJ=lqVOl`yr};xHwJD}
zUlvBB=5N(QZLh)Ztk23@Amd${_7S<5`hm?mmLq8TmgIz(89<@yaHe=!j%;5u%ZDn*
z5OQI_wbwdA_{7-I9;H+8Hm3YS&pJns=N{__C~z}v_=g=}0!1!<bq>G$o(Ahq11Y$i
zqk1dT@X9xJVw!I8b4%E8vt-u{n6lY^?DazVpCS3XmI}lPoTTSnof;b9r#<r;ZgdYH
z!&TL%pO;EPE8yn{1^$Fww(fk6R3PRVBCvNh_nD4Wi64k~l`7MfmMOvW^syH)j#@2v
z8dP^&DA8`S^fmv~X-k0o>jhDodXDFr%OA)IUgM*(3WjO+{>saONGE1|inasn7*e|s
zO-opr3!$cK4M;y#wr_46e@U-TMY69-bJhSRQfZTMj~zu8ibHF)%5I-fTPE9aH<kP{
z4;7m|nG#G{yfZ|<&upp-HrzWE3C|znL}e;H0gOBYLAsk<ACOfpKW-pk`9EHKJh<ch
z5SjUISbSf++3C68`|Lc&7pr{vVpaB{uyn1No$q>|T=N6@;g30-+|f5!thYLOFXVJ&
z=%AFp@WU<z=P~I0h<F}O8ze!zID4Fk=b?PyUgHaK5e)zClN7V^I2j@c{Jk=SsQ)u3
z?Rhq$9GxqKa?pGRqvi`64S3=}i}BPFz#$*73N(CdtXZRQvz0yH;W4=6x0Z1{G&e_0
zpRu<wKKjp^<zptY)wHDYkfcKt#4urAM|q<Z8=%}DK79e7I-rdmpeP6HLmFk^{xOF@
zvwIlN53hh<7}Rs7*>JGdjD}l2pZoS!d-7!D&#6cZ@bW59I0s0orVf7ve?}c4qW5;o
zyCHv60f^km4II9!NO|O7H+MVS2XUqGwHwB(rD|e*sqIzX;m{ZavAm>#Y8B*-*s}$F
znwAQJ_#?QyF!w5w0MsHqjUkf{J-sf47HuOWsmOKq174kr`MbT80)rKz;u3CUd>YJ|
zwrH<=21%1|=U(^YvjAGGq+sB;I=+%dT-pvNW*H&1%ykDHnXBgjWy}D4#$Rb`%+e-c
zLY0-LI+pYEY(Zi0$?QDST0E|c1_TRL)i!#_dQy&F)!SYM-6*$)cz3uOL=Upbe58+T
zJMGI)&?^}-x=Vxd(fUo0(Tci8z$;%_^GuumkD(iLfM}ft;%f>y_c<o(D(PX1r!zmW
zvu~&S0}l#!O`3WlENR`X+w<BWsS0djl;iYa*07A1v+FMVGcXAwjK~a0CQwpqrP}$5
z+pljFgwVyf3oyb)Q3JrQ1cM1MfoD2Cpg6H0w><}xhSf;{B#j5U?|s$WFao#$CLRqc
zK-!F&@!f~bUWMo_1Mi3p`HP|~|DwnO*5k`!+6g<#;?#b+A)b|^k^ImTg|ZW74p!Ro
z+P2VqIQsHiUx-9chY>`1QXk~7^$?&y1+@3Ze4P|7+LIR<FZ~{%gw-9=-0>XRh4!Bx
zQ>yH5Bf+309x}if8cix$>!L(nv$^5Fc)F=HXvhhVg~+?ad;RNw58iBL9yYp7v4?BU
ziii$i<P-U$8>m4jt}0<$1zG2g{4sF*k5Oq;3JrqHoy)D?rz}uUgtbP@(sbyL5S{w_
zyhVw~lRUBqP(pLCk0XKQl~MMbj1AJmwC<M+c6jId2i97{ifxlQ&+5s6-%sb6??q27
z?;=J5Tde%>{VJ?56kbmO88v+?FK81;9Bgo;+lH{`FRzSAJ3b7Dd$%Io`UF1JIluiH
z;^!AGG*~=6_bt<XCWfTVY)j;m8#R&^&L9G@U+%-Epwh%(7CtAC4d*o>h|JIX%d!}a
zitf}+)C))<7(!%D6X>&Y<KJL*S(IBtA_Q<i^eV`(M)`Nu_fS#BlkrY&H9Hbx|AI_@
zq#Mv$Cd0XV>NI~H3`i~UCB+ELbk@{GK>6A-^k=^gE~DT(Lw%KLt@8>;RY!sWJ|g*G
z%m>HDBW~b`#qkQY<xPVZZ+9t_d=7G2w2+-n0tWUT$KFGQXOe?8=zU?kbTQO@Bp-#O
z@}vD2i<7*}7`#g$r>`Zv>=dnB63Ox?m1KBS7*h@zOZT=`8Z8v9w0h_8+gP14itiBU
z7<cV13xKpV@#xozWm*dAsqs>#I$@BSC3wkMWWm@xE?hI&KDf0p$cK}!+dyU_2M3!i
z0f7&ZS4VyS=z7swU3ZCLEB<{4;<1wG$$`@%a);RU=Hj6$IUSytG3tr?Cf|cG7tzC0
zKk;%map1jZ{Q^JB5EelT<w8<dt-3j4`|brWC*MfEM=KXk&%wOFbOp2tgsX!`y4j>`
zId&0Z)Xm?L%k{r&jrI)A3}YA?5|<0?hc)XQH%?P)9MW2v#eqHf!B?(I*cL6|=Ei>R
zKEN3&Z4}c=9pZ?=)>9PY*PzM3ULd27LkztwRsgRDt*4X<eR#e?3}$~v2*nG=0HB(I
z&}^`=X>RfsXMHE4`0;f`=<Dqe=q~rhU~eIH=?wp9&Eyh!nuwnb8gW3ju<-!Y`f~+=
zHxEb?J0y!yx4Ix|5~jK*N(8OGa(BbUG~n7oR@}NJ&`dC7Pm5`qlK>*KphLjNXBOdl
zdd!vM2cV_EC4pTjWpU<(qDM#qkZj)#`*6--KQW-@>HG-A!2KbqO#Msz1DyqER3b`P
zhZ+)e5zGRy>-got|HimpSM1jn#x8Oi2+#7HJR5h`!RGXowWaLo;8!xAUw2bWPR=2n
zf5R1pUrzSGXn{f`yMR9!6aFi6LoiDJxpxP?ikCr^O~fkDli~@Is54A;Zbo7iq3tG-
zh_=;dCz`|UHs$G9L#xryYWxhc%X-UOq^37QMj!WJA51SBl)<zHYUD}f%~+gw-u1~c
zx|1jCq7^Mp25A}73kNqMzFF#gwwON_rDS+_Voi%4V3>FdwA$YJ(nYFBDC8^}Tni?b
zPp}7~sJAhzvfq_-7)X#7vq*c0NfdNVeA#&dfYjhPNcShru6VNC9EHwIrxbmp`<tqG
zpNe{sNFn>0y~mA8k!x5U1PxU4OW~`vDKF42h1USj=D5LgWt9fa4E8sgqSUt^IoE-9
z1@dz7;^l@#eR2^fTY_f4o~F8u_Mz_H$}|}c|EUFPtrNIeXSWt%DVnh-JNbwQ50<vr
zy4*e_BbDf#m^#Qkg0zUfX>I;6pu^WKUNmq}s13q|D-lAgoRv$_-fPej=Ybn}#+Vw2
ztd$*wzGNt+0Grb@>T$gT*?LyRIV3|i1S!0uaqG7=aY;;r=$f~b_feLwhTCr>i?z@Y
z**_(`I^y+r%SC2<V<>!kw#-yeU}yBMe?f)|jQ=sp?p)u7Pdy;i8NsD2UO_1MF-Z@U
zc%_=JoIfHttSz9ACtkYw#XfBr3{{@`d(mSYwsftB`!unpKebfG7`3UPu{LD1V~+G}
zacEHaRaufX4p%Kftei;%MYg>2ZLE-{KF8LR+Ovl_v|a|Icw--L^57#S8UUHb%$o=W
z<mQz~V%@n*tFSvDh(B;BUC7^4w+ZS|XZJPq6l-*x5H~1@5~Q{S2vp!ryGQ933=Qq*
zt?Qi14{ryW|08KLl+#doJ~i)O?T)<326G_zZ_r1<x`??V{F#7|C*zVd47Y1-sn1+~
zfO|o&afy*iQZg{uVo;LzXLJK9$?p{Us9anE>m#g|l%&C(`*<d4s_s^KA0for*yIEg
z2_=GdCR@0D%HNRKg2vlhge%ZV{j*1oemwSPOHwsTfhkK3oK1CR$_6#M`gNwTOrKP#
zTNG8F;CYT8*1s;wF5ndFfAov|lKtbIgbh3UomJAYBv)35*D99gQx|WtV5hcJ1hlV+
za%0Tc|J_-$1Qfw+OULVpJ#T-m*i4hP5zGHZy}s$^TKp>F+mN7~-e|-B=!~t%aMjU&
zs9~qadI|jP`v9xgtgE-B#bTf|7T!;R=+t<5QmTLxV%m>4-OD)1b|)K=c=2Mlew-8u
z!voe;p_T^OZ#MNu+lIZp$JR2ms4})jLUDuVfN;tBnoR6jWr4>%4qd*qWjI#P@4$fh
z)(OKigaP}KIc4N6STguPYuN30Q%kEo8$GBDt6f)G`V#<DVR{?NQ1G4*{7~M&JHOOI
zNra*&sAU;FHZkwIuKqQY2LqgGTSKAII;ug^CIXa?$UIs0Y{SV_-(3GT&!<t##>c$q
zI`Td1Fkyx-GB~Eape?6Ik1`YCue0;~eI}-pfHtjB#ukk5LMmO182}lhfDQCkY4m>W
z7|qfjyxe%OBo2Id-rE14+`gS)7>0BC{D9<{8S5P%n3vP;j)!W2+|YL|qd{|GaNJ<r
zq`_KxtUJz}o4~LIxiK|e<@PcwBGb*Ny)t~-1HICE!@1ft3-@u1^}9G<f|qVtlByWN
z{kaWAe__W2%{gwM#ym&LrkeHN`an5ug>vM}vv<W6>-K&^+%qZr9pvA<`uP<FX_sO`
z%<hvJQZmmP5z*&p1}V!K`7Nf*2xSF8nOEvv42i`0x64d+*6}&as8f65LrfFZI;no?
zQj<Iq#ROmYMdcIgaIY-DfY|7wA&zl4a(f*DoPM(b#Yr7AK7yhC9^~1A+|XH5BQ7)o
zq8V|60JTfC?p4AyTfo|~jfZnSZuiP2T*Geah`4zDM|SoBXL@PdS^WFd_%mtQ;`Wj{
zU=N$OHu14stG}edWMiNxe#)WUpoAP=UbnjtZnrxvzT!Tt+v-kc9bQB|1hP!D1ie$W
z=M9#aT6RjXHl9-~fC%ecA~8zLcf~`ep?5E4;;2#+1XhXu-TXlozFc4E9O&EmFI+8u
z=LL$K7@x>Kp|fnn+Pl68LkWlYyGrk-jnLEWCTDX_qTE;<G_kc2JgtS_2)t~7dr739
zntsS5nlsv%a0t@tJ%eMmY1m(xFhoE>1bDp^Ee*kxxF|`A<kyuoTTJnif0H@DHS*xr
zM2;T?_e;MrP+|T0z5Q0+o$!bI&V}t<z;j5oi=b#;`pW6L%mitp^~J7NlMt8GaV5PK
z%a+R;;Z&r2Ev(81U6t$wV#>?tL096GMj}@?w#0M5HEsUHYD>W!;?-VG*Vh(m>wf{-
z#@;_WP;9|Ugdbk2Nj;`6h8zGw%U(1sO{d)T_y!O)cx@Ase_IFcB6*P75OlsXdE3Vx
z&W+C^IXT2Lhw^;zjc)9iULW3!uCp=0C#}vSgJwA@wldv_FxY_QLg<S|Rt1`NLv-}i
z&|4Bg+F`q&6pt5PgJwk(U>b(t%lE0%Ox1fp@x+2r*o_^y>f}|Jx+orB-S*lgoO60B
zOjRpw;*t<nS8O;ob%J3Y?kk{kwiI^D;XNdWX3)*n!x3y+;533+Q3TouNKDwbEidPv
zu`=wYla6E;tV^%4#wef&ePK$C#o-H)LEQ?|f?IS8WzQ-C2fM?pF3bz{7EPPofcC6_
zP-r$o!rDyHte;dFlv&t}ViQeDFd%czF+Y5N0X*`*-B#xd)?@O<A*_d|$fXt*^cFws
zJsTXR?6m{fXT!IOwd{;?E|#1nU(^yHGh0knOrY_{Lj|ue5W*dpk9w8^ypd}F08BXd
z+*#^kJY9#%#x=kJ;myYU3PXS~LgAMS+Lc*&HDRxZ=7)XRZUjBLK%8u<ESjDfbsX4f
z2aNZ^W1ce&!&fV^&!^u@>DP*S4MH;_jIKc{--y6#&CzdCVzrL#%BzM80xfP3Io0dC
zblHI~{mq1s77zbqhxENB(?)w$*)BWza+E!L6SJ;1pIa2i#C5?yqtDgP#-0wY>je3@
zJjo>hW6~OrTQULG@~_(7pmsZC7Xk={xo$UulB4<}h8KgOOey(L_|UhxD8DxBJFU9p
zpf6#KO!WirDjCD6XacepVJ;?vAg<ZAE1WP@vU6~i6BNr&1wc?NZwiWv*C=GLmYNv^
zVa(jNQz<mM&MD!>j>-1MO4pq#u-}(O5k}Ku7&cugh8kcdwc}mUheA9ykoAO^J<iZL
zKF>1bGkeRr9G&)W_t=r@i-U!`Lg?4Mlb7QFTv&7n@K;JMGf$iy1$X@4Fo)CKk;a@O
zJTw<T7DY-g!;HVEat5B884k9aZ!odH5{CdyB_^{n^}8^%2ou+<kKD5UD4&f4XMB3|
zGQx^dypmV0CO>S?2V{JLaBH`q3svKvnx^+@B0N@k^xHcLbp8YM8kkvH?Y&F03`7ks
z_yiB?uI-v#%&C6tiJt?nf*0b!aBIN2zHOTb*zJ+>DK?>n`O2(&+Cyfqwy5+fww}K`
z4h_yjtM-Q=ngtdwsm%7l!Rl}kyfJ2_C)9r=%ME6?@*_q>4Bt58wv=)Md3Db=%P3)3
zPeN68qoKo2vl}pMkH6+2<H2f&GqfeAwwi4g)f4DHip`gkJ4~(jAD+J|>_bs~(OCaj
z$T(o}Tw0O}epV@fH#>-dKfZ2vUx<*ogEZ5(^YASKaC7ZeQH8i^CT40);eK8LJB?l$
z=Zy+{r=+ko-QuLLbCn7XoV5{oxyZ+Y>2U?D4NL$S6Z7?IWG%P($9eCRg{E`j#<`UA
z2aXU;mU^9!#b<yO9p%hvQamlac)!;eBUS15jRFj6v>}_THoPsMv*sL=+zR<V%w@nF
za~vqF-(-K<Z|ADW0j?sAKXyUHmr7HoVEl~(1Ci&aWV9w>aV15{Ll`0x-|RXFF$h<%
zY;o*WTI(x0&#AbV4v<b|tbtc7zuKu7hV5gVk>tw)K&g;sQx(B<%cyKw8fRG~^|TCw
zAbhfQThwgeHX_-Qq?Vu$m87U0fd(ECg(ZD6u_%lwzW7ZTmN^AA<!8^^ll-z#sGbaS
z5Q$HLI6PM?-K$zQ!}}Eu%O*XKHKnw;9uxYl@BdKLj0&l%A%BQU;^A#AD!44`t<$k5
z>eJwz`K-P1bxLOi^zyzsWa>=r011rRt8KAUF8KE@G~Pg@hD|#9K9UJNrwFKkXpFo%
ztNs=$^|+nIcG)fG%_6zFLy!%@e-%jpL4RGO+Nx6N^HNMoacs@uXc?X+*cqruUTQCP
zwJ(GBl9om=9Qu_s@4korR~w*b=}NTazCk;9bbIGchY5=AGg@2{;g)+XXs-6}i_rPF
z=3u-1?L5bYH!lkHbX=3nKXH88!3?~)Ie|%+_;T$qUxKy}xm9s+=#o_}jeA&KZv1l;
zgFj!#?*pyg2U#Ftrmhnkkv_Upzo5~9!gPg)BZ1KSKH?Gqw3dwV8|$}EYH)tMbp?^+
zRt7;s#9I1Yd`9-LR1BK3+a&pr9+r0R5acD3u-ROzThU}fLG|INh&0HdPJ}DQYJLTo
zD*M=XALql(jW3|0;QMJo;Ut3GXC}v-V>I(68q3ou3!)a2Ojf8PxE)HkEsQ|A>QP^h
zV9qHMwf?>|C@fn~@f}u;A~ihyHi<wp6^rsuVuLx_pCe^S#7ryeD_%tfK#U^06q)OU
zLv{N99fyE4c`;wOha_Pmvp+y?_ZiTZtO=9oL{E14UvL)`M4a}hT1dTZ2|LcQ)KI6+
z*U=oUjIHLW?J6LcBN>7f{-=x{NqMOEn{k&F^#5|?wC%)MjuZcwiA%BnAb<u=2xFIn
z6+h~opq5o|yAAU2X^mw`(4Y|QL9>pJD!WY8Gu>iN73;KWwfDi!G3AMkmElV`N&|Hl
zPb-#{;vRHAurta40~}c+;EL8uev{@eAD*<lT91mRCfY(pEBz_r^Px5iCFoI&?=zQ*
z9v8eY91BNQJYbWf<QjpaIFq+QX+SA|fp=XV7l$l+WpZaOW!K=PqN{4~uAl}Kn8S;r
za|w<5hrs?$wDcdkJglZjVvV-pexQ+D(^6T11e4cR07)9iFy?S6nD(%H1OAebnu0up
z;4B7j@WQ6XswYdf=esNAKpt!=)q6uXf<?u9xTx8_>@2e`N_AQhb@!$B6u}j;>gnwc
zk&EYgjp~-ml<VYc>*vfjCR-&fdc51tLp`h93oK0a`@QgAALh3)tgxyeFn5SB{<uyZ
z4<5k&YlZOuT>Kgtf#H><So!+7lmxS)mBadzt{hbvO?&zzls4S5ekH0WVcX8s_3~w&
zInlFSJVhG5GNoLNaKZVaTf)>XESQ8-we)P3V1DdBBU%3zjN-_J1u+~n&VQ_vS@<2c
zXS+EJ8kB=y%?NUVM4v{TBv?1Y8;tP44SINk7<a23rXuWdlc*sc&2UWtZ2~gSC5`=U
zl#nqWpK(Uj#bO6}d!!)4p0UUd#f-zF?4ewce_&+Aadb}{yA<4xll4cQyi>jLkDEtX
z&ouQaShS;fO_o#pCGK{AMsqwEx|uI<wh)k<O#ZDYk7wosOMWty5t(>eL0xuh`GIEI
zf)oGlO+~SY;$dtMYtkYe{z=Ovbm9GHFr`X<rJ@s&oqR5iU%Z*JeA^EBBYloao6<`;
zVTq*lde|qTHv9#x0k6~kr>$64gF+UaR@iY-v|s(Ijw=GqCyyy4;6M#m3Luqd2BpFt
zz)-kbW=CmW^2zAYv{xY;{4~r9b%=qAo~jR)Y{Jxbw>cO#d)akxIP@`FIT0zfD+}HY
zHHFpJbTQP<Br16w&ULw+eahON5aj14+gqI+1^C~x-uSLCvMOBpOih3tM+K{QlcOKC
z;73|U*cdI*-C!9h?~6l*=npv)M1P8D@<g^Ce5I!o2@;l>7ZLe+RQ6u&Tzqe9x=}iL
zr(Qfv0%W}jCc&}I*e|lT4gpvv1NrMA#h{~YyQ9NjNK2nXSS9>PyP6O3(1;tg5HgbV
z4KX_W<efbc00<>}rF#Ycp6s&a3qPMiV0oufZ=vWq2Mubt-cP4ljbJ5jO^|7GpGlY@
zCFVPyRc(F!a7VfpzOp`)z(gUWZZ1N_%EPzQ$maoZhr3u-{NJNVRk<w@W#9x&_C!1f
z!jJGI$9B+zbEqa4n1dymfA-+<r_H&c&_#GIy7KsiKC~4<0RL3+P;8Qzo}KH3B-P{G
zUUWjTM!8RMs07&j%Zc4UenkbR6C_%5w|Ty|GF7@HZnZn{Ic15YCqOg266nrB2&9#r
zk*tP6J8RvRTGnQD`A36P*aO`5C?-mhUH3z0r{=JTSVUIN%qAT@Wqjo234to^|6m{}
z`7z_XmLULQlR$ZXtAv55S}MQs_<n|i+FS58k?{Il11q^MUb$q=w0<+q6CQAo9(J>R
zagW15Z`GS{(c&73c#Qu@ZD%<$5}O7R5w()t;7hUz{M&4gan{pHAg<?`vHtXq{q((j
z`%HdF<LJ8r<uePL|0}VjrqL(#HKSVx;&~B{b`y}$CR0s<kT59f>q%OVn6K^ddhAAo
zCSsbg$4pc-kM(lx#w5O3_cl|%s$pPg=<;G8b?PyB1JNm<T303lgBf*#BRApXhF@u6
zU&%h~oEs<FhBe*G#R0$7<%I+IpEr&&1FqF$Tu5clQdi;fSSzrJUZl;KL^ymjp=t0I
zewaO$kSXV5o}(SQ2KCFFq=ST>4Z}-FX4ouG1Q&(p9D9;?=(iN76k-C#Fqy9?vLqV7
z3##bb6TyOmg6UEj84!cec+r!UVNkOxe-y`)O=2sVoK!FX4uttgMsbzn)#;|e*_lgd
zCO3!sRcBn^38yoC&m$c3Uu09q#gOJH@wchFbQQX$3P^o$Cb{qy?D%SJmet*uJr-00
z2^Tc78AmfEPiptj8S{M;iOSy_&A-W6+=p(dYE?-xF25@KpHA~0FpV^%?r9Ch07gj2
z?Apcb)M_t^Szgj?vV(9LGhC`I1Fx9=-GfZ%%vprSLoxTfM`*uks4f1Zz<us9^8#+7
zU%B(oDd)|G>x=Ef{PL5!;#kRlZPbW$S2Vd@JiC&&ePIwe4+V+EhYV1=e>ROi%}6+_
zRm>B8ytW_&VY1Z{t$*};!XDmWDzi>pS2o!vwv)9Yw%@M*(|zUi9(?!p+j@?)x+0o%
z|C5BFXic?pB6Yzak@rXi`Hlw$rxA3XQqovLK-lALLO?JohaDxMt4aTyF=Z|Iv(B(z
zA<L@AxaBG6ihvAEgM(uJ8xBG^F}umH{8T>7FlQ4x6A0`i;}iDEv33v<ly$(Jye)kk
zw$Y3|O91P${{9|zJ0~J(jfsz*evB~ibFuT|8rcX1Cas<KGyR}?(3Bk?j9ys;O+{)R
zo~)|!yBl?H6-e(6|CNyTm9C<KGyId7?sn?z&wIxy4(=nESR~k2KEq)3Pm(#^bQz6t
zL_Wid8fC=fyt%VEF!F2vm?ca_HHu3vtXrjU0g8Mh-Ez9S6jaY`O#<_)3N0{0Vq@KZ
zGfWxPSMFe4)-jKUVWY28+*Fx)u6%~z_<@+cC`+S$XwNELeqyIR@YGsyJ3I^}Ux*0N
zyL^39Kod22i-)w68qh5Wx3vzlLcUFchT;r3Qwe`O*m?=la$FS9Ae?tLuts%qX|XSB
zk8fAjbA>DfeRNTVI23>`g2wXrd+VVnWp>CJ$z!zwtpWG<0oB+F_9hngBccKtdASWG
z4LYiYwPjdZ9sSv;IjsC3-d8XlGKq;5W)ugUD+Ks%Tmlj8#*dEvz=$A<{(VK3V1Yy=
z7-glRgIBOyoO+mG<nlU;?8J2}E8OvHd-;QZ%9*+oIL>j?UJamRr4q3y-A*I??zC7d
z6oNC3?T=L@H24n(3w1JB5(dea&w-}29)oUC7w{QKY)RH2a9Z-^v-<gFYN4cGuir^=
zaY<RgY$m9JctC8m_}`?$%os*eo_Ykk@B`{1EMjU=fklz+TP&xG?IJr|;L-OP7ufi0
z+Abjh1U9QN4Kq%r>&Lu!9?x=2*)!Tt$kEs<H&Ypc?ulpnnKCU<vN#~hn!s&dk1VOH
zD{c3HKE#}6`m&Q0**21usgDiPI*;k!1FUkiXp`@YG>s?Wl-J1G{;&C2#~ZYBky`4h
zkZf@pch$vSJ5Vi%6mN~7pn8I5ZZZ{?VgD^wAJ`lcE|&<Dpn2-{0_`el)cxJ%2g0@$
zDJlQl<;a%oa^Yp_>KgYWI3_ngTZ04;=9BIsg;cyKo_%UyG7NKD44QzpY+2}cWd{cy
zfU}z&dIYH#NK8z?+4B8Ul$7b}`HhYfh92H3l!H8@88f%jiL+mCTBA*6q-fsa5i1G<
zFd7UDj+vY{a4vtJ%`+U~&S5}K3C_@AD108^W;^5jNE`%VW>W1OEm3Pc9>2^>0n{`Y
z(=fk0holJ5q4+=|y28q?TOD$e&l6UVYasJ1Tn<OX1j6Cv{lBwlXlMSe?KXF%_;GJ1
z_}UrB62+os(OgOd@qLl#B=-s#;K+v=u<)u$-QYC;N+%}-HSSMH7yE2aY_Pd<#ftrf
zq8vCr$NA`j6#HH55N#t1eNgyf(c)~beCODoKI797;MzGrdV)5ncmmf-1WnhZeDNl(
z!f(?n-^L|q9#NR*8_*$zKAvBYRYhkfwAnGhHeDLkIQ2p1&69$LgQY<9&M~veWggSz
zz*$qpW`=bAE;MUf1)BDcX$4sfu6nyhgPfN^vK<%`F0;!yrGBONQ<j+^Gh)kzvO%lQ
z8ONcYRa=yh1#Ejfak?^ox_O!t#HQcxQi|MJM+yNsnH)J2PLFb5kJe4iv9UC#is|@-
zh7FV6=6+{JOnQ4y1cc<X;L>Tke3VQpL1go7SvC2f0PHki;{75mjfW={w!TnDC)Qaw
zFB#J7C@i9JOTaS8fE5dF=pE6D#Wb37AJT^BlAn&xJO7lx<3o+I;?+Q~2m)2z#!j$@
zlO?^U)3S*!FGedVDYSiiCG-Q)1-N#Be;7xi;f;Mng%S>8+@II9Q!D&h*><&P#Fz1&
zg<fx%)H4e{o?Ns|WL>cjh=L}jRY9S!xMbnGHox7&hvMO~^+cU;f6ijT$wzBnhf*yy
z*IQ^>t$YD-H1EG`BYB?{9SF8i-QGBkyh&`E%>9=_^+O&Gk1SmcZ%iS7{Wk!4Ety)q
z#hQ?{B2k{x#C9olJf5chVAIi5XOT_Y^?|q_WSg|4{X*Lr7G4$RFqL?Z^)vr)mm_@@
z;=fk=xv(zH_A_0VSxin%sWZhOI7exBIb$+nr(SXC_pzu03MK1D8tma!G?o@&41b&$
z+631CQp`>4p47_?42<%f>Hu+NWJ4vBx`(T=QAQ}c)A9r+!<=**{8N!b<_YvS9DT<l
zUTE^3zedquJ`I|N3{8LlyE-9vn}7l@DO#U%TjSR3uPmmfYp-z~FU$OZ&ci*T5zDPa
z_%H2qJ!LH{K8jUMrS%g2R3uefd4l`JD^_UjDlk_5Ngc~sLXUXnUg73WX4ZO-V^n;X
zpsjPvKwWg;-~hiE7{`!YePqV92g^18D@0@pi8vTc^>6_!JKIv{KeqgerPa*YHQ9il
zz<z&E8ZH}+&@FTol+&G*powW6vL5?))%NOhgrSAU)E%~Xj9>(RQWq^(N#a5RHftML
zF{D~nj?|-|ikOw7yTzy7B&?2T%&^-e&}m#dOuAaw_8|~Q)~E$LX%w=ei4KB`4nD1K
zC#piBwB=z{3*J?X)r6vOXL@jYpcFKpCJ7Nedl>?6q74zn&{8~O>Qavc$erC)#CO?5
zV8*p6KW2Owh(|`_;h(2+#;V65qJ3ZyAXRj5oz#ORg?cRuBqL_7B|8$Eqv$vXDh(!Q
zgU|mc1~4;D4<pY_ov`ObGJ+|%k*gFIAs8pnM`~Fj`ed6{rJ6K_A+1KAR$QPNLUZ%!
z`2YIuzVP#Db$3#3zM%NiS-ofI>ZGG1O2iLg7Rw%gzK&Jt780bxVqrzvK_>NnX(TAs
z_Jq2mx3TF}Ge{jB15)!wD6HAA)_Iua*81PUIuPooNIcABT(BAyik&bJRxhH2rSQj=
zL;BtW^1*_fRl+EYD}SXnB;?RZ4MKEfOz2$GXt`V7fpNZAOcY}8crcYy?1qEdw{^<B
z#G0yPKt=5N3&1>47>M`x*r^Q4X%EXkiDM__ZT}YfEjG>az>?h!G5X}a@2&&wuDXZy
zN~(-;7Wap<j8wYxP4^!OFvji0_jhN^>PJf!tH>?fI@y|>eh<a_JowA1#7PD1Sy$C>
zmm{80(7xf@)41PMQrQzUh*LHQpVrpM#?DvGmrdatQSieim#W46fdRNkIPYXo8=ep{
z&_TU~Qt%HPmhLodm}6)sJ67nFc;a<Ayilr02Wzl;7Q;2Un2oK<G0!eTz7!+Wub~Q}
z*%RVI5;BsJ#nVkBYR}q{0}k=iZAt?$1Edzm<lv{%k<=S5=JAtlr&~UVv*EDYX_b$h
z=M-Z$-S8JF`eB8K;>Y{FYXu(&yU%mrb-@`5qbRA{Ym3d?^8M%|e*;4Q=CO1#SZOpd
zCPbZWwx4%hE{Y^@lvLSi-NPr3Gb!u;x9e?8@^)Y8WQQr?W9xj}nBNWw8|}nNrY)wX
zu3<{BSUd9kIQRAiM(OPMx@e~}kPgNcZMelu^FU=Xrx4PMje<m#RXO-2L6?EL#%{vj
zuM`Oplbad+w;-2>D?On_S19rW8^~#y3KM&D4lyK*9fIaRPE#*yQ`xN@NN#DhNeMM`
z`cKCcHZZ-q;4%YVp&iig3*h%3*}EsfNB~8&EKUPYB#(f@FUV5K8bEBp3B{o`Hp+n~
z>vpWoc4V(7_DCGVM2~k^i;TVl@i|@%UIT98@xg?*H5=egz@MV%7u#6ZF@sd-A(M~e
zx@;_=5`OX7U?URak>%U_oY|#@X^_%BAL82gfhC%#+S7%jc<s!GwV8*>)YaJMED{NR
z=kkHkR5Hle5$;_<=u#~|z0WnC%*;}1Hf(}>$~{-za(z|s)2sITiIin{yP#%kP<b$d
z({y6#b{{^v%zPTkIRdKIg-jHKM|ZJdx<HK;>1kzFb+bl!MkR?FDAsu;;L0UD*}n>x
zV{|C^%g3bJrM3C3zvnVQ&mxn1JvP`=ynZz~MZ!aBnQyHVT_PCgTgJ&0I>VpJS04B_
z?JnEN&ZAP`ddOvCIu&ELYW8!_l^X)5hw>>`a?k3PJU9qk`eTWnLbEd^&WXz(EPw>X
zO=!%6mtILCZ=0iZgOXhQB!$|N;b4Fj|Bas208dghk3xX7NRnec(!UlbQxpJ!fm!c1
zj~ZG49=P#P&XrWFe+xc|c{Z)=J`k}lasIP=c7F@4v3cy`ERHNW?Yt*Ls1%wC;wa|z
zR6aPzT9*qihTza(vXk{UjZ#E>H!G|u8Okg9@@HWX(ZM;0-~;taeJlEF*%p4M<`#;Q
zC0kccSGxv1Y?-7v|5YaV7EL^bb!037{%R?trrK^Hch{Pl{kU4Z04CW*Ek2&_M!7Ps
zlh-{IXmceV+0(#}60sibi_;`DI=Y?AR!8<f*uOFC0l7)(z#Gy3Wi#vL#>?^IeI|X}
zTJaW0TW*VysmcijAy#siWD7S{pV%RSBB;xHpe!f#DxRhcI;Oo4fEmnSZs-)@gJniF
zK0p{}7#j5LRrN-{1Sz~+b2GSTpUDqWA8>igWAbzVZpEl?ENF?#{5qB#yQ@XHmt#<c
zyCDOw5zWZ?ZMXQ{t0}=T{YYX_d&^8FyEO55fQvaL%89VF$s|<^)PR`RE%LXrTD|MO
zcJ#QVhCqY%eOEoC)Io=TqhLGjVCeHE|68kzpa#6OtRMwK^@D-xjd}6;Lawtq>p#Z8
zR=pWT7sCCd_U!iw{NSbLbC-bQM_%_dc+TvU%raDgXxWMXOMuhOw;?+KDax`vfTW>i
za16miFD-`TQV|j>;o6<<cl{EANl;x4cU|)X78puZ#u{z6MJ0_B()WT;8IC49_>o>x
zK3QYShg^a~br@+q`GAY?FZ`^&Mk+)sw#Ea(>XF9Ji_o!Ywk%BT&(TPwFlr(+z)fcy
zD#zGX$w!mB8b9t@EUIXslO`D51vd+OnTGG~GD$3g$o+HFIhU)d4*tVh8+&TRrr%C$
zHk%@uV!kL05I#ZmtErax<)dc#tY0ibD);xWz@BCY*kaeQ3|B|WNyRMGss?T^#`w_~
z*F|)lVq%>81uJt_Oq(Sh-iKUfm@*HYs?ngOGFb)f2^x+q9o_l3KqZGMM7o`4o&mrx
zQ!+A6Tyu45;G0YTk6cmtK5+~n#=GA@^3~!h-|B8Bklp=Nt5%s?6CJXrudH|<Hs?Zc
zQ%)e`CMX0vn~Y;)zByD|Yc)X(aD8?k2)Cc7CD76S<Tu?)f){>mjN=53+9e{OSt7JR
zWcf-;BMHDtyhj(ssr0fNM+7N><XJC=e#b4V|9!%urrJSG7CQRT@=6Gg=AVGG9k0NW
z8EINq`BVzHCDjp&<m{C*F1`zfq7ZhOn^}W3w?tfrq%m$hj&gfFebmISQ%%8KYc9{w
zAi5Bsz^S|@%@8%)xx@1HqZrFD>6uz}R}gtK3oeovui0iVo8vzc*sy8_#+M&NxfQ;v
z!1#6i`-KvpqrDk96D(Ryfu4dIYFPB4-bG24438K^pt5)UUn#$U&goDaslUcYJbG$&
zPOeqjV9(P?1|qi1w;LYR$`f+2&MmLVRAm*!e$r!2Mm~E{0y0h<;(%#9Gl+bf6Rh*Z
zeZ(Vso1rHapJwuLo9Y@n(hBvquw|fW*3=<Ssj~)L55zZaE{XDa_HVA*^aW@u<^*|8
zxQMi^w40KV^NWM<vmHfE{7o;D?>?YOf|#S?0yEW^-l~`>S;3YPa-j*Rz;(V*Cg=p)
zwfLdX&8iK>HnEDN4Y~FfVETh`AGcnzt-VMV&Fh!L$j5qHF2)F?=Kve~X$etRJWmxb
zN&&eMv>Zv^1gtV&UUSo?@#_1FuI6G-+1LX;JIz}+U$^B}0a%<aNNyxP-<fq&eFBCQ
z-pf#)XTVO*A8}(}zLwos_1!qy23I7O`bpxWYxkreMbo=tHNyPP2d1EI*(~99T8VUq
zfeWOap+%1SEVRH897myUfFIJ&-~;^y#CB;~r=K4%8f5zZ5-nyBD(JLsig|%m^Wka!
z^`f%_Q%gZELn_Dk25Pl|J`R^8Y->**t`;X6bXid2x80w0?hmOAx}x<aLmc-mp(tm1
zCOR$^TE^m1pgvN{iRH(UyahXMiX2AXX&wg|5}_j^wmwxIg@c<rcL(C$zg}RFDHRQ)
z@&oe2BIJm+mUC!L%N`%)6%fq5uaxO23FS5C;!~*E%Bw?#ks}XmxQIthE{DtrW+IJ%
zu4f~m(9TE0umkgxvhvHvAVhF%#?Pz4_m8`2><cy0Z{a7w@AEp55E3$DyAk5!^DDTj
z?CU2m&%2EtJq)fMQ}hT4<tmniFyj~PsDCNjN}foI6QImzX3fH>eb&BFhsfQ`Z>ol)
ziHIXb!nb5TWe(3#A|rbNA@6r^Kt^z5Xgx$<N`AgRl77?iz!@97TC3!;IGkXlckR&f
z6JT~!DU(8PrCf6$>-z&Z+<@7r@DopQzE~tIPD$v@<Sbahj*!VC*0z|>^v(+n1~(0N
zuX$AL{WcNWf!4@@Mvpl8{(a)YO=XOBx_EbNg?UY2WWpT(lD{^;*=BVKQX?)DmOmmg
zzDzp6N+kc<5~KV*Qc0?3DBfvB_}6AhNn>I<m@%H4@R`3eA{PWG$iE=>YokD>-weLD
z@h_AVJ`Z!Ili|Is<e7zsU*pL-GYTTxO`0*1%5F@sgrc51|0veSd++aYKhH?z5gR1V
zvNnjja%VW{mDw>#>=$VBtCuB`c+1UeL+rPoK10SFa!D5`K+6L*Ax91PFMk|z@i_4n
zR@{+J{5vGE8BfvQ2?VT~4R^8Ikrxd~FC(??Pmxw9p?X|Dm&Lsbxoi#4!4Bq@HkeRC
z4&;`DXv(w-@WE4yQW-5WcVlFPQPQyFbQ_sm@_}~Vt(q~Q#w($Ff>+Ll!ISf-mN~?W
z4<XKyrc}eY9<FPQ2-i;?&7CBy5i2)HVx2=q6RSx2Nj&RS$zdpZX_!jF2g+-|kOWRa
zq~}BRTAIS*v%Qyg7)s^%DbYInEo~YqPn9{j1WG@c2a=$HJ2yDEddU+)^B0Zb;b1cP
z@s!rx(3sDd9NZFJCNC@1Hw%X7&@6-rj@**5vA@g1oX({jMIj)qf6O8-+^YW7UEJgw
zLN_`>j9qsL)AUom0rHVS%(4=TFIEyXM|@e8<;Rb0<sFZ?WJQ0+yS{rph?bd$V;IR6
zmL(0GrNwY1xbKc#i>O0ekz@L(-b-fFL~=nFR@uA}{r9&&$w<wM|20N=`U(Am`me(k
z-k2|nh*-xMF@NX17)^g_f-av_iF!-<D>hP)e6Q(hw*OwegI+3)x~vH6r#9YeiqoHv
zd7zA}m!<J8-h;Ba?{&Tp#Zvc}=Ww(CHjbg?qFJH|u}ZTZdO-G7XnF~Ln&k7S&jqzp
zpG+SaV!@^7hq*Wm_pQJySnUCKH4kG^VgBc{?|?kp4$D9KP@KQLHz4kkKZeC%=b<=B
zT*L<fq;POv)|)tT9`f0JbFwOWpl!f-A4YEH({<Y4)zzzybA)G9RXMlB4p<WtIRw7X
zBIV4)v|dXF)!R=H$OR<Z?XXKhb*UC9!IdsxLJ!nHM2|&Qm`a$Mt&ONyynobZE`V5)
zm#btYJbqfV{;m1%Beh(j(__yDE{SFCFyaJ{B8rQR1(3bLkKI8^P#Bg04I-jWTIb)R
zgR5ozV~J+q?L??h2@8-2r-`hQP_lxG0!;U+6BMkzYZkc6DM)&K1lumnUI~O~($+k)
z6Z&gZOrVcEo?zY)^z#HWtzBGPQu*yuml4ZLX0g8&Po|0<nO4?Zz@hd5Ab8MqeyTC3
zB{4a}V`7`seHu=|n`)`dw2nrjOm#YA6h&HUu_aUrAETkAtA^Idvgv@lGZpD;+^xxv
zzT2prhF#ro9rJyov&;&^Qfp%DSg}y~PYD|P<6G)DFQaZ91Px?*e5wVGM}J8%l0_(V
zPq$e)9O07EXNp;-x>BIe?R;<S>6v?0&Xg`LB><)gO9?4J@^m+K7VJXKUjl#Nfi!w=
z`RaFUX}@qrGXuFOa#NAkZVN<}RuCq#rqhYEM}Zh_klnMvve1R%?qoZG9A0*gI}V7N
z{k9aVZXP>b0<5@PZhZh<41v}AW{7kU@bVO~f6|dlT}rt~<ik<ehsQv2j0~aW6ZxH@
z1?t<)f25!9Ug%mj>2L#njbKg=bvn)%D{a+!8bj-L?4eZTyS0l1g!E2vYQGC`bmaEu
z@@#<2XsRZ^bXI2gGFCc<c=>XjG8LMmA^?zvGUaDvD{a2CWGwVXJ8zozdFRIYE`MgU
z-g^x@$j?o}quq(;!Ghd8qKL;|aH_s7r=9#yKTrlzBAzAHYgeZU_-(I*GQ}bs@=du3
zoE79kfR&D`%aF}%P?>UX>f?8lIlBi{fwKH8{$7S0Q79ECv6mMv1F`h1NtOPQ7g{g$
z&KN;07v3lyV?NJTPyo|(PkCtt_5Wp&W9i&UFn1Mq-GY{!m_PvrB0e8;2h;ngHe$-_
zLbK3T-Rl=du$@!Gar;+|&O9#T&|n{5l1hoN((U5ecO#4*g-UJBGVDZQVJuaIiDlki
zqR7YgPC>l=vRogYO1`sMO*Y7HTqYH4FUO0)$T+WTOj*$VH?ext{A^vMWb>3os`g+5
z`_T9iO|&x~*E&wvjRB$50*Vr$xv_LqMaG_1P%U}EJuU<p#-O3l3*Os;Zsgc>BveaP
zxsyQAoIU_Sg$z1x#cSspcunKs*>_I(A??n!;zq(j-L7)q4-Ip8<>o!XY9ovdby2VB
z!Y8P~7WXN5f;pGBLYy3~x{TIYfkuusD9#41jAoCA3`V7i-vGptOJ&8y3{^x?yrVbK
zdxu9u7Q6PtW3PmDzKbcQdpN_&5%JZV4g~zI@0wM(X=!+-?VWF`VZe*E^=cYmOO1wX
zBwF|ljbb=9`Ko}5Cui&GlWtx#v3lDW$Py3l-rmNYP61jkU3dYTCC@3Ax`|U~#M<1r
z`lq%_vKSS0Sbj(DUJh0#!@#ymTP6w#zJF!=uQ7}IM@c8fZrrXG6MM`Y{JWDEFT_$a
zcKOM9`ZIic1rY9@NC4yf#m?!uvOWh-5I0MkvzVshPJ0*NvyTA`mGzl_+onmrpFsyu
zL^Fe#UV`lU_$FYA&o+%0cpN1vWy7#ecy~x|aJ_TncHx!#3r(3*R|xTt$#j&ZGIa*K
z57v^;cW&Y~{BT=n(dr<k07gXs2djyiHxqW>?^+h5TKmlO`Nn>W5DeMC6ngyxHT{F>
z@{+>Q2M(pbA4(ed!5NiYu)VlXv(GnKB#v6%e?jTAsdBjrO9)X@iSIp0i8v<G(TkLg
zZ~Ie;!|M1liHcw&>Mv4El}%?yB_#Vn&Jkuyti12v)SF3LJHuZ7B!-nJ@gD?@US@tx
z*on}jL2`ZKAgzeA>0ELg>%Iu^k4CL-+!r;1Pl;b7=o7o>%h4o%mXjslON(!#OLoIN
zH+rk0lOE`Ui@7**sqMmjfd9K$0GQ|{iilbS+3oxp@7l0{ZQNQ~*ZRCv3;>!4&JIx1
zfIKW`2ado^Kv_iacLhuXl`dAT@2DP?F9<SMR^0zTLRjgWJZ+bR->W?-Jk%m|yw!I0
zS+?BU+vHzlOtah?#@ximfNbVk<v`*q@+%3{UBRk7yT#>#+LxG+kb-<&ESHxRk=93T
zusT2j+nV1lt#0dZH8JG%aXdDRY@<`K-E9NxFFk_n(Ln5{*g09$lYo`ax2Z)G@(Xt{
zxBkg@j_uMW!<x}``aS(hbaIhdAdi-Id(%_llwB_V-+5(nc{GbZ5pWAgWQ?`sp1X%g
ziDUPPGtHf2=J`5E!hu#-xQ$x7e=sJ&6!+Z}SbaAMTSr=hYE8^EB(ij?5<DYAzMRWV
zSPN+nmRT-k(yua{3^-*8omJMTe7FdK_cyHKq<T^VZ-WG@53arZTtV*b*mtHYRODOA
zz$jtXfPmc>Jl87Ph;4+{Ool_VOy+gwoU8wn>Y^dNdJ56dCpfIFXYCHvmwDgx0=2Hy
z1_hVf2f8&eY$H~f#6@C)?MS#(5R&4LUe$|g(8R%>s4hZhJ{uOn==@o-X4pWE&y5{m
z$m_x~QK(zmjq^-XhzX64!Xu|#*}@?KnC(^u&Bx`Y97#Zc+=Yx2C7xNwlvOG$c^{(J
zpJQgza5We0!;9W!B6!p(dv%R@&S*C3NwMK?{)zdiT;H}=LmXy~N&|qbp&~aI_W|w+
z>4H_Q`q!4iM;ua;80Rt^u3%2@v~_@3WIZpe?=`)`RMafSB1Jo1<OIJcf_E{CTv$!y
zRtV;EE99XIK@mZ3UGnr9PxY^5$OU{$i$lh4m+zTP4`kJOBYs2UPdNV$KjqnlUTb;`
zQtiGFh6O`fg02>7rbVL~zU;saPUe0>fUL@`qE=2};yB9r-v0)J4x;-6wY2ZL9n|P7
z_ux`XOdnGLJvombDNA0C0_~;HOP(C`m%3d_2P^JHAYc6L#x_><U3*#UhJ4e2ft5iX
zL;L|6;x-j%C&vBZd@BN{N>Cskh`CdG{O%VIEIMo9I1KSJvx%nlPVcwHrJN}QX8`(e
zH&@bx#yQ_r$MTj1o2KRbaE1;qS2Aox7;e2eQj6=l-enjT3})zy(@G1u1Z4LO7zT4@
z!><=f=V2^^jC=+5bnw%^;%DywSh-VYH@>d&bTiIJ)h`LWk8pVOyVMtdm>nZh_2J~A
z8E>1=Jt#g{>2xGJIr#xLRRE%!K{V5-rCt$ELA)z7#2-;I9GS-YH-*>0VWs|`?TT5$
zSuZ8>T|YIOCEAf@7e7_kI0EcyBJs@wCm8hvDgr9)`52Wukb$4l*gykKL`s09lw9e@
zDUK0rMJ_vqd~T()r8Vfm=n%!xhuKUE!b6y_KpX9Ck%Q?}_hZG}=@g}8O6(L6h_N_-
zqsEr*UD0wc?Vc{%ji;9%9L%$g8}EZ!XxLrO9${-_d^Ox|8IpdR+ERL{HpQDIz4Ogn
z!487upJ-zW-;L(>)4Wu}gy-KIH3NiX<bso=)Qm@3Oe(3}wDsPbTVMp0|C~?OKVGkL
zn6aASlhn85v!K>A1AZ$Y7SBK+SkY{UR5r8MH56KJ`9Y#Y;ZQ9cK&b&8soNuI8hpua
z$@Rt?uA!?pFN7R<+LRi8*xy%mFRhZw6Zk~xIi)p*P$a0$Css_ef|q}Ef8#uO=Mv0|
z8Z;FlXSbWT@tMU+Ee572ME*TSu!aB&MV7(W6Mgb>M0$#O((N1Bk*W^6$4)SY@91LY
zFZ9yjhxgkDfM?-|z}NNq)+}(*yG5L?t98l#$aL<VLu;NyuG48Jdq1W1n9zDNKVG-(
zPbqP{ZGL|Fm;0T-Q<va1%ksdr06IX$zmK_qS)Ny#*bM*?jEDBq9LIvW{<yu(?L7-F
zxc325Yi)kn37^JDwuA`u#yuQ{`8%9BuHB5e5w2Xfl-`A7+Pywa)JdSx3z=dfsSlal
ztm(4uWHoZsQooZQP~K*rGFNMKM+J9Jr82VmO7-NSKVL~3)*+{bej@X{P66B85wYUc
zzA*&%2&DQWD(kgSO{!>9q7bxlakiF64C=k2nI<IDA1IIaV3P8TeBeb%3rSg$ZD41t
zx%XUDji@sJ{iBFs3IHgWl?<|H4@U`q%58K5UehNa)4BqjJV#fWj@<qIy)&Y|3>KyT
zh$S?$(7H7@QPm`t*Zv-wI)PSV->S5~&r_bwJq3-F6uN2Bjt}~aQ)88CEHXW((SjKR
zw&q$hg^X7axLe^sr~mqnjLf&QRa9rE8btjmKAe_I)zM@~B419-;rx?YyTAC%p(+H0
z`*Jy4tW%{K(~T4OIbl^(AVlSfvUO?`$WE8%hYQv)8xImTKqS;A!(@txr}dl0auUpZ
zTxQ{D3*wB`14dxe`zT$v5u03T`^@+~267@pkFxPsH@?mDbPaRUm}&7vls#QNX+MLY
zt^(MW<Yj;Kd^x94Zv{!r#?OV<xU8Ip!mzOGdo7Wpb&x6#FN}*s<4r{E*bEEkOk-|f
z?821_n29*N4I!Y}N}EnZT;{Av>xG)&^FmqhEYg^M!55Oj-|)YAf^BIiO6Y$tenxWU
zK@g#lv>ME7H^1(lDBHd6l92@~MNvVEwlN}&aRvnH)m=hT=MJTQU60Z9$=6}{qMZ}O
zvECIDBE;6WNWmxljTx%cx<@`u6z5zU;ujb~0~`M2RhjdEU$JA_Rl~<3(!pf=u#QJ6
z7{@z&Zlc0rib+Xx&ugc+<ZnZNt!{**)FUdUdds&A`>+rWjCzgFV|EHy0lMUqRo=)A
ztE!Tbn~;-EHGUQ)MUGl*ei*S;r$pn5Iy5T&DyJ$g_r|jQO42}VvSoQa-Ds*PkaxYz
zVN@Ooc6DDV35i)SIp(djXW=W9x9G<yN~|a@CJ&~_*30v5aYFkcdIfGc-J@|F4g6`C
z>(OeMO~|?l1AN4A<<TaC?pLtFgjER@&{A=<NZj$F0*JKf<F-7F`Qllf^_Y#}mkWPd
z-0xfXNv3(8GzANsn082Dv{Dx<-rMaO&<^eKagK&I++dv(Y4eq+p=*G<8R{nf(J}yy
zR2RMQUr;{t!8~X&MdMS}z=KYzN<qfc(?f7vC8b8#uy3-JAyZjyqMdV1_zn`=z@Gu^
z72b+<O}rUXvT7q?zx$}7()jCXnOHd5(msS8d2c>OMo&>W;T=|f1X?}wp=C*zaIqzb
zZ_cfK2hN^xG?>`LW4H{*7@+N5<o_0agA6gSO#_AP`sf$!QJ$@Jl`l1<wQ4**J~ro{
zjkOrX#fxH!L}*i%T^$}=9mv;nZ5kZH%wi?ZjPcCyEmf?sgigqH#jWF2H|%_6Gq;Eu
zn9RU06E~G1=PM&hyL^}Z{RSLq4WH!=z)zWEe58>rU~N}?(ZfMN-u>@pLsz|H#zmM9
zgCsL11}`g;AJ&|x@V&?Ki09_NC5OymVOD0@x8m+ukLHF^<vwX=ohBa2fjU4xLTjBA
zW(y8=?X+q1O=#WRg%zknro=;xUZ**4?L<#a0iI1=y_!tYZ=VKL>9Hq9nhfG1!?Gn!
z4${$cNW{!TH%Epr*HK>ICU+@i@gdn^rVVal%3+VyeA7uCg0j+l8~e%$#g>;4?ycJT
z!%WAbHoLHqy()~@eWlLiFUr{rV{wUqQvynT<Cr)89FY1WI4?-zVMG(4DJCNZ!n#lF
z=;}X3Ul}A}(UBH~O<X^_k@NnZUl<$!?bY5Nmm`KTZ3z=3Wn2u`J==2i`#dx3glKZ2
zAHP=CF>;R@nt;*!Ad_{BZTFJMm6I5Na&@&f%H|YQsWoU~7Z`o?y7~B2ul%)mH0ljs
z_re~af$PXTDx{-zM!zI4jG}Fztagvk)X2TwdibDerir(n9Y5$&bG{~+_YfJxJHiga
z1qM;8^bQkmM;lA2%)0@sOlZ2~Dxc!+BhI?4o7}7iJ@X^kacg!v><#|R*~B?~0)r2L
zZ<6s(hxfIoQKC}(j(@Qi9tC&Y4|S^AMT=u&tg}Ofyd#HIUAl>S0vqG4LXjunKiq}~
z`K)UKniz{5F#jT7x(V)cv1+zmT4=W4LK?!gn=IvjS8MW*y{A^q9o*U((U4xrgzP8I
z0s2YZSbA5S3@-hfn^;d#<1Jw#lOlJi*RG9-J=)xym4H62c^~u=tIXH5r^?M!&Sgzh
z4m15MH!ZUAnE)p~X?Co9@$g=e0YjF3Qb2Q6IaD2~bxcPvC4{?|sR$t_pOCNTeoB^g
z!!0qA!+E)#(pk>UPd+fk*Ne7eweCVPjaLC8AB8OqULVxIlp9F^+nDw<r~L~%OkOdj
zveIR>=!{{7jGP#IA?hQNXU3#K<&OH{O6GYF5o%oK_Or|2f1xCGo|XE@vPtRf0(H}Z
zj;z<#5Po-a_<(RB(F5*sGkO#T=eCAUSby?q7d%%H@A_WUF{&tu-xxaTG7Lm_Dj``x
z!$?yWk$rC(F#K{^Z7OcKwuPJ#a<d<y(IMgn(B@#XqhbqZV!jytQa@LE6_IRerg!sH
z*3mfIzPS|n$EO{ai<wkKM9Icuu(>66rydgU=8H?eb!o@u*fwDhT6q*TB$|C2+?s6r
z<0qyegk1dB<u+_suNzCd3C&WK)0I`EN8<=E1q29o|057tv<+=gMQZ>PKx3`bEZak-
zt9Ds@KS$vYkRRwVsB`smu40;9c|jhv7@7sqfk1wb)DwnfeLS(;U<HW$LcNS3>lKv&
z_sl1RT}{_u*OM)iAuZK~@^kcDd3da#J!?CNzeLtLXW^_&85Ll+1(G5p0J;kZMV-SQ
zBrDIRPc$PL0yse*rDg=V{HRzK6(Wu`R<wTm)|i35=HR*fF1}g-R^)#jK8M_AGTP^M
z!CX6W@!!LaO_}FvHvmjax?tJeg4r$!7E*T7;ya_t^SCKU8ln-dYfx#a$_byALc4#@
zZV*Qf;lYoKW8gL4i^m>|0iat`>0^V;uV}v1aCA9KXBlKf>{vLj=)+ZCrEPw6Z3Nmn
z>^4QPs3?UMP(pTJ4oVVU8MGKcLMz`XiS?DlE>^-aziLsFIK^_O?Mqy;rkTZr4cZ$7
zE;QOz-BhQgWUn#@)(0vj-u-bVcPwBc$Zz9NiqKmvarAsw%H_o_{~gh1CnI+zxUEvd
zcXG)CC!rn>c5uzXN*!2h+GqOk`uvzaqBRywoB91pu(Ldznk3eKeQ5r`dCekDS_m^|
zZHPbTiyY!VY!%_wVq8~=$0S#&oeuzW47Zn*h!*#x#tx!vxk}M$l5JHzQC0u<V2QoG
zYb|M&7y;NJoTfZNYz=RX5Wi-AwgGwEadzb$_0pnU1!do~hc;a#PJYt$Vx^8bG4hgj
zovNFDlosxoXsu8A2&6C4k9<Jm$>0b<VN}`qGk3Hpu}$v!!z#B&P8!Jy0y6E*aUA6y
zR>)yE-%}Nv5kY)((YYx~cx}VmSrCSUek*>5f(5MO4S_upFA6cz7huBeT04*^0N^Ix
ziU_rSEa~gs>n*T@xrIN;;7_p+N#J=;G62&ut|Yog?K7w3IA~JA4I!Xra%GFZ>|jjb
zhHk}44rlEZuH8XJw@FnMA`rDgtpw6Qz3<0fvl^udH8*#)C3Ap(HvrtQT*16uh9Tvp
zBziF~HK#?iaYsmw6)H=qNxbWnZW2jX`jI@hGg1PJ7dt`0*7|)hBD5-V;^`s`%wPa4
z6`eJsNgHbG;tCKkbY#gB%yfaH(G0|r5>6|EjMQHal(v)1L$~b9?Vmaf_!BV{pBW@m
zdQ+?QMFdeB-Fq@wbou2Y8rU-$xQRv0`)fD9C)G@CN*4sO9vS(&Ho(|tHVf|btZjTc
z*fdQq5%lF&l!B$OB3EBZf)+(#3C`L(^3q69xbwRQ(Ro_RkIhWH>zz}1eHa0Y36uD<
z;`=S3&F3gXP2dk+ue^dGXAKQmoXc*A&uc-<qOjXSdVfjtMiXBGhp9VSQfZbtc1{Ve
zce>2AVg0<08fy-)1=lqg6M<o+6PkT;U&dC^pt@*1mGd|L8gH%?dargMFJ@(v5e|bf
zBGOYMO_4@VO?qpa;g{cb(X{!d#x425G?UCo{1^=nA)Bcaf`}Q5IJ(t-zs6iv2&Rzx
z+j(ZO5oGiR=;p0=lD$QEM0|)HGSc%pal(5NqofJWZ_V&eJY|d+c^6lEqwIVKOTNQk
zN-w))>w?bW4d-oRW=t@|eDgW@Oo%F7h;)I@Dw4D|{99n%6EYe_)!M(4Ts}yV0PTnE
zC{2kg`e9N+pa2_o={#G_UeOci=#KV@;_^xoR}Wir7Qpj)tSd;CXVK%v@C}A1T+~Aj
zPBwn#1TA*Q#$-bc494<NAAHSggm<32Y(%I%o8rKJNp^je$%6SMDvYuHv@C-bj9s3L
zFYme=;L{5$C;3nE$o!_FzdM$M30pxFxBh#XY{R_4H%8%x2iB(J^{qRmH=K$e60VmP
zdb3G8&_kyH|94X!Kl}Lq3wW=d?x&8bR!uN60_GrOIWj6BNVN)I#$_gHs-w%&14DqD
z20ZWU-vD2=iuQ&S(UX;cx^Ie1_kS4dRIfg=W%&zT0F0fAg=i!y=?@XD-66g0wZLwQ
zzP<(Aql3yS{&cLc_hqD-t#EEXu<BLfvQAtjyxF5f`ShJvmYx!Y=uxL>P%SvYs<L*D
z#{M`A)co$;5l>Zu&};C7G*LuHjSugVN1bP8Oc;SMhB#2oss?GwVYk@Y_#0HRTCf%4
z_~j>(F=d5q3|p;7*a7~g?Pe@s$`(*hn`2zVQ&oP;OS!24L1Y<1+aLD5l0!UM$*HOU
zkhxaKIja(Bd24$#++)L-AzU{UcWG>Hn;-8jo|h>&aGHX0127!(cGY`3ur0k-CZHW0
z#Vjq-D}rM01*W~;<{FXqedYQws+J9EUt+~b0?>@UuY}PMw+7X0X$MYEnNL=d6t2Ea
zEC}{oDb%a4pmT)!(*`j9WzIY;6Y=hH63Z)%Mg{XFZ!(dfO<6=$8(@2?^lZY7%sr3Y
zhTIA4AiDri@V;oZ@R@lkF?->SMQE9@FvFkg0ngP<8YU^NF!YgCT1MIM-aoi5@vrsI
z%v7<>>aSZ6D)Ztv6t?QS=B!}goeQJEj3^~DJe`Al3*XiJe!8p@F3MJH@)ozBk3UBa
zLQ$92XeY9SNvU#dc<l#qZ|4H~r2_4#W^n*G8iNVjIL&J(@Y4MVUDqsG&q0NN*up!(
zFp!ehP~0=Xj)9OtvTd&`108h@6-$_q0lul4R4WBew@Q#9qKF$vf6<?EUHDf>C6ghG
zL)h6nqwJ}QCgB>)-@?PNoPzPM{1wFhfqPI|8KAW(<7obPa8H&4T1_q|-2;kCy+~8Z
z`CQiJJiGypJZZoYSyBy~cojHfPQ5V#rpW=ip5ja@lxuLhq^D`EMO9VI1q4$q-8Dq{
z-9(i4w(R9nPzX8CM7zo=S0%sM(NPmNE|-Y#Cw~W<(?!x1EKHM_Kj)PN-7d(>=h4F%
zvg3_*g&u!~_;H#!$2N6@FRb4W@5o)%9hGdE@{j7BSCNY|JGU6`6&U*$PtK<iV<a2L
zG8M9j1TIz`&C&YeGrHu1_j_1(>Ae;8spiaKQ=0im9(FTE0q&vx4l+E8vXWVu-9Fv4
z>0~D*aTQF6rKHer`LsYV{_<~vY_I0YaK>A=b{$P|){~?Ravkl13feoNo4QIxLQqm`
z4+rLuNF{uSXtLz{3AeRj%S6HL9m?i$u6vg1dJe@XOYNXyU_P&;{^BAOm3n!OO(jX+
z0we1EK$U$eR}sox7qDKCCEz$hDBzW!X|EvSjM02A8)CoCC8p1WeLSM%gV=f#8Uf)G
zMSsrcsaw=S0;mXDyXtGdqQuy9k4XfJ$DGK^+JZL9_%;nCh!xjed@ZXy2UE%axbGwZ
zfOb!+AsN>W!b>W{?0n;BPE*+O92#a0Tm>KN4hqpbUgVcer_<4F(f)QZS6VM|L&LnH
zR=DyFGDu6cfacD&yWwjXZf_>_-(dlLYe*)}|5I!RVuU`J`LH6qEhMy8n6Y9ser%J0
zO)y5o_5A$7F6ko`!H@0wT!1Hm{;;ya^DHXx)}&d8jAPkt`)_9eRr$LpZRRP2Ixn4Q
z^r0Q2?AP$zVVf;?!@bIV(3{*!1hbl@MZXgH3IqX%a}3zS%ZxNEI!?elA)rN~?!cb7
zP#l=Y=_v}mCnXYsrrU0cUU7}t(o4JVOk|?x`A2a;?gHFQN$61RyDVc&5biKc^TWZv
z8#uVJ{;I~UK<D@t*%y*gn{PX2Xwh=FIBQ8Y-Xa)TkMg;g)J{`hen!RJ{~R&2ngRva
zBh@y?-|<8_OGCp0=PF68AjhLTF-*g2pKvjCZ4!Un(wb>@wI2#s%SF0q>OFaS8El&+
zwKO^K;kY{N)$)-7B#8jIsexQ3PK*W&5!12+ZAhg}S&)xF*U`E9`_S7J<t&^W-^J!O
zb2E00C%l8NjQd`E<<jbx*igoISzy3eg_XdPEzZR_6v+{?wM*JBD{6Xj=mN2Jpq!f$
z?iC^~Jx#I8821ygOxT8?m7E;On@k<sHQST#WsBsTHOH-J75MOUuU)82Vmc|Z%Jz&N
zwEud5KAlW3T%%)_kuo{oz`2>PO#4#I$dOkUyytMae>8U*LjG@McD`3#BVAlJW6v|^
z=tc`0<#h%*b>*hzi;w2Xhf2u#MgbNVRT;5da2B%(ap&Xnqd?#HiZNe{-Fqvz+K4*$
zd3Ip$X(cAEzbI8fL@gvW1+Tio;{QRcSgEISREgYuYO<xaLy7~c%Gt=1cyrm&*6j&!
zxt4LFuYxRyDrMp4OCMtxx8aJKY}VPydeSH@{)?I<bV(z(bob?jG~BO`^$Qq_WhWtP
zhiIhk2p4Ocs0%bosMC+>w&Q`TNltM!4bRJ7d*01QG86@(HJW&QuZCx0g5=X1klXle
zpgdYu9mMPIO-YGXkC<eQ{<xv9a}9(-n%yv($%%TMq**{Us%Cy~ef9>nP&E`W<b$cl
zyh^P2bpN{@98NL4+;eGh-&?D0a(l@AG8U5-c)VltngfSp6J{`bRU)33du2dQvN$=9
zZKThRuxnCAdv=^kYGda-iNI2gPl&Y#BrM|)D?AR1ERQ7gS!W=mcU`1V6@c>HTT_eO
z+c2w{g#7YzDptn`3)%7;2F6aE*2X%7aPq=l1dZI5>1wfWE45%2lT6zw;?ZbAj9xI(
zdN6jxj+~1cq9;yIEM=!QkWyrv;0{o0l{fi+O0lfJ?Qtao82{UY-a(X8Q^T92x8)e&
zUK7>HB~4p)E2&3|M#rV;0ReNKmQSd^ixD!YpNXmrEQaK|)$ppMiDO|SOp^B8G}cE-
zYMxE00r0>1+1D>9pS}~!tNJ0#-!dMY_)P}Eq#e{q%?6b27u|6qp$ai8{8^re-;h~)
zOCJ58;-!o+Bj1z1qdSX&<2c9s!8os^5eyx*U4OkB*t0TZ(GO$z<kKp+PwcJEcGL3)
zkD{QMH<57`&6zYj+G7RB4J!Y%c$()wos?>V;b@rrE#}FJ*rMl4dWySX3rbTUM`(@4
zxvdmSeKy^iR$whAd|in7J$i%U@m==HEH=jOCf#M#5ORyX&=H$D>s{l$>va-Bp+lh9
zrZq;w2OJSQd?WaoMMC`@wss>kcS97x$mRKoW&%3MLzY&21MM*Lk>Zvu`jT!}2JFo!
z*qu^^1mrrXbX&3pTVc-S^4?*f%diqNvQ*=|C}B3RN`ED`B1grI?g~E>bm>xD9B9L+
zfA~Yir(HnWLZzJTIXd^fte|*eSJbfEj=EaD{0Yw8`OIxqz@9U`DZeqJc|Ih{^Gx6u
zW~G3sm9|c3YV`^w<#&y`0OZBDfa#nCv>>b^!Mm#muSVk11_awPIyL|9&n$M=kI#cI
z^%E-We;8~ED(+&+6;)WJcXEJU-p2AI=1-BjoVu9OFF}2cRZ7GfU0E}}^u2#m1!R?+
z#>J~X|GW`>DgOZ>l8t29IM`V#xbG|tuY+P+BqUV*`h)DVXcw1;CrD@q+l9x9>l6xM
zLVJdxqlpnjuRg16^?nG%pWb#aGKY+J&=;^~Nt#Y_&qU{;u{;j~p`;2s$Nb74Rv)n~
zs<r4po8ol7!`fy@eQ8H-Our%41*isqD>QN?dRcp$4MfjZZA==cbUGTjXzFN5JV27B
zPxNj#e?rMgMw}G-af09Sk>IfJC;5CFFlL}JC1?|hE9odvpiW5wA<A!p4_4#{lPlu>
zp&M*SOh60fM9GxQ8>ACmLZz+w!dNi<#4#3Dx{Q#3bDS9iu-jwgRr?M1rV?y*1f_gU
z*y+P@3Qm0DI@#kt@{ox3F(>1rSnznl=_%`bUGHJ|=9l}02+shGf!Rph4M^Pg+U^p$
z2wp5bRM}10HlVgMJ%`<)HQ7#gMZ``!$sv0-RN`(N`{OP}EUDp!3_4wvz$zui^0=mR
zZJhb;%tX?Iqm$((1`$2`RvBbtV)dSbK7n|_1D1`Uy3Yk|Qz~n2x841yH8UkibS3OO
zBc7Mqt70p*KJV(8u_k1Po>S?jiXQ@|ggGK1s!6}LA$LUuHtjsvOfY)kb9N$mH@^aI
z4u&RwQ$^hojX;6+1DMCxd|SN1GOr7J$9BgAc5Ba%!)PXTMuCf_XNJ%sbyc(=2kk*n
z&T54_LV{P^kzwD-q)H|KjGQ~peWU%TzApMi+a#fgXvFoy>*M8@;Ho@KiB=mu!ppsT
z9Eo%uNkBrz90T@4av*%PjMI&3Inb5OG|-+x+L#KiU0dkq+y?jn5jnyYk8U+2r#SK@
zaCUw_H;|IjKZj!n@PYs8@*TF{R}+UfO`?~1emp1F9Pm4SpW!%iu|;aZE(<HC1lC(p
zx;&y&6#*Bcije-_Fd3$r2x3<qY+I}cvu%ji)ugvwV0065;9nnaD-bdhLK)pR-!NW}
z>~nYp(l;p6%8TiF8Ril9T%E~8?w#Ok#XRXQ$gBZH>TiGSD!X5CLAWoY=F*wv9802D
z%m#$<ey!eMHnsVB=6QM*r8L#rMzGTLi<UFl+I>>$E6o%TU|@(!A)}9_{=1j)CbUho
zl(k_UaG&-x`eYUUtHEycyD1$c1kG(NIw;qE+<9mN#;l0G;J5bHqZzeA+F2+XSF&BV
z4lxvca$Uor?M!owLorIx5G_44g(<GPwaYPE^N+J}Qkcovj}@c5WJ0+YXAQG8*<uCD
z-JWe`Wh$6uZ{+U-+HH&hgN}Ksi;(XxlAwJ;33Dv0jRPGsg1FS(o8fg)ks?zhpN%)~
z$MIo^E}fB&i7#1$D_yI@uby2a4W8?zbni9mDVOJF@ZU=Vagh7A|DDKFD{lX(bG65M
zf=)+BMxXl{Uhx|`{nQziNTJ6Ot1be6waH|joKF*W*5Mb5nMR)@p3Hlt!U>`sgKot<
zWvU(nKjzms{B1z+AhBUl;~lWE;|D$CSIeHpxskWaVg^#G*tz(ak}ajBr#OOM#jW?c
zvS~{0oY`SxFJaD$TX=~wX=-mwOLZ=+a2{AdePO7X`dPfQHH7Sxr6uTW-TwZ8NQY*k
z@WcVLZ+p_D<_oH=?tMd!PD8zxjYVdWvuWY!V&_J*VOgg;C=Hhgkm+b3u?qGkzJX>y
zvCDucA{3!4t<roheU(tCDTGRbG6PaFb*_%LKm$ZTpV^$d*8s%>!ouj{-4k^NrKU{<
zi11!mF64(BtF4#IDH+%j1ERc#2$gXl^yF{zq7bHSwzM)`H5iDvv)rCU^2zl24s}A%
z;p-Z9km^6haMk-r=&gh7_81NW!DTSo=%2y6WZpr#*t<dFzwCN^=%eOTo&58Xk?<ZB
z(oLmRQVEBVApA?(#jeC21;grp>Mq}pB)pqeReXyT4=grXj=n=XyV%;5{xCd7YdA7h
z^T@M>{QaJl3t0j!BkOnHzkHwSOT(BXg9?LNq%X|+g`U!aq!yPQjM6>VfOGJ~WTrY^
z9)KbC@KFTXcA=Ua2KoTcrn<RZHM~fYp9gBzTnD#rjRstRX>}CXtk}wQS*4VvA&ky$
zmtr9^zfW)Ov|f)abu#q?T$N0pU$bt^NJ@Yuq1*fjkgt?aE7=>9@v9aYjN*|%wr~t%
z@BA$Govf&MMOiVkh(OJ{>e8Ay9;+)%jnD%8^uHZA`KRNX)KkP7B;%Lu8p22uoV91m
zyx@ae@K|#HNk?m$N+P|eJ!x@=O5HKu&(Iqr=fuLbAmG*sR%T5d_r&`iq>Q-;X_3?R
zc9)a$v4ac#ZmP7C*Mh#-u@0E(9!3c}M3Hjh{c@yQE%!-}57jr?h#&$xz*h*ZI+MXN
z5=YK!{%6Sv#l+Kx&X;XpYtHm3UPYap<%2)9fSU}(SO;dY1c;ZOM!E;K8W?l&QVs!^
z|C!@o*oTi(xs#!%TXuA0SNhqxFW!10rRZhzJp=)pkfhgfegT8-=d|jC59G~&&;j6{
z>hg|ZR^a8O3p@ERJYP3W%3cCSr7$7tGeo=+m~t>Uv=KKqRqae#<&q~8h|*S-Ew?Jq
zv{BZUQBs(n)F<14jadb35FsTi^a+23f=ZCan+cLe(!Yuc2!t|m>M;)E`O^0UT&>oY
zEP-*#uoGV~UWokVk`cYSAgjTaK%*1$lx*V!nGHrzrNY4vVPesBA9=Tnl^1FsD|M7F
zsO(H1|68cF3Y>~NCw3TGjT&FcwL^qN{9~w3s}bD(OQZUN*zOz$3h?<Y{7LQ;$Lk|{
z3APYutaTHQ#e)6QdOR^Oc1N?PEv}X+yZ;N4$Uz6|^vGG+;pk2&gM6f-V;h*6)(F)$
zY)9ekUBKm3G`Wrjhsx155%)CQf5}W)83jI~`cnvb3%D^$uv&_`a07{Bdm6T$;$PAv
z`KiT31V%fnL3oPT`yAYEp!A7xs}fKEK<b7jd9Oo+;^yqf%Eh)mcQ9=iG!zTJcQxd>
zE|hXg<GI1R;L3y4lU*Y?Zyk;*5nmpZuK@wYpYatglkEd35yC}HoHaD2FO~3rKW1u5
zh5%t;ADD!puQuIzDOE$ftsDw2(;fXt@q_`;$q_JHAji7$UsBhD@$CM2pbj3<;LVzL
z;iM8(IX34#kg|qVIoY4XpPg%0ipfMYF=D-(5Rbav>0D`vZ{*ZjoUq^&Q<=iu$jPk7
zaWHERlF?*Y##i-wwlBp{LWnBJ<dtqDSUE%0ZFkP7@?dP>@hp9TNDmh4dxZwvopguT
z9uwqt162+=h`W#?tF)Q|hfBDg%}<)1CfS-@>)vntRgjSG&Rk}<gI5Tf6oeF!hYEO?
zbzcV4TzBe?7qj-nSR#}J_@XZNfd8TL9Vbvs6vBv@l-TU5oHileN!Ru{e^I`tbWoVQ
z0#`&}@Bm+S-6V_0yal7r$+v6EmFJvWXh19)R0Cc|5RHS+Yb?`Hn0Vf}|B{T;r-}NZ
zSEsW$w|epNb}DmGa|ZFBZn2zJgcf7EVQVSBQ8R>irZ83rKE_#5yI@RD!PYx5BgRvp
zPT}^%BtS$VI`p9^A+fPChATkpk+eebf;aCtGDe$1!+ejDzWP(YNEl?)^qx8vMhC4D
z0YPu91DO&{ewPIA{QSo%a@0goE-iWfogoJBv8_Ydx~NE-2BD}Aj?B5PAJ}~j@O(_W
z0~s$NQ0;3V>M{5d>v}WF)#R$aoLONxTr=(XKn?PSzc_?=9C6WJdx=PjQ!Y)wGWcn%
zt9q|f?81#W_r|rEkz8>xBU$!b;`-VvXse(ib8Pw(-kj`Z>pKn+pkwKY$ZxlselvE7
z$aaBpPZ;Sc1CR(289S3ml2h1Adp@y_emSEPHSToq^u=?Xl{OQj$LH!!(@59a>>z3J
zRq_ZIh$Z?zSK;c+LX(!V9WerCdT-v&dvnE98w#$s^l-|SOT1t!t7dm8KI0xQ`c}gS
z5DwR-;kIpqY9nyL9+Ldz*0KM63gsr$cLHVCWQEUV;Yy0~TkAebhVXLOCG~z0ah8ui
z=XvQ6fvHpX6E3e?_@M1<D4M}iIgQW$9UDEa4!K-6DM!Rp+F>&KZImX`46x6|EN*9a
zLW=QH_vA_$Hnmi|0oRBwd1|M!u-agzO}|+W7)xvrB;FUAnc`GAMylyPa2s0gKYqm!
z`XmFcrXgIguR9E+$I00wJaWOnMwR9esM-5GLzNBfp9%nujyvBHgDc2DRA9Z;27V8c
z_#<MttAxghh73v$NE>P_#`!Fw3~=rkdXqvFd8WCM-D4oGICS2D?~qp0Bkh^-J@7#K
ziMAqoLw*#*JA>`t$hB_2oI{teQK@_@t^qVkku31&Hzt60!xil)3~4wW_h(jy{{UXY
zCnfXuUky1z8`<o3epz>jp4r8iFO3dRy7De7o^rQwaB@7$kyfMaMmY|Bogm>wX$zUC
zph>U>3&o(PbcT5#g2T{oA;VF+#kS7?oquyOKZVa9L-T@%6lBXQi3xcb3(JmZTRbtK
zL4p8(nhh@uW7rN}Ajs7Wi^nE_8stA#CfQo8)%W&f{+<=8AApNFcY?|xmM-ho^L|4w
z_N)iXv;PlHB2CC+EZ1*$3B$&lkC4@BL#P~w8`7sTK-&T9ni+hnR1$Qkv)n5iNIkx<
zs4+W3H;6(#x^*klaU12G!NQSeH=gfW&&l_nx2+Hu+985yTiC#W$eu(B_H6VOY_VO=
zIHdrTe%a}j#=*2y&RDHi&EwYp2Ejr~d@6vlLSDJ#r{U(f2{XY@2LvoUgSsKp!&ZPR
z(J;^_hkS{N=;cqOk-@(AgF&ehr}MLmYADkU)7QpGh_od>2cUU2KmdPrxZoTWg{-R~
zas3VNN<6QjlW`jqkTVv+sezOt;F9>IJkvQbXT@O3N9V&`d|rVWDyq@1j>$jX9c^Jm
z@eIJo2PSP?4%y<zb4Rr=@?zDqE?a$6crDtncr$9s_J8yBXXURf%(DmfFkR~e3kLmo
zX&3w61I<DiJ80*<SZ-O~t{@wBJdokt69;-(qU5&k?5o+$?`ci6Pw|gBI2HnKZ%vj@
zVa{Q@FM;AOoX+X<q(p@tarVI3M8f;M`*qzyeO?inBOD}_E+mlCBxz^c9&lMMh=(2f
zvr35myfCu1b0v%CTt?%e(H#=Q>Tz{kGGR?js(mGqV^x9GB{7xS0c_+qH!BQdd?Cc%
ztd~fF{nq-_dHXjKI5ALv8O=sny=Vi!F<(JoB=17Mv^_jNeRejVJnr?;La(SacG<n(
zTY4W}bCw6-Arl+BKf2B29d@%xu4l9N^|bfHoJk~nB`x3PSODd&8m85SbDlBzRF)yB
zHsVMCik#lQ;ir_xk<Fou22H#z7Lo{!xWQ{48N{iLRh=FiH}XlGGn8e}Ak~r7Mcm1?
z$D^Yh+X*XUC2?zGjchK%vR6d?rC;WkuK8Y-Vv(kfvfeVgQkzW>v&Qdl<>xXY+%-o#
zh1y>wbCuS;;&A{x+ju67F%kG;u0}Bm^Dqo(t}(XC2kXzZ027;nHfL|{v#Z^^t53!g
z(-z$ufR;vHI~PH08IO3yR~f}N^c8JHYgzG&>4YmN3r0GA`SY>&^=A&LIKJgHLj<XF
zjeyww`ADLnmP{2h<Za%O_jCF$A8Q)Oo43?D)em9sUiQj{stnadN0o&CtZX`~NyFtN
z?Hw^%UGmrspY@J)Ymw^ikOA>S{X6$+)WGCz8AzYi@*RRn26bI88>7R@eVS*;%w?7<
zkiC$ir9t+6BgdNq?PGp#gLGTtLl(@{fV%#6oA;iZ{0jlJ=n+i^7xSwA^OGePk@p@}
zxJcup7dxUyDA+rTUxAASgMSOIEfTn~S4kAy6Mdo3NnaTa#3N5V)`Is#vufiEWT&v{
zjneQ8Fce#Phr53Km|o2Jj?z>R3E7bTSp@I@adTEIsVx_09Bt%+B2=U9`;6Z}x@ix+
ztPj5PJ@AN6&?Dpl_Et;F(7?s@2cPnxsE-G%anJFRYTx)oly75s@o|YkiUtc+a8?ti
zA%63uL-gaLWd%Rn?@io4oX(B5Fy_Q1*!RWoo$T`(fTy8DI}b`H2XUehRoEG7Z8Y0p
z(5)(9tA+DbaB0osifp74ow<2L9wS+P+dSzw8t33f%xS>&5yO+PhP~1v?SJho{zH|g
z){u#>OYEMq%OrhI5{ia@hXG`O>xsfK*Xsd4R%#fJ79DImx8C`1!@a&SNDm5}w!2uh
zzC1@9+NsafLf^|>BO(HtYhSqQb&{!FhKogV{%XiJ?0<p@mx;igY9{Kb<%a122i@HO
zDQV~<Pe4a*l82<g7y-XkY34&6i^fr>V)1IcNclHy-g^KlQnRU#p(z3N+sv;G4Z*^j
z*8JFgold+Z0Fe43mFj4<AIj3<EA77NW)xT15}seBNA`(d_`zY=q$~f#V@IBVVYTVj
zY+V-kt}Pf}9Mg;SPj4yf=@0YtQ}RA=rC!roF3l^mL{YVAO{c#RiVz7WT^GrZa_Smq
zYva>CkxQg)M<{nhlZ|)8i+`q58al>kS;!PlXZ7cu17w~Je)7|=(oknJXCX>g<M!4L
zbzydy>;26=8^u=Cd|YpB8E-?#kc8#l0C<y2^s>rTabtb^-nljy35}pZfh>a#v3+AK
zKJ-O$mkO~2)8xqu<V<+4%5NesO_Oi=`-+s*0GdbqVfNj*w1^xm0QIP3XZ#Pq)>VD_
zCj=4Wq_1JpArg4eoc<e=uwZC@6Nm^qvA%$52>gtzi<u+DWmWDx-?^DP_@`g4vBHC&
z1TW{_-%@>7gE`eS>l}tR-vM6^`HzkeJD=X8-~rSrm?3D3RehG6i(nG@p_{=9?UM2h
z0U>BUcSqr)&--CRMH;~j`74nmf<Ku+ODm(WToub*6(2e={Wp+cP<g0gQ8@ur>OqjT
zjb0T{G3tGit_#5A{dJ|5Vw%{b8Pxr+cUeG4vv29pU0Q1zN&OK%*x1o*ImPG@vmlmy
z&n=mZG=IN0tjBYE8T{o9TQkpM2|R2T#Kdicr867j`}bj*gXbWry#JZ`IE0-WE`C4^
z8z*()18^TU+qU*~AvnA&zIjP1&RQN46-=t|<HY=pF`FQOOQP@BAMR}9{zo!qpCbyh
zLoEwOyZ9&UCTZGfJlE20^VpoJx;TTI!HDGc(PA|zudq6c9(Iy+_vK_97xE`(Sk)6b
z()i^s=~?SB=dOvDV(*ty`v93ZpodbFL6Uwm4G}hIM*M{XI3R@tB(2bLN)c0Wnnf-l
z$Fe5Ai2h};<M>!a#4(?jd%83qwg)dq8}#fRi>#*j<<~0uDdcW>JP=9k0I!e>x~e)4
z?s;lq9+%r^H%!$qXl}J6m^O+ic}ZNsMepw9M<nJBEU5xaq&n-eY8wIbsEPc#Qz0SX
z7xC*~5pa)jw{KrW7lSE5Ja)sVVm;*B8E%U?&qq;HSIt3!EaDt8jP?fGgAsr9o}X?t
z7p6Dl&|vh4*$*;8+?ykdm6YzZG6T*^pv?L)84~DKDP){AEmEjBTn8RpAq<0B94_x!
z5|r_$j)u_&8%VefxNv85zsF1W-${d~3eMuYaBGNTJ$>I2uy(mPd`QPNB?Y>Eh<e3&
zSItnaA=jO%au{{l8nS>|ixc^oWMFz1<bH3<Vfn6XP@huVa?T-nGh+A7lg;@gDEtl{
zavh0IWQuS?ctaMLrP^EDow7!R;4K{1a?YOt_V?Z&d5gL_rP)YNKffiDIVAABqI^&R
zcL(Om6;PMKu8fi7Cm~M_J$jm;3;jopU57P27A#9iiYOB&ToEEK6S!zCV1(<W>J!4s
zic@diK>g%o(X^Z4{O|e%!@Q7RuNX%8Icjib^U)YNd3BDX$!|S(w*Y{PzJ3*vtippk
zSWS+e964>$2=h22ea9_!stG*s7zx*6ieB;l&X<YQvK}EQJKrB?SgX!o5UJVMkQUly
z%5(p6X9Z}IRBl=ryc!PGYanA-azj12(JG=r{8RJN)_jW(K%Hz=WbT71ljFHdcVzlJ
zA;cj;u`7@9teum@<spg}f9<TxK@|@HPmKHU|JS5xLZgGIa}u+!I*ox4xpFRuP>@Ih
zOJRM!hLdUX2hsa~O^AeJsxdw=(2*@848#_hizJlfgi9mhPE3Yu4xq;7qfe?p3A=pA
z8Si%dC%9OG^Dh-$lu$j)GV2UWT&;TAe;Nj&MM=m!>|}DtK!`O=+tks8K%x#Ag;Lcy
zq&36*Iz+unzA>ZzvBJj^y(BrAA_Z$ie7<yn<`%|8D&M|FZ8}OQH0dFqxKbMuoCKDD
ze!kCb>nZ{+Q_+X6khMdSI?6$X`Qz;Wc2)PfAPB&4(DwhRiJK{2!_k9(Uvh@eK{b=-
zUfj;{MOj;z>p224C1({$FR$ZX%2B(#7R!un&R$c4Xg^yYxrL?5gSF`#qZUFOveqi&
zzbY{Ag{dEyFqW1M2cAt95@oJHjol*zlt^*p+`QM<P4(zOFP<!KpU9z~j|sg{pKp}`
zzlbw69+jLtlTU_amiyOK`Wv5z(qX(6_UvM3OGj<hx-cPf4mJh(N#npG%7nFe?l<t8
z#6<r3`9uBqEiGV<CuJF~>}<8N1WlM07Z90`Zj4Ctw@f2H`84m#EKi&I*}ll7&@$T+
zd(xZ!qskFUOAd6LVGY&H-9GXw&y5}oT)dt2dU!X+N6&~beA<QLZU#I)_x2*64Qw4Z
zg_@$^0N`dIGLJY24|_?Hv-nk<x+@Plv9VAszM+a&>8m<OX1Nd@s#g_aZbJ^C-M>c4
zJV-uuy!zzEjUYF+8t9#8Y+tokTBjnRR8;+GaOXbzo`SHpc!$&I!_>ptGQExY>U0iF
znd4m~LIx2zDFxaWM&lLpad{^}{z|Aw`ceT0YnF?Qp@FJa$QL#=Z19CTlZlLGL!7gk
zjUn|Z7Q80;h&r)RKrHxs$B8RK1CKhGYS7h;i;>+b3N`aCE9tXk-*MgvO<9vV#oCpH
z1*B@h1e%9mXwF{4f$Q5^1p`LDgGe8at^#f?+<eV6!J2wO6y}Ul#xDB2*}#&|T3}wU
z?(YLNrTk%7nl_dDmpo`PYks~}|9i<6l0~j9bz{qGDP0N#Jc3T*=9bP8knCrR=g3u3
z>^)YR3mn#C*&%o2is~+5Vt6+!b64`5(%C-%@LElFp`6OJ+Jk-tY;E9kv{sVQxJoKE
z`AGZaiy@?Pm3jT=M*_X5<6X{KXFdJ>e5{<$KelVXqs$N~pE$Q43Y%rVQI?lE7K9IP
z8nIcL?f9`?ZGJG5Cdnn%1f^NVdP84iQQ)LEPQ3o<T;G=i3U@kR+pjxvmqwgLq82<7
zk`@crg#RW?t{DfST`ZkVGyv@N3knoZ<!vSHZ22|L_!}yYt?rgb^0gi6RJ|I}5K_C6
zE_#Bjz-3n=I687_YAX7wj?6ZQJpNvjdlEbAp1_S2Baz?$UgIJKhfDAr7aPjzE9!k?
zH)6a}k=gT(Q&ip@Fu;SLr6!w}z+ebZ#ARw`eL7L0d@}sN>I3|`snyuh{7MvN*fmjs
z&*gQ*sO8mcW%8jB8mAuvksaQR&fYT$y5FbaVCa<82O3b^)T})i9GejRZ8Q1;H*>~m
zPaPD&W`oUIJ8ZI7wz~2E5bH&v+xHw$xd<rEOD%5k*vJm<ZVRRqOhJo4Zr9a|^6DLO
zs~4kzv3?3uBGCXHYeaQ@E@2*>l6@C07Kd5}-){O|$YStlE{wB@?UdYIjAqlXYeIB@
zr40FEzQ2LO>>*=V2HZjU5F`W%>_MEAHKmJlzCVX}qvi}myz-y{oQB2fJ9A&auyXyJ
z^bDYiOHnwqP|As0Wy(9wFR7tn{ojk;<R&RRtRT+wep!nlIriL4``wD+q<c*&&{GWr
z67)hAhEV$yxG*oyTO+~cSJZow&zvePG8`bt#E~|QDrz>0r|erLNYChscx^jcv#_G7
zU6<lLbD#>qYiDn<qI>Z8g73VECcoVjsHy7CV<?(+fsV+?Rz}a`*7Edzw?k(2ERk&4
zvNnifIB~jJXkx>*Io(+8!-<jldGBfyaAETEK%0PS$Oe1o^DVgKOB#Y=q8U4&lA46e
zyEp>F<H_|d%Yx{%oB0I+Y_PaEb9MR_fRTvza%#L7ukjGqhzr&P>WxyTN)0FOtyoQh
zPcSDFK786;QNI4#DrfHSr1Ng7(bm*U2Ta3#130TTeJuBy6?s1<z8(7<wd|vO5nef>
z@QZxy)eHQoXTvU2A>#DRZx?`#IOJ(bHM&eLRcDl0qVUEj;{$w?>m1@!%hI!~EB#vK
z?0rnhfpW@qV>-s9?a50-uN(4cEdO?sO3vh_HecMTTGU_OLU6a2xgl)x8w}t7H>HRs
zNlpjZ{oD5^d*(>uUPyLf_`&zSFT=@7C$m^mE)8RKS5Q$kH)-NcC-_Zf_btl4g(@2B
zbVlD8Y5=kcLRLUf1po#B1>FUCM2+&5YV)&+!*!kP<^47vd$KvY&D4Av7jO_xDS&GM
z;F>!4Bw)uabr6KfY)TVI{$DwOdx+*Ubm-qh5kH^ji9_KeJc<6g!K?wSf_n3pV8Ds+
zb}ggYcXklXdO~JPnDZlsEPUi+*cvczS}Io&1&b5?Te=qYclq83sVq@yN5@xeXkr>Z
z^Lxb+IwwJorcmtO<i=GVN!)Y|Ih`=7$|kWnYgFJZZZ(D$7fMgKNs9B)D?h}Dny+7b
z%%|-A^RY`V;g)%6la@c@xWGtE@-;H^Oxt6DuGka095l`ox$k_Eo!`7Qvc}D&FXMxt
zA)KzEQ#F*l&1B^v1+YZdN?&qen6B9_I79hdV6Ico^CE?2{wBa!JL`K;O+IgsezPU!
z)OBTG{bT%|>6Yf81`K$ijAuJG>vk?$H-?B8Z&#vq6*`nw>sq)w)1B;t+~Ni%c>q4*
zLE+#TJ1LW!%iXgy@bZBc`E14a`r<R<e;H%x0w5keY53$nDkt{!F*>}1qorIDfbHOJ
zm6IEUUM-}0`S3$hH+Z%(Zx|<s3w}0zR6BO#-IRg3)@+Qs40-IPFrAQi4@aDbI!5#u
z*@mz>Ls~{(iW~Lcy4c_IU?FKaK7s5OM=+qpE(F9P{NHN6E}|6wru(>UM~hC_c_<Oz
z+V-`@92~ALfb&XR5!9CwKkdW}VV#0=oNCSu9S{r%Nag3POSya8R`+~asu+c{b41Mm
zWRnFXXhxg)V7hrmJ^BCDeq^)wooAWFnyo!FCZR9lJxIfM#pufLP@9|DYLf$m{TL4(
zhN{eu(pI!Z%*tlrt8WU%Y~CCv6FFZDioPJrMGaM0)CXOZi^nPe5-1~kMJLHhs8yTz
z#B~oRVp-TmJ-Km(QfPU>ierfW6q_D1n)DCpvO-vg9jT-f2fH3VFIjhmT4;i1P=r~Q
zg%6F`0g!MeOUBz_l)rk;azxdMfji|m6ZISL3-_)OGyx-LVixK!6`_U#qR0j(%K^k(
z<^a#aqx5iHKrcsLEc~eG&!|z=lPCCgl%IZKJMVUSNUM^^u9Q*D9ygU?!jI?sr0$W8
z_;!xk$5-`yqS~Cjc_HZ2uKy2h=dhQgQs9(cjjWe~0P1P-T~h>j%yc9eSJ>u1m$O(i
zcwLLr12tLY5||R4{KL6lW7V_@8tjp&325|j=A$t;C(n=iQi!f(kV=o~54!H8BXYWO
zz^TV0p0&Lx8Xd57%#sB}t>px69$4lD2I+D}t5VvS)`Lq6aUT)6T=hxcG9Q;Nwu`?m
z-!87Kx7d1tTpxbbAd_($pPiR+KNsfCR>KX#_Tmoxhh-1U9QvzaQ%_5DsOp>2UZ`;D
zwmff5#4oT9T1r+{xvf&BiC=lPAsuV`Z~s=(a~m2U?%BCKse2(d8UkUAeK-;ybI~j>
za#Yka?bVj8w95N3K~gdLsr@A7#Mr1HQeMBM6~@TrY8i)q1_f-R`-Qx??_<CK5|Hv%
z?4J?tvRftT1!%MU4#V><HtM_5qK%_4fNp~#MxPLu3Wxu)YXKT1-!WWJI#0*MQt}$S
ze4O@}K`oMbc4}JRww*+7U8jL~Roi{FFtqnHZ*O}9F%1L0%9bn~7_3~ZFzJ2AU~~6a
zVyr58vC2$K(DJ=h7%I>HF{K<6US)DNCMo{zej+_nmaiOp9(9X3k!gOq)xM*PJeZ1`
z$oP%Al{vx^b{r<vAUV(>l`w9NyRw-u7G|VolY^o_#c)qY>t~p3T;8fQ5M`%rD4uwU
zPNljrya@s|k>&iNs=+)P-g%wIrPNq>J!c$%3dh2M;Wnr51a$f#x@5ZxKx^Uf`i))g
z5<lpaSHl<z?x(~8+GXDSbbPh?n30YNE!EZgW~qA$yJf+$>#0xrHih^}pOY4_gX<<>
zIdi5Y%!JL#6rBv0wTZmrd1x9<5RGDzvQ3>Q7F6-(B3c&U-zVr<XY72cfyjUm#)oxe
z&ml=@4unhlP5mz{acu3e!Bf5zu<HO0?BCza&3K4=JE=RC@7C^uIniTVA@WFVC8p@w
z4}oad`UoXd|3KeB<`yJlG0jgKaKmv|pcXPY8u4aj@mtQ6!J=WwKjArEB~+qU@F-7}
zm1S%zuSWFUddBQy^M;G~8!JLruS8yarVgq5O6I{4AoGOzcZ^=341DUfc7GqIi)<s*
zj<S7(BcZYM<B_}Akb+8R{;{hla5e(+2qO;hBidFyZE`K{7&klX^KfZSZHcST9;D~B
z5>uf-P9*U(4sq1V_(oU`c2Z^TfBY-kHOKg)$LY`k`xJ5Lxt0;vj4Ezt(IaHAf~{uq
ze4iHz(Cm=b@BS#yAeyG@GMc|m&sheXumwEYtO)TW8fIus6|@RyhjG|rt_E&_J(~HE
z90**r?MTXC?<UH?(^LUmko^#xNdwSZCtkm-!Oc*wN-sPWxUEa0clXHY!3MG_qn3Jn
zqhcuZr3F_=MQ$v1+4--6&sS2eg&J|)A0Yb=`I%=I)vA=wSMk-mDM|2&&)qb@0Mkh8
z))@U%vs=-Z*^cjMx8U10w*l6dnE2yJDR3<f)H~*{gCSd=yrVhaN?LW1ox@uk=_BSX
z$;m(Eg4YnjVnc%h@AJ9muWI{|T5RL@LwFzS_0qHZJdw1kd<onUPS;kg9NJjQ+4Cr*
zXP&EpQp6*oc&-2BI=rH$L98pu%Bibs4+{lB?FWJ(hlm>WNEhO?6A*q*;wSK{wHQeH
zK-#mypo7Kg%^PtT62kpGX1d1=835v_;tRLTVk^*Q1f|i~8`it=OzH!9F@I-dU+mrq
zDVT(9suGMEiN63b-3HV9eO6w@iXURfj4yo>-VvOE=016>!P%FgppSbM@c;}wO7)SP
zUh|g;@ux|0Fv4H7q5?8{abd$#ooKh;+XZrA?I7qwTWvP{-z;d7#X#Afz7*&J=M+IU
zr>|lg4YD^o=H$fcYqjbd8_Dx{hy^7`ktq0y0`xS2Q%;|U|2oS^QRs91-QF_-r317U
zlIMt_t&?D<JO@-~uE`y`=!ygs^Eogf!r|<FXRM!yv9f|;0_WBF@B)*LYcRN7r)$&x
z+$seG*ad-GbzCvT9_)s^gr=i25FM)(+zlQokX1@hq9j$oF)<5t72;g<MBt-7F?W_<
z+yAz!ee_C##^dt~e!p&<ovb+OWT8qd)TZ!+1h8k0S(u(<@zBKjOSQV=dQwsLjsrYP
z8i|B~{Z28*rNHzlg5v{?5F;n?=&2XMz8%>?-B%xz7;g9gKS030)(kESlT=5<d!*u&
zr_iH4Wcpvd0y;cl%vZIJ=l#P?D^)fJG`duuX2b~w{ZLHeeP4bGhY4G`;$0UdEP9kf
z$w2vXo@?sSTvBQ#D7N)~GVm3oa1ooTf$My#P|5B*6*=6DUOc3TMS2dr$AU>@SDat9
z_4=c?;;_G32-!*kfTf_dcxbT=p0*%3gCR%;7qX4IrpqQYpOL5H!>YR;Ed%u{l5V1t
zKhKqky#gyNi+8Q{gM8tZNKOEwWo`r4q1^ga2LaL>)%}X5WYy7=0}MCfwZO!+&)y5?
zFqfVk-A}v;v^3-=oM;~2eKU*&*5~!<cwzOokD93^@Hhw0s<!{^MhRl(dM&<~pz!}w
zv+hGAPrC)(U!Gf1z(#?harRSXWy}Jv1!Cy2{)Wt;Ti*%62YjXqje#Up=pI0fqI#R4
zIDwVFOe^`lIwsia<0f6cMoSlEL*E_#5BNu=QX$Wm{#*lien>o0#5u^co^!!&q9ZU}
z3};kQNumveg^xq+2Qj)5+B!L3AMDiRDU7(k*r|JyEnvRX6S|9gMfHjW#M04Oa>5Uh
zsb6oE*}Qh4V0#&36AdGPH-H$yV%$1(F{U75rQ*-=;H?qCr?-fL3HYyv`uE}zOW5y4
zs_ME=R^>eC6k<m*q}$Uj?bWc-WZ^Qm>eae4)Ub2WA<=e{LnnUQvs^Kim1j!0I>7-`
zeK+)4G3SsaGi6~t3wdwET&2<BRpcC4ucP<u0@i`5a|Fi$%63x{^@(>=k!Jsxn(f(Z
z?ncmD<>l&*PHbyP>@;#`_RaY2?NU)iWp7{e&WNEz=FJZ)_NZqz+}REU`AJ47Jz~5~
zs<YQ__@x9a{fW4V*%KNihJP~T;I~9)pe{7h(fMb#Blk3k=pzd9qHZVut2ngmfrFIF
za-AV%806p>>qKz70~ia?#s;&{=RC$2%<avo6wTLC$^MIL^|Ed~;$%3Y7Up%OH7$YH
zg9+H)+AvXhUmBLbhUujO8c4L~GsPoW!vj8)@+&iQ+^WPuWprdMcB(Wdkx~+;IAmRu
zvV0iaAFQRk@aT~qjQKEBlF+Ya1PY8S6ooLbkKet*V#;}M;p$<gwu<gXgoiwhCQ_Yn
zRS$j({XbDW2`ou+Ym8?q1kV}9@dc)q4VrgrP3jTmS%z;?SH&Y$g~&?>0k`*2%~Jgo
zCldI@rf7pi8zmk7a{V6=Ah_G+IH>iG#+)4PMrzwZF@kz#ebxBa>CEmh!zp-aFxw2x
zv`4;28bvzwN4m9`t7;iHRL_|0tO2Xd3c5O^i;dMY<n@mzn@bnVs?-;3D!|O4{Vg-;
zfZt?M`uDUau;w?646@G(h?Hw$03~@1n0>PEh|LaCo&6XFY2!E{9tikM5)DmwMn&)^
zCX=;(z_;jzM)A1GL;&Y%<jn`_BmH4X>K_Cuj~r(H!v}d4-wH?LjRTFuh-zj9RrtP*
z(iJ-%o|%|ZD!|NS5QH~j&Ii|C*vnlaM*lLcrJ)lMt7kiW6Bt|I#6JAGuP)g=D;er3
zeLeZL-Oah&ShkLS?#^gAs#RJV)Koio(SF5aAvI<r)n@ZWVL93H4WySE&frA9hDWw>
zu?ApLd4`?{qQSQZ+a*W*s4m?BwDPY&U+jf3aEUS2j5p=0cQFHki@sR8Ucr^0OcZ%G
zUlOlIg@3^4<s%T96F$4<p+#v5bvnG(8s<OzDKMqZ1!o#&O-hi?QJqUgF>Cfv-T7LI
z+*4qwcwVEL?J{6vMRd`=BCXA3?n0OrUL(|GTE<)EB8y}QHZwz{-GrTZbS~aEx#V~@
z6C=wHZL{hp27R#N+b@&#FR4T$#!&zWYw_HtMsZ2I&;G>_(@FBkza-S_fv!4-?8>3W
z!K-KZE(V!PUIZg*Ww19nY_qv{mpTMG$mg<_K@;Dyk`%a@rLwCjNqxhG4+Z!BQsLv`
z^wBT2eVjk-X1Sli#Iphm%vr31pfAEHi+Wowo_NKA3)~Z-{i53U7W-@VQT)kbBYwA6
zXCU`6=h|A+Bx_!P%n$V|OuzKp=4D*^S6Yv)ckTPc<=y*P)KT2fZmJ}P_WQEFBVZYm
z8Jiun^xG`HS1Dm=72~eJbDf4W7kGUJ*63m?lD2_Kug7?;W!m;u=cH}<ZL<Yt&bmtT
zK*)LsE)=p6h?Cdi<p`enj4Wo4720Yk6xa2lgH*IO*i>xtISLsIinwzcJu5Cm#ePWJ
zhc;I4d`jxyS$+QyCa54b`6&zSemD13k4I>7s<Z^w%$C{%2lg`1U`X<fCqA#D=p*UN
z`1F=}so-W(_f?t20j#0K53BbCixO+JSXl|te#JS%L4hfGf~piiA+Yrj=5*sUE+0@a
z@+2>Q;v*?Mqu=kBv-9lONx+;8C)EOQmyW1V(&90orD3>Vw-8;Rv~1D`7C=Xa9?k@;
zT3cT(!}c;2`}#ST=Y{|+3oycU`cO(g<B9^XSNU|6RyWc`?+;uWp?nBGbpOyIb9$7=
z!unGr%U&b##u@P27nnez@Xh~=`*YyxYd2TH{Y>1?z5qDo=rOR86u{kEUM+Y_ew?bM
z!@isAo_zI!25fK|dvK;88a7eHS(ur0A-Cfu0h3i=WR5&qsN)*VfMRltrUhb63B!Ve
z^d(@CNB9xd^n7#yV>PUzkO{s?mW(VO>k}BFI+88GD~L(b9`coSG}URh(06m|eVF_)
zBIIeBKJm+EB|oHCYVUbe_nBd#E~=7;RxAXgcU|>>N49Fwwe8xBcnyI(oE-|wOcnRb
zf#U#?_-UM^l{p>}tQ=q)vQ4DGFIbT^_^^gap+c}w0{VCm0`Y9<`bPbT^;I?;qve#<
zi)yQvTW+`XlhKA)_@4{sfIhz|EUg8v5m51reI<=3#ltLQ3m-oYylbs}$;?%R#oH~u
zZ%=dlHLF(#o`6pl@~-ixnyKvQ&-Dj>frZkP*5XJ~REFs|`Y2#}X(MR)yn_8jZF!BI
zuO4Wj*#-0IrV{Qw5)+JrH4^`G%_>w<v4dh0Ib}6;L(HUsUU-JSJG0$q^UJ26`<D5E
z{uo9=0%85{DW18jR(KX=nae*6Wddc%NV?EukwY&^sMZ~*Cd33C;}#|6T||dZm~s!d
z=b`HG#R~{Q!Gl_=WcS&7n_>OVwW;LPBEwMv5{aP;RK9yWw_n^M=I!&o7zMZ7M+_nm
zGn*S9E%Ip0V<%I(-;S61$)UJ-qm(AVa~39NPq+{sVG8+n6$MXt4$q50&LUkmzKX1;
z+*);gmZQmGQ?)j#A4%;cs2SJi1c57HKFV+xMK|;bG701pw4*KRE&gCv^_%_^NkcQ5
zEn$W~Clo&McgbzF0;DVUIf~RAIz^Fjb&9M(_h()+jcyvy!(E;#PR=1{mM~fW)3fR9
zQv=ibjj15+0C)3B!>tLe@%suq2n~CCL0pJe!bON?E86We^=Beckwm_IBUx+g*j&e!
zIn;0LBIZExvH?%MG4UFzu<HzkpWDDON&ixNFD*Slggm52%mlyL8AmTfZuakIa(Mbk
z$HzCMP-WdB8Rbn0w1C3q>ki=_eFW!#^@cx2(1cODiL?%~Bv!3nCp-Amp+<uJ;8B7Y
z_s?-$g#~;oduxbIOw`WJ*{3piJWPj2iaKlu2BStyNZCg@9Cv7&?A7j0qq40wb3OV#
zKA)}0Ma9D2<W*{_C%Ebi>*P$9<9A0+>MmxM?1Pr%Yn2ROF$-Gq!=y%&D$!TtR_5q#
z1z7!lxPG}LUMpaHm=UzVWbUoQ)+2AGj_2r5b4`~`LOI5)D@x@uy@*Y;0fsmw07zgm
zr-JrSRhacC2RS1YI3aG8r{9W;15fIB(IME4ZhB_~@{CetU`g}(uRRg4cPMbr`*<&F
z;_3rf<7B&H07YAAk*?r#q!lM-8o8B%VZ`uze$?{>s6+Xk_IW@nE1)UEpU$9LRw!M&
z#VYZ$2zDR|2`Sc#JFQ_0V6wO}XdXiWZ4qt{0pR!jLu-Ec%OXreRW&4F5bS_`FEGyB
zzG=h#Vsu!3k;zj#{RT{<#8ka;4t)h}F+yU+S0g1hU%jz`C5#o^l~e^QM1|{*in0m3
zN;2yak7%f!uKUmghs4?%hB+;GCHA(|HdTB}nUWUl_31i--7M0~6Qu=(;stJ-$~@&-
z1NYYgg|+BH4V9RjPN;!DX|B7O;{=BW2c=u7_DK!?QPEydm$E1E*^0x#T>}Zn-K*aT
z(yB|GUl$-Z_n>gm4E7mZR%0JR%l9>1@6Ms^lgm2uV{%f{k9Z@;2}ZG(ByV?r5RVyj
zraIm=E@N|L<<Zu9D)B=J{@-PL0;~W!?Zv~v4Bh=^5kAukc4U>=u%;Iaen2RJAIoX`
zK$1ELd=~w<g28fwYsKLm2Haq8YA>z>s-AIUh?&H|IC$v$(q>O<%5})9yQ=17naOTO
z{FFhWpJlhFCJ!#rBi-3KKqpytBXi^4Yy)L}xE+c=HOA3n2a{PuHWZ7*S-`#J6A<55
zp;mT_^x?9C;A)lJiLbF-W$gv7fi@&y4ROkj=5kVzf^(mqNhU~G$Y<pIHz9an3aA4o
zV-7SVG!f#W73DWh3zNRHQa-tp4(KFHQ+RA@Bkt%BwWgdc%=Z=D5rg$_z5d(ABGY&S
zQi}X|NVY!8U((2FAxYC2!hddVKAcI6I@4h1^&c*HP=%I+RsN1bM5w<c<FJH9h=*V_
zI<EAoy1Oo<cr{e{WLeu#<LF0|O0OyzI+SJUNgeWRN;aiXcNdqMMT&NC>z*p!f~!02
zXGkotOiPzwvnZNM%-)=tNB)V)FLYgVImwrl9P>z`#<^SV8Y=ux`71Du*DRO};Up6-
zMKo}sj7YqW;u)q|s{o;&fu7%4G+2j)DT^yl;<3c1%|$=k%=r`fyiGMkF8lb6x?YrZ
zI9YRFs7F19sW+t@$Cw9ce&F?lgAZj>4#^{Go+2gf4wk+Z(0N5^nOR?OpfFHi>?z2x
z$w6{r8Jvb66p@?ctOZ+qY(>a_w)>rv@MEl>huEeKgfNaDis=g|>DGL#|A7LCz08VT
zkn%&}=k66B6Fi%<p3EuV*FKqD!9xn9KVxh4B^7C0Dcd$>NuiMy+So6v{CDBF#C^Mt
zP=;J6Ffgn2Tue1B)K3;&;UK}h$~*89sE)W!9<pGGHjRB<4(8K<8k9P7Uc?J+0jvXk
zVXIJAG?DY|$T~g%<<A<FNMdzEFq}2-Cu_*v;p-NNcjolYSs~3&<caWMC`&}USH4&B
z{Wm|xD~~w5*t0T8!uIWWVX7N7f`M=dr=ha22VPy&Q1!-17iWxx8^UEh8=byXMdz7T
z5EstrwS;iDws+o`&Pxdzc$TFqkE^08O{ooaPOl^JhPk@9`Ud9E(VXcn!-A9e3#4YL
z7FQhm&dE^a`l`oaH_f1vu5+fswL<9tY%xYKq`sB$QFQ)m62FLh?VMh`8(+u1QtM(7
zDp00igOLS1BhhlCyQxJPnLhylt?O`Kczjt480k15eP`ZRmmW`z78*gWG!k*hY70Ic
zb$A{U`Iw@swqG#NVXc-5LVXF?wi(90LCqu9Nyo$W9KFPF^&*Ksg|^D3IN`m!@zQCm
z-7%yR%7h?DS8B~6U26s@pp3xeCq6Am1;4KjoQP%rKg-qNB_sY4K$>`ofx7Y$C0$vl
zaQO7Cw9ddiK3qgG8>hTGFSeJ5QV&ofg=3(DW+OQ|epHL3`(1_A3iDk0r{KP%R<d10
zs52u@M26OIjpQjMR5ju!VvZd~`_{7JKHXU^D@(MJm%8Pz(IMiO1sw%l$|0jVodET%
zDl>tn=DNB2OvwM7JOibuFZsmP^Wkqm%Uc(sD#w0EoVxaKc+9Nff_Tj{gCL?)RQUCg
z3?t;f__4JyQ?gwmSv?8mnuVP__Gy__M54X0oF`aJ*j%&L?K_6_Eki~sf+fDO5t&-}
zaz_q1?1h3?(CXhpC9OD-L$Z*$eMYO%Z!mfPeqd~M6Gxw4TMvsn&dA?-BVY#JDn_vm
zEctrH8SNPas4&QIz{HRiQiN}%P;kb@LE_V4r%;`P&ea4weIxnk$V@<RqZ*MB^RA3r
zExfFiz@Yq{k$AAT^)yr_dg0lqkS-Stw`4u&(z>z<CxH+=a8%VyH#q;lS+x{9cY4uR
z_!vgDGEgIEZVc1nG82G=&4iY1KxO{X)En$#_7%hzXQ4A#a$272HPX<?u4`RsRwiyH
z#m-YiB2`Nd_!(_Fz)0x{rU-%<U1)Z~;iyp*i;I-~r+}w$*M1BxO%Og?-!V+!Id$Ws
zX@|NukLkstQ;c-<po&{sAc=Pw$F?C<BoZ5QH!#!re{+@1j>q>=c1$jO>c{MN$1hDl
z>tBMQWrV)Z0$k;kjHoO-xCqnG!PN`zqc;l<?H#2a@Z^g<>2>Zl2IuK$`$!(l!LI8{
zWkt5HMdfj>Iiz~#Tvd);{HGm(^ak==Wa>X=VU7Mbep1fWtFr0M%!OSa^AhkuoRF^F
zgLg!i?359z83pp(j9*8Mo<g*Q$OELom2BjgV_8}q;JwBDH*IbUn7bpSiDnT2I9!qu
zHR8QjwU#2ydh8THsl1-4N7`U#SWbOM2>ETig3+;vgDfY(NAIj<tRCoT{7Ffkv1Q_s
zQr@@c>jzs6UpL%oeHxU6@WNvtD1LJfEv>*350QB)RTrabVt%=*TssBcmpT%4^mrFu
zkMp6x(lE|*Vi~DWSc`ZTMbO3?tTrSH)ED0mM-IH6s+rB=wvFPlwSmzN3sr+dg3ty^
z{hUDX5=jEaJzYB9LzKIN?`f_zXMbAu-Gc|}T^6hrRf{QUaw{xXtQ?jNK<gy%jq?Yj
z?D<dkc2xekAzzAT@c!}U;_-tE>7JjcazTh2A<@o_NiG%+QdEZXVJ$i_Qp(gLA*%}*
z4hbd2{o|2pOW4LadH?{_0&*<?sCAarFHX1O_xSH>eqEh2p1~4IAepvuy_%OBu}Dm3
zW!?z4b8MBdE^+F8uFXaU<|$Y{bD))FiM!XssAMNwc3c0UybBQ<`_<rtg&Jo+TObgR
zj5Z(UAp)%SY>CTFpb2C}TK)!V?prM-ONpbA2hS0Sn4h37>}Ff$=c3UB$Ik866qB1|
zO0ptEjZd&03YULsHeSsQG+EUAhP}|=oG=HnJL}3!1SOswDl$$+dh1!vw#9kNvzq$<
z#)prRXlNC38eg1tm|2XZTq;^w#|xjJA9tH=9fIY>57fz!1VSuyMv?<2%hb}|3T6Qf
z_cqf43=Qaz<yE}l0tuGq)Hw|YUYUA9n{_XxDn9PPn@3MJ?~>Y{H{Zw##QlX^OkO)k
z&o8u4G@Kc;x*yl?NK?4GCIgb~q8r6FvKClW=pz*uP)vX2*AZ&GCC(foiHJBF0j@E~
z=QIu%X6+=-{Awo@N?sO+<8+NP_Cz6^fKch><%t<3D1Q59LFRK+p5Tgy>EXgm>ZcFy
zC8lrRXU2_cGP|GyT#M`>xMX7e&zy0f%}S<0W0G`h@1D^0Nbl-7QvR<9x4Jr<i>(19
zRNNAInVO1NDtF4xP!qmVL+G<S<BN+tu?sEJ#dKmVX=LM943wmYc)6v?t<M#p;MXuA
z%B{l%gVy(0DEXRm#^xv}j-quLmgtw4P=Zi}?VSK6C<3drL1h{AY7IFM)R_CB$IGY_
zK0HYJwbbC5I>BZwh~@UV`&U+>e6w>Eh=lEl+?F$w&rjBuLLxScxHy*n@4!y1q8;>>
z0@T3*jn12@swQ(&HY<~ZqLC*+c&HjLPO6W_GTSs<1&jty&Jngpco({kI*>^T-Z3x2
zdHTH%XqkY&rYgdxjrz$I8mms+myhitj4y^99Oq0~v#a+lnl*E>;y&ouG&jI`v?`O7
zw<A7k98Di~lP+^eamKe1=?U1{m=rs_JQhBCcT}@<aB6t!r^tEzZmM-O*9#C2)cVa6
zVoO3bBnvyzuSoz7N2<IIa%$sx5{i%ZBQvb3^Sz3gYXqRYFB8(XhJYqZ_!P3XHntL;
z<bHu4y6w#7x3UGX3esfwwOE+lV|xItIMT5a1;w6Nk{3~+$U6kx$tsbB%H<Cydxos3
zp#TgcezJO&e<s}#c!;0q9`W`u`!-+=I_AUipM!IaU^bWUv?in2TkU3GPs^MLwl+8g
zYFP6$AMex@vd3TW{_an7zOlvqAMKBFI9uNfvurJQsu!}t6WKgqf`|PDIeabO;2@pC
zrV$Z0EMvyN{H1D0c0Ae?DO@5xid-kzU5iIwo4~R)t!9k$%8xlil39Y6)M!AgOs1W$
z`v7o-jjP0KrHBNbzr4CH?kJoA-X><@5O4~cvgVP(x%EFY925Mx*YaF&2gyx4eP3Vn
ziXEZP<E!Trw)v%!8*>K1gjhpJI&EiR>jY(Pr^)%c7%d#)yk>)%w7&y_9F3FvBrt2f
zu?K_3&c0zuw9sXT2Ia)xNb!kH4iYu(zAP*c24`r8r;&7I80U@kZhlTp1k>!9?VNza
zK%5V{2Mp1zFELNHTv{0J6`pAe$X#?OT;N&=8J{C_a?(=}b5z~khBsjn-U7jXqJ<T7
zdv%>tVr%7Ci(6Y}@>x$<!$**Q8U;RF_~Uv`fjsS@HSDt#b^aSr1y&w2bFOqW96em-
zSkJu%yk3mE;mIjaavoE1yv4Uc79_p>tXp`8QetYW5lC3J-kZc?A4!im;ts5H<mkOX
zHi4|8_m8N=MGfnY<krre<Cmlus(QYF5&z{je2|%tBni?2lx<l2_r>OX!_&LGn3kIo
z$akpmm_)Pl{Z`wMV4RS<2jD^ei~n1Z3mXNsFC)c8COJvlD|X(mr`4+j+AZKzqT$%K
zH!2}-{wCoe!BI)+4_foiwoG4Pp-Vo$UC_Cb%7A}%?BQx~<;Ugk<{<U07eH^N2J=$;
zG;g1gHRUj5V>3~HXDK4qqvClP$UX_LeHUPf^RKP8cR>ou1Bt~1jm-Fi0au3snWgFs
z)IPWLT<)~xSl<Cu_GBukS;{<~Z)hTtb?g2i@`67IeB=;fm;^a{6R8{SXAY!jR-+aS
zQ4{y6e;|hFhi7dAQk$=sIzxymNg=l3uovaYqEsjJi83F`jKfLceHa>2TO!D=403<^
zMt5#;lAqFV50l>Y3xxDT*);;oD<~gdNXoZ!>V9B~2T4Ly5i1wdKx)LLLpQZz8c`mU
zM8%x?po3qXFa^CqPYR@*t|Fz1x7100fpn1_hW4&UX)sJ?H>`fpR@vGnwDiZ@ezY`g
z_q9NXud#N!!6vH*eC47G5J*sIH%UPBYYJJny9T|FbKV`3>2C4N8JyR@ZZ*T*bEPaA
zs7o`A^Yq=Ik3NWVl7W<wvFwJQ))hU*)VOff7ouzSobT&}EYU7{h<NC@(>1pDM>HQ=
z8M}v9y+>rhu0?M=iqmx%+v(bP6fT$di>~K>E>(4gVB0mYpgtTUw%Ed*=2W)uO$4~>
z!X)L42VwU^vD?k*vRiyO7#vi@!z`G<ekQ3!EbUeye4)?BE}jC_kc*2ZgE2Vqnhqa}
z9iYD?tssjtwrh{S)Sp;aKR@brb+FNBOuOwvIJW(>k@f!*Bz(1dvk*~W9|^U<({A{y
z|FaOY+dU)xw%j-r6<1SIG<=6BZ>RAXT+yL#EhycI`U5{qnQWb*fZ1ZhI}PVU+5Uob
zHsX5h{a8pClwgd?qL4AE<R2MeHECHT4KJw(R|cun2#X82xJrs8n|G<ep>6f|Xbka=
zi^Rid=l{MPLS)~ttOkR<Q1etlxYmw%+lXDlXQ{iin?7U>`K@52_a&*L&YpIiN7CK`
zy50|24n^yb9I3Tjeza?S0@%f7TQGSA!6}B%kpxJ~;S1~~K3jU`L{AqU3^2M+l<UMz
zS%6Bu9f!Rk`3y`x8}?3<&aVJgd-T%N&qGxWfhfEh$nPe0%$(QKq9a3MDDq6j1dyOn
z76!*Ur1i`t6j>36|9jd`ndi<_Rmv_SM@dN<SU2Im_Q(po^KyYkxH2?q)Z1RB5K?rG
zP=?@-FlyUPFYUewpyZ;~+kTs)*~ENtY2FMLZlO1P1-6l-=NmqdK>6gtpsWUWET_Td
zJek7a7HL60h5=NX5?4_@_GL0Jm$0V7TEC)*Y*uNo4mq^4l1FH6aOe7*yLkp+l6Y+v
zht?o2<@AgpqzI^w<8siVmtQm2Qlbx`SK3^jc>pzL(ZMDCMf?5O5j~B-0TWFdMp$7a
z9$~fI?-n>uz7XRJK-*h)=eRJ4(27xPO5gp6U}ONr<>Nm3($8(_8~BJD{mdwlsGC8o
z7DdI0wS=hh#)o295q_@kecwoN1^;uQSCfIv?+=O0okmbo9LO*%l)S<;`8J^W8^ELb
zpp(m4sS=l|9CB*(BNV|qAJ?QWa58&r#SBOYSoZ$A@@6aQ&!4~??Xp<vxV6Y0uE1zz
z1rZ0j^CV5RytZNCKu!qF0cR&DMDieeciSL#kzRx-i!z=%w*5;E6B-FJONo4vu`@me
z*ZUODI*+A2TjBm<k#;8Y2e8sfEN$W9{@i8_YBZ+T01aikYE+B^e2Ap-^7|{w$*5V^
zG=MPx`4hDKiTs5{?zu)C;z`JFKn8V#Iy}wy0lM%Hz&3-&GWbL*`s3PQP>o+uHOu=~
ztSfbESr;K<c`iovZ=bkK{6YHoAXTK>HL2QcNgAqCONWGrvod)4c_zk7Yl2?jxsn!B
zm!CypL{ztS!-W7<Eb>?C2)s%GdK8z2wxfc|*~OHtvG#1e2<GAFWOat_hD0T7d#=-^
z9s<bvC?xXfxW_sjR5k=-@F&X}k|5SnFj9~pC_%7`a}~9r01pR1rpbneJ_vwAR)3Yz
zXzZ7B3qnU)dP0d=ipS!G|3a|mM<TIT#J{|{L9b+e^rKtTvC9bQzG{waVjz>^aPJuk
z6jA#3^>C~(%S~O!vd@29b7D8K#5aD|`wx6wQ5uZ_drBk-Gucd_F+mk0<uI$hd`vc~
zwh81@pzeHO7Z53B;Un6Z)oCQyq0+V^^v-WMEz08SzicJsrh*yD=X_?u2yjMBx{wHe
z27{ICi2bPmS+NJzs86se^F2O?30-IB!W}^)D6rTV5pNtf7HYpkWRbKbm7*ck(_*1T
zSVAX*pP**#=C<r8Oj1K5C(%zP=BAiGcND^zdVE*Pb76PptVwhu1k90^xH|Ej*{0vR
zlJI9`6B00ZA`|y%^jmM@1akwX3yjs7_Bk=i?NYm04wen*CvzWnFb(o^oCYQ!s``Nq
zcl8I{-8ao`Ktj0k=oHq!A6aICoj1aksE<V*oUoAV3CgWg2<9s|N@OJc##N4An_~pa
z3l=!Pp9U7P)~zZPQF0(Pq3#9YejG%ithD3kt0qHxpI#jvkQd%nt)R>TfrEV#*YgvO
zL$cR+NF}7+d1mNKfk%O0=ndu}fjZaCA+9^Z(L^?#Cf=SJgK3v8IAbcUrYG64(ae`3
zaQrxmK45LX(c=@<fL^hk9<_V!G`1h_ruvz%+ixw?ju7X@IN%1o9EHr2tF=>&2T?f5
zRzvyTiNVht=RuL?>E0he!cIJ0KJz{@uxCA}dP+{R2unOZY#u%Sdc8#$O@(K_jL_(&
zxqQ5*mxmcn?TrUUy}*U#kkH(*)kgEN1VzAL##hoKW)Z_a_yHsKhNQuey8Jr{{|uz@
z_5Ufa;5}dYL0+YbuT)IFE`j>vjWM7Zt?9dPL0LCKLn6@IKwVhaMu&;ijEw2mhe~`e
z$0pF05V6<YPrmMz_kp~@jzU3nq9Cmp&=*9dT)lJ|?C?oHnR719kQv|6P2OV;i~8nT
zhUobT43xk^_!Xo~rD!Um3%?IG<gf$bI?BV$G2lr{xPt@KD75ZMudirj*(g(l`2ex4
zr57BA1h;NGfz=RfBM4{+(lI6+G{2zA=4{=I?~PLNr4$)w$Id0}6oQqg8>DH>ni#(t
zzYB*tS0&jWxiqeK83vXuj5nn3)K;O6ndI!41j74x`+!AF4^Sp_pPY~%U9I=vTbZB2
zaHm%C)vO88ZwDrQ^~wSUuHte(#2qUmkP;_kgQ8p6iGw!=upxv>|3|{H)|zzh1>nO>
zN5bonklmn9B4mbua1}v!PK9#oaQ=6lCAaUOsGMlQQ_O2Yfki(v@;BWeP3Q!g-&U~b
z9TDyX9yTL*@^A(sWZou^Y?s25@4uHD5LOXo@~|6f^PfM4*@5q0RXByr08V=3EjH9M
z)|UJ=RSfgb{qM(HPJ{>*5KM6M2z{XSM6#467O8uE*;A3EGD_|1hBE_ll$6!&Sobs=
zWP9V+!B#%nstr>mu?_qEYH<VUAV_Fhmjp!)B(=ifw!h#WmOf-BB!4hoJ>$eJD)Y@#
za(Z!%c9%*8hV#(pI*wJ)U^+7(v`xmk!tyz2kW8e<08BWQpp{FG%ul(b)5!_A^&mW#
zKuC;1NHl<|0LhJOX<@;r?eDA?90N35cVt?>cTUK7vr+p8UWI_=pzx|Qs1+qBA;dxK
zzE@#zDc6ECjzM6Glty};Z^coY9Wj}L%1228DU}DW_}}q>!X(U)HL7PhHQ0+E6{;aW
zIM|11i_%&F0?B4k5`y9no{UFY)Sx5wQ*_iv)Vi>vq?TSnARuv2a`K@ileiTr$5)s^
zhgI9}E(=#_HB=^GvoItFcZErT>vwuxoflXXOuLj=1)l1OFSp2lK?#W?F#;3*2S&CZ
z?RD<AGb0gsx^@mAmro))`lv?lgt+OW|NPXAXYqf0A3`>7aJUuAN+Xvp<bbc$(pGKh
zeJ>V5^tiYVp(Rlc*8Wot7`^l8JN1)P$?BoGnD>SlV3f<9LYkk5U_Ms6`$W@EN6f1k
zV77!R`-*r>_`jb)EL8@6yfn1351nhAn7$$Sf%_h`4Y&v`R(4?Bf54Lioy<y?Tl3%p
zNKL%`&PJTgAGG)Z0!nVlq(Idk+w@R3`4QCeTq%=&pD$Bqzm$^tpv6QMMLke>B+`8{
zpjFgBw-#lV`W*&gQRX1hfQ*mtMsL5yvjwhy43WX%F$s)LYSaI$#yEJKM!~J>D?*h?
z@lZPKOC$+y>#^Yaou9dd6=PFjYmLPp8h~=M(57T(P7XiC=gX3&e}D?Jxn>7i9T%?o
zP^eX@2bW)J{-^!IfK(!kdhbkMC|VXXiDC~Gh~Ri@5@Ir|fStEUJ%pjbBIDzG1zE1D
zU1O><%xe=T@^f`xQ9N&rdhdgmbiRD#Bb!c$75P%>dy4+Io77*4qaZd9ckU{&zzTkQ
zrQ$AX0ViwB!@SRreXlBs=l>?+jA%;~zdv}+a8jE=QfL09EZ;!K4a?REYTHh=BJ^WG
ztvm-d&s9n1vMVM$w$T}NAp}Ch<u&XL_V^V=9RUU>iHgVKG~12O+F()(>kpjw>RpxD
zbyA-F38GI`0F|N143pDvPSpe0nvqP5NG0xZp`_5gIm(UdCVI;Lpjy`8B=>ap3ZqL;
z)B<QNBM>=^4gDEZZw?hxv+GB(UJizIZaYsQm<Bjf68oE7yvKtng=UP&y0c4h1&*L9
z4PBSDunTejxaey!Na7Q(N6Ok`cpsC3ag6kGoF9r}x0R$AL~VL6Y^U-)Qu@so$y@-*
z!b`UQsf;8}#q_bWra;J_)3MYK;Zq>WDoD6MY^WWQ%%tSa-cS*n2~^V#k`Xv8tM41Z
zU&4P_@x;H3eXZKE!j0P)1uCXB^EZ`(@ra9nE&R6{qGKpiach^uexG5-OQ;Tt^kTv2
zz5{N3a$=OqM~1^F$~yKndqxsPc^UQtr4Kae<*F;kjrfdc@+MxqsjC16&X)!gewbaQ
zu<(u;B+1412%qlG3tNIP@w_6xLwW9)Ms3~Zq9pFoswGhuO2Gd4L;6%eDA<nukzzYR
zk8k1>M|(A8Q?VP>a}^y^Yc=m{ow#u<mrYqNV`|@fn$;bUd8Sw`g2k_c^y{c#sT(&y
zoISus>1!EZU8T|&PxU#4BDmIWQ2wx(dBAeVva583TT%^IvG+IvZ4k91F`9b@lZ02%
z*>7~jRpy4i(N(l@@OOggo--CHz7!ZimMF(fj>IiewEdHZ@WSuWbsJX+eR}h=a^KuU
z_#!Lc=K1=y#Au30{R~N89M$Q$QmDgH=v3<XjmJ?Bo&ELw_&3Flj*g|yCz~VK$IifY
znZG0oRz}THgurk!CRW?QiMAhWx};94PT7PfVHWu1#bp!C&9TrKS1_bHuy>u<L~2|=
zad8}UfM24AY;Kf?G(vvLv#~RBnj<)mk1Wj4Bl_#M!4=Tf;NKu;2eX!KqQs89wct1p
zi3}8cI;lH&+jwLyTgEr%$sMjis<KErPLfEjapSruaBh_epcu{h{;bjq-4j+#vO$6H
zrGVhcO+c){le;u`J}jc@DByq{QXUkc{;o6h69JK~%2)bVCr44FMXFHLfeEwFB;P=Y
z9RlVCa}f8%kAx^{I$>#76GK`6#nFMFCUG)jk7}rd;H#^A_A3OnbPwlf?_6FBoVh59
zB@XtxB9f|KdEi>^Av|I!b2Z}%!?`9egiS_@`fq~w7ZKS1kx55bF&vUnD<yf8zeZ;w
zrjjd!;}<wydt<1nRXR`d*QN@B9`m&qQlMi)w0ij0dQE5Rz!&6p_!3=@$r`i|dxlXv
zhZ2NZH>QXcQkdsg>IRu^Gp+AqbyxSGhX`DPy?3>W1At;_eG82}tEI90neeZ+mhbMb
z$9d;yNbI$0FDt)W%Xh#g9l!MCmhVm{a`IK(U`wyLalB^|Gtncto<UQ&`R-z}70N8l
z8s9x^zEb)_tO+8HicfOAcqeQv3HM7<WfiL9#AXUVD?JC`m9_iJacj*uI;=GIFoi6C
z#cZfG@CK3y#@)(>I{9xF?**P_I<u)1tM~I1xYyq0A)?Gn&!DC&c_1-{1V~kvF0;4(
z8(1*?BFnU#wns|LE{gR|qLmoRXoa6-Fzh2BY7!OTd9tsl1lA56;a(vw<58X5k5E{Z
z`DF}^LVKaJ_BU4$)a{zUSa~uH=d`<xcOHP}@gpi@*D?zGT&*}XuFD%H6ZRdKhXwj~
z%~%R&$nAR|UV0)adQ4q)sHE6|U1E>Ijzs_9NCtak*|m1bMfiisP!??ZMuU!wS_svi
z-*^Kq`v$Rms)@koE|KI8i@=H3b?#I&V6|uBwWT?!GBMYQ$K0wHcOjs-4`8$)u{J#`
z?%Yov`GWS2X&cB+3oA7e`I|gYvTCkxDm{3-g|LZ7nt7KIAOhUig`x=y#{J&K3fwqX
z%CvartnLJSzBaP~feR4}ydBdlE><Ic@kSeUO4Z?}J|rE0oRRNm?`fOW_B3jO0swDu
ztP{{EBdFqmng#){jTPCzecT<xzbefTKHi-t&L+fgb;3P;!e(+-i!L^9HfPHz3=L(<
zAj|9qpG1Bad|4#Y*P*;#TBc?QF9VwQ8*LfKn^W#MBLvg)LF>f`GOCbTTmSD5i?^3)
zH+?Z{1oB>JzXnGlg0Ubs)tSq-B?qieHGL9KeFZhAn#2fyW010zDqkR9E?dh<Hwivv
zV}mUAX0S;G(Xcr6Gm!RP5$i9YX&b$AnO)95OV+d-!{nBmI!w-kg=d)S!27K=Vzka8
z^Bb7!#V9Nvxv<d99{4WT$v;}45{u3?01+Pc`V&OKYHBE|+-+ylbFhz7e)Su%$z&m8
z+AnEXQgJsI<(_rv+n3*qor@X4=R=SDbpgBW$~$dXSNz}wx;8M`{~4l_uzG_Mih-%t
zx*POKNWb1>-fW`a1lOr?&#?v#4t>As4sqrYoy>Ok9Bxuam>`Pym>)$bYc=g!Teou9
zPi#gqz`fyiXwZhddXeq7qB6t)!9>VLuWl#CzOG98!PMCOGldnt>hq%xn_@8KwdtlH
z;zjx0%e8bvqTW9Q|CiKMf28I_StH3kA>lQ7_SiUFPTM*#<bBp)%f6!db;`noF8NY9
z?2yHrBDC}tl5n0uO5M3#5F0nqPww#LtiZkW*ZVC#UyW0}oah`DGz(X33OU>3yR-K5
zQ5J~rG35$UoP|pEbGH)#!UiCnl$P0o)^Ci$TV?kHump-~%*#MZCW{7SMPV;CIfw(d
z#`0F!1g?tQh6xUz2DCD(;@Wd9z_B|k&O5E`qxWf!QP9l|gyH}TCl+E)t}gA26W@R0
z;6<|(Zv8Iutz*Frcrufsbenr9n6*|-x^Uq&8%nq=zExgv2U6z{vrpnPEIgNFL<Smm
zz>N0LVEf&Zci?fRu@kC0@Yv-}dtlYMcA8hQy~F<c_DNg_1D)wT`df-iWEK1%Phdw_
z&*>}ltnc4T%gr~{l&mBYq#d&WBw4|!M|faV6*zZNb#2D?p%X&X)r|%JR2$?ETdj^v
zVU+-@n;Db8Kb;=$<`WK6%DPJgE&=Sv9Pw=yV5W1*R7k7)kH=)Kz6RSGpZ)=f_mXy-
z+c12-Df^wP0`6}Q`D7}Tg_`;oD^~d44g3XzSWTx;3(T{0aIam3OEZ7Z&}D%4wG(WM
z&xO-#ON@;2w78}Ctg<jRY_1ZYLlHmEi%r~q<RYp6LFX7A196v#)TNTB?=rPvN#TM=
zK;KTVAr(ri2a%)Ac={RHPcG{fgB`=Bx*7v;vMYQ1Uy^5ym>%f}1S{?S<-(y~Gd_@8
zuZWOO!geXzOL@V=Ss26!jryfCG{DYOZ$qJ?x3Vng)?)qhT6P+G7e^h1{*>lJHvCPZ
z9Pnu9WVXxThDW#QYQZpZ6)sccMie0bS6PvgWjbyoFU&_0Y;$^lheE4kR04RO`wh3{
z^Im)cA&_VS4;zfEBL8UsW>^V9hn;#ahqm86@>)47YT7PsGqe-v=;ovCEEjz8yK`>I
zog_8?nmq2UOW}g$L-v#f@lDqdwm>IM1r#i(XYK-Q1VX}Wz3#Ad?bQv`Bix9m9e>(o
zMc&gtrmx`!_Y9e(Hd<3FB&>Nz969$Nt-{3HYU0+m<=wg>SCl*7kr%Z8YNLzFrb^+1
zZ5js+p=&YEK=9nB_matem#M3UyQwZq^eb2qQqGyHQ2=19r6z_6r*Cp3l>uv~HG#Q<
zW^+<iUZW4{7C_CFxhs}k?B=5nz8C?zt{%6;$L@k|$;Vgr*au=Zh(b-9<1bTau+e`(
zT0kBQ(x|OHInHZ{01OU9-*jCXT2A>(TwZKce4kVqs4>EpI16$VY^S`8E!#p8!n(n&
zGI0cJ0%O+IiK<|W@RW_VfN!8EM;yb1e4l`sFe&N_F<1v5uvo5}qXh#C7S*+UH(R0l
z*gN$S{bMKPH9bdYINgqV$g<u(?gh#WUN)T3{vb#+@5aC6)lL>%u%RU;XeowfgpBbI
zXIf{2LJY?J&#%P$!JkRoj>o^dS9oL|_r3j{xm^~2tOT>J+e?yh+=|ARGK&85*R_4b
zI_E~co-@;#3kS$9_r;<M3kS2>#w9EDoSjND_>pRDj)N-c@-N#)$77H^-uwpob0?#5
zlX6M;7+1dg{6$NPM4w##iYXF*?_<_9NqUHjLji$@;HxOHH&-!(Lj%<-@EWd(%Nq4_
zG6pk29ha~m?w&Ygr9ayI_I;?I_87GXtmd2G$e#y$#{(-G*Im_xTFe@-%HHfrAN2Al
zNv8f#;c^C9AKj4axN*;5e^6yTZ?Cv%FM9Cr9j}n&h3RZM;FyUOj9~Y}|70=N(I6tK
zs432M4*cO96u%FQ9OE`s38^Dm-=^!X^lb3MqD6or2Z0{)>2scz%$7Y1-st)>^{<8q
z0IM)L5+tvEak?Yv;@N(p%1J(%U@`SHy(S4}6AW-5(AH`S&ckMbS4dt;TXah=zX?a)
z8$6yOWeWt^wym*gKp<*(GF=yh2axzSs>p7@S7&Tmi__(7C+NoO9E1FP?)r7s8%?QC
zLW6Q28`RErzkp4b7QCmH--EWfSAq&tFGS$BoBkeu;&;ZOzWZ(M18wrQKLv1PI*6~Y
z?<g<*kmdf~!@&>w7mHfeE1(VLVpb{R9cRB{<KgPaKKSz)kUO4zWt3G=JMvbw*Q{fe
z?-a74<G;7=YoMOxx73p~dGnO|(r=js(?0^|9Plrkc}r!qfMf2cQAuv+_mnZfRrj?d
z!+C_0)f&JU3(+>@lr3ffc5U{){%9WSwcC9+f<|-6*#bhf4me#Xl{dFLFSDe)ABZbD
z1a-D#qO!7?p}63anz?t`E&84F+L#bVts$M{GxLDN-KWxZ1Yiqu*`3MNUuVfh=Vsfl
zk|FjC<P1|ohe^EcpukSFFh)wFLF@2oIkX;UNyq<Lqp|?CX)<>_Nj!8idEI}T?kz>A
z4kAXd*{!lKxgx&tA@O)@YWG2BZRInMM3rCx#i?3j?DkB>27wl3h>29{uN^+jFl66n
zGJyq`Cr?0t#2MBl{u<?k*B!h9oC_%T8@}*|saJSAj;JrWu|}BC?<&GFfqy5cqTTd-
zb?fzjqpa|VnBsEMYmdKR5NbA1D>3IU6r$G*t?K_ZfP|;e{P0>g%X0ekN|Gw^O~2~|
zDESTaR<`p(Q^r0g8=gSUf9#-)v*b<aueY#Rh1HiCLTVd-)|hTmd_2jfyu#1p#%n+6
zan)xwZo-M!S7}9yttqkzB4}iOwO=%0qdj1~DLn1?MQtkGjgEHu{(nO5%0)j~rtm8$
zMg0*lEToi2iJ|m%ejA*Jnu{E>$t}P|RM{?bkfrSRrYz6S)<+J;A7nH@wlhhAs^~_H
z%^!ENYS9G7fH4;xtZ!)vc_|-K`QLZ8V+^mkmFlfkn$Ai^Q*q*q<d-9jBF<(_JU@$K
z@o1EqkMhh~Gc#FRU4p)VKPzW)2qL0+c_EFXbNE#asiyBV>G_`=H7D@%7!ErtE(@6-
zODp_wwf9UOIY*4XfYvsFeumuV1}mMDzZ)J-%wRm1vU7NC0p9F$*bTyv|0s)KGd2?w
z`k;9|d@ohHj(3+D5^kWa9cK=Jh`60uYg(%y>gq_l(hS*cJ(xmeFNu_pvc|T^TAb+O
z@JO07yOlQMYh8{6{rwhu3Iy`9QbnXAlZY%el6Auvb35~efx#ZC0=L&oFD?wuFl}h6
zEL_hXiAx?VH%DH!rD7@X-2%6u1a^!Kn#h!QqxN+_*QbsLigH$$0P#x21*3aUO1K}s
zaH#R;MZEAA-7HM1$@=Fqx0n_Dv9f*rpzhbhsD>GTB<*C0{Xjq#A-3Xs7ZD3tF3iOS
zCp(5#btl})S?{5sDoYkcp>k{DLNh^njzCO~3JVE%mIi}|%M~P3E0Akcl5tObLIe}Y
zR%3&!u&rr&3L9wUO)k-;b$E80+#ZmNJm)A|)~|h*U$xz6ZL5`BaNcjV-y$MM$gugH
zXZ}~LA{_JTjxd|hS|lVnMCOC@eZ&Lv1@{7M7!*te$VOO3j!vaL16eLwxx{FHOE<dX
zL<JB*!F-bZ_qJ>1X;@ZlgdN)DfjrC~cxT7d(Lj7~q1!9ly-s^-N-n=GnLxDshr>nE
zv4ATHx~S<rpUbG!b>T&14|SL9^`$-iSQ-l2*15yFy()C#aK2BVGlj6Jk{M)x8M!M@
zY#7eOE89oLq4G<bO%`Eiu8({R2=y!C=nG-UC8Y=*vR0u`NX4kB`Tug}_r^7f>@g5w
zY(`<i)(1T0Yf;B*s2-nS{MKI&^3cKQ9buQ^Jve+pP~mJs$_bc%$aZS1_|r*NZ|SuR
zk@QC5Nj@g4waF$#E_Y9vnz`YV5#u>{?MAH5GHX|B<s&)h0c=N!49fjdqF$FFZC2VW
z%1~e)q8vKDCcgH7-Q(1(ht}l<bp|=FGE}t><DQ$5=P*!5vkPlv*9KXEn?$!YEQ=3L
zaBsb0{IEmjjO0CKHG9-h`Gw$+wkipW8S)$tC)lA>AYIqHxfA%2;dw`0!KNhtzIeG`
zQ2O76`1rc@Rx8S-hKSUvZD^$GRGhVhWQBt>GhBoo@4Ng`hK{}Bk^`~gfk5~k4~~oL
zgMXx}O~l2tWu=xTaw^EcOx8gpw77v0q7rBbj9!Y<U_tRJYv|lt%I@==wk{j6SY-ni
zf(^t3Z|rI;?ZY#pdbb~3YBVlfvInqgte`u6j%S~7U%WEN=w^-mDsQ}9x%~vUOUi(M
z6-PUxU=r({i_t~0HZ&k!)D?Y-xmK;7pgd`3A9P%c33dKB*kFmpm@e8{s_jXw1XbhP
z{yd8$YD*Qy%;km_jyQ2a1hY{&NU5Aj40Xo3aCTRb*2Gvi8c7maJ(b&msNRh^1_7=&
z-Xr%D&k;v42oRK$pE>LFyxL73+Yah5A2_D5ht`P+CP9CQ*7?Z^N5TTM#(Q;~pgxeD
z3ZJxyjb?}dkXERU`e|gV^cChOP~_onG&m=%+4qi1mfJ8U%!hvT*2p-zjRKuM234e@
zAWr_Bt9{z4Xz)GeqT_)fMg0Uj8%z!8r!^fjqK<XDpQbC<wfh8;n9-;X|2jyPm8!pA
z8mR5`v&}1K;?+WUpoM1ipCu?*bN))XJ;iyX01Hs@uqxw*D)E)qgEQcfs!8Inp$i86
z`F;FaLLRXUv5y%dd^D5rlI+vK#b!7g4DcdPwi?Cky|l2S&RuV6QfDeDb3?WIzheKT
z)B57%waNbP4Zo{Q=zx^$cB!x18>Fe{T`izL1*qISv+5a0$DyhasT0PtORr{f1FdD9
zw6YiTEgd>#qflU4W;QF}<D|@{+*pfJ#+-VH{muxk{~UFSpHG$z+hU|re~HlIinOoe
zh|`MQ?cp7qI$kKHi*v*Ui{$dRu_za43TjjgJ@}~=46XjTd6*s14g6caO^tCA`1*u?
z7?o0ni8SvxhHIv_z4vE{iiLSwJv+W^1M7jz*qYELeRJFTt=>aWS01U|A&*YIhgK_#
zOjk}D3dBX%re6(Db68$74mh-I_)8Rd(gbFsB`$fP5OnRZ9?EAX&0tkcvTnfMOXYm!
zEY!R!&)-G*oJUZ5J^Qpgf-VT!>Il8}_<HL1M|jf3hW!lO7Qnp?`alWhN5e?6WWfiK
zF~t1uR(i#sqW-^|irAU{;s-{`j}-VSQR;uG=eoZt8?OcboEczG#5s5Ls72YNzo4hf
zJ|rgo5Yuh1?(v|fyo-6zI17gl^;z!gfJFX5vSs!I0|Oq+0&z=hxJfWy3(FlPK{O&-
z^Sm4b!7s!*2-ey9_lT|*GpdGjdiNp3ohAp}?>A%;aFhKI1jtjKZ3+6rB{l{XGR;`P
z$1w^?ga^d`Lo_rW>FjwrtV5rGgnsO!`yC;Ods}d#u1z>wKdUTWk#rOdu4?_(Xmmix
z3^`r_nky9KRydu^LMCr3YG8Cgv<}nfA%kNG7A`M>U>BZDCI~vq!;n5KMID(gS8Dp%
zeZ0`6Y`KKk>VPB}sqY=e>D+=ClUZtSB(730a^}aL=Q=JM<8?7?0nr3@Y>oKz<#{7$
z%*maf@Md>tGPfh<zZ%+kBT(kbtutZpqkuoIAS#moj$T5z&iD8YGswJ>y8^q|OZoh;
z;Lqmmd4KY2NS4}dmwF$;#!oZaexs?z>L9#yzC?U=-pBwC31A`~c9_8VW$2QI)6Qo^
zP(~;4e|M6@_ky&WA@O-)?0;1-9wEcg8~-UB%bu&a0H_k6THJ<pQ7?bW?RX1aZJUGu
zrF@JQgVOle(vfDV2p|m_R^X;q5ujhaZMG$}hm|Qh&Gl&zP9Q^F@<vBFXQ;HSu_|#_
z=q&KSuxJZa9_iKg7)|6dou!?*B``^f!UrE(AY_VPK(bJ(yE#?FQjhF<;U!Ff#cT(l
zm@%BQNTcU_C%0*vThkUE)uaITpDzJ3QE?PCtJq+(VqH0+unC;qTms_byRBm+s{!+q
zov2*^ML@d0RGs@pK%^6-6q<L&x3TyG_A|DV`Bt7T`$8uRLq``tT0f3OTbvaD;Tt5;
z0o=)lc$J(LH{<GSPo10Yb`Ifr6|z_zs8kCZOvHXJvd(YxCgvbIWR5g94YcgR;RR=B
z_JVv*Ga;b_*p8U9R)5L<f(nEF&s2yMtF13ybG-#Hj$-Q>X9#DUL*3SwyaS+lE)D*5
zB5MUU#wAu{z)5u~Yz+(^FAtY_4J*$#a>5v~w05E2bNX5Oa(mayG(!>eVlfF+RH!TF
zk1Zs;-HLg*n2q_TQvZ}V<Ac_r8*i^7`Kw$mVLoDGtqe?9HC2|YqP1C0gm}oZcK$#P
z!RA`)uro$Vuz*=kSfuHfc`N>TBgdBHQC7qSx<-ld1uy43D9PiuNj%o^+1C-r70yV6
zn&`{^h70o45R-{Tj6|D~zl$N}&9?O^S6gA=2Je;LYNb7XJ|}lRi2`^TKnC!I?I?t`
zC|Gc+HUNv}+{}A1BgF_U@Uaz~ZJ80bUQP_)L21R^khu2O39D6q2a?K}JG>7ka$-op
zPW`9Nii=vg-fwIhX>vB46?4wspj`PCj2%11kbircgnkSY=Z^QDM0oe7=DWra(egE<
z5aBLW?vHbKSf?;r`4%V=8XNE6f^aEYk;3nL8-2PlP5t|NtH8zg(G)WJ1)`{pHN%GR
z0HMho8NzX+nF!KlFfG|V$e(tLax0G5DKQeg6CItYvRxq~@S@4z4|3pIby%%@Kh9^i
zto;(}3@;u<YK$AW%t>}yhrge?D<DI%#;GPDEV4u$F@pC9JCU&O*ctDfyH>qt-f|*I
zs8d;%K?6Fop^G4nBhITblkv)tpYeOwaX6%0(VMw=JA57ma^wMIEZp=UeYB>gY#z9x
zEIi_hEgF?1xS{98OrS#BvWt(u$!Yj6A4D$XYwH%u=e0y%Kz-4iUXUZNG}mxAHj*Hx
zW_8C!*}di0x^$9QXaR;4NCO?aZ!&2c0pF|@WP{-dwA!oeD5xqo1hI&QdgB!m1bHQC
z{It)9|DGfV%P98#cJ_){A6%)4{eP$@ab)Kpxeeq%SSD2yoS%x1%RGka+mwxaK?^iZ
z?DtOJ?qBu%&H^6xy_)%KfDKxES-t}Sx4q+nQu^H<p`<JlK?0dAgw&Pq&NP?y2@w;c
zMwTax{vEIQb)^~DyfWi>gy&^Pr-2xvACdBH){yJhwutFYOypJSMfcinZjb}Yl#GyQ
zYAPZbXh&)yL~*{X5e%?QxU~zLQD&sZ&xrR-Yt0UiS=~fC6;dTGH>BscH4|lEH8HmR
zc*M~79^5cxaJbW5@{*xP*-2a-F*gT<K7v>35CEjJByjrAI5?M_+CfScrbPEAaw@M>
zLM3@xn;GTKQ7GM@!GMsXn(%cjzA~r|^u5^3(w;JNsB*I6T4AOaoBrdoM^0skG;2^c
zndN1tp#IUI027-kjT$$<+Pij{t~;>FKotn30lliZ!lwPr22o7lN88^0>^Fh~;O$G=
z<=m)kkio`j1*&%*6SF<hGVoL?xt;7VQ4!MYdr5+bkmGY}{%;ARi1EQhP<5!XZZ>#v
z$JicvA=Cxv1{LRorZ(0c*gJ^#b_q+lO39P=hurwdVrlhOr+UTLS0hB!^-vkl*mq4C
zxkeK+Q4uP+<&ZvybS*P}uC@Yv3UtIsNFzRFYOu!?ep4_NEe!R^+!FbFLOcuB>;Go$
z!%A$bQ;Spbj;My0Z?8t?ah*bN!Tp@CCl16pzb3X(b<Ja%NcM|-N~7@yyOb6JX^V9r
z-w?V-wcKbCIO0X?F8$>76lbv%pi=r7+Yva)L@4Zvp2W|kONg7qGK_TAJkc1IJ)D8N
zccMH>;khG^A%5M29|cN<S%AQ8Or6_Q>up)m1Hje!sdf{%ha0Lhu>xA&{%g-mKD6#y
zr@y&u91jGZXjHc`O&7x;%5&`h=})N1$r=={u)16wWTw2VE#m|!yzP!^zid`1#k**#
znt>ne3|_3if--Jp7k+Ws1sscq<ZaSGqBg<H$d*7-%vd$nGV3Xax^R>r0e=mRFEM}W
zqS1Drz;TTxLSA?db|uY08a)~zu{bI1qu4a2j1Z0o1Lv?w=v84C|LXU*hh`aEv*O)N
zxVbWVJfhpZy~D^N!360JM*{Aa=GcJ?e_qKN+Pz29phDl(*1nwE?k-{xKTsm(vSz{G
za|@(Fprlnz<blBjpefTk%G>A^Z$Qp)B`l&39gG=g6X><Oe|ae_yw})j^6gpnjNR0%
zP~EX~P&I7qYv=0`xFaUVFsbQhv=}_T-qS5OI)CE}|Bu!vN+%HN!Ks1g*tj!h!d=x!
zc3;}V{CGQ*6Z9Ww+Bx##?qq2dnGZ;n^=JI5XhM~_SfWNEs&aQdnp%@)b&UDB&Sgj}
zG#KN)RjRp?1pBDm|2$f2#F|mx<Wez$R}Z$N!1IUiPeXNA;D}!z7)aS*)%{SPgjU4O
zou+lEUCTSw?>SZp^ujm<1<ruQmf%!D52;nC)&g4vi4QW+1cOw=m=vn9EZ@T8n~|<R
z(#R_WTfqf7@1?!aH08vgyRrB|J;jbAqwh3)N#U{VYF6^YfzCyOBHkc)>3$F3D^vYJ
zkVod1>S(6MJuvVxv4g9xk3`?t^KksFhxul~l=3R!`%rs0OKl0zmt8q1=uQ`L&(P*j
zJCSUl%KgKkwSFI(o;>#mH<i3jsYnoAGbYbgR)c!EwuEp4OQgg`7tDU2I_Ai1$q+QP
za%3)?i~Ua`-@EF2HCKp4<BazwE7(}yZ3Ydw+gQJuMuSWjGt%0BW8TZvH*)YhY2x)J
zwRs>=ZD{0AkVNgwZ6%UpGgo)Fd-Fq2qV&Fs`qG~cP{KuwIz5-xU_pfa{4B2-qzsM_
zq*t9@@l|6(Ry$NG8%T#(cw}CGQT>BEx~EarFJ!y6<d5_kv=6+}*y_dnUH4d)L8ru2
z%`?)$y2J(_Aj?Jt3!plxOC|d5K*H<xF8JJ7T1i2}7J0d)8>)zr4-H8>B1YQ}wZF)n
zRiU>IW>cLJ-fq0157q7Zd4DtZdQpZJLmPPU$|Cu6id>>?2y2Vs_xaZB4Oe~OpPUpS
zF$LfT9fFN9<K%t?un}g|%Hs```-S&_3H#iXGMcEOz{gaK8777?2IzE`m6z@kAMkaU
z5_^Os9`}SC|5ka(qathT#`ft=q8cT@P=Nv0)d88l;c?2S!Qw3g_}rV-a%2Q%Y;wYC
z&&~~pp1Qm)I@7Be0B+tZ!kJ;kN~bahA@o^&k5>9MY@?HjkpLwWc$GO~U(^C8eg6SQ
zCn#NmHw|62h0fq$i6UssvR4vAfFunOhZ%S_X1f!ypv%SDE=auQw=#<dI)<9G7swf|
z6gUQ@C0`wq6OKIb?=1}t6>VpKWwz1PSu-ZWQ-pt6ykLsQ?Ng8@K(bgRJr~u5cH7s0
zzB_vnu2gza<SH>nCBdH08n%t)7Ffi%gJxc^9vW!6<pn;gKaVKp6|IdV6*Rlb|7I<^
zFhkbbpIF60TcX6DSZ^L17G{^^fgacCBzQ<%x8Z#SY?+=r;Zsh}u-U6h7ZF$XDf^Co
zF+=VH(sDcefHT_<sT49Y$mPJ(HFcAsCapEV1{}cn%IJOX0rf5I8GRHR&po|lDxVq&
z(9DwbCQM}Kk&yh2Z<~!-DB%a_qX8+E(s`Je!%pYe!$TklYQj!w2ip{+#>T4nsX0C)
zN`3#c<2102Z8KgV0hD-%(t|Y2-3CogV|Lc(LwJPC$``$BAt~IYxa0kiHy<Iz=ymZZ
zp(>BWE4WdC`jLbz_8fa|x%c&cOhW9$YG<fXQ;(hPf76|m%gydOwUKc&!G#Z0SD~{m
zR@bClwlPDu@1K@3ETYryo$vs(qI7r$xx-SXpFo#yO!sM%1Jb_a{eW}rB2&=M9j8E8
zB?@mYqUm<`^Eko<OH>hgS=P8Dqp8>yRJKU!XJN3(Z-A#C3Cz5PHVqg`^l+I|w)!*#
zG~lCO+N}{E6~=33lo#(HhH4;)j@a6hPBv!tDup3nFA7$SCvo3?*0d_JXj?eFNw3wq
z8w!YF9zZ|YZ%ZZ!v8U#_8ieVWrFuYCIzYm7<~dD>C(PI4Qg)5KKz6Oq7&20B3eiq^
zwF<6_TV<g2*bRNbq*S#obFwPk41Z{nle6XXkf+Sf!P$)OFZHGD@gC=|){IICrDfgu
zE>9xPAH51)B{913{J<kWoelbz)eO>wI)l_SgZmoaS+OA<<B+a(7hU4a3^e7Hf6!0v
zcx@w0T;3{6iz_fF$&3;0hTiL37uovdsWUX{*NTbO6WnoCwJD`W4QO{2LDmJueJeGV
zolBh%9$9!8*k?MsB(@iiG5Df!pNr$)a>&hK-;qGD&%lr$=G56-`j;UnL$oASvHqLr
zouM&(3U{U#+JuiVg$R@`D$VgV8$kOoNIb{?0oWc}B@>6~`>?MU_YiPl7EgGljr`?l
z`5{2mhUcQwTdhOVVM98V>f69Ie4>ynh1F`sw-kYHLs*M3HofM=Lr-jM;-Yl$j2DmM
zQ#$P{obXad@p}H!GL_FH2&69;|C7;~GNbn_<O_y%sKHex``hM1K(e^ET)q3#ZV;$v
z@ellzMr)dcLQTaoJ1W%7Idm7$NfF%=0{<DGp(NHJ-{-91BMa6GtL&Co!O1T;(Ac9*
zQy3N6zC28+lLX{)HZRYWF}D27pyq$hb*0P22q}z$Z-Ss0%eA-{C17Upb=Q(+Hw@=#
zHp8vlBPKAL33KP`wNL+9(lt25Uv&dm=C?}!9Ri3rpPR(5YpKwGtBFi+o0{(kIOyW<
z58zr50GoIMVAI2%>Qf@7JG`gl$%c^fei@SklQt9q%OcZ)jV!f#K8_;^AgPt+1#kOl
zzD55JD9}iZScZHP>x|l9;rC;cU8%^ABsMwf2hf`f(;lDlOHuOfJpi(lB}~uxssA?W
z*Nnpi=(zbPL*=o0J?q*OBW4~5dk0wZ!=WKsS$fx!++xg|{YQ%ivuyC{c8EVKWeOP6
zfi)u8f!U@gbHG%Mwwo?xm7pXY^GI;7w8>S0L?SI*vb`dlB`G9SFBKD*oRhydkuuN{
z9*~e}iG^Bi9QZMxSf~G;54+y&Xpa;~QO&TE(@wvaG%-5|_Ag~(isgLMTI^mWISW??
zWXT?o9C3vJ5cG+Y?9rl|Y<KZ+W|-6f*>LekIKu7k_nZ?530Gu6f=yop78^jBixY?7
z)EMP~#}a$7e)s)>6*rpFGrJl#Zbff&)0hj4wrSQ%W>?q|<jM%BJ=$v=ZXjCxL>3tq
z1{4S6qG5&%NhyTX41erL9FAJSOcDd&mD0)cwdhR5li7><)%gX}W(COC{Es`@wKLWI
zR3kRPAKK>V1<W<wplNoERv#f48G=^GGlnk<8AFZ!u!iwsQC;jE$#M@X>Sg0_cV9Cp
zcHp0TQ5;m9D-<Q78v?502doEx3bWM;l1K1`;pzjF;0s9Wz+FNgTQvM5teu-z<l*)}
zru~yNzr51_qI2>VONFw$D=Cwx=l>}OiH{7etAP!m!LZP+*QL6jQ;q|65q&AM8kR84
znKb6eCfx@(OYZ@X;E`AYSGd_a>+%bmG>$3}2oE$Oz5p(_y|B>f49|u7LdU7jmDwZE
z$MV^!)Qq&};HN{Y`A<(697b#?OMJwY_)BJ5WI(J(4ARpv2T7SovlzX$okVKyfTi6A
zO-lti#o^Prcg~D*T}+KgO8Y&*(l$Era&SA)36;6o+#nVE?t#t58hA!4Cd<o@R%aNk
zA@R1PMuk(LKJ-PqjEVT-s{1w0;ECTd^vmFAxV1@4*3{7Em@=;XbR%uC5Nm?A!K`CH
zoi(IkST{k5$RE#C0MS4gA#;~%b;aosBz5fx0q6jho_w8J0J0*t0P!mda=ZIgp-#Y{
zf1oU~J@AAXVMv-ieXQIT1W~GwJwE-@0wQwxH5W55@u2{)Ta|sBIu$ac)?zVljAY{<
z430cvxKgY-5@ww$0F@cdCLkfcJD;b%#Y)R6xhh-}ejh`}?)B_c9Y}XT&`t+QlN!km
z>#B_gz#bknc5}W~?E`A+=0)r@yvSnXoeD=7{U&O!hQQ}1#&=+v|C}@0YpCDjU(L<g
z<#ww6EIXg#MC$NOP=E`5^Ck^kB-h`QfR~y99Rpxqgf|s3x2dP=3Wft9Aw8ZzGo0h3
z;3@&ViL9$wf1GwOzV3p#zd_S5hf7vz{6PT6oYJh~>|FC3nF)fYpa4A}^Z}ugul%zK
zIZ7Y^tKv8O=YJ7C|AypK;dCUrhYL2FCw(DP420~sL|zjKeIm+fm8a|_&JSX71COcI
zCx307KG#uQv(`tpC=kA{D8}KI^DKj?BGAGup~%nTe8LadrEMKyis63XbSD@9p-lDj
zf>Z11x_GiPO!$^)QzEIdbKmOlGk*d?9b^ioE(>SfxzEGisBdKTb!|n9Tmc6KSZ6ss
z$3WN+hJpwJU!?W#@&}n4goC}&$jFypmb9n$+2Gmi6|N#)m|(r3ief7_#%54-6Fk!L
zuIDQp{uL%^3&)Qn*MO^^)dMp(wfE)?!XA@V{gaH*qfJ1nSScRH?Cq(CCfd)+PSMSk
zJBh7aiT$o(jc=F&V;nH6na_@E!yxf(ukDDtsSNJzJ?phGw5rrQGR0?nMt1D#EBRoz
z1anxU9^Ft*R{;6+?4XwR8{Htsa<vK#&_NDC?sqTUu$y`2MX$%@i`4>!Lxe0S4mqdu
zPcgJnFbVG;wm|nu@<wX1g(_vVU=h&g&dm200>zWqk<93b8<Ptp4h%FT-p!4&Zzn@-
z{^iHQvpXJh%F28XlN_2}pi5Va>gsF~gXWPSP9;f_R;Hgiq7jdqZQN6Cr7o+_k4A6D
z$fgB#MMdAtgq+{_7kmYKI#WI#iO<oGqy2YJ0L|`vG%sEKBeQ2-HfE+SuGq;A%f5--
zlvB9*uQ(FvcS*f+34e0XITUp5)<dd6RBMO%zwF%cPgb)SL9O<+oq?|w5XhopK%OV-
zCDUxeCF|wADW|vf$;;e!Qe&JRuj0N!n5!rSGNHOWs*c-P9k6uYl5;PL4Gxk(Gou8-
zXDowN<%?#43cX!~ns?h;QU4rURDG8EK7DvJ;hD>03#|xLs?XVM%YD2d7sTLGpQC)|
z=F7!W+?xoS22t_rMIKMwcvaB?U0P*JIe-ccnJzz2^7LzL47A`c7=fgRy3oVQYem&0
z`|qnspU<WGnC6XvwQ?8V0hvzKea3nRq_f3Ch7EvC#z*x8eG@fnoxUnGbAdXA*t$p*
zVt=gbQ2&&1l>Z2>><?1|EAJ6bty#`^ubiENqV!Z_R!UO0Sn@OK=~j5!fY7z~gGyJn
zg*xeWvv=o~`s>a}XWH}eJaJ%^ECnGRmP>$bYvesTif`eA<Tpjw0in4#Z-wpWIaGAL
zkh`D7jkT+bY@85<E{04$4qGZdil1#3dU~XqSVGf^t0*#obNLy6LlNZrk)+~D;qF(M
z>E^we)w38>nm#oba&^MM&``HmZr74(E>G`+*aF)TRPrg=HkFz_FEa&fT@j>oDB=a8
zPXQdD*C)wE{B498FeeP^sW)N+uJTHb*rQ&JFj<t)2UMV#;*RszmfOy0sfmENH%7*7
z3rGyec6Ve$lI>Cb+U9=1c#dswJKOtjtyUKhyKO@-5Dj;CxQ6A&3WmQ9{+O#{{C+Cg
z0r$gLzzr-=i+si9$jg17F{O-VsHCUy_UK)lgrX*VOc<=*=!q6BQmP~+;lC$Y$~clg
zgU!kf#Jap90kHoB&$nCi`#?m0mQobkqQHxNc?OG$;19tZ`VSMKt=%h=RRndStGK5A
zA4E#u7@#>ZeiR5AZmrftZP_=^gn&UbNMVAXXDi4q-t?yGi=4fR^D;Cy4*4cId}j|I
z3%N4re_AN96AI1~428d^t1ck=Bo3|=UWmV?biwuT^&Lk8&u6&3RS;9965@_LDxvE9
zY#P0b0MDNFX6ox(ZgQvPk<BpZvD1=rp3=iQ>k>kzbHJH}a892W@-B+nV9*>PC1mH7
zak37X6N4Dt93R3)j~J^uIPr7u27MkBy31W<Wi*Wm6Vaj0M~&`wGJ)<I(0-24kDU#4
z-2pf6UZ~_1!@@#1MIrp}ZgJ}R(f0#;y&-1Ojvo8lK(G~O!8t;xx<Jo=q$P|xD?rQr
z1QE6cUBhaw>n{i4IPMV4E<YeCpd){FA0GXAyaS4(zWO4bZYC6dr<FFRZ%2^(=_x~V
z360kPO_*AQUOCHMsE~u5^;5eS%Y!tGJCR-C{-EQRN@=}g6v9e=lP*!^8dMN_{+~Vg
zsQ_B>Nx{?elnTpFNIhyYB(S+i(jnZ_C<AmDHUoPGgav~5QkYJ%<|+KF%4-99^ZHMY
zR{XHMs$GtW$*U`EUl}imh9YOj(+yPLLAANbdqS<P9bHe3MKlreMS7|2m2mOMNnEI|
z3O`6@AXTgyMX0ZXFR;b;;kYhkWkmV?0mO@k&e;5=q9tG^q_gCQF;?sX#>jUu-772_
z1BJ3uvegHM;LruOJWC09DDz)8LulO4^HxUq37WMyH1v2Sky-Df9=UF<8g6z?9qBC<
z@D5XT%9X<c;`GqU%VlB>94m}$xMGTZvLLolk(P^L3ruY;b%H&b5#8&?l*oFZ^+;sz
z4^!x&T~5&K0BmwML-7ltP2nrvuGu-n^st0ul>80Mg=+!mANt7TE7#P+hWFRdbyzFj
zTIFGfYTsY;Mms4;c&MLP$kfgqccVX>A~f`;7)flrNTJ1n%+jQjqHpY-=ioh#i}a7>
z<%<SW%3XPoBKo8lqx>L?cO(Y8YMNTK9#nVE`haC(9nh_P?A?7-Cgw#d$K-;bN;}4l
zqs{+1Z|u=TYjIjt!tw9@bSis>9i`-}?k`cyi<DvYGf~O~_zZM@C0ul&x{^`DO@mct
z308B$Vu$TbVW8`szA7i?xQl2ucJ)4X$$QQtn_P=+_{8k?ql*vy9+qZs%i-D%q2hll
zQuAPtoI@d|r^H7z3y3p<AV0yyM;pY71V2a9d_f$6%kClCwgwsi3Ao|#so%9-uq(As
z2W(DultAI$=BL2`izO0XXqgLnx_OeV$s#&FTY^ZB#=+8Q|FtZ+Es?LZZq~L}Q_y`d
zA6|t6{D*F)UL=e_77R|<OL-A9&GT+2*)=)ctc!r$$iX;5myCj$`EJoOi|)`kYiOp)
zcG`};^HRhIJxaSv-LS76+&M%|F|hWP$h4;#6!YwGTJe(5MD!U1n$x8w2D14B{M5p~
z*j=J<I!Lz2pbuaBqh;0o1(qCCmOIjWn|(Gx%H6Q+pGS=hB`v0;xaRCeukI0-%vspk
z%J6;h4$JoA%?gg$1-*pGJZbDq{l0l<08mvX&o?{%69ZJZ$s_w0)#ntQ{Z|WqCo33u
zo31QR;xL^gf)Y$Qk}#<NHhdvu3dybsw4RQ1iTun-FFjpKNe83v(gz3m&eQasY)roj
z_4iAmXy+f5(+8?^4T}~Na0Akf)RrU*adb&s_GHM`9S}Y?)&clm6*TcSPt-kAj|+g)
zCl{Enr@&%Fb@#}vfrg-Y)&yS@^s(RvSM=SriQU6J<omG~f|z!qoO%E7U{G9jLgcZh
z?keM(-cZR=Ir#acD+NdaQJ{7AR=bHk=JVBL54J!)y}L2v`8bNZ9i2~PdHT+}Voler
z)O@8mJZybn7VZnsdfgU+*H=Y<x;_Is4O}(rfBT?mL9uY!Ikuut9Cw!!*%4j=A9kuK
z8c6m;l<4bBwNas_jKC-Xcu5ah7lS8oB7MJ#Pk2hpf<De37iBP5<~8>dW`-`B<-~~X
z#uqEJ63!6CY=n1*;kPNB9H|4+pBVK_DYTosS2$NGautdI?V17RD)qBPA4cG*p$cFx
zWB|OpA$N7}(Gm=~wtTM!;@}f>N}fYi=+H?1H(kw3kA;%KmIvwsyeYvLRL=i2b0n9v
z+G;@w?~b^~gI}(7x27&vlU?LKY3o>%A!I8+4K{x*^315Kjtcqr{Oil-_ownC;l=}>
z$HZa@^Mz;4+q5;iUZ#?UX&l+Ph4Jp10gBZVkJNO2E#no1g`v17w8G04B5Cr#N}@VO
zjjw)|l+z^+qoXm5FE6bnzmNpa2<3sZ?PVptkabX{G63D{q!Nbt$s$KN4c(D`VP;PY
zi$*gqx3*G#0UzsoKU(R3K@H9LPb`QSjXKKpPkms#T#z&hU~iYgHdTwZ>y)og<OsTx
z6=QaJlg(S2`utN9RR6G}C0PVraS+L)<6Jg>k1Y6Zzx7mzQBd^Lf4eJaOnb!fYI6bY
zgd8m#x$Xq1O8FJWO~KWY-p>of&aI6t?0aYJqEICI{_B$F<Rv552_KcceH85O9wxmD
z>K0|g*w%q?|L;QIGjWZV7or5JVGuxlzqgqK#$7cPAQ332L`G{2=^y4;Vt}zOf{V4U
zmR2xwYkmzZ0Cd|>sY?Pj`z|epi<mkI>eko?dv{Hncf6FeYc-3!*F=^Qa5w{QXz*e1
z@hsfNrNy!*0j}S<Yqe4l*WJc@zd>bV(tn?k0mqr5Y+)<UwR3chnYK8~a~*qbD9+YG
z)i~C1dXb@QBDX>7X()<p9|>H@)S+A_M=@a$5Fv<<>lIddYtN)hdJOPfzIim^h<AnO
zcj|GOBxT6#2Q<yxFiZ7)ySLckD273X+{E@<%_re6%|xu*ah<IZwyt%0Z+6)Ccpg)%
z^C5}mR5IUnlKczkyG5t0^b5C~d6>%pZO>B}(G7+j+p+0qDCqQ<4dSnDxdcwPGyYyo
z#Saz<-Mi~EvgEuO+#@Tqp*x)P1$jc{StB9CHQ^{R^UNm~vsp$f$-Dhey!_Tlxj8!%
zTMrF)Czv9(PVYRRYxckXQr3d>Q|b>J8}D*XbN&ehFSs*?EHmO2nM1;?v#6S_kmK!T
z9K*Os7}z8{1@*k@`rXH182re4F>eOod5ZVu4JWCDxvO7gIn?xJMlxxhEt1<47>>#D
z`%2#ACGeXiWXFz?<r~Ar*+IZj$1<cqy8cmfgDL10t8q6_lR??B%$MSqeZiM(^^adu
zuB8pe$t#E<aOFt;9<XJuH_sH16*A<!Vgx<uRJ{w0_5N2W)xKbNh&pFk<fFhTL(O60
z(3p#wqC@B+r?Lz*m$y~xYE`><rqXL<q*c{u?*`+K6S(1j`n?(FaL9px(8?F;fg=M-
zVf0;R<g`nk5Q;F}H6tm+>lN6dYC7XJ2)0(F>NsJEad-noDG|g(UkF$>E(KrPas4Or
z-&QrZ{;LeWQ80i<L-?y`H#FX5(*Reo%`f~TIq*d>*t@-iyECuj2MI+1{wm&hSqFCz
zzLc*cn-o``$pe!OC=PoXm8GdfGWH)5`M9P0U-UaI9mp{5ZcgH@6*uPXB=ayr+-bfx
zHn*Jim`IaX$`m7DFA4aSW*nAQ%B3MoOg3>nkw=)^^yR@L6iK7a4K3{cpLt7{E={Ld
z`Li!smIWRED@%P%@<Al>T(wVf#78F~HKlwk8~XyOQWE=GQ_J8lRcCU3+h7&ejxh8~
zW|d>X6ZK6yX>N1Epq}|)ZavU^D{ilaj1H9gyElcNKvmxMDEr7I$!ne)F8rSCHy;T)
zVY+GaEf!q!vhOd~`I^G5gsNOUHoXym#knXIzJPg3baF;ZfUT(^{$Sj<=jSr8-18$F
za^K|fDcC*GrW2qOiz*Mw^L~*>j7nr~3}c!*@w3;aToJU0j5Z$GOGdy~32NCV_VB9u
zVK@s!iC8iJ6pM{OqwsZlT9?~>zxacLj@Z}FDE`0oyFs65aPat<R*7#!ta?9PLm*dc
zZ)^1k`}pJZdVHWKV#2^Nh+Mf#_j%L?uN}^GlhY?#Ph2ljj9e+MMAtsMG6xXd)Q?L;
z4g4&ZczrMue?%J+oAhSkL6c)UdRHpSDvgY8$I)mH+@N4N$xrH*UWz$zXYxO`<#*|%
znPd~0+oKPR)P5R&bx)(TO>ay(NqWwhI1BwR3DMhYwU&j(AfK*~2c&&0b|OMw@%>V!
zJ;wTz;4Dhs$GVJ6Z&e`MhiG0XoIhJs5mp>+$tgc7#&0u_&1TP=C;wJ5u^J$X)n_cl
zF6q?c%tC(Nz}7QI{ws<$i|04liP;3-#wGF~@=joM+_Z0x!cllgWVW3Pp4=gUjH`Gs
z?W(=U+k6aZ`w-Td2ZW^QTv9K=!nY*2#SpzoSR6{d*~vfb_(r;IP**Wg?X>Llrs$Nx
z7BG~3KMlGGEj}!$z=|z7*>ub@3Wl7Q5MMLG7bbTD8%FW}AAP|H3Q|25DP3z{_C;cj
zG0!becY5<`Ih+zIBVg-wjT*mZkKaAQr0F3M!MVgA<oC`<(pMO8H(3CSU&oV12{10u
zYC8=5aDVV?!C-19W4yY`#fD@U^v=3=ydXH{=f)`IdIG#{d~lrq2`St*<Z;b_lewXc
z7@v(ejQYJVd@iz*BAei5SHSZ2Kvc|1mUYNq;5h{L^CyZ4r2y6W;UU^%-TWg#qkgOU
zW>s-8H@U36!|Wi)u}7zoJoF@PbiFnPRIC#|s<6oeA(`T1SZOAC$>wLX&g>+#nKia{
zAsIO0Yi=is7AI6lC^vw`hsc5R*`G(NsDlZHcpuqlkjppf8PIO|RTrLDC?C+yuDEwo
zhm=oE;VzUYyBsK`Xb;p&N^ZkxDljy4^^X3)rts1K*w1-iafF6z3#WX8&UD`1rZt8g
z7!n&TydQ`$3a`6q^5bfUt9{QNyIEd+Mje4s@>R^1-hdw(72#-%Jw}udREe|EpqUbY
zmmXOA7gd{kf+CHG`HKsXK(T|gUm}B@Co@8saw=8fF_4XeJJYpvy8j-mv-wKaSW!YY
zDzBo*_Nsjka=ihbUiRUqH~29qSeaYn@xAo>+uO5fD9|mi0_9E!q|xZ%0AD>511Ft~
zHV0I4$;a2CPv6bpk5Z|SK{%wxop$7s$j8^C__iIS8feQb4&8FpuiJrvlOVNc1)(=Y
z^^r_f^_RH&nE!FEPAp{`JKKz$Dt#43XpTN*aPF{f9a<x#edC)09*4?Hq9CA=FRtpE
zl!y*;L)AzF#<}%lRYoO!upy-=C_$<Se0&nOz`SZr@NDD0P#3Hc;3%iH=f7Q-XZ%v8
z^po=LxM<dTu&{uYQeg8?a`O706u9U%BJq${2ud>~EYIA~FsZAQm~;Mi)Yf9EQd0B6
zRq4DBAmlBCK&?(rEUGl~?pJt<KOJGV))=A+v<6uYN<(V%$uRFKxv?yPP@zb|h*$+N
zEpfo#KulBy1-L(Wq7Ceb!@b5Qq$SWw26<I6JuF3^`t0l_!-)18MmQ*Ibu04po#UZP
z`8y(#KtzzhgG_0{l^TU=O!$n^O60+5T9;Zrsr0+$-|;(w??ZvQ9SBU1*E%SGjjLr*
z%j9NeM@bPH&&?Z&wd<~He<I}dZrr^6q2HWU{AYNWWR-f*9Fcz%*Qm`4OL17~FS-r7
zW6hh)D08JG0p-NH4Ls2?0jNIz)%K0luH-85P_cxKjZx6=r9a)Jx*}hXB`yw|Pf@nP
z>PgNG<p^V$jBJygWcAlkF>-;)&|OFfS^ClkEg@;Q%jH6Bl$ogx^CmNA?Qq=BSH}iS
zpLtUg@h5rLq!L_5?6j9v^Ss9L#C#=@8QeT+$9(e)7v)u`vlv~u-6eu7K<{QTCwj;N
z?<Qy+|HEPfW0Y6yB`oJxk)J_mFL27gsCqt~0=mW?yO2X88$~b#O&}&8qi6mH@c^<7
z0Q;!`GljUe{*@uqGFNZ6w;iEpYE60A-Re`4e|MdQga#6O%TQJYbMJw(dkc6+GQhwk
zp*;7%QrlP7)TY!`kgfkm47%BZ_|Umok#J%HV>(BhkjP1JuY9SbFg>h2aRd6c7t6-0
zh+YguSt3H1?)}bHe-Lmz7Eed7Y5N*zzBI8SDsCSM*k&s}ypGfLRUE?Y>_s|FlcP*=
zlF1$ZmA=~%B7ZG8$ML-rR@V;2B2yqLqjaw`v=oW#Ey`#b$817Jz~?PCj_pM!$(1qw
zQ%!|0;>!QHe&ynWLhW(N=dO~+M~gFp(+{c}La7A(a9Pz#m}=21_$B@2O$B~vd@EIN
zKZ=_>ld1rwubF~k=NZKO!SW>r%)S!$Q(W;SRW7cE8yzUEVU~cU@#9yt_9LeJS+N#S
zV<~IBbeG82lL<dQ0(=t{Usxmq^xn?4wUlEQz*B15d>q@ln1nAhiLF|7PQlnm|IPEc
zQq#}EmXE`O8Y{ekv+9rJ^yB)NxhUwIuTKziMXRnxIGVKLZC!RiF^wSI5Y1>K%MzR&
z(wtXeZ+yc|d2)!fIs^uhIl35hc0h^~Nmd`a$WV-__6{&NvkROdV5mn@DK(E_MC#@w
zz_u&6>T6mMfzB0D89gU|M}*U|;haLVV6h@bw<#quvvxwKr-fXU0J`#ci1159A@YUp
zHI08AR)n&ELZm?Ork547rnwi~+MOcTMzizh6E~KJN*Vplg5O5GfdteC-V5p(6tku4
z=Ze@vy+Pft$bn+;2AF{BShCIsJAhaLAPK|5?h~<eq^`d{V5A>Vk$GqG?aIQ7M-1LB
z`(#&ie`pcjYBu99Y1Dvs27q6>u;BVa8rAJrTrYPlfuXcx2yn;GAow0^lx-nU$O&}f
zDH)Hqo0l#rv9Kb3p*$8udWOyYU03Jkfz5H9g%+L^h7vgMv4ZE^h<aJWytnrrfwJ?l
z<V6W6>T8u4#>SujPx?2}V;=DaWa@OOxrt%U^GPH*I}p{-0mP|vIKSJXyR}&{Fe@KJ
z3J6rqUrR*rDil|Bgt<?|@|TG>SNxwUiQ`lc%U!V|jOveB?U1zc)Fy&7tuMA9g%&RD
z_pXWm{+(?};RRL}5?t^mYp5wGUOvvjQPNLD^5=0%Rw(qQYdXV|4l`)(Ftrw#O}}pQ
zGd|SyWd>84q0o=c<j}_1$Y>~<Ur#Uy8i$T|u#nxHy}@~24*Wku-nbH8+cSEn`&FDt
zE$i5963)FQb-<P#c}tBM?Y9lc?Sb16;k{)!<{2&u8U>K$(<(=641Fk<;L!-t2QpM-
zQ&;q5{&FON6<{`l1C}gS>))(=#I3Ee_E@(mOm6Q=?aqN!(+veWKy)5D=3JDKP;c|!
zj?KfO=s_b-K%`BC_>Pd|E3lmyv8L20+b^97O@h0#iSmkcl+cV=jT?2KF~I&Vm9}B!
z-{}3m=BJ$e=)q^RAUH%)?@}Twl2i5*;fs?;H4(Y5fGaDsUg%gDW5M1%1k1MpSl0SA
z!IM9w!hN!d_M|Bd_|7WZ3S)=DdJ9C8u)pMQs1W4PNMc?XA!Y~I{-s*=6$)2TsjKq`
zxl%IuGmyTJWeAN~unVnzIJDM^XA(VH+;ei2jk&~J_kJy=oXe^!0-b&M+n2&RoJeA%
zGGD0BIp=+Mh83ujBJ)kwB&Y8@oKDcRV1?)h!6wqa#44km3YyUA>8FFPFcNc6+A17F
z%2dj2CKUSfOg)h#38h;`ufq=#GDK&JY!yzjT{!I!dEJ>$1znMa5>!g7Y2ZhOtmcX(
z0LVa%7{!6*ZjICa17z9lKTriOiHE{g+o7@^Q;Fz%48jk6>ckfXhL;s$HB18SCL!xv
z$v2u#T$3G1BUBn0oBe3#g{8}K!R3Z#?W0_Qx$X@`mD(ZonwFAebrb8K&HECvzH5>0
z<!G#Zh{7a)Ifvq+uXjTZ5z$Gzk~MQsznYa6Icnvl%-#0PBI2d<XOGp0y9b9uP6ao&
z7$^Wu_hv}rF(JU(rp$^$<y7!iR{%AVx9-};wh2xmWIgK18R=#i+?Eh*<10f%7pH5^
zAJhbTVhtv(Pa_g0Uz|!}p^vpjEa@Wl%-ZB%^M#`2i1e$P?sS?OEZy-!7S`;=4q9u*
zR@B}1rEvN1U#KVWp{)#XX6?nEk}vDDkR#4F!T_VQ2o=kMYAQ+@2fW!vEF)$UNiQLL
zK=U6+7SZ~Qfw5dn!;XBYKw6Y}M-wmV(A#`LFK?Lj`rzqG4IFb#?YVi=6308^4PT4i
z<pp-rrt3T-Rxlrdj@gUPDFY5ZhtfzwIj&fvdvZq6=S2PY3Y*~RqLsEq|LJ<_S6Dnw
zJfq554HsnsQowv4A>?y&V}j$pIm>glsOrq3KljgAZ!{3<H5SCY0)AB7AL-|c{BLbq
zO=Kj0x;MY)df2y5VMGG2iWFeIK$R-WDL08!!Iqyj*Vb{f73+HFW&zROrU(rD2C~F)
zquZpT+A{eaJjZLVF5{2r+u5k?)b}`D>8An!Jb^+7krhu_jxXpDM@u{AS%O-+j}DYA
z6m2~1o2P9=(H1X1sI-A!N9Sb%5J_d@8bB880aXe@WZ%IoQXdFs0YwpI+m;3<O;86D
zFh_13Jd)$kx%G;hb5+{1yZ$hK5RevbWyY4jB`r6nU)lBC`b!ItsP8I6_@gx0ycXOG
z2=CvBbNth~-5O|8CN<<O+j>=RP4I_giA)}DF<rd6KO>~oSLNK9h0-^#-YBhS?t5~h
zDd+o7MSWmCP16GZJ~O`9$Keq~NNO@b9Im!~=KOBXO22gsBq5&G2g(-`mWA=kTZ*VL
zDPszJEPR{;regY@&U#t|D(r{RU~uFOMFNN(7&4Z|vDm0ZiKl?Ff8LvJyq<`~0ioqp
zLZ?44rAHY>Er+kQdxD#R*>TlX>^wUTG19Y^0kmj0e5%$0x~p9^6R~QjpD5N9uEfcZ
zxKP7<&`fGpzftms+3q|nR>(bkE<xZ!&pQH3_#6HqBq4G3V}B(L06>k^u{4QgMDg*O
z3Ah<*srnS|1&5Nk=*OW<(zZU9ivs7uVij^--TK)U1dAI~&2oi8Y>j6C<VmPgliINX
zL1wx!yei++G3ydN2>hW21*m>2KRTd(^)22cyO~=6a<DVV2(@B)Xm5Crq7G+FTmP>>
zDGARR;<fM1f3?1Xy5Tm=CGLb%U`f8yIzwDFu^|DNsCNMXJ>urEO7j=mz#Z!Y>X!%U
z2B0dNJJ!~?tqq;QEI3|4dGnTCPsOD+{$y<hYKKj2(MIMvb+4jSy_V^EpLLXZ*dkmI
zoK_Hc%W8dfDRund&l7x#EIS=Dzqk~z1NkG#-qL5!Oh-8{kkA7gax*0T+#u@d<W70-
zR0yw(CUP1^>5)r~DmBr_SML4^6fN`B2LE(U<OKTtLKnKv7#zRA<aNOS@vDGwV9ma~
zeVzW(QcjSDNdV1GHjWR8DaK2Dinod9%fg@c{p*0Q&DU+}^$wX`4QmZcbBb@NEGHY0
zyTiXCNm~FsQ!Z#4*Ei;td+5!!J&uz?JHx`<C<Gm4ZjA5DmJ@!4p2X3gsMgq^@<-5-
zAreV%#cOvlR(R9a=23VDdo%=JAMEvu-A^~3727nW{{0hS_cX6kLw~B1Yd?l41T;ei
z$F5E+*rwu!nF!R1k4Vyh_t*~LZ|0-U7l+lstB=Yu9u)35CjDqsAbM4M&l%?BGT<wt
zb&Sk#M#Bgsq~?2>Wb`jt3L%W<f^FA@XsS-U9zP}#^#iH&K~fA9EduQ~djLmMJK0Ca
zh5^YnaxR|X7FGi$3I0>LCjWdlc=n^H8>u<@bb5g3!J>dPEn@?iM5HnM$$?`-jaM8&
z=2Fhahu#fwSvoY`XlzB!!Duhex~hOZ38Uqz*p_SlSvSWkDPKMD$x;9BW#_w}{S4DV
zv(W!DGz$Ywq<*5YhG*XmPN^l)z)O~`3;^Vkd}}#{90BJ)S;@^@@n>c4PhTxDQlG?@
zgl|b4qVJt1${7Y62mawIFzb2rVNe*DI#ywq>%`P^Y$VF5w=sA}!+?4!HESl_^`7Mk
z3yL!D_C)NNJ^gkK)u<o#jk*SJGq$M10SHzS*5f-tm?ApgtUxMpI6_||Q6^kN0y@}P
zlfp*c9JcR<i_M2|2{XiI2{eq{nMe@&F!>Q(#mGg~-LY{@U{dsmwP|8W=ae~M=Wf~+
z8_|QXB0fN#1C`Z7#PNEd<0pMcrCAFB9ME0r&Z&DYCW5U8J2T$jc+?}`gWSQX;KBH&
zNR8(-`;Df!QKv+3^gM=fnKP;QF9vD=kJBvQ_<>KgFw_RmZqS^_j<MWG8_!Ta=_>O&
z(kpa_p@b4_b-*Ja);qYb<E2ADLDee?8iNDF*esi}6xY9K1S3;;(DrL`y9*Sn{B<(C
zIPXBl#5C{}O!)*QDJ7s~Zpe3X#=ml}<z%dKmGMz$c5DAVn<QH?ZYcR@--su-lZI_3
z)9mW6lmRT0&-OcA4|ltBNi^xlNoG&Ad{H;_#@=3mdSKqWj?mC;PN_vv2yq#s*-qP+
z0>W625IL!^r%?5U36-JhJKKKl3=#mjA>X44&w+lm6||1D@y>zH_9!sC_xGwmvZ~WM
zz4e16ge?x%b!ItGUJ87e0Y03=C6z1ysvCG&f>X;7a@F8)g=bMK$GLsUCgK8ov=zVO
zqqcJ*8}*eGIQN+bRpS<3sA+zCBwLIvR%)G|5`TXW*jn9;s`2;T(XgAxna+13Xc3$t
ze%DGxyfT9`7^17=qRY;Q$&>0{@7<@he)wWkGdXRhq1<tU%@JP$p4&#;bt&Q551;%!
zGwrgCC;jO*u)}NOi=ZNaTDojb=rUqjV3XtsJyrQ;v7^~t!Gmlwc{1Svn341a0xT1}
zGNh+YmeX#nBF46QcIQ~Xa||2d#TKu8m3U%XeH;&H?eU=EDWY2OKGej)3{7(>e`)>=
z9WzpI=3Vfl8$b~0x<ZtD1#v1QO9Qb9khm)0tpYGFTxSDR)x}5wjk$E{-BN7Vj=j9B
z<>nAw#N5Sh5l#6;qmkm?XvO9NzzzG>?#J2llZesR%J5~KmHVo~g9K;Ry|NPg%UQ$%
z1dg58{FfKy$)+y?gT5pxk|eHJ7l{9KdvP^0W(5GCbXBT^r&y|7*OFVB-?Eazz%{`6
zk#j$E8`u|D^`dq5_6|CceZX+OMG)RBmMK!^)ra_Sv;HUD#<4RUR^g6$G(voftMXG=
zOe&&NZmI%p*%#~)GC^|l6}}~ZTaElE1COMtsc8IOeJGpL8n2|^yJ7^7iF0$7W)9@e
zs5Txh(v)mofA#-EO;4rB)$$KWdg~V^6u(J%A1o0Ca5%BJsA@Aniba`bV=q^wfAbNd
zaXEF?fPr6*+^59kTRu1~eLMc1N6k;)6ft`L<lkOgiC}1rLve8n((3}d0(UxGXh=Hh
zFK>E}3Q-JYJ@TV{jk^E&i@%6x)_&l|7DjWbQ@DRlai@-IPHm2jK~tkXmK7*%PcL^L
zsnRV(xXO4NIm3<@oAuuRSPv2^^quT_sJPvbYRsPixGL=)44*`<!R&G*vB4}e6ko3V
z`zdW5s@$wv+Sfg+#@ZdlPz?hkq=P6+EeCA8%57BKDGDrzlwVKoj~euu9gitdRj&{j
zTXl89fXoo^yXwu%_ofm%EkF)KP>TD?w8jvCU$AXEeG4@OoOTH=;stNpA3HiCOD1sJ
z|7M8b$cywk)Z%~N(vTC!|B-|~Y8`b??Ap@#o7)dXszsaLz)dH`%^+!js~Y*c^eyD3
zo&>cHoZxO}(P@nWeiNOgMwhddKyzx*q@uIv0-AIMjt66L({sEzI9582_^KNTFwN5I
zE3j(mj~O$CXcpP&BQwLi!D(>W2<Zn+gTY_UDo3iv$pSK+$X!nk_g~<i#|-mh*NWcd
zjt*yAWZ*!W^Y=BPInsKGFyOp{Vn1ff4J*3Ln<$$5%gYU3S<=TV3-=Xbt<171TJMvS
zNJJ}kB(p*Qm<WWi48amSR&uR3a{+XC$m3{&<ay5S`F@LLQ@%<kL@Pj*5li1vf51*b
zVt^g8_EC9@#cykN<^EXfbTs*tl6=9HNm$&44n-0<idwRQOYzkEc663SEChx0kBTU7
zYA*p&r14;n?H>p~|NrNtxzzCeTB|G~Al^UpLzYBqcvfkA@yln1+^+Y7eYJ;8t<`9R
z`XvW(m&8B^zX@bt>wb#?4DHj23;gI50=ik|4j-&!+#DcUJKcu^qgwaBduUg-CFP5Q
zqrft#R7&wsasRYYLq!LC@ehp{TICaw`I8BAA9nefDIYEuD}3mW2PEf6r*84?F*DQj
za8&*v+sa`YF}|KHMxooYwtP4upz;XSbBa#{?Ne|!ndcbnkO8<q+6+zr52AHt;NOQw
z4`56n(qSpZ1LWOHI(vkq!xL1rQhvsUM&2;+T5v(r;cyf4;PzGjup!eHn!X}tBcr;O
zYVJ$!Ts7Mq=rGNJ`cM72qZ0CWivCT~ir$oefB$&1w>6V+uUr+X!Y;s0tgOVre&A~V
zU`ZDv_23GGy5dj4)b+`paH+Dkz0zA_5t0Vw@xp0~CKDLK>I-$v4l4Lp1?=Ok9@dSh
z?fWNHb3bSdSK1v%B4*VNZ?%Nr`n%YjUi1L*#0<u_fmFce^I6pWyDnpk9e1Sl(D{uN
z-eQl)anqV|i-c3z6^N#9Jbd%@DG1icMfKk977og|lS@rf3W1|f8-l$$MlfQ5WAGUI
zq124L53KoZL+c?;X(`fZE)%!!`blv~?3eE`Z&%4EKuGX7*DX2BkM6PPddV)n!3a?k
zO50H)#lCl}q9)OaB%pgQjDb#k-`L2chd>)8|NEf9Gs^C~p2#?^qj{@9)$XuS;zW&H
zY{w)o;sp<CVR)!@bXrj1__0*fE5SODn&+2cx_NVHWrK^>YA8+3gZbABvimFw@wQzx
zpKe!YvG@hrUtn!G5|UY{Wm7z&YA`y6@ApBQGfw@a`-o}kdPGoyw;DBK+ZH}zaaWJ}
z-eiABuk!_#ur=?5d%^)a^hvel?=qK`#4={}H((lZIE<dll?l-_V%M-z9om20$?Ij&
z`l}u$Zv$dT4@2u`?LQ-&nt+2aJENZBS`5uLCR1=!6L>8@G{|X+WK<`#_0f{@OjDPi
z7+JxRL#P;(m<F(e$GtV^NGRZ~N@<7y-|@xcxS@`n$~$^)&SxX8CwG4(la;8*;%G!D
z$?u5H7eQ?<!YhK$&CHLovl(w-0yZaaaY=u`*|BQgK=tkcU#N_{kyHelt4%v-J>gT6
zd<t)^@RG)32A5m+Q^sH1Ub*|Y%^O54+w3T&<(1>NW&uRZBNE72N5bIo1I!382rscD
zoGy-y{llpzz(CGani#@QJ7sr^L<)u3GI`kgHGz=Al2rawe|t2zqvILjEuPKMlKbk4
zL6u0B2or?@v|Yx2=X|yejWG9(A<Wao{c|IQ5oFTo`De)rk-)kcjZw{6%DU%|1B>|n
z7S8d4I}Kh_?<&cQx<FPYk#jOq(qkzC(xvkW#HO0N$Qe3ln=F@p>}aj2A|@)RXUX}j
zhil^|875^?*@Ac@vHV5bkxQjwt_n9N)erlr3O7L&?+W^I0K@PZZKWg1J=}-$i*QFa
z?{D1QKMRonR&bJX)*T1)#&5z`y+aRK1vVF%f;6s=&sZTA{oE$qo#L+{Yg}(7O3R7H
zFK59vtL>Yq)JM`c^`09Wkb9aBWN#I(z3YxCs%M^`2g_U8CgFnN>w!hqbWXv!qpdN_
z)zDsJb4?>c?%Y;KY3!rQ4f7xvgmm}AeeF`@QM&$k(mFx3++A#>f*az8@yz#$@}2hR
z9G;D3^M_O-&PSF2iSK-8xGqHQUXOZ1NR(MO$0sLv;L%P4(Ve&{;oB+&Sijcam-1i7
z`KiRlsr-I%E;1h%!XzfhDZf+Z4Jn`>!{~Y8h-C~s2WW3SDHm%>#eJi~a4L^pvTQGf
zzESa97n+!maYM4_Ra%=cOlnE4K_3%57E*ps697U$y}zCe#Cxv9)Kub&mx%Y%u(Ge2
z@sbXtt$-l#UV9TRt_22lyzLp@WF4D~IfCI4un##r#dP~<(V?~vEF-AmE>SAgFP%;F
z=GzSyLL6$b^;^pM(U8)o!WzX_3`FXOG308r7~JwcSzvStW2rXFEElAiL5RY6`XjJ^
zLO;FP8>ZBJXW04h3zQBmYDfIgzy;x>Jfj8#GL>LR15xM~vE*I@z$b*m=XBtZ(H6M-
ztOC{olaXYh`FA=Hi36fpo7`IN@5gVx8m-lO;ptyk=m7HSPhm5<OTqSBalhO)@YN$Q
zg{qn8*&G?i^AC|kY4rW%@VT)D{VMVoArS@iqgI!ir+yt^Me^SAuLZj-9;-b{Hkjhj
zbtW?<9=RmwwyRs<-5?^=+a7?&G(a*)2`eq|6l&I_DQGJ34PS}uNrk?{Yiuh32G015
z2ILsI5hqtRjL85oWV;6g1{nCXV?0{Kao?l!&uJkV7I3Wq{;KS;BYY#e8pL&TMTr2H
zhRc$Mwu{WQ!_nO)3C>ACrsL~ll7c(P&x_vvBuys)ov{s1XVARA<(`u~X&ci?h}bP|
z<CNb`{bnIUwGBX=Vhi0`$XT3QyB5(iz3rBloDdrZ!1SQn;ZJQvz^<E{e4Je~l<TVU
zleA5*y99Fqj-IXLMn$B&-U!R#Z%qubPV&7X#}Ea+eX&gvTJPv`qI<NXkuy2x%GwhB
z1U??DQ#3V_o-=9T1Uu7+hIi$X1q?o+$E)wBRjYCR?KT(D*mZ)dz{5@XrX#XOOtoKi
z)u@kya91LCQoq7PeacrV#&)|q0U-UG1u?p@#d1H*s$sY**LIwDwG^%6$H=queVd$n
z?NNkGOi%($^yD9yj+#xo4W~LuEoI-qIo9-_<-Y}<>)LG7Y*7&J1hkT840R}K;`59&
zfQ;MlQ-{UALGdfJm|e**f#?$so>6x-E2ZqEfWjL~lsk!W=_p%t5#BD|{gx|?4r;&=
zI1CPgoQ@0O_u|MIJg9mfFTioNreN@1&T1~48amw`b<&e)@tUp}#dBRts2qSIj+f&4
zKFlr^c(ePw5z;-2L&9DjK9U?rH@oTpdW&eX9hgjA9RKtfgHEsx*DvQiwjJ3{l$<P6
z;YC(H(Y{gQoY#!~7z2H3%qDUum?y}2pK<OG-MRo3J*eT8L4}dj)FnZlJJ0npDar!A
zjp|wTzNMMJ_}CQ~X|B~1dG!_=1#t&^d@T<Fi6Cn-UW5K&evaY*5d8|y7(mEx8Wrw~
zGz{SfmRE9yFZ|Ce_go!49ER%M-!>{bd^S{CF_hU1k_1$H*2<TIT^P@<WqJUiwmxq;
z!4o4hAsj%S!2%?x=D?~c4?%EFDXi)#Ya`rp%K(Q09mCU@=a@?hW5e&o;_&9CdR;cx
z*0+k5<Z!gh@y~*GF!KUu66=Bw)5jEuFbZ>)pWaWxpH-%wtW}5_gA093TwT<DIkAoJ
zc6~3Pv6qY)l=GdaGh4|q3=+q00U%68)j%HV+mUhoY{%XrN0>iMBVB3g?$Pd6tcZcv
zF4S?kxMt=>Ur9u4>9u})p2iWZ0CC~C(@TVPI7zsy#7{<lTm?MMtRNpr5+LSV5%9Ws
z^6@KU&hOstI+B$`9^nQdb|0+PE+{X*h-65>%o=auTm-@n;VtWMt><RxMUKMa4o)OW
zm{q;DX8alUufnR3{mw*eHMyn(*VLuIP!}F7?k3Zs!&D_y_7A7=x*WM+Qy2%2u)j?_
z6Az_|>(zq1qBGk4xUq4Dmnue|eWd384fMWJbPCa~eK+IPJk{G8TMM(n)+;=*edwLC
zmS<jeDFsr8{z8<-MK3v9jJ_Wz?boxtiPza-2n>3?WPGe2tTtY{4R)o_os{+?!SZ#_
zS+Wzdi4W^msUPhhsWn27_0>{3!b}SytarJUV^qQWS&_KVE2vnC)@C2^ob{a<8J$F*
z=>%E=`*^4IDbFrme!jWm-D1>kOj>4f@7#o4;yg)`qhw6<U4(*9N-T(pw<%P2db`0)
z2#`5AeR=S-2o5xdhVNRP#|-hy7p254D;pZ^j~{LMZ#ht?N|?u{C!JL&OIIk4JZZZ|
zQo?2RZIE+hTo^$@`zmTCy%}|JPTstnZou=!Wq-oHPfU3QHusWq&s}D9`E|0(StdbH
zA>B{xKX<K)JI^jn_2QB2PvfHMVrM&dg*}Uh5!L3ci!a@0TONM^HsE)E2srb5o|k~X
zqNRKkrh^xw5$La@J&=GYX{Qsm#t6hz)2leJL;kyU?<%TzIz!Oq^0Ks_?WYfeJ#;hz
zKFKJO>Z5u-!^GUQy7gYJkV7#vBk{`!NP<UZ?fhqOqX;R?_+O^Q?7aU4i;-EFI!Jqy
zfJxx-g%pm%5jcjF^p53lm2Y2O{#x!=0b<%XiL)4G`$e*<f7q4yr-WzMgCTFNOqC2%
zN?5JF-ial~GiH4saJG#LUQ=2aWGxE>44|-gWR>&)$lv6yHm79I!m)7!N~#KfD}tVO
z3+k0i62C-ou;B#Mf!C9S)8lg%f3=IXjVGalu#jXC<(5K@c65bGIHUV4nMh8tYUq=&
ze_Sk!Q#}st)$v|+!Ch<R`rslrIZToFr%w<(<;+r{8TU_oKYe$Tb(|dGlF<%`Kxv&Q
z=58tI+ku7oU(#%RysI%|jDGpCLVds^VM^V(9bp4`(a^)cLb7R25S%Rp2l6|WxD3&v
zR9o|oQEZ9!t^{B{q1D<H?kFNjOe`rfvUY~`H)tG@r}4hu|6}xVqa?hcR<myy{0JOl
zIe{C)eSj_uq{o5joqXm7L4nZqD7x3KBqB%k1TCA(gD^qAVHgA?gw;mw)u~xebPU%a
zZKSp$t7%$se$t0H3?F0-XRh7ON&UlvRf=W;;67!kil$Jjg9x}y1^{I-ibuw}HPN4;
z%+Tb1vWMZLGs5~E`#<sN)%Th<Gn_^Xg_dlZ@g-CQBZw9IPFH_Br6$qCqI_WTY@$=p
zKq6(rJ>vH#(8T5G5a3k$%?VVUmN5qfa#dUs3=t*&pli)0DV6E-5-t8)!XkKxK5~;W
zw$qv@!x*%;tc2#2)Gsoy@Tz_dWocvqYyhcOU@)|q+{%?8|LSYEQqjDb<7G(Z`>@Vn
zFP_{5?U~2~^sfS46i6=f7$Kp?9dqI(m)X(n24^5MVD<^zAK!Qf1n*!dYnrN@rhs<5
zZ<i$aK3KC>tqg~cV3pyN-14(3Uo?@`(w4C2bkhTbVppT|H3xFwR6gVX0QG83yoY!&
zRg;3~Lz=#Qtp!S`F5%ll1Z!-o*(O7N2lul8?y>z>R1uE`(W^J0B7l`CM;`!@jK*m)
zHtC7*6aD2QXBa5sUsc8iA}H`A(RfTWYR{^_-_w!SX?**Xak$tw&&<F$NK1z44r;5{
zltQ5!)TSl#?_M#Saq}9%4Lx*wwd<y7?jnZ*2KSAu#NdC=vy+?*s+@8~P2keB7Y$B9
zo>UXjV7j8`0ZwD6YV8kb^o?cMgUM;2taQ{29149&^132Xk|_RoBZO>iEXegqua2To
zYX$==#69OLGIf7sTNI#IupMsAMrHxiLEG$19SH`d!fUSY$wKP(tkNt}@r|Fi_TdR2
ze*e$QJel`nC%0woAit22wYV<?B^8gL(lRL>k<q;!Z1`lEtK~2+ThBYueJyc0vSk&H
z3v1RUbeDs&K)fL_md1oUVN|U=H_rCV94Qv2-_s^F_M(k7q7;do>Wsi9EYiWS*#{;Y
zS-49Th-t_5S&w|XY|au4YPg7MuNlVn@(1uj`**g;wM3f0xBrVaqa172;Z)IOGZm;Q
zvb!J#rF31c+(vVFzOZ-*N-*!esqt%gk|~k30lDuM9CcD>p<5+(y|mw{GiY0>VSpTJ
z)K?&6GjBoRI|)lGr4wMluGbfr?B2vu_Iq-z71RVq3A60Y{BY*(TA0XeA($YyF+m`e
zA`X(PxZ9ok?3;X~>oXr|di!F}-p+dA)Atkef%WdQkbCnEEZD-J*pbitt*ysSw!BC)
zVq639gx>Iao%J54WWiMeTxOH1XV~)gfESP)qT1umMW^E6R654H^@)HfKDb7oj(jJ9
z3w`*XQW1aXG4{O`eYZ9bcWTvGM9|lF<#mkF%ChD?m!*9?qF(v|gx1_p=Pj~5n`6We
z#4}Uj8(g<+L8!-lh(<0eL(LK4-qQMiV-P7J^}C@RGmhM`g1V)?D3HLv(7P$MM>fx6
zEVy4~^PmZ$GN~=g)Z$>#K})bD3fI5<w&naHcRmEW8y=|4KlSs=;67REc#}D$<y0ID
zD+DqS#_|8?azZM+-OD*;!IN93V#j9lW<~MiE8RA)-X+f>v8|eyuu9ezp$)2J7=~BB
zn~6agjp({2@a9?Lsv5?tP0sf=F3;UMS^m)ehNCn{#DwhJEW&oH%CD&m@t)k-DA{2I
z&Z>mqZh3L2*5VqY;roD%nf6W7X!{bu6>?-$MtDJ=VXGn%9N+d)S2f8-^`lDHrjmC`
z;j{D{2mB#S@MEyAP2iD0V0jl9a0Y`4`B*k`E>zmd4yLC!Sa49I#oRd6>UzZOl+3h^
z_;jFNl;ge|I|FU_i*Wph8k_fK_Vw}@Y$moSr_D99{Y{wI{wC94PsCaSbCF<Qp}~;a
zs8pP;ZQD3sa1m(QpfKKThFU&EQ*_+g{8mY2yM5<~3@5uLmot29nW-yIE_V&BHFEQ~
z8W9YDsh0eSU)9($qB%bi$8<1@>al;Ep6c{3mEzQdCkR0rUcb2kuPt5Y3Yyw(=r}hT
zc(0YTC;=;!u|B+oEP(P!o!tM^WX1Rv_os+=C-zme+Y3_`A%Eu=tXXYxs&3T>eKsU3
z#jP&Fi6@l-*VJ<wRz3ft1^IXhEBT3R7+$tK6TS(vuAEgB!8L!C{DiL)ek&@BT55R!
z1H%eo5ut5}_V4U2xP@~`7mN=j<bk`L+zq#6xFbo%r6#%(jp06py322s`X(Bp=ar*j
z%dIg{k2t&qOJ}UbrLa$=<@jeA<audE>JR3i&WRECwAsZ7!Uw>r>4_!K7QcLnNVvV3
za{^@Z;~0U|j+jpAnDb<Jk%2<u!;T?b>evYvZ6kn#hD>BZ7qt|}+P-@Vu`0=VN`2HG
z92GQl%lxYd)q*!?e;i96rpmEG_9}*nh-m__ept*N!E1W23h0NYhp>Wr)5~dJWpQ<+
z5E<+KZ8wf8v%Ci&{AR#)4+}plSU(8YO$s|XI69P6gf9>0O$gSxejTmX9=9}L6lC|q
zX1P5^9R-8p=3XnTWO;gko8zVxNp|{z-y0|QrmFcD;6LL$cCJ5^3JTG>B8^5IF&s)m
zLP<W{C8!L}aZak;eQ5;Xm_5zohTP)5+P}%3cZ)sHtEbZ#rGB+v{fjYorivBHIQtdW
zL_r1_t7`y~#5ueqVq6-Z*&}#%u23WQk-Lc!hbp<%v8hm1%;A)Xypj|t+hoD1Sm=Rp
zm{^d<@wvwF(wiXI&;0N$6a1jG{>yQ&a;fU)nFSbZYULHRnOr#VyZbwR6lq!?Jj!M1
zpJL9mrS`fbJk+Aywv7=x-jxPdf0<Y3&K;tj)CL#_?(IfB%kCF2@e5ge*fpVZ^VG)=
zx;NOBBHN7ui@}+}>GoL{yQS;OtLCGBVumuI<+`1$Lk&2s-e*t`(6w&lQ1h&DAJx|`
z9abfe7%WAB2-cWxKoUBQ)9JF#BZr)TIa0$wfhG*!I!qgyg#efZb%(m~;`s8$O&?d@
za2Frs&xqh#xnHZV_&IDh(_1Ruy9xDIJOoXzkW{;Za_)gcE}u!{c=p0iof}cjt{%tH
zoKo;+!jF8>Y2hjzhRE<AsTgNCI`B#7IF5lF_#RTqtd8(Ve=$1l=q|&1;P?lpOL%st
zl?Wz8z=Z*->U!E?%BR@55BJ<<7WcJx3g&9-?Waf`2H_$vb`@Bp7gu95n8|?Lo1XGQ
z4#ERUs4rm3LCKoB9u+v(OMeTkLQT~}D7m(M<xY;u86c$0_l95rQo_ACB-f-l`zR^5
z(y}i07A;on8Ya~Rw81jhFcR?qPO?w0pj7b!%}nqE`txx0w@AqTIuQX8Q93J6Z;PK^
zQ>xoI7K@RqQ_n6B@+XGR(GYY^b~8#t(&VA_x!_zh-Sj;EvZAf*>!Pzmw1JM7;*o*C
zW^u=2R(I9(ZCav5Bo??){EHExq{xP3k3V(guK}64XJ#yAPjEiZKhxpjT+RKZFLbW-
zzNyP?f9JG`L8*GE6u6xbtzNtp!zCjFf4+Gw{zzt|LN9iK;99(AUSatr$NB=O{>M6&
zkM*94RwCG0B~~T&)r>`2HDiplh@x`p@MKhurMn}+%u-8p@l45>ubqXk;o#^BI%s$0
zab~e`2!nxnBBVVwlxW;0AiNyoHdV3Ym4^I2QpdOi>Yp10sHD#afm3eS26O&HMVQIk
zJfyzqopIb3Q>TRC*77tIrr>-|AJ9XwsUV+N%kwU9gpNpFMMw{u*RkpBBB`-jHX$R`
z*7d@#I*e89-RXX^MF|RFWd!C38GQ#R5ZL11<g~QGpe}JKvv*=|;fuyk9a!o5uSD3x
zU7Wa05nbi?0Z9eETtW8pIJonoFMXhKGjeHV9)bJqEpIZrhLrO4Ueq@7Ca=-Yv#l#9
z`0V&c4gaJ~9tdN%!9SB8`;sA;-`&ELr4z8xM(@!BLP*AmCNR=uy)e%QvaJNBeqNpk
zx5^TlMs7UkdAeNa?)Y+bc1!z^@HbOc-r^itQ&SKO4@C^h7@X=RolDDC(IhDLem6$=
zTG8l$6Hi?$NCyCdTChq(#2uEBe=tT*f26n@AJP=RR7x>@t;NjkOk+|GxaPz%-JU=O
zZ&sCOyD(E(byR@4r(?!|c8^hKyQao~@?PReDU|<_jQy8ZNaJdv6;nudpf~zCdVGSb
z4S^e<+D%3!?}Kjrt~x`S7M*TNjxOlUfa|Wp0%c6~1k0(<(YoCs4*K<rc2+ssTJiOL
zy5|{tz~1y&vZPaXfQlI8H4f126G!U7sfiL)Wy8mQ02Z-=hPeWenE^a~b%Xte9eLml
zaTLal<9Z^X)nP-*c-TtNVDIk$G_CjdEw~u+{oPnd0z_p`V|Gb2Ll<_!HdP|1&Wlo`
zh4td>TVqOnPN8Q$@^YE3J{=bWccGj!ZnsoPc{v;#51BqkDt&J5CJoF`?i#-@C})yj
z%@gwC-cAfGCyhDI{6tVQanMl<O>21zLi&3^5q>-3+Wqdy6dYms^h)T-{QBq1A8^fL
zcqOc*lZON!&me+K8DGt&!7fupgf=3zqqWx8MJKazH3_<FM?i3^Wt=}=oGRZ0y_+k{
zq1BZ^Z0G%*F`Z$VoS_1ZfKaD$Z1QuY%zkxhEkGlus#V^bas!_7+4&1Y0q6<;<E>S;
zKZ9Y!KIIowFV&&RXdmI|fOP)p7Rf&+dq}Yq9o}kgp{Vw?1Z!cG(4>sh-H$_$ga4v*
z{sfRy$A=4;Q4n|2>qJ&84+9^%r^APXlt`*bV+v1a{%4U$9FVaMG3LV!mm4`sisakF
z`>^QSO?cPNybrI@noDyZ_h+!kK9-_<G<9jaEXGF2uTmmCEh4hmBT~VA{AgbjW&s0d
zBoD0=yCne|XIf17?wx?N_;P!puurbz2bqc|-Y3O_6|Sd?R{M=rTh}c~vv3moJLD#c
z#HTMZkYt_9-M3%e*jycM3-9ymXv`k!(!f-kWR|FSYjg0PV!X+d+AiUX=d5`Ursy9t
zlrrO3%XZ}BTt8=qDp!kBrRBG$7<z{E7YDyQ$=~{}ka~>1PWqCPy0Zhd{imddO+WFG
zKXhuJ^LQ&+P^KXUI*hGsBD+1{%z^Hz-IeE7E%6D&i9(04G>Qv!JDsMDW~cyqiDm?`
z58i0`MywPd7xVWQdk=Nl5fR+YL=Aep#tR&lY4cn>4{D{M@1QXR?B%I6=ibFdmB1S7
zykMYF4UVmp^kH!yDX#DCi0XMlgwJQm{=h@ZDl(18KJhx-%Q>@}=1B7t?)~LT@R<t(
zUCgAUu(-`u*dM(Efvgxs!L;zK`;D+BNI)Qk&qnye5wP7ke-80poB~0&WO96_si)MZ
zB~%G%q$>A4y*)7MvCjW+$7e!ZGZ3nzg9Z(=W{MaJFe3Q<4&oF~D>;H<pxHpGXRQ6W
zGQvtDO$G@a^5U-VZMSGY=?wz!s6YFWJ#;iyIB27R=e}aMj3W_P<8L87L6f5}2DR3%
z|AzbFrk2P=vP*$6Jm1(iXw*dqyQ;iu`?x%k(q|c2ov`_ue|Us(Kv<RK(ys!>o_|w3
zBdR^nk-(uEU>85;#io5v`Z+E)S2ahRyowl<I6Zi_JV_(hk`7foeFGm16ID@@HxSFq
zGaZ3R{q%Lif{`qNkD9R*k)J-RQ{36mPEFj)NX{b;TOvVb0p(xkRR<)gaJ|?=KLSH_
zF(RGmw=1+A6Y1WFXA%u?(c@38TvHtZSfX2mg!}&->WAE=+p9?-p`1|?rr*pkNv266
zSvhU>|G1+AQ4I_Y%rn}^7&ze-nGp-F5t_Oc2dZal1@3vF$9_TgyU5Rd*U%qpXwG-C
zAF)fiD+?q_X#Rox61|(8Qiw!dd)tJOX7QF_<mzB#VjaWO$INqH_w!XX5ryF$3sR}p
zmYAToBlHBK4+Acduh@SKS~IPy-8U_ui@Atk@6N<k=(U??;zLa=hGQB-{cKsmVH~wr
zr{MbOHgcX{nMr*ccScBHUFB@2=N7D(#tR+d1@=1Ug>EtR9V*!~V#3drv91pHRz{dU
zlKXtY79}kDUav5MzJA7v*~$grf`89)_qq{e@H1%?+|#CK^ILHQ=5y)(S$$ud<pn+F
z)s|#lpQ`qs63>Crv30$UUIVV$8t2_+LFGTOYd{-4!g~`(2mbtw1L44=)&fK&9ePD1
zarL)ry^#Qx1D$tAT8W3f)W3h9eP{zH*k$b!nsZ)=b%*u$K*p|32fpu~bEIzlin^+k
zBulX+6L|{7srHh4!1V9GJpC&YcD|HSHr__JO-!U!0DN`GkfCsf+<ilv<)9RM30EPp
z!JY8H>2*=2n2w@TpaIUb!)5C7PY6=9Oe2Z}#Al*^W-XsU%HVS_fsSOB(7fY5Bl}N-
zf`D-P?5Jo}-}32#8NrnEU+7PCP=BsY0M~x4pkbh4crNzI*_!5LIqc!>MDh`Ue;YgC
z1Cu-OxzR{K5veQ}{#!5{bp&hUc{`Gzhpvlql0&Ko%VO&V<oX#;O|Sy(>$fBGuYwyU
z2bksnHZ7}S^{zb>u9*7kKaMp-H8^Y5R&Hh}`(MAK8}7r|G%mgf;~v=K6ViRJcq_%I
zhZN$FIl;__Uj&cbFkyT)sd@^F3rQ$kt{RINJcp_=zHw=%BLN?pEsirF09G3@^xgI^
z;FqUcLbA}UtW1+fSCi^usA<_$*}WMPeG#?heAV37_#z_r(Hov!p^U^VmR#X##4u^z
ziG0D5;0}=Y!L{_+4Op3{$ykQ*9<=KeZqG2^3}Z1V?(21aU{Y|;C^2zRJ=mE(uTtrg
zc|HP7p9&_x<e-!bA?d1==Z+fwfiR!e<J^s3S1<iW=wK16OwRS5%w)Ar>kkn6gjJI@
z_$FFs-S|hagwphYHibzWaNvPSIF_5N+vHI!WQ{U7>I}?Rw2+E^&IMVik#RZytN4^?
zz0irj033mI&g25Z(hzU}$D1i9dx_N2#0aF%O-eCZOsdbB6<*=9T$_HetI^W)EWTkg
z6j)zPso<;o-OM#Bz{=GZd5Rq(qeTKZ%UNC=0^CDpW`|vt{Q;_`G{vDP(QxHNo{7dg
zU?)ax*F!|p446(NR&K!CV6RN6r0PtRMRpHC=y<=z44Bvwj%YHaZ^}Byqb?avDxF~W
zJR@nK9=fn$x?@cU=Y{n38m=OU1<hQXi1`bnB!Osqv^^Uadek3fMAm1VIQpRTr1A|J
zUI8S<g+r~by$CHkPTsh?aoU#N@4JI`E^1+%3LC2g0cm)lmLn-fYwX4XLy<m!9c^pt
z*^mr`yhNwG`i&(NS-a1i=gG(9V)GhMh9}+7kHAuwYqwPiPpaDc@z6KNj2#QWiukmL
zy7l9|n)oiUGShGi*K-1L+DUTB)$PbZ?kgQHU*P@dj}Bx&=?29=XFkTi{R(AeM{GIE
zB%+*H?646NCU$rg{1wX#kUy+`90&LEg0j+XBgyU_ljj8{t;Fn#?9{i>UNhcp)75SA
zmU!?)JL;sjv_*W)MC+`wLTd7{^fvShnYU+>V*f1)4-RT-)<(7qWtPHfNQQF>E^4UH
z2sfRN88^*hSlR!v$+r8USV!X%$@QwIfAybZH=FoBS7U@{0azzOR76mRibYE<qmKBn
zt{$u`T-0JgRZK#)V;>j>Bs>JXyO$5ox+X5VKf88^MVrjSQrA}4j?`xwJ(#D+y$;D0
zghn(GSieVULVhZjE#}9b2fUBQg;QHViK4bu6)YxOGm1L%iK=-A6Ln8pp-}?oR$r6C
zh-K$1^(+mKq}2VNDj+s!4kXVs@Grg>KSnjSL0iv%5%7h>M;Jnxbf$qdjK8a8jX-}?
z7Aagne9-hXwo;2+j(oU!#%yqe5`w+ormHCD!v^Mrdqw`e&E`@${J?Z6KvQJQ7eB$S
z11&2zfx|&0+-of>Q<MplSm&P4$*;z;AOD@b6JOX4E&e=AUDwM=t=t=)$)e<g{(`nZ
z`jx~`HtRUz<-}n$GSd!Y+~AV8GLn@y=pYS0sLg?5obe+dkkk4fTbb$dvnGtpAZ|Jl
zD#dJz7ejpl_ZMGY2p9v6+`=UYd*13;&*6&M1CLJ~INMkC&f7igObdI{K=K%Y(E3lc
zO#=`3ZUZ4FJ{i8K*qx}h+|@8%d=67_ljDK*dS)X(U^`y~67H=i3788bvKVQ8NM@sj
z3G(6)s8(Yv*Ec)}#d#k=mHU7^GlmMcVd^@6@v5)&;<kUxtgGVhWzpMjhFN|Th*+sE
z(7~%MHe3S921fBOe<K#cuk=!Dq;&c_=o%2&t1X={9Kp;5!G1mq$YpipX2V>a^Z7l(
zqWl};5|JdA(DxJ*dNe{W%(K-7v%FdFSosqto{X+qSh0={qE2gp^{~aFRO6G9`tR*N
zt;d7QfUfEj;e|R#`BsiV04@vmCzhW6x;SSR4i$JQ_r4!T&$s@|sanfVQNX-7>3;va
z_xhq%9Yi7!9XIUhsJ|RAvrZo{Q!Kv|?Zk+ih66kizx=GS9A(*6xtA_z6a=pw+W%{>
zSi_w}`fOExnaFm6Cy)0SgkiRYq9^9yiAR$C_2oNuTxG+Ci=z#u@Cb1rQGxftD)!fu
zlr`6rmm|NkyQ`{*&EIzznghBrdKPu~K5M-YCJ5!7Q_e6Vp;kkL|L>eyjCw)N6Q^2G
z<X`vee5>!zCVHOeI1r80G76dj(720)K2|=~M(TA9U1D#9-VsZ<dK%1>Anrnb{=xIE
z!-yCWlVu;W1fHZ!Cnjv}IpKnSvgy1W6dMpKNzBC_kwg<~`}d{;w2|Z*sQqfz1hd2f
zaf5MNh@-Tx?~HNq7}=V&eHy*5Mj~vWtKGkOO&<u-lPlR&{CQ9X?A&=aNNRfDBMdhs
zmyDovgK)XSh7Iz0WfpRTI#EJ8I-62#you~Je}OwyZV*9{c+8OMgmAVdFAJs|&Guc+
zZWI#{+C77>p+bz+Y1@}_){bU*QO0)Vmn1}X>y-;-TW_Az??6zhaPO_%$qXHDjpKZ#
zbW^xpJirsWqc37|hW>H~E=F1zx)2$uGZg@%?|N0EH}#xa&X@Ic8JCV!{r}XLue3lv
zFTx_!%In5Vv2jVL)2RzY3waq)Y|yoK<Q^QtEv8~h1_dFt{^yr1EM$pHv&8Fd@^vwm
z8+7&sc8Y{;AjVUMWlHI12sPFzKXpIXRG~*%jRaGZII`?D)mR=YP2bpYkF6N8{~ki*
z|2^32+a=fil}d(ZJ(*o@hl9<(MSDuT>M1cE26en+q)OZ~@qaFC(-7hWyb~?x52S~#
zE8-!w(QU5W(y?AL3PC?bkjeaRk$feaz02;&qCeCw_4ciI4Mc=YQk(W;YrYhq1ER5|
zz?yHf#?oK#=nslcjHr$`yaDPK7~=fex2yk$%t|7ra}XCGEnD-X&z`pe8to9;l4ndm
zdB(kDK;X6(exb7z>*h9@ZR09HY%lE73PY0fFN80~h8Uwz!^3+_EB8c|<Wj88)pAB5
z&Bk~4an*Mx6Y`G)VU@Cd7v@I}A^L{)CtOz#%vGAww>eJamp{eO7rz6eHIK42!cDfW
zq<7u#Lt^=WR5!Pkq~^vckQ}O}rdup5fGC~B1cF%@t-z90m>_C@*TxUBTE~zjtB7Qj
zLs}E9$&iuC;y@1dfp=ILsn-VX+N>3tR*{r8IcxIi3$QDNymNtVRx)O~jqdZ<jvs8G
zVt`EG5~an1;9O|Tk?Zdaq{pEE93BMtc`(`g*)#9l`c3c!B3t3r>kOS*en`>;!ue+N
zjrZXv>d;2?yG)CJqKm1~EHK|@qsWnV?vZPj_LUn?`gj#*ad-D3$Fv3bH1p*%x@CoI
zEr7|bj$9VktoC4y0Po6);~6gEe*%)?@>$%V=oc~ho!Zi!G`G~d?5!N{76RJ|G~p8?
z&aFK9Q#xDCkt{@${~;#O;m<eo5-aIp2r-Uf8cfB6mK3oOGEAk5y=1!WfbZJU5!o_(
zj&Kz`%|_jMKVc$GJ}ithnxqdJEAL~2RtN>9`fc&^b8D-TO#V1jdp;j=9+IrcvQt3l
zU$o?5Eh?9uAFbMxd42CcZ*Ml>R=<FNNzbm)&wfWBijdn}hhKh)CJ=pLX)GhLi{a2^
zI(WQtH*A!4MQ~Mubor&{5-U#toet$mF32j4A4;m9W^c5mCd{o7N4}%)e!k<IZRx}R
zCFKc7k-DPirrTcaBV6Hy>;d+w!YzaF@@(r6o8oz~X=2L2Pmo@Ja0Y2fszNt+P4axC
zrL}bRhwBgQoCT-?|KgIMI+uOvih!fRxV+{BTKy`TM5uyoK*1l(3%^gJea0&_#camS
zyrCyB3CI#gGE0-LDLdsqs}ufT7~py2kyWJ5d9+m@hNvqb3KEz9i+c;C819t8PP6&s
z|JteHdaW0}<3KR;CRXO)3{(V1d@-l>2d~Or>*HnPeOUvZGP|cqe8_dnc1q#sIWW0z
ziU?Gnykg!d3RkmeU?<*L-n<=vcp_qH+TT&gN%lWZP-&<QeRu=SB+;`=K&K+dLnYlJ
z>Ycuowx;-eGdbF`bCOXJIpe`fxX<R6To&ZP|Jo_w_7g@|afH{D@)9}nMIxSU6O*gs
z)9EW>+@y6<)yx<AK{{OMk%UP*@eY!{fmOg0Rr6^wTJ(OkOTfGxZpAE21uIZ9ULul8
zU$&lw5t<(xGh?!YFME*fO~^{_hiJ!Yo)}a~5uizVP9v_SzkoQr2~g^!))#R3yy@mB
zu;a6Cl0sz9Rt^r~s*aFO;#bFj2F(jO-Ms?$%C*w}u_HQlbZ=2Hc7L!^HPm@K$XO3t
zfA+~x#BKu53SOqa5T`s-az?{k=p|_ev1~6v$N$Gv4)!d68kIH6o3@^FP}7d<0usgs
zKn~|obu4C<^4VhBPLokdl^He6ho44i)A8FUo`ONpgTMZhO^z=(ARb3*SUmrs;9y=i
zw;B6H$ESY#8a#bf-Fz*{>K+&uSv<c>!hf-K-rcLvZkhIM{FG{4ov*qgt&Z&Owvx09
zUr^k}ACndUso<wQh$DfW)N6Dhr%FDccI?HlpQC%(BhJ+Yuzs`2F-G;XWY{2n^_@-G
z@1i+lNII1#0t|J9mC?ELjLL;u^T+22X9q!(;MDMfu-?$tNH$L6+i)vl;`A9@EWwLx
zUi`H{TFqOBu1k@s8p$@M{r?Ge0uyxDgA(u)ujU?3UYEom#Z)DPdk<Bq-9ktlQ*&2i
zrzVq6GBSK`0&b4w(y#%<&4EYV&A8`gFiVQdg+?A)pgy4mdxpzOPoyqsFx1v1o#qhX
zrwr`dL}j7puQ+6-k5T{6N_F~-_o^xKQUC}iIgWe0a1X=}h>X7%qZSbJglMf2#p;A*
zh7w0N@tYt;sS#|!la;Tb)(^YG+|*!Xy#GfHt(D;s`_iHq?_sgwe%IUGijz_rDtB0r
zJws8+7H~GcVsCGWVV?4yxIvK--=7C;mP$3f9PK(BRR}L-2lFv!3qd2lVOmuN4HP%9
zQ3g{7DswavkR<*0V4*+<T{sS$=$wE9;3I2c?m22BI$92mP0>5023=Bbc<$B<YQO=Z
zv*F9zVxhz+pmdjeyR0A>`HXzQl$9wcu2K*LPfKI9@VFSS1Y(yIn$_Sz3R2c3)a(r6
z_!w7O#3kT`4UxA=q&$*5pT*&qLTdrf>f_+t_Wg%#rDD;0c*V>)k9v%XvSzFs0xQ=1
zp8{58M)sgehI^v6y2+_S=OPl(#!=3C)4#*0#QWBl_!9h|N4#xtZozr!UEj|e60HvV
zR}#dAV|pCq>*yXv;71b!L1~gsByWq&8>+DIkWF12pzY)e>Uclx>1~45yn;>VMbH75
zqFNxK2P?o-d6A$?GG8B@I$AZbR8axhLR5GQs-WgDXpKA!XyGOq)Jq=l2s1lxNDtyS
zLq*nrEvy-CEp{7oxCM*gB?Fj)obxip$ci2*l7W|X@95@}4#dcuY~jla$s6U~0D-t9
zT;~wG0%)LKI2^CV_113mQ#jsZC@3XIJ57jId>?0q%I0D#EWIbnm748E`I|x%Z#u^c
zyXB}3yOz;D=|WV8jxZ5KEl-RGQP0J1k{#>8q<IJY%U!0SaF?Nvl5^WHoQ`%xC+OHw
z%!U>B@paqM!QS+0P&vj|Q622qZu|_Z#bINzt{dgbp?H)1VRt85YtF@QN%jkRSiwjF
zj@*d&JPwbtQ)A*>59!hywW*F+4c|LUiuT*u+<BH`6~6*GF9wIX^pz$`@U-Q!X`F4n
zEm+95fU{UEE-PNZhDYaQ#b!ddXtz9!y6NRtatiQOpgla)U^sb=wHV?3=PG_oJgTP%
z$(CO%1&K6_<mES8sEiv+Kc%sA{!>r`#`^D$7~G7IRcY?eZ(v~j`)9^^D|HS)vce#6
zPtO$Oj`@GZixV1Z2m8LU;f8wBltHGE&Mpk_UfVeH3i&;-vW#N(b-%63anKIGdXmWK
zftm>9oUD?6>dA7ui&uZC=N`=%6z8Nz6dg4JMT3!8c4fjyy{&UczGt0XK_#wbir`jQ
zk3uHl4CgYsi;jo`5Tnv0ib^~DF{lu%mom5l{Mh&s9Hw<Gk~h1-tWWM^hCd@Z5>>l$
zOBh77Pw**sO}B)K*APt9lW__u3Of<k@(Sv_h42`n?KAnI9A_+O!iWcjs+Q($<SzNA
zdlqa$WA+4hNM*+<zfUyyofgm-be44K``8F}kcAi>YU|7HCNIaDmS|&97UwPq5sk;9
zpO>e|FQ%(qD5AWs6!$c5KMC`W6x{x0qdx?5@CHnX7Dm>6Hf2qznXP?zJQq6NTp`!b
z<2Ag4o$qSll=2Z2f0XMRs6H>7`_4aC!sH6G^gLH0+vL4(#Yfi>fZE$z$ozh>04+#F
z6f>eG9Haq!EH&cU`paJ`cNEQc#VPo^VYd&MEv-xDE!euhEx&oOF$N>0&d=s9#n&TK
zG>8znIXmsmG|=E-{fl*<h#+wm0lgiSQ9z_4OJM;Ou|u#f52f(<@lcF5Za!TiN;U~<
z7?nvu9#us385pZRYlE&?=-%BOCHHYpgNWlJuBX;460^J6zhrpnn@jQ@-skqrRMu@!
zI8H1lVUXuLFJM9mq#V-txO=ICe07H=GL6ozkp|b+`@!KK4sJEN487FVo~#7DOd>Kw
zZQJx4)N(M=d6G)#W6K5731I6=^OEsnU&<WRbmv8R(J*JA1T2@K9!=}D{iq?HLPL=R
z=I80qNL{mkSgziS(Osi?o|%cdb@{erexS>dz1k5^*jntiPti{-3X~$-97vI;r;))8
zZ#ag?e5f9es{^%1YvE~UglS-t5xd2w6nDX8RK{Kr?g>^K=8rG!yos5LJ3URlHiNZH
zS1$6A$&3qwf0-0Mx~qRe=!R~!Bl;<OO_j%q{yMljj#ZiR7xhj^w>W+pdspDy#iOE!
zxR$*Rpp0#KHbkidq=SlRD*^XeYZQ$^E}KkDsDg%{Bajzj<{}kXRyH`kE^SpkQlm#Y
zDWcYH>QPlRGYm+290f>V$~s%9d7=O~+uJFAwjk4%mo*6GFgTeLHZKj*#!xx)UQ?i_
z$kRevknj5WHaDpZeN^xftqR*=4=k5Vw+@Byj4ro<MrQ~7*C$X(`#Q;QU`}Wl5UMsz
zY?E?#F<{q9iGyupTlDfD(Tcp;P!2pC9d5dyZmar5;cJqU_sAUnw?FhZ89}vEPBuRt
z-ZxyFcUyDo)FLo6hxg|d3OgqrzQ?W&LtzZ)3}nJ}2O3tTZynWX>E7`bX-`BSHUa{u
zz<+}+6NcpXtYgEX1ui4O$#4CKb8c0L)3arP-&-5t>WkG87LyX7%{dIrq+*6zn$f3f
zu;H>AX=AMBYNxEV!JZjSxoWk8bPK+KHm+k=AR`tDXf2`t*#@-rxy-h*xJBcI#f)OX
zuQ6a7?uAY!unHTrBk>4RNAU?{7mUp#9B}JidP1V5=WYBbGSEpFv`&1$CE8c&EPr<!
zsLObN>hiv#-_UW@NM-uKFtC_*SdHtDT}NQ7NjDUcw6v>=iB!4-Ff|6AC8qYSbEH+=
z$)uPfd62N}>>B%3H^bJc!TWk4r~^78yHj|<t~&}Yi@og2cW6CZ#MjJPcya`+u^R`N
z44|zn4~^HVe+}frgG|HT54Y4cmWmn6P&$(1Bn{>;ITM22b~tdn>DlrNw7Ab_uFDsn
zij7lgntZhoM4Hn^mg;wQu8q+`xL3kBG`spNJFNE7nqGAn=Cnjt$n`+f-!348W+)aU
zc+tl$7EZ0%LvvW=UN82y6C#|;94D<RAa-WGmd()vkL<tMt5X<fp<l@-6|w7&{SO$k
z62n)hr3R$?T;c!4uq&x;RYO$<!rWr}1d0?81&EPS)zfJj*EQY6yili-800dK+jycK
z0RqNaB?vDZ^ZJe34E*8vjG}W|@LBu4KB-u#RMFyOQ-KmCg6K=raIdbfgWa_%f>*Sc
zdH-H?6N%6Sq$MOci1oF1^maJuwPC8!0h5y!J-0M^W3k4);wVIti$dmaoL6V9mKCmf
zJ2rF|BL-;Qdu<uTc!F2%0g_EFW*Mc8)qfDEf2mq~>ejn6g_+>$NtLvx>q|oz<XDUf
zP3}9x^q#rteGI0&8Chrv5P+Sx8{5Q%x|~q(tch=XUzqYOtDFl*fS<5DkH+&+H;WP+
z`Ef1Lt*^j81DS5!{io<vp%5D_!Q$fNe_KF0vtBKNJgeKZ@b=3YpKjc$;(yVJ=X~68
zZeHT@>T-$Q2i+|l6eB6jHmMj%ig0c===S1TQUYj6?Kx~!)2hD<b@vLy{9?>=s_+!4
zYKj?UA>%A4^a5ukLtqLUIjg3x3!)_o>dV^Cn5RJiscrW_UNZ5kUOVqp_%>y|eM#nx
zw28z;7fdWK<CgK)`8eb8(a3%?%gdrTs1O>_(S(x*vK~RUoCS>w3LXJfITH7+hGMNd
zs<v`-<x}JcZfxvfq60c2Pr*yikRZUwL=)~&P<CfPIGV_4x>|)d21sfPdYE2-VuQzA
zQ9QA>d1Ft75yQ%uP*&Hc9CdA7htEVT8Kk~_r`ZEF*RcoBQskW%1+gQdS#;5zqTB$*
z@2X)3^=E8tP(9H?Ny41}>7iZv8UWv4yoVOq-&{yl!!#+zjPz=;nF<CMPqq;xD<-QO
zM%m52$(8RL141_&KKmcnmL_ccS2XpSUEW3Hl+VKw&kA1%IC>H#Guy38HWta<Lg|MX
zZ#2?rf>c)c@a}&K@`0)CAn(cNm;b<i|JgcbjDb!bZidu;)H9ryIy4AQRUKwxRi$7w
z!VnSmUleB?iZo!o2EE}6G=h0H0+;x$CMIgej+0MQOy$N3XA*G$HM*9B83K2nB5C3p
z<Aw^7%NZCoMWz`XAP#@f7;^l>rR!&mcO-{XxzWR*w^LE-#^XIrX#m_sS0E<qe$Em9
z8&ni4P(F-5DOR_ra?lMS>=L<CZku>iK7ylpEBCuup<L_DoLhoyzs-cW*4ih?zm^si
z@2RnBxsLS!dQ>Nh+V8r;Zlr$F)bWC%X$PdQ4OI<zm^pcT+kx?yRc2bWT5_fsPm&+|
zsZmDDBl)E<_;<P)Lc=>WQm4Wnm74?)B-yF07cW`KX7b91gwDV*R^)wNBvE2q*uF=X
zlOlKNtR)8z?`54mZ7S<ixmFmHeM;AD0gX~C&PLXk!`pMh1DJZH2{vx^r1%*HF6($>
zDA^s}H`R<d^{~66BXE~QS>a537-X<)Aqmkc9mCrmn=2NCfwqw5?IHi(NnO*wb`=IS
zEVR$On=-xT>X9QI+y+%c96-5~;iJH`;lDa@@+NyGKAIRb4Hd3}NXA^D@+{sEErst}
zo%l=ot}v0?_3nxUs82cjX-?yjyM}hYbltTqqZxY}>or_@RXf7`Ed>%vOi~xfuPtcp
z#eFgqXj`KV!+C);Yoho~dG$t~M?=(p19d>LLz<ZL2)ypWyL7fYx`v_qHAX*oz}kBB
zouJTz^&JJT-!$TEW$5rBqQX7kGqgKs;WJa3pR{YZIOM^F$m<(v9?p7>MKryV_d@IF
zxlVr1c7m-xeswB&MWl4vq}>sT7yU8=BmiB|BH$^W+90Xs5vB|7P%E$lmOJjC;-Ts6
zdvvHzNkd*US;P{M>&w;NA+}UB1u~zrFNWBcj&w+3`C!UFj4J=834mW`2~2?l&2RPF
z&6enKCSqG6xt?IU-Bx01H4{nOY`>|r2j|I}ZI{v{3Dep!nX4^}>JGRm)s(NozIFDD
zp6x9E2Dfn}+WfJg^||qP%K7dwcdIsyvoRBE@>TVyN<K=3-Ki}Rz*<v6Kn(M2c%&PJ
zikoM!x}+E6TIr=*s|HVzImkh8p+EyFIKDPn1m0l_FzDNcO!?JYyuHE-PM$9v{*O?8
z&KUO3pBJ9yah2n_d;}GyY|<u?#v&bmU2f#a**4Le?Z%my<Qm~XyXaI?6k}nRiskFT
zuapg35#%E^8q~3#vcLu7|CxYUNRNg=Cf_iDZF|f?afV^TVegn6BQxp$V6>x=L~wA-
z3k5M2=G+LLCOxULY-q6E97VUJ1JBjetOikrifdFSlX;Ls9%TIEipggJuq!wR$hRO!
zhYL+L%bCCj1C+wZgW?f;IDU#X@BGghh)8FeA4iePP8Gg^bTY_w;fnHt3KjP#m_C=-
zvzH<wG?V)-UkA%e+NByfFv5wHk$J~<0-r?+x6@8$!QP7HjZ3qpJ654R0Mw+>d9m=R
z$0<Rw7^2kA;a0RJ_Yr8K0mQAzUycEW&ql+~E}ScwNx5OJH-l_s?2v$VBgIN);e$P~
zJ0w0kaMOD8#p2QZXiz_H7g)t0;=FFOodRr4jtcoYUfQ%E3<1&LANoh)9<7)-7)2Y3
zZ|4Uv?mb+mQvZv96{wYl7@7}(n#9>W`CZ-&OiQ3%^N(#7QdMHOC^9WkYQ-6g6?Evx
z%!jo>y&wmeMF>{Wb~RDb!y6x58Dv2kDy$ly7amf&n4lj1p^7)ZI;j8#gl2_sc3NH=
z;<#~nQsHfKmVT(>3((Io`QWqzl81rWqcQqdQ~;+F;s2FP?<aw)T^A*NOa1>q-Jo=^
zmB;dfifSNY8a*nSZbN>e;P6D|jwnHMf0rq5<mc#P%iteF=79QzH~%oncbKWE2}uf!
zf(bt)09mlQrKKFTMlWD`P+F81aYolhzh-VT;Ui>8kCMQ9QURA_%Gtp{t`Q%DW~|!u
zz=NOD2bIpDvNV0xM@~8ZUXAEmKWzyo+N8n7!$qJ$9m2Mrd)C4uV2Gv7o+g^QC%+EU
z&uivnH>~QtTOK}lK-bB*1$Mqhn^f>YCw4b9`uP;i9N+-mtlM59XOO`r%7dxFY~z_U
z{Fwb^PZcPAvDEs?A7eJ6<M=|eTWVMr9&5^Y|4vD%)H6Q6CQc@WFnuI3joy}o^%Q+>
zWQXl>{60AI0#UNC(Md3rrdH0_VSaeIO7d7Uc=4&}%PQL3xKYL;UI_zh(DvJ0UviC3
zAfZ;zbX{y*P#!`>cC;yy9^`AW0z{$ZIx1ky#V#(6#d`Xgz$~RBDW?!|%)c8)xH8$O
zaw!8b{(od7qR$GeokqMR4a|0O;s&t)vt!v+XRClWuL>8*3O$n-CSIdM#M)J)iI3mD
zeT0nF8DBTdf}jy&tvn?uvm*<4jnZgjVS}!^EL4g;etg!p<de<qD(O1;ilrSha4n(w
zM)7m_yETdX_|N!6v$r#NwyNYi`RsKN((DSaNjED=rl|HcCM*c(M(E!gC4laa47D4m
zIWK((e|`gqBMoZi*hN>;p2Obbeo1*Fj`DGUl073cj~cq0(8m~s**1dw>|y-jEUQ8a
z(&$Sv?RNA5sf=LDDO&2$8w(LU)IwA&d#bPoSg6nB8k|?yj$2X9api<?LDfn5ZgZw0
zoW^Rkn;O-+A%lEcl=y*_O>0W?IJHI3d@F_!ZJA0QI@jj6oYBMAJOp9|UO749kOq#Z
zz<3v!-|j(;mc3I$%?IMHXpxQ{i&E(vlBXzEJuH!|`*mni*O+SFNtkh%2bLr$x!cSw
z;$Vri(C!vZxl?>oQ5p)krn0bqk*t%bia)p5)HnqEb$Xh4Xzt_+2K_)caamuM>g&{R
z=sKF)!3E0>o~zU;$F}iS!=Pt6$8Q$Z5%SC+@#q-F`p#TY#`IvJE)XXuu*$bru7*6J
zi&Q|s^aAdCJBYosV=b$7NQ!dttQ#pT6f|8<14O-0wK`I(ry@He7vZHQeK-D6B(B2C
zEh`j9qF+qA;`Jeu>lMOInC6dQpelpBN_`sB$lXtnsJ-`5vd>PhYa+sXN(h+>Bm9_y
zc2gC?52NJ;G%J(6d(jylB|m>vO})U?Y>rog2Yra6@KD3dhVFY}HLThTT6EsY!aEK-
z@-(z1B6Z4gpVWWvplcZ8k-tWn(8w0xPVKmKc?%bxa@Uu{us#rcBF4>{wviq9DmZMB
zRe!qqiy06c=53%{4sz$G^*#X$Z&B>bq`nB@ND}J@jo8wOW&z><n7BvVIoidX$J6)M
z$_Yh$!LrUzU51!Y*I15-hpNXH*=%y2Rn{UcD;Kdca=J1H@4zYK3flG&bQh`XQ^_lg
zwe<ZniR+zVA@k26!vPDoG;5@ji*;&-&FiraQgm;82JMY}?{W~=U!^GrJhdV@TkS$@
zn+d{(9Z97~+-pLop7yO(^b2fhFiLiQMM^%LpS}TjNga{>v}dp_74!79AwJq<Cs#}?
zxY06fmm>j?Q)=$iU|`rPE@1i&!tk(vAo%)`jGP_KT8j=dyNKIwi|wyE5%o^EPI)db
z#)?2cpQmvYgRMK%xU)qG9U+G~jVB_7n1YM~%o=Hlz_v3v`pnJsNS<eL?IGl(Vf=r|
z{!_d<!hh~`=!n`XHi3cB+x{fnL$?AMapQ3^0r|(7EGtbWb(3H7$z1^A>!=UqO~r#W
z5r#Jis9y{D$eX!G>~gPJE;4x(@=yj+B~5rYE-bX~2(1pIT=@QqLV{<xYw;{wI07G1
zP3$!bGKY;~97n@nVw-Lk5o9C7`S)7W@^kY*xbfU@brOhFCVa6luHu*7@i!R!OuyY9
z05?F$zhQV*HRhA=-0hw0N7jy6OhvMkp(qFU4q@;{m)4>`yOx#3<)P73@zOxk0!>Y=
zRK25M-o<)58;r9<G?Hxzvz^)iy{L&TV6{DsjXOG)eJFk75s?P@7dF*PwOu}z3Pp1H
zAc@ku9YmAIhu=Jre~92Uk)n)}>!VysJ*X0F$>!|bgdw-03aA9oI98sE#z+6TE|`SA
z9wZ{J>Mq+&=mH~PZ!JmbQygi%N?=Uig;|djte3U~w17c&p+*$5PIq#+?LpC=03z;l
z+>=qKQw`wpfoLNmc|2VTjsZ_?@Ao6rH$Y!7K((W1<ZPPhmUqu2zMTz>)jP6ObGFk~
z#p&BwQBjMBPK4qw`uE!#x}}?a5vqb6%=bSn{MrSMsh&?Lz#&tvy}A&T0h*{T2Z@5!
za+H#%R&B*T($2RAE^^R(k09U&y400adLHJc3=mnM;_$j-okDhIw(bLWjA#B%83AEx
zj4FMS!JgbSX;c;XqV$8nTy7<prNmsTuvNO|p}r1Tn?z}T#N6aRSV1%jzo|v3TF!gE
z5qNmsD2O_$@Z=m+gP073LgZ67$Y>H@*il;0y1k)Yp^i_!DHRK!JH8+?aB{D1?yrem
zX9$HD7C<|D1A5S0Z^=KIK-KL%H?{_S+JeB}oUUHTx_y?qdcAGzp~nVfJ{=Ta#j4Q5
zLPbX%Zne)Zpt6wMD1_1`!dSLiSU+$F^8{@{x;lG2X>gfD-v36kES4TP3>Hv)ITo2=
z;w8IEy-+u3#?8KqGA+Rh6E_gL<SK_&%W>f-xZyhSP8;NS_aM)J>W{QjNI(8D(EJE-
ztmOwpA~6Mq&N?H>&L80eI=GPnJhk7(i1vK@<TG&vXw&4*5Ljoh;3j|R|2Au;08yG8
z5#E<7w4ZN%2hV=SfE$#Kd_8R=ZxC-Emmw*P^Mj|~yH&Ga2+UKi?)5szxd$2FI-use
zx*=7XRI4&)aR;|{_)1gawxtB6S2HVL@mu2QtQ@HlT}1_b<Q=IN9niEzr1O2X(yYyw
zyEc2VQ!)o#!GnPB3ElAnr>@5u0)rthWAgHM#(3yZgym{FLK%8h^6NrGD{K%cjmkfd
z&t8r$$E3UBz0Z4P!T~;z!=xHUn~@{7mkAPo#Nm@4)UR}(c73ZzZ=6!sedM6>a@gwL
zQtN2|O;Q~fB!%JY9B$}MHxdHvFf^aFI$Ng@J-Jb5^~pjdBc#XXWCi%sbQTU~dDgOH
zOyS*bRM1S~TD9!mOy-VnhEo|-rDTvVL_Qgqq#C(o5Ka6~5ib$*Jto0C&1~7fXDc;r
zsUaC<0en2AC5T+K5=<ZIlIzo+H7X!qW)0DlkeqSs*TCqMw7a28@#<stk5q((@9O06
zfS}T7_5iL}h56Ne%W|-quyU6$hzO3tQX<WjNi8USn+eorz<*P{;U|atiJ#x~6Z>rf
zfOr+O=H#13vb~#;eZZ!G1@NC8Bqra%?s<dCpZ~5r+Xc9+7WrPxHb<jS!UIZ7vK>5e
zv5etRKDfV+j{zzYg^6d5*c(IMDvS!>zm{F0lbqr2g=~m*J9*&M*AHn)UXhtQSXhwB
zpes5mRJi_G92jZ~_uGjNbl7q3+ocrvEKoqt)(C3F&wn=~DOOF7bN*;am+$;4%#*gw
zOLO}hK>uBp9nIKhik*ZOiYCUA;S!GdDbPL-Px)mA(W-C443{P-bpnnnqqLwkqkX^2
zR!*H6BSF+(^Nhvm4xX;dN&4w<`UH8sFp9(l-!_c3CiNbLE-DbPap3`iVkFes%h7Jb
z-Aq?-bpC&F{6g_1U?=C_>0C(Nu@ILSR5?)rV$t07%}gG@=lm(_+pOlcU9whI?I4d=
z>>mBF4u0Uja3x7cj${pe=mi*6(K1F_4&H-uRRX*PDUbU(pCoagk{PDcdbU8kepV}%
zp`p49Jh>Ag>6W@tVWxuetBz6Icwn#N&?0{GCf1Z)pUL~iEZfFo0q^F@98=z@@;%13
zZ#xdWR+0D(Bpq(`EZMX?<*nxa@83Cw$^>1Uk$>sn`Oh!#Q7C&Wr>HlpcFij0y<>g}
zigR7otd_K@SV{T`07+ey6_&}KZgJ9=v`=Mw^MZp@;Z#7g5z{^JrC)Ccm}SXT^K^sD
z3&g*#@!P0~IG8Uh^esn6H!43AHY~b^%s`2^i){0OGlN=1ZZ**nz;AM-=XNQGJ+-90
z>a6hrmhSQC`3e#`qBkOD-dgqaJmFC@Syf3FBAOqPJ#_m@8qvXKhSxmVOmB%RxdbEK
zcfkXAMyqiIb20gjwcS(-eM+|&_;!UqT@>vAa5TEpHR}syyP`nsO5Wz%yP6lm%25{4
zz7_=~kw>UlZ-31y+x^uIt*3B%SB@L^arnRYx5Js+nxeW?AfVG|_%4i3d*3be8UJ<5
z`}COZgrdk@{C29^diU-*Hk9+FGJ~=_{<plEE{ve3zN}WVTkYaPBET~skuqSw;W@6W
zrqkay)leeA8A)W~D$&*Fout*`TWd{faW-}JfFV$0rQZ^GEsCe|aWuz69rhkUm3%y;
ziQPAD&6>ISdLgJoGuZ5&)YNP=As!2bOUimXBNS6Gy!fc-k<VQAFW2OQA)pxCnTcvD
zo5F!lVW&mxef`MoYI^iqN-icu&K~~%`d_ofRtEuJPbQ!KSK^Y9oR9i37}|Ll7Vn9z
z#s5U;;f7gFCS(Jmk67FmFln~Ycrb78qderB0f2y_`5<&Z!DdC8ArZg5T`2U#TiZPq
z{*Z-lXkC^l^RRD$28hX|XpiLS`%2qVzh5^&omuW44j7LxirQhj%2P%>e5YTuykfZZ
ztoCdu7ctQbE`q6<b46H-Cg<U`Nug~V`Tifa#tZvur}Yk(_Q-Tyj(Lkzc4zsWJoF5Q
zNO?K22idpiTkB|{iVRLkA7>{DgVeOtuUKoK@YOHBC>vzgzFs$V9uW1dr50sxQ)@dn
z%Lb4Ysol%@v2(M2wCEWN7XeNu&x*z2<EKwhwZ)VS<<~`!<(WX2FsMI~UNw%VeODDh
zD&ih>frg|{L1*XK>NC!w;n76(G>EP9P(4plws`!$hYoq3V&wZ>I&!y$kzaAd)#}JQ
zj@XSP8c@S2rKo2qHpl}=cq~sf*7eN#%-N|#P5YR<AdCBQcZKnfqsyfb?=hl;oo?w|
z6<7<wtRZoEq9K(X>_(<1DUP+@?K9fM#SVfctKgT#6Nr!cbGhQ^pEM<6ZW744f`g30
zUqn*eOr?_JFY6<DU1aYmOc6v@u433SEpvmA>6tBZ#J6&R1uI?Q2OsrV6@7}`J5AsI
zjK=nkd9LAlYSui0EbF<x!k;fL7~igxY>rOCR>Z05E<26==UF=Y#Q2%?s3DczDWxQA
z`{uEda4SaiisIN}uexJlnPwr>5Zw4{$j;u+Q-hUx@no0aw~Q87T(D2e;u+WGt##gg
zK{=hIhK5km>0y}y&~Jy}37*^P{%kxOmZ>K3KBrqXhIr(t`2K#UjnYmjmfe@~6|gj6
z&&S!pz8j1}9%sT2Wmp*x`RtmDsIt^zF@=Mx-gpJAf`c|kgR2OLW4y~RI2yHCCPLwc
zO0nh>-u2?GVW5B6sOVt|_jN`}E#1*9JI0s1mkWrD*TGhgfthQ8Xn*Ue5BP#U(hCsS
z9A8zqyS9gG!yaP$=xn<fYhth6s-!-;*?n?q>UN&9lXkb*Zz)~^CIr<9S6@k8+<}+I
ztuxCAM4nSSxY2AVEdMf<adeF?1`Hbg&g}#>QhKZyA*Mm?&RV=>Y3|kg^pcf}%gG}y
z)TvC#O70%Z!96_-jM5p|oyaAbc^7Zz(wBaW`Y9Q1jSS^@zsi_3;sYQ57fFgEon9V&
zIe^30$lWxDq!o|*AfrWsux(^=3kOB7m+QYmZ0Ct82_n5_LF!-XrZXe*c)d0hL>;zl
zha;7nf5^ow9W;eeP}Q1!5~{=V*$*A1{@?BVZ1vcg9Y$l!3<I#5a7>SADJ$NBv}CkL
zhxd%4<3R+;Aoj1FE&^9i0LLWaj3KHD2K?z=@^;*u;*AeOXE{2GNzcKKwH5*{bTc>Z
zIXfTuWbHDdf%C^A1h6=m8bx3sy0<OUw9~G0G3YYuTKp?qd&Lvz7YV{n8m0tckbQ#V
z?kj|qkltv1TDM`~o0>P2P&An*>nx7CD=%{K3EH_4!j>Vbk)V<X^2}J;xjvI~DyuzH
zeRB8YY$BE(@@;we<s6Rt3^e)0V7`bP%|%~^ul@@L=~I8qe8oQI&D}iI3z&LU0Luix
z{9#xKSAjtg8@pB=@cX89(wkHSR}bGey*9pm=A(bl=Fec-Q$^>*IaE#Ds#cbXGQ|lP
z_OCflS|@e|4-!IuN`&CR?Xxnqn$k7(@9WL`{i9Dv!qYXOm!lLDJ$S0;UoFczf6`bK
zn=?sDGd9uZWc&6Uq6G2M5yFY?b<fW7N0tpa&EHtf1P(C?kes6}V*Klg(*HYEo2Bs@
z`jY^2mK-vIkn++@djvo0P4$Wl^XN0o)QkH*mFQWlb~$s>%?M0KUmCucL1NyiMUIWA
z{ae*#j}Hz;g6M9c-EIETVq)B}7P&0dOj!J0>y7qkjHO1b5ynM~2LBthJ9?DC=v50S
zhOv8CJOhXlzK8BA&96{OXA`s5p#&_-NHdc&o#`#?3T~%TT0g0nP*qF^aE=uEK*!jD
zoDItDUZ)M+<Xp~z-C{PS7@n>2g!VB-Fj<B$y-$XX50#}j43bQEwph-{<#88s{h$@b
ziVrT+z<Tv`tT2$)aPYhma}>;Gbn|{ssB4R=+CO8K@gm-kT4WlSr)wa&k${p=?L|~Z
zB(fgW&QDcpsYhKJW#ys>0(ZO0eT+N2(&BO8&n>b9I$NhYODAEA6ecIPi4&h}_4({w
zwwPvm=xO<v67-iI)TOR-7L?rB4zQ2uR?t^SgeqLP87m?23OZGM^91ly@uRqlwB^}f
z_~3**{2n~Ulb;sWR%(gk8)e-@k4Q=~0(_KXgT&+|v<&b4zHqL!`E}3BTRsJ?uD!uy
zf!1S%3(r-ABSa$%>LL>_K^Pa*1A+6Y+m509>3xL9?1>PFAPErPH{WRgDIH%YEVsR1
z_ElcJ|0QGlrYD{-hSRv%h<zU?duzl*`IM{JBA!}`KcX~BWlruyS{;7w+P5#c#1{}k
zBcP}RzVw&k(jIlK?DbNH3asOqqmhC2-FEOvekR`0r}FkYB>kVNFnDkWa^F^l_6>eB
z(jPJj)z}V7L>PCxvoSjU(JUL8Bu#*hV(cxhm`yz3_yQ>(YJbsZGOi35Y14Vn_uWe3
z`^Rm9!tn;--M+92yTXFq%nDQ7C%1SQGQ}%#2{C(V)K(OAlN2{`3mKf;jiUB6+4=Nn
z&=^72x{hw8h(fo;<Eek&4fcn9hvsi<cg7_Mnmn4OJ;hJZ==BkgaR-Rrds+b@|28-i
zjdM^$-h0s;m}L%tAutn6O+6=&Lotc~4S7Hgb?y4Mov(6wlJJ*wFM7V(JwlO4`I^$F
z2IgIk>^*%6e6Bg*bAL+p7*w<Y##TID+6i=4{d&rxYlOo|b0&08+yt3z)*n~u3adQ8
zv2J~QI47`*WuzqO`^xue+SNQX`uD6orF--jvasSEtP?kNoTm9;eYOm6(GJ{fjeF3!
zu(Y4umHVD%m)2wSZgs5<*5V|X{u^ei8y3KXQ-dT^717b2$EQ~wMja+l0R4=Fo;jW1
z&U~G<Si^iFE3Q6msiOFH?>DgYX5OfmHrb3NL>+8*j1ESUIyuP%M!?a7a!a7n0Q8vS
zsffr0FEcr3sI27J9*M|qt$Fek6|ON{tDg;NKq#j=7!t_D)!I4Xjipaz*xR6KEG>ys
zle)fdz^Jtn+ZUe@7FWKvuh>vtR5K~>XSGD*!a_z>G08F^lcKc@PAL4ky{f!r&9doc
z*g)bY5!t8SWi*H2JV){vL^l`o7o%BLOd<n;A7zg`SkT-N0dFq{K_tBHVPj1rEUo_+
z9xD8(AeaNmvaCi9&70^Eo=uQtAc?q~Kr#oIuYo`<Jx)?{ay#c8ZKz9o_eiKJxc`l}
z9dD|F7-IK*+up{F9o<cTuc#H7oiPwnj2<=Dae^Bxb?0vYYNI4;P5e~z#xkmTpwwUH
z5eFQCkX{f!F~hg$KSsgqUMV3dio`mPHN;l?5^$w&j{GHh(rz~_UzNK9gcz3FoXHPX
zO06ntX525cy&LAbqrrsSuxqucAB<<}M^`&PZ<+i*3_)Ru?QfQ48OfQDsEu0fY7K3r
z;WKIoVA}PHvuZzz+<j4!m9aP#EqK1In|#<bydm2!HGyysR4PGez9_g5o~Oq+VU&U(
zd8-z<gj{`V$AJ#;rC~{%Zr_sDN15}a$)g$>V=@WfcR0FxeTawvJlP0aLHG#1D$5=W
zVh|D9nQ6reP&%UDR*A2-6j@@6$6bz&EYj*Oa3}9vSiz=rq;A?ibb5^)wD<^(eXylB
zzNP)E@e+$mXv(b7S;CQdmtdYF=)iDq2t-=N8qYem*gkl|yWf?*20Mm%2t%>W7|h^}
z<f0LQVD)#U!b*deQ26bMv;#wbQ2m#KqWPd=pHS;MqAr7<ygtuz7@8B3v{IQu-eQmj
zfHiJe|2W6kxVr#PY{pNe4H~^|@hqXfaM9gViJs)yn)v&XdKJ1$TQ<Gp%Fb;<qF!2{
z4Dv&ho1=Gp@7diM$0o1uu%;qXxB0*FyMVo(1*IW<xlY(1)p|;l3HdMjZhS2eHR<wA
zY>R{o2JjM%BsiSVD1)$2E3M5+2RmEnjbp*l(xyQht$!#oiI3>l>cPuTmuAlir35vJ
zQM(5#JZ;{BXm7kgZ)5ggx3vjzf+i!{ixKseyn%m$GH1FPG6R&=;!qLX?E7<`P=0r)
zmA-xcFuHFE<09mv%RwcoZ*rK+*d#N0+@ehdZA6mr+ki^hg+4QlAHs=0V$}I+^~s-G
z!+h$241u0IV?OcnkqlQbsx>!DJ64w_^tqxFX09lFSOg{zxGQ9AAeC??%Y_y@{6ZUn
z+dCe3`9fd?p;Y&U8RxK&`HJpHiRvf-_d4arnnNjAqR<VeO}!+Yss3Y9PJhvsk7^OA
zTauD24f61zMdqhB<x?Ax#tTI@(ZI`N^aT#7|5^zS3A}y|{5R|XgX31HNN;auiJu*}
za@tY4JHnQgh=32A0VNOsT&wKmS?-01Ys}?<nu`MmG$qWgJnKi=h_6R1n9HKxs1&Px
zAjSr6%mWqKC^bg_^vN<fWgX{$STIxU5b6%$b7izL`>4FV6kAIt6>OWRT!{Zj*Tg4q
z6M5%42-(myE4P*1Q~2`*;xY{kLpi)jMJav&tA-wiI|LXPW!w!~mpuuMT#uCcV&*9n
z^$tHpf!OXd6S#(0o?VpqEZ#>wX1(u}Gg$w^;_T`)6v&PBYm&Tn;xvr5k|t$*wI&M8
zwemY+vsF07&n72k+f{o>lZ^J><N3OS@A$jj?hrNJl)r=v$xTmFeTI*=J!|A5CLdQ_
z0@AWUd&WhbXU@%7d?hWEhA@E2N3cw8mYUZvondTW>Ep-TrK440fO*j=KNCoVfa%qU
z_e;n8WGH}i;jI2jvA-5rdq8r{#@CE)T?c=x1_?`l)xsLKbMCrHFSp(3R0Sp(Xn>-u
zWe0T?V%*1Ew)zgSPIReiH}*ZYwm>DE3!5M~;uQktJlGWii(I$)p}7LBiSDJ{$)8Pu
zVGB6@WW;6jq!8qb=m)W^d?eXXNH>hitisvumh*a0fe+Ml{crAgO^vzCW}WLaD}c@1
z;eM>sc6*$cVI<AZacg7Yi1afSoBgD%$hXL3!?`VCQG#?=D2y%G@KJ8`KO2Tez&8Yo
z{upm^&l35@M41#1b&sl$SX45;CO&;ph}ecK_PUP7qGJGS?1ytPXF2!z-Dyu;3G<`#
zQnr$-8$|#}8+a#)GA4Sub1;LtF-4S$o*=Y=f7#uq5LJInn^$Goff&{YixM^^GYC3>
z;7_eqb$JDZ)S|6sxh!f}i{YB#8UHq$d)z&M?>@Gey2~7aLOR+v?DgWBA_Vb-?i4Z4
z=SUFNZ=sivOIoEMAMxnDfFg~0!`|;5Rk!M5(G5z=gVd6Y3+k2=GQ|$Rio&CY<X;)&
z!gx)OGG1s}(%8UT1C2hnEn5U$B-025v>KjnZk$t_<!&|Hd2TezA}8U6GVYWM_Ip#O
zvqh80KO)yQ#Hx%4o4lI{iVg(f7A)zHvZt5ZUlAEcVa~?A(hWd+jIFVC*m8YDokzyt
zrzvhzNFIjGGQy)tsdw71+j%DLUQH15<Ko4~!}0V3TTDYvC&?F^^LhrR0+|Ojf<d~S
z8KtkLMo&}@u;lr`et1A8B#cvlJwLY;&nFFWbevTjJrXf%!p&pYQjTiwPNZ-higqG2
zqV7hVs5!?)41oIh%{Ij3ur(W<l?1Ydka$#iz=_YA*{~J$>HOK7GkdnlSnJ8Fp_~;j
zT%$pd3Q>gs6`>*n^~}C`z#|-K`GcW#qx4#_KFRu%StzgkYQH{0*rOSm%19;X$q}l-
zGdbD=yxjGM<-Q<wZs*D;c0?Ry&J|>GbMRC$9S`DiAwKa8n|VFhi$xO%X|@6B5;@i}
zb!#@1a0}Dk(%oFRHF8IqqB*yP^`DS-?i|VhlK3DOXXT@!M0&up8^9G!1_44qGLv#S
zFg)IAJHgBA6b68!1a@IL)NAeW9(R`2Zgugzq#n=TOAPxlGAi9G-AMwy-IS9!<kYcf
z_B3_<X|~zD)Q7Ggy^Pqg1+dKHmW2|M5@^O0eh4g+11<RTZpPCd0U-j(-MP8zRUBNy
z43qzrr?qd5(X}Jz(_Yvl!1y{0J#M6IV=Otq7+D1A40-T~|8U6`>v=)~t>9{7Et0Ej
zMEkC+SX)`X4w&k9Cq2*bY(J{gWhMfdfggtga^L}m^yfKQ*Gk@M=zNgiq?&p!%ff-|
zaI!aTWJ1zcQC2DFfg*GSM7!}hXbUs<RzN2|7V)QD)`6(2nMz>ntewx6nFnPJlb1#O
zgI$d%%xmgBe#&NqLye$p*}Nm^sajO%AzJ6Rl41Kh=_IkN(A3$Utr+O%I<wOlU~A!E
zi?MlvyB=H%d^Ka`Rh+t9{u-}zbc%@gx&|hfwFSuUgF=314Iei8b-Od6?sseYyn}ve
z(a3%L{IvE20FA};XMH66(T=Q2V{wSX8_)H0c@6V7S}Y#_i2e;m@QoHCM0a1@loaj;
zeR2nZXjm>{5`l+0fYBBPyxe@<U^di?<?G^!HhwK7SR^OMlx-(1Z0<z0r=s0G_lv-#
zQ*~l%cNBB5OMQFrcJFDB9!n*AwrYv~#3EtWzg26}Z%@WNGT}($F3MWv&kD6Pgc3R2
z?;n{wu+X@lx%qIT$=qb7>=vnwY%v0WaMKU}zs8zjW7Brm`W-Ed2%{W*A|Ul5-iUDA
z8?Movh%v-2i7}2pnb;rqR*cC?V$YgpkXdxQ<I`!d`LXvDpFJ7$g31f5A8s_Eh0*7B
z*#Lm5Fn-49RkZRWZLK>iF?Sw{FN-AAm<74Vxh2nt!Lc5Jd<AKPO~$ai?@=syJ$4~3
z`*L=9n#j>z?Ani=f#xyGm&W5W0`}v0p_zG~Om5`B1<lEW^jr6&x-M>-V4x-zclTi&
zwQ%*;N5Fy-OgdWuKxIba5=YGW=w7)i8g#I8h^P+Y@_9Ub?~!=&;VZ|L11;G*4ePO*
z`nzn}t26Q>Se(6b5<N)hOd`T2L4|^J$pGL9E+2n!mAL*-O@#!k&oz_^dV<$k0!pp7
z`CXemn_?=J?Xe3Plmy7K7WGh5aI)K`Z}0HNc7lP57B2>E7mbREWHGA#cRH0LQ9A;p
zpASTj8oM9DvlQM7{8gTS8wT}I+_FANqG}O&o~EiuqD%**ov<wg3CA$&C$jFX`?tD}
z$ds7lMQevn0mCo?ld)>o8J|8ix?}#X6Vg&tt34%iI^4YWxq*_hXM26U^pHcG2{2rE
zo^bYn<?Ql`p-~9CTjHl2%emy#XjJDL91J4#WO)OM*H2)Dx+bQ>of=fQN;UrZDa+mO
ztqEj+EKyNwYgz=xYzIBnqPczdHqg2laT8^ki87~kE(-$S=gC0_Df>Z0;cEqkL#=OF
zUH(>nav)ZM074Dj-rONJ?5%SJ?3ZN$y!2pGR5E^9Sb1idz&^V4wxnz%c&Znd^i?XL
z|Hk6%LK64_AUnmw4YZ^XCYUCrCaaJ9qyZZc0Eb&VmW#i0_ym2lSExQqU&0#d6UNxH
z&3(yeOS#$TTQE<)WHN|Av#3xTut<-$TlDGbPve%CD%_jNKP%-%h3dhgb##{%tgRw2
z8l~RyhFcC;!3;iCIzv-igg<V{(t4y18^?u<*)zTI>;?hF(P<%GNP5$gFg1a**{2=Z
zRZg@Awo08B>3=z&QigIQVTXu>Ka0JaZJuI$bYH;%tj;Nt(-u&F;^;B9=DIlHIAIE_
z6a94(>15IK{Ik@Ggq}W_<svIyqDoN2){Ga<&Nz24;APPyG%MPJeRmsIRe7df&jP*n
zl_O;UF}wYMz{6&)C2=r)Q?=FpiC&|rdwO#zfg5}q<Jf9myxTsf_Y^rX3KA;`8dG$@
zbUY34GiqV&$9f8jQ|j5<v5(obKHz>U5-IXQy(JDZArZ3aLnkyl8lumJe-caJEX9oJ
zyz5h~vk0f|I>VW}eLCm;3(lH60Imd!gkj_kTZyqM7jhR_LPk1FUiel%&+nyt9?_R6
z{Zi&Uy8iXQ-c0m~f>)~BAW?4Nf~2qtmOwX<s2x5ZU-^w(wxC=@<YsMS%N0p@7I2Hb
zX{}O6zPF8>eb#pJGTjIoGxumgDX&dyx@z}+^|~iu6Jw2%7g39MP01ksg;ZNC7@sUE
zv4(~WsPbotJ!jq0WF0$-#VtY8p+m%eNr5yld4c@VrWkh<QBMPgYVr-T<h#tE8me!8
zAPo(}ILr#_?gjl*k@eI(tVWJTv0P9rTev-62ai^uYc|OGdF9=oO<KILL|p4ai&L@L
z{J5^1v)2Sy<7=<nE}h&TloN4hdZ6=2&+Q@OkMK~Y_IdCC)^qr|RdTBQ^ZxMr#EOj&
z@TG=X>MWaEcu`8|f=Xl6Ze<4*uj2}27F*1O;fOT*`8a-t3b73@lx)S{mp5RILZ_cQ
znuq6e&WK=7Pe5rHQ+p1z34G=3@9MMh|DXd;=aVv`Z?y7GWGtf#%UTGY`t;h|Nr;E)
zE7S3DdEcEN@y(i8?qyZw^BW2HK*kl?c63TeSr|_abcv%#hV1Z)mPuZLJq3;gzwdSp
z{`Sn>^pRSZ&#6!4Vw<o{jpEJvFgj6m;>1sy^pHVMa4nt$MoyYZQK-Io`hDvM7oN0M
z$i=Rwy|H3*b#f%iW4thA4kmHdt7f4eKqeJmVaOJ8&a0q-dmDITxcY#87~a<{(O-=n
zb@K@wxx=6+{2Q*@c1)lSHzD5A3nhnj5DO5C(Ux?+Hov!1Udhk2RUpSS3tGdR5&TjO
zX<AMTG&VqQ+gkA60^H*??Xay&C+mUnB63Kh6YBP0O5>Wy=;^f!$^J5_*=vhii2t4U
z_JR9;I%!a{MNzZ!A$J(#U9Q+U8ag>%>@Fw?mGvMfB!Ae3i1jso`1g(Pi5X|^AlaK2
zv1#KwzCGJxkB|ji?%$$cUywpRI$^!m7#)vTY=4OSu4e6ve(F(@#aMQoGQFoDmgm(^
z@|p?nlyCR}QL1QP^{r=<#VeRHm(^mx1pAd7hpd7xgUir^#3;rCziF#Nk7+m7rCPpI
zfTw$5n7`Z8(FDZkheBXGr}(32bTrxUPT8Z>l?M(};3^Cf2oRMku4lTV?k3P984lJB
z%HxY_QWF)AfbT=AM(>5PpjX#2J^hsvOO~Y!M~qZ7nLkz5TxXSw$OF{zwqhbF`gUqY
zb9hxDg+qe09zHLfy;egtbk|~l^&$3+={!}6r;Tr~nlW1O8xQi0YFV~6#OS6YA@*%?
z`sC+Igv6B;!$Z{9r#tnzYrHtu%VHE;L%_MoLp&vUMlE6VS~m(kvj?%9s_OAjRIVVD
zUT@NW9$a;!10MJ?NQko#6qM?QbC&KF8+Gt$;c}h2_MLt}-%!y6(hub(scPm1)L9T&
zxx`Yl(r1C@a>1It>}RkxVRyZtYqS%aG(@Z40m>zz)+hGbxbg2Qo4@xwu>bY3Ku!ha
zy*+@b3W2asi2M?g<&#hPh`|(6#u$L>R^)xqoqszrpcUo8;;5iZ(QXgrS~fC_C<AY$
zUsRBX%=6Vk&aV#3E9Q#%t`Md_Rai|XGV9bgf^87U(lY#Rcyb})6(wVHx(!{5yZfQE
zF|tAR8t=>FYwIcZ9f_DXlwSf#1OOzr_qPoZi(G~1{315rcOzs}S5dRoPR^>T^?&yx
zu^0BZ$j>m<)dK7XU{#xfxTY`Ds|(}s!9g`nm2PeBp9-anDl<coF(1#SyO;9wLg)I*
zhEx<vVI#Ds=i<vSv~fSItr@@yxWGe+s7|oH$+2T}&I=^Urt-Ap1)rYupX$H<u_0K$
z8>Uxc1vRsoZz9vkl=UW}w^3RUQK+3tQG^MARC3OQcv}YdR?1q0^Yo}cw}KvSZ3!)U
za000C7%fVZ=^#MVD^y^h4RN%Z*WM7`g5>h9T)-&K^n0f?CE`CA>W#IFm7NQi(`=D&
zPTJC{obKyI!ZWsYJwQDgnnWBuPojT9;$s^Cg0c`9u>`J8QipEsr)vlEsdfFf!>+CA
z%W461UgfPoi-vV(_?wF6Bi-ZAj2yX*Lr_OKZkENBL34*B?6(s_7*hAlS4K>OM(QUh
z&^m`^Am{<P6pXo+K{;)kW+PhrjsL{x4Ze^ei~%H_?I0M|k4R{td2jXJFV>IWcbD*x
zA8&ufxM&OF;rTxZl_;2YdnI-IL>WzT+(aM4G<->w7!inraITpo@D}L{!=|S~B^8RS
z6}8U_ndk&9>UGJ`Uj5}t2%+cH76`E)^M-?m;A-xVX6b4gW+Nd~*@$WSZV*+MUpwY>
zL61BRK{&D9BTr9RVXf!0;XzlQmavTC;Pzc~G#F6AtD|&NJ9;pu;XY3F8q)w*+Vqjb
zxjCN70FO`+CY@050blfVP>5TNEyrU#T#!7oz`+J`)v?YxHu<A$2Sk%lw6965zyPf5
za~B2-cp`)Sf9PT*9Y{U`rWi6arm8VgJ6M^urxmI}f*a~_1y`^&s5I|y6wPEF00+3y
zGgxV^zVfgzOL11Em8dtw7Z2tgQQpzLWaV(84Bp#>z)B&!^T9{cO}yYzqps6cOF+VN
z0u+xJRMWclyB<?i*s1BSs0x9XIq$Ylp*-_KKZbRqu}?>#UGB4={vE55JrwqkbIGB^
z)ajgtR>(Muo{wJ$&&2NrsMVk1d%y@=Y`hN9ouvHQ`NRrDDPq&0ZZC;oASi(3U5O2!
z3L!E2D}08$`SA1JLYpn03@Jo}B9)bxjdpPB$C?9-YtOV0(fW@YVy~pR#RJPB;pxa{
zDHYQ-b{{()xSc4fP63VpFx1G?jgP4|a_M<Q>0BMzbfV%hCRs0m^@PFzApZ$~LLKaz
zt>cR0U*}m5qP7-_qpxy#5;3z&KCf~sb=N_s@n76lA5^h))<<Yh!19&$DJ8GSqbL%I
zqneG4)oS9@D~eRM^kcUwHvwyM7)Q!O^;@)z#y`*J){CTf9-daP#ae|48+q|NGJbwa
z<8>1cSv7h~T3S)>oyNn2-!2<;+z^kjxmT7bH%xuUikpnf_UVjcm+eF#i_D^lyROzz
z6-v-kfhlX=!j6C2cc?0ZJe9+4&_Yc3z?4D}qlA#ihh+J3>f9Yotd0n;-j&ONSfBvs
zR;-9_66Kjj!N}5J!jA@|@qJ4Mv;D@dwPTP0IuJcbp=nJ6MbEf_oDmNY{kt2rUma{j
zh$ZF7d+vK}mJ<VFPM^oDEx}p@ETkY#Lj-V|=^TmpAS&x!_DJA42atAhSZJzKHQl1r
zF4ua|1_}Q?+J<>J{c7DPw(rl>mWF(a%1-zq5V*G~-8d*oA54H8nS<e91<f;@ZY_EW
z8$ih@jOe9G4Yoov*7UwZVpTC!5QpY80wFv(lm4j}_T*=!&d68dKf{BOXKW5)vrT(m
zzq~wwv{m5GArFJiUp8T{1gjEzXjXkfqS2vcgB`?-dx(rtda)?SGdmh^PY$HHYyJDX
zV63m~XZ_>Hl6lD;DIBn=$cT-5Ocg|y>T9J-rH<cK)_AduK>|BMzCY<&E=BMk<-zKz
zruX=e2_8J?qhD9~xX7*`6+E8t<l46koEB!UMt0F!ld;@s;}J;IncC@u9K0{sD1!fS
zLTOcX63JcRXS+L8qzLOQUaxZIK0Uh`@&EJY6GCex1-t6%qS<!M$9Z|jeqQslAlx>L
z;+zLJP$|B%$XEt!O6_V*L9n$E?|^>;8DfwWgzlW|b5hMA()!oC{Eg%Kp-yzn#%4MK
zXov%2A7t%SiOxrW!vhbaX|ZLK3?T8PzV1uXu^5Q;q;46wG9^>las5EnHV!Q@Cv7jL
zn`Rv9P)lyFVTzzj5D`XdR^HW$a}>&oLlpYNYD#5!7BPf90uti8=ls!BAKqar8Qe%@
zCR)pTNp(!&0ZhwqsvVaAC>QyPQ||IW4DfxkxkZfqbIL4i)<JUfU4i2PPRb=6&I(k+
z<TdXs;V7G9=e@$L?RKFSfWUz;yX03t?rn?Z7n_8R2p=d_-_VPmwzZ)HgJHWd7}+DH
z9o0O^?v$bdNt^B4H~?Vbg|^|2NrpI0U30AI*Z4690`oXh&Dq0Sm*)K2*4*ng!qcs~
zH1?(hk9|N^w6?~s!{i7v@2Uw{N@&J4-vKUp>d|QZ_8co<ylClW#0)}VE~o211Z1(q
z^h?kE*PLTg`z(N3w?D)$FvG1)f#$O|D{M=8Ge#UlNU9d^wDTZd+Ee8gaq2z9{h5*N
zBdMSExX0Hlnh5*0kn;%*v#gYIxsqT04}(s-AG5NAlw4?Bw=!$i{78V=@=>qV^lpyW
zPt6T;O7vgC5Q*AcqR{ppa?CP&VfW;ft3%_Cj%$BBn$GrS+fAuna|9ZSaD$#=MyioF
zIVbA1dAm#|7xR~1AaQ5phX{N<f$1Hp$QR4wtK7y!dW@nL8|O^gaDZfo3ec{5Zc#z`
zKTJpznzPZ+|5eYjK5ijv1cd9y0wcDV;R-~r!PgELMX9(t1?|0!xn5ziWa2g)gy8<!
zZ+%@D|4<@tEJ{lL((|+mF;4Uuv>Th)8#m^X5=4A2kG|TV0Gw9u&1FwewMpdgI9RnU
zH)-c}on9tHg2-9t<79O8pQL8jQnfPw0A=)MXxhrgEP4~o&JQUd5}EYEAOUC3)@rT0
ztCOZBWHuGp)+7=E8$=#tM^HUGQlq&ofnQep#)st`)(t1yb|=8KUM2BIFcO32_wsY@
zQXj6jcqq_%W6q&U)Q^vdB=r2ayn<|6@6;D2&90*I4WqG}*QRjiw^+QZz~7t~ns!Q!
zjejuB5sG_2OepYhJQ?1!aX|tPj?-y(L9c!^lFcBk!ocMrQcv-lm#rAb`jj)ovIh9g
zG04EW*ZaTl!whnXi)&iJ%PYVK_QTI;)8)m5Kvj;srJH&fPb|#>wvcaN!>Gdf=R#J#
zQ}ig&4LF-xZv;_u-Lp|cz!H&LuVTdbU+O{A11hCIWs;=N9Uxaot)N7^$fT?xrpANq
zp_}R?d6cj(`Y-yG^`@tHOPiWF_nq%WlEuzDzHDdHv9=#c1O}5OD5XiGw~Oke3Hkv!
z%{vh}`!l7g1-Lk~6?;`wWgN8<V;CXg|Cq-368`P<B)3U>LgSfAb0yx&T&74<ktB+|
zVR`}@17vrEq#kWDecHQs%CY7%;pODRT?w%j^jC61A$^b>+rwcwIQ7OsY((l_GM$K~
zN}Y`tjSrXtKLRi7FyzZg9Ea3xZu)<PN`B!Qdo8zx!=DS)H!`E??pL_aqj{mc;h3Vd
z_q;nU2fAjNqBO`2i>R=)$b6siW<?^(I3a!;m{3oj@_USz5NN_cz~n*?YJ}bY^E(Md
z?BhRCQ;ZnTSnC2~yvH;dxz`zR_^KjsAO{S`9<dD{>(PgZ)YPeKs_8X9oP6NA#?#xO
z&zTtfFwBhEvQKDRGg9q4qj~A&_&M)9CJ6G$KUo~f!VIT5TaMD`6|9UcAx%G{(S67m
zrf=WwBjz&6qI4H><cv6*4M~;kJPoPe`^oncy-0a1u%%`iE&fBhS(y<MiU`$=P@dq+
zkQSmo*-sR-l15P|XqgOuPsv||loMK~f*#X4(6ZcfTHW{WseL(T#KeC6%x(oIxP{yW
zzU~Qs;K5Ub|J%<+4L>H;^=R|Qb{trm8Y;5V*pVdsAF1~7H8VDZO*(fhZrvW4>>8HJ
z>T&^qXL_~vCneJ+vo=>(oz?_^uT?JseW6WAFd65!fBv@e_-uC|5G0(^nSqd%l7;v*
zMMs=Y%7G3c^<)}x&jrmC+gHZ&pLD=|m@=ldT!BxSt6{W@<+l8I6P`v9gxe+quJ))j
z*44y^1ttp7s06=rI;-#lq{GoRF`B)U)i$+9jlylSDkjOGZ*3JCBe;rY(V}0e1Bn%8
z5m&mo8K@iHQ%p3(-Yn}+E(!anAkJI<&TEj%|J{jH9FEm%L4k+K{)-4m%S{fHtGG;B
zYh@e%BuRXF9F6+IV)a~l2Vtq=4V`9UyP6X%|9sE&`l%Yu`|2m6QjFPdXzjQboU%gc
z+>2p{JZ9iDjtGz#S^YZ>^K|)zSakLQcz~C!#=}y6WB4l|jkW88hF50beT5}(;30y$
zZo!&Tj1mA4g}3>|N+#vB0Xnr?aEnr3=hd3<^sDW2wjTuJ6(qKem>wunW4%ept9ER(
zx8R0im*<TOwoDdvv7MEY%%DT?oeUP|Dn<QY>ao%+S#-2F%E22lKbDQr{PU{b?s7>X
zzWP8<Pl;-O;S%CX^T<ZG+8pp`i6W~j)1GzFgaM?9OKx({f4qo^H8xnt<$i!EOK=K8
zb0Z6JHP5UztY};FRJ-!mdvDKgdtiK&OIEWCA6~ld%MnMBJfM=A<)_S@d;ec$3=r-0
zGgy!KTQCL8SR&!WQWBNv5=MhR3^~uK@J=*hrkMV0y+Bd3b2WCYa!l6h7A&Kcq!YpP
za5dUE2jM4J905{8R?}~j0#hIB_iF=A9?vlaMRv2Q2b=L%Vs|yxEXRh;z0;C$Wt*_o
zen_s5K;;Zw(dK<;f4QH4jnx_6!`rb4p$Yh{Ny@x@`S6`DRfM-bpW)r|wTz|h+=^EA
z2s+il0HZt>linCMTk{L_Kltc~P;e2Q+hp=v!SuQ133STY5$gaL93)p-kiF_0=n1Eo
z43%%@RF!AtFnWp&L2)5q80=3&enJ)77n<0;JJF{vj`w9NOXK~}T*)vK=#TglY8`g!
zCdA0HzEbvik&$~AgXWh3?+45(^JA#Jz*I){f`p;s%nY@$^cCcpWO}65vJ>%rf_)#P
zK>c7Do*^~%J>Xb~oION^B_KAoB}W{nss9|4a<*9?3Ue5Z#rfi3;R&jX&FBP~1N*CE
zOcR1^!<5^S>0%A<A2A@vAwyUaMVH=VvTK{H5)fd@!PLLvXXKP79d(s>0un}I{@6ww
z&}jOL>9K>DYPMRVRV=SxLTAB54y`&S+hR^&mJKS3zB>~*rVdi{#WS^1Zft*L@0?U9
zu!|#vq=udevLywustcsRodYP`gUi<E&iCr0Nz@jkM!kyhaVVU<dDqC0Yyjqhm0-7r
zB$>l0LS*rK&aLEd$z}X+D{4`e2xRRk6I{R?gJdPI*RL?ykE9Wz;JEfub=x;Wbd5YW
zVSZ>);KdrGapqUdp;ogJ>)TrZ*;!mUo(DSbUs09~rK1BW3=s6p2~?>U*mU=)JIdO`
zN%!;+VS)#zC7D^gQqz{G1B==R4DV^*yD@S+%LDWfO9AyBISlMt$Z=Vn7tg(3@cqB$
z2eo2!m&55&Tf$be;hdQI1ll1=G^*Pj7l6P?&1<ejZ*r4@%W-b<9`d3^lAZW;c9|fp
z-C;NI_{(pK9F+xS8)^yswqB8aLjGqBGAJ)1S?pWfQWP#DZx!15o9&QH+?<B)W>9)b
zf@YK&dE&*b4uRlWT8H5m+r#WkzjFY0XVR`sF~qXrcRNHHuA})~cn0ZuT8*QnqQjTf
z*xjV_vZIU50`U~na5x5uNw~CqJOUOvTq4PJZdh($vmJ$+Z_-8EUl`LfC(#uH!{*jB
z&)t!xwlKj#My}EpiV5pUs)%metYL=_?ahn#?c~Ksyw8=gETj9HLmNDpyN9_r?RYp$
zZv^x?H{l(xF><dmbxLy7)m#$%I8|u==A<3~aZLzNz{T|W_aUc-7&5c+eSbr9y7V0E
z4Q1t10N*~o^Y*~xd=xI|OIYF+9dAUefpGgGaJSBh9^`sF<hog*+qi?c{^N3ZF0zW0
z=yJOXY^(Z3I$qmU;yePUB;}bv{tWOV%~P7_o+BChMfb6Ef3Ug7w>54V-@6Z>$8w>v
zx(Y1ZXJ9*^I3p>jp*;OIbFb?lrQzRpsAZ&#h-JcGHQ-@gtEa>+B~8NL=ETz~ddwC=
z5-iktyJ=_p6~Mf@nw&B2!3e;FtGBMD)I&#dR3>3R@O$LZz6r30+ER{3ZA#mzS{SqB
z0`-av?;Eh85ere|A;x^8!i}3j4-GO{wspkG7lR(mx|TMyOv#fMVVtz0WDkp3TdxbT
z--+-YYnEh~gzu<nkFHMM!5L6%Z{Y*|V{_P)l|^GhhcP=~IY!!Ka(2czc4vN;O{Imc
z<DE;a?Ri$Xe!w*Xrv!rjg2f()%_A9Tj31`v!hjFHC=VdF0<7Ya@0wI+MZ7?mXXYUu
zHs}tZ73U3O=ZCG4830XcT@wI}T0V~};e<cRz#CM?>tk%!n)+2oLfC%TlM*wR&@E>z
zzje0@Wz+W%nMa-rdr~aZ07dt1OTln+xy9R9PTv_3?RO>y+XN2pZhCpogk6txAoije
zHT_`k)1gLULtc!B=aV^~h!XIsH7lTD{is+YxIQuftNh#WD$?NTSIl(S(C`Qx43Toq
zfLC%`UfamT?4fwl=g<+X1-k8K&o>Q0aqN1{*+x<b=m*2VT@u+bMHo~memPS&H8R~O
z(GV~_zm;VHZ{%e^gA`Ub8NZn~W@3B>85^V}o_8lV;5=`EcrfN2up1(v6Atcl<QG2~
z{&2A4zl1fdI=R3!y@9pW<Z^m%_Dk3X&Ti;`d2As@Rfv-}E6${8`<+_pK57$DA8{=K
zsC)}EK7@yxQ@l-dHBTmaWg@YA7u$R${8sS-|Eb)d)yg%q%tinzX?rfIo5c8g<*blV
z+sEye?&R4N?;v}kWHry2cT4#6=7@9?Y(s@)3o9riTyb#7gJJgf@u>YMb7!>9g`{@;
zUq797W3N3(VZ(N^E%#m|m#7^#(Jl<mU5k`g=HXb8>|Uqz+ZLFwKJGgZrzgkimJ?yX
z@F}W9`@Xa0u~_?L<hH|+seTY*i4FNi{^~J(y2Gvcxft7wk6I!;t0|{ICjN9^SG0x-
z38-j*&*I+s^IjTe31O1CD(T%wTP<Zgrwg+PkNBtEeg7QUl0Z#-5;CYjb50nBT@4jV
zbBKvYk@{-cUSXD!3B1zT5gWMF(WUV6Pu}?e;M10Ieq}ev*Lyvv=0f$^q#-y=qfzS(
zma130aJ3t%!Eed<JlypBi=c!YFQg$D`MJiLHyCo31POT}m9fC1H%Z<<hi0v70k%zE
zv733w7XD?AfxMF=V+9P6>iCF3iu<1FZy+OoEBpzC4E~Q6*kxmOj~qJ9`b|%YDXWY#
z>Ev$qGG>L6*!lcI=0&eF!0bx4GH;R8%3`Ky+jG4g6=>I)=o!a6d=W$9N!;4|sSAH@
z0ofj#JgBYY$rsbR3#*Ms-4YWKL2gg?t-7B9Ixjm@q2cc5|6`#K7f-}t9PcLf{NU*O
z+QJR{!}gKPW#tfjP=4w0g<usltl0*}3%Ty;rUXTWfAb{2e)_9d*Vi07J^XHQLIL#!
zMrc3zxw~AT<FsQ!V+{)IANCnv#{;6@YuO`_L4eW2gxeAIb}VXS6^N7Y&_@O_7*(n6
z7~Z!jZ4gVtyRtjEl)thg)(r>nJZ@+jtPMb-4jRx!35*foMsyaG>IVl@L#Ql1Dd25m
zRg>&oQ7rT4j67I^mDmVV+na>#4EG=uNndZ$*)<WP=R#&cCiwEuPL0@g!ha|^Z|R3J
z{*opdhVCG?VG(hyfEQTJ;3bPGw;4?T=3pQFRdI=~3{+O3nvy9!W@LuzgKWZ|_&2ws
z@;z^R0Fm{%*EsxGWr&h}L<>cCA)Pl>Eu|J8l!s3}i}5T!8Cl!UWFDFw;Maa{66uQ?
zYtLm#&w_AD)2YFN&()vW{;hr}Ov#w`@iYa_Moprb<au|kX}{+=fxsv2(x7hN0FBIq
zk3%|B2UaXlybTET-NxVm94(#v){#^8+O##A)-lg0@32O|{=M8jHO+>Aq7@kj0!yIl
zP8*{fQM!~Q#{E59H=gX-u3Bd3KGi>^E!p#Nr;=`~)Paf}fwjKbrrUwnWTFQyzOD~)
z1aDt;S<+My)5mx`w()1H5mD`vTc$%k0pV}{hP}THB&tht>)rqczjL$%R<4}q5;miC
zcmofzeEZj0X(Foxc$UMd?-G;Z7oE3qO1BL<*2ostBHqd~ee*wg`f;!4!&GC4bOCSH
zFByVHp48>N-RKz`Y_*~iq!%rC;s&5s;%e<uzLLpKOMJJ8W+b0x%RBvR0_%g5>ry!$
zqMMr9st%;);-1vb)8|?p9uZo~W5rb+z9LOM4+o5No3_Z!Gd28L{l_HqU7U^!6xJ4|
zyT3Je-i15rD7cp9LAOdBPLmTU^<JwKiYfsZ#@=FjKrPlW;#AHc#@gPfnQH;E_txfW
z<@9iXiw^9p5cDG4&$1ViX*bIrmYmOEeBPSrXO!$ZO|TlcD#SmxXd^t>+_{c~IV8kd
z*C5(fZP*^pH{hTHJN!Ng<0m+Bf9hgZeLahFmL>jWL7q=4zL%Jj+6Qdsf*{ZNFS+%*
zW@ZZ@f_H8oV!FlCzO1|6J6KY~Xa6^2`t&MtQh8T%1Mg|?V4*%qNXvIT52LMlQr?t@
z(+}tg_;{#m47Yp8@4nxyT7E0IxU(${L*?O57i_WyfCM-O{gfh#t)$Bvsr_EM=s-DC
zl!r)8A=*KMU%(k@2eM0k92lKp?@Iu)qCHXW9ey(O8jy>QRae&6<=pNW=~+1Px$geo
z3_Oogli8946n*s{>sI>HG1p6k&Ow^{kMq=(%~7hX)J#uUzY+VvK4O=P`aSr_P*DO&
zO+^}07#SxfmiS>D3TI2&^YI9Yq~GIr2NM_{`>|pxMk%l$sB4xro#W#!=maMjf6GOF
z^Z25%*{ckOaC^^0wOQsv`y4ivjL3F&8PoO#5yAeIkQ1)TL&5#O#6&LS28I+<qs|A}
z0x727I<KQfm0+={7oQ+=h6f8IVu3|tKuUWz8z30}BKre?NOj{RL@O4zBtD=%LG90B
zI`#W49ctcX)etNjy~7&+$Spf~9<6UiRX|-(zJTIb5mw)em=qQk*tM%gqi$9(l=;Ih
z5%Fjr_b+hMUm!iWR(NzB`l=vbnhPnL&L0bAWuQu`|K=y`@|1ugTYluIj-Rio_P$oo
z?P3Ro6HQQ~Wyz{4u)#=|o!+PEkEz0?AB%I<^T5vW>GYs;rdmMdO?b+KkvK0tVL59j
ze<+eYTGpwPJbEF)05(9$zx97Pw|X@3@^g1N+k2yt&O#aK@fZR_?YF(;VNQRKs?hL#
z{YCm3O%yLY#ej<%yW4xaTCS)D{EMI0$^+oJq^qZ<3M8;b*wW+JVSiCI6Bu&AOi5O}
z!2gjg<;V!wuRC#~Oe#+EMv0KH*dzz4;WGD?e;QppJt@1kj1@L=Hj+Lc_Lmip2d+nu
zo7t3)*36z=!17jTT~EDJ_;tl}aQO<=Cy}@qg8I~Ci9qmHX|^W;4)IfPMTcG<AZD#q
zf~Ak-<d^T#dcfeigZzU#*4J9rit$)PE8F_ny(q*QXO1dOK(+H1OWB5*0Y~P{`z1F9
znV;PR_%f;a2fuX~yUvI8m{kt~wZ~TgT?y7qV0Zv^w!t`M(z(Li_v->@W;;s~Gv<Vx
z$l}$(si30psEI8TR1o{CP<7zrGSPdv3BwaAq7%ao`2{8{!vPxFWm2GagZxxRFHk9r
z%LjYGRBhP;lgAdZppgVh{sGOGXNQEdk+w-GNZ`VnFkBTP$?nkC*%;3{!jLItAKbX-
z%QihR@FLqD&XzMl-oHGixE1}>g)3UG)$_AZDRAGTQPmfiazAAvgB-rXb}OS@fTyl-
zY^4}EU-ff+rwsrMxue5(2Ya^Lm-Ai_|1GdP)JZFP*8oX{yI$xKvm~8L3UGpx12s`A
zsU9L^7aXwK2&VF?B(+5QG0DnDSiFMCgN8Ns8EPEtV^*`>fqvO+<8|^_^(;Ju50%m1
zCe|-te{4u-VJibV6z4XNQE(JeG`3%6lI`KFt5_PDmr7JL0$isisE7)Nez!Nm;FtLy
z(rl^93i(k`cN}FY#!mtE(ZLd`_T@t20ctqAGA+#Qz)zqrUIEKn#C+7j%=jU|cD9(J
zKG)n(3N|G59Jh?hkjMo6=uW$l0!th6DpJ<2zY?wo!N=Y1B8PYj9g(RRwLxe+e6_E2
zInXPRS&`(Z+YC@{iXF0&${GoHVT{yWWmDw5rTsif7@|O_KZHAe0_bxN7uki`X1i)n
zhur2zFj@NZdMI3b2$Sg%zY~sn>-K6hw)HXG?R9&*4z+`h?OdcLfAPtzIUHt5a$0`g
z4dCPXv~26rZDleeYT34IFILBQ)GxWrJW51Yeya2lc4GC|S$7+IaG_r)n|aF8nn0~V
zJAH;ca)LI^Y-_j^;tg5E4b#*-7zBdsZuLPKQK+N`b(wVxpP=pFwgsRLM+Kp{Y-?08
z&NL2A+FCJ+vT9y|ClJ=M-j^G{N_()@^mOqtR}lCJel@Asn%ibz{L3vI6x-1&alj7z
z^Goz33EQ76h**$?<5qYD+HowneRZL&7CO2B)Iw{nZXp+y&r^HsNP!--@rPq<rADnf
z4;U-pJ@y@lOnUXgW~@~g8T~QT=0KU7GhZ11LVNu^!yP>&(xF6OICohKttlFO$*n$S
z&nin{hMn{N_$K|^I85$8^`)Ggnh@Q^bGHhX%s&ENkh3Zo*RFTE?mx@Y09g@BnlR+^
z|414g<`%K1CQL>;w<9uqSBf!zAG-YPr+3W(lp6#N#U5V%n{%L|crAzzeyWHrcO|HK
z-AzG{>R?4^H$Kq9Y)e~Su0vT6g?EA@)0KANAjEmDk81BCF!9U|tM2hRA+3%u#n}%I
ztFPY2jHsOvdEiGemt>XhEiHFRp_~tYUpRf$X|F5I`Tc@PGZ&l}(kG^trWHE_DIh?c
z3aax*lC+lje@8lKt$#63Eine*{EZZ1AW&KECq4*bAWFtSzdDMFH*e8z1#z-mMH$7B
zITf<aEs%YqTjW~>@xLUD1ZCwrm^nX9@S~B{7RBeGawn`fzQ1Xn-B*ew^_}VWfQSt{
zgX`C~0h8So2Pw#UMvyTZIDjDLyq}AGM@Re0+Gf8+R=C;?iuO<?3e&}9+uMT9<5Bt4
z@G~~(brWSe+0EopxY4eDutF&Xh3s!f-344$r?DBJd$~81qHFo-kJVPuHSQ@0ZKZUH
z+?)7vvJ|n{_&_>-iG~v+598YS3&vT5l{xr^8AQB~-vRjx&y=5L>EAPkYSpNlxAr44
zr1CB+?^h)lQYq}qUF{<#=iPC@9SPYwBsNLi9W_lM5F@ahK44wg)*;90+v@Ohzwvp7
zM9jRmPFpw^mosH<$xW&9yd4jUpuA<kd3weEgf8fN(<*RYLRQDC>m0$m8y-F8ZmqMA
z`*180a9+=X6cr;qQ(Xu^3XJD@QH~*C+g)O4Yc_n6oT<~5?_PWl$k&S&b%v7e1!AOS
z+v;|kqd6sBle<EmTp+IMww*dHX~5!U^v^5pWirg8kxo^|Lmwd;{8w-0p6n7q${FXd
zol6f*!sJEp-znB~Zxnu3m-j&fM8ATZjw1QYwCt%_!71G?11S6F<$UuYbEw?{wmTS?
zA`1YI&BBX7nwZTER8zuCfqxT*tXA9;T>Sp34e11Y_5;5Ld<(s<Om2QX)5nZJ7MV7c
zfrC$chhT23z#+I}17@D^>MK*2AT*Qn%hTk^boMz?Hi9#5I*sec19IKL^7Sp`2xs#e
z{r3<xyCc1*5#+sQw@tbz@ap7S7G0~6^JY3MDIZC<J$qV^c=6<4B1rtCSM-d95bO!}
z%Rajp*GizZmzrq5Sd$d)t@c0FvS?XbDW*DjMN~!9p>}Z5yh4!Z$V4J)0|upk5F{4)
z47yT5<4xRsP&4lRZ%ammeNeh?tCqv)oW=E~)7I<+LEcM)o{B}u;CG|SMpCOb&j?ob
z4;^4XxTX&p(Q?oLlA+5e!}(Z+;xA(_Mx;zJ4E6exC_ZTOt5iC@`BjR&ddlv^7zr8_
zE+-a+XX|h}<pteYS$|l{G;;R0Qk<f?g~Y(Gkzh0noY{ojSJ*FvIy$w>CKT&VZBa?|
zt5hccO{1H2JBpeR{Gd!MrMi91SEiM6lx9JWrZ{apQnIbzFe`w?apwxKER?hA2XRI@
zn8`bc*-5c+uM%(>tP#;!9y8bXadtg)*814(&>(@Ga5tNmMJ04dC1&4})RF5~S59ua
zzX6+e4ZZ;vB6GEXJYcdd6=G&k2Gn^7k*%BN&cR61ItZ76R-gw*EecReMA=HLRp8t>
zHf!KgHn(J?O3D4B&f%S$=+?wf7+Ya0p;|FC6n@4VpgaapVlhMJl$`8a$D5KI^4;$C
z+M`vtn<ZoDGZKh&$Z{gUB}k|z4*E=eXQoFz5MLP#C+kT+6Svd=2Xk20+$x=?n@I=e
z4N;)8Pwx#cIJQ$98j4|bD3PDvOg{RDAL6W}lIs?i`1FJ|CAdpM5LrH#(KMSH@D~|U
z2a69WTkP>bjT@o%F(o)a(Bgw2jGvBFRF7Tm1!{mpv^@uD*u12j`SlK6T!G@z)@EWc
zhZGWuR0NIhHD%f_<Wn(%%lY$O@Y83BR~c#`HbC`jfX}Y(E1Wh^yOm%7n3%DPtwmCf
zihmQ@SY`AaPL@OZ`k_s`^9Y{Sl=UU%<4im@&7f|Z>1DA9#E`M?yQP7QWvf?;sPXje
zeg%ABFja>iAYCL7j&TTI`JWJiD4(Q@e@z|)YQfFjrpdJs3?|vkcKrmix=07bs{dVG
zc+ml%oJvc=*%gwwi_wZnx@f?hHJVF*lO3Z(64lp~i&u#DK!fL?0K`R~qH)o4sG|%@
zg-*LWoDvS$CA-6eFFYk08k9Ovi>R}BKn-Qc=QfQNgpUTfk7fq*Wi1gbB^Q>$_#oQ2
zskLA+Yn5|3yL3!{;p$4z$$n<kHZdu=gG34h6HwE+$jIi(1<ux-y7_FHKb{b1v}Q*x
z2ZCGVytryN(07$Iz;_6FLSNm%NBgW;w?;X6Y!4&*0+*=dpZ3Sg-c02W;Li;6H4KJX
zutlAXYf5a=S&V>wT2g35z2aKQ#0*S;d5Wm)Og$!AL}zU_)ypLx1UN8~#@_Rs0IfkJ
z;FLygd5I|Qe^qgy{aVM4jeX}|@>>pg8U$Ba6}YkA1A*cakf6d;&Xp0m>U``x@Oi=W
zz~STsZ14zCo!2@OK|3(`K~)$d812>JdH|!Kv1cE;UMZH4!Jw-G(T1+mIPVrY#}_ko
zg63J6rOyBdHO;vET{D`Kt>=<i`Te@yMtaB_7cNW-_t!fjY1oP{^lEL9u`5EP_-g8c
znN>HbgdK_00gSh?uq(5S!+t-q+@DQEHZbBLkSf45DKhTCPkd7&N(YU@o>+YU-YLrT
zDON+G=sYGW_>0$f{P0Lx5n_C@{>vIS3oYt#QP)nuD=QIc&e{K!u2&zlfZUjaH!rD1
z&n3`vWi4GhGytTV;ISe#PthI(W9@6!z&tgGot${$J|{sMwjpwiW5n!Er3RO!h9iof
zudrocA|5#LMvcRU4}b@3HJTMOJaJhtcG%mwMKyJwI^j!xa#9`niwVHRbh$qi{U}nZ
z8+AJ^HSpZz07^4Uxns9RQ+Wt(`o<yE;t%vhMS|0um@5AoHa@Ft=vxW*jT#T8v(&2J
z`)BT*acut8U+pBjaHC__?%jlEi}P-bhdv+2>orU5r_pBDl=VC8GwK}%e1eC{rCO&6
zMUYysXnk>FjpUCPXrjd_=|IE&-%0ME6<l6asL=8Pev^H5aW%*lx(OKC8DSffwK-y2
zKh-*F&cPQyJ~U0IiO^Y6v+|d*qz>fztkqA4sMa)EKLQ$2A`K*jrpBur-i?4496fx*
zgNy^-R5CPQvuvpuhgD+;1vuCHb}>#os%&_Nh^l!ClL4Jm+=8^2t-(U+O3@8avBvQ#
zo|lf$5fU!GZ)yRX5lfJx&pSG|Wx2J7`gJ8dfY_~8#nmQckrKJ&2({x#^)8(59Cl^3
z>fnBbSU^>FG?2J)1lrZxRE1&aSWM_lLyPR+_@5|$<D)lmfVyY1L>~81$A@WX31F{i
zM$gezw=d)SGzRrZxw?4B6Rw}0;Nr~b=z8Uh$DP3xEuX)3ObLV!s(2N|b^P9CyK*}y
ziOVv^)}zEzDxCPztTxRjtd2tNOHS}Blq*DCjo=BbqFl2rJE*1Qj=tgr`TL=K94BZ_
zWIF&pNSfagQ#V>Nn9dvv=qfO9s8@EYk|?j^VJNdLzSyxOO`x8<m`X$6G6LDO!MK;(
zP++s#@UY7Joh^wrRT5w0Jb)8t`3J?s+81*c^S&7I)Y0)`01o2d(jB28AQ&>dAEc1u
zgM|F@2^XLM0Lte19ea`agdM7|KOdq)I8cmBW~I|c9S1sCnVZ?XmLeptd>ttCyp<+Z
z?3DOwP_;_IF|ql$XeRfAW5eHRga`!<(SgF4jmcqzGPW$!J&RBFF%;?|z6=Po=V$Ez
z^+&kh-Nanqyv#@mGuXMX1|4^MT7|#SoZ>f|dNEh?f6P6mZ%!nOPth#|=G-zYO14_<
zDb6a{5{+-jo&y*2v`^z4N=-$sXarpJjWhKz9opsP88v17s-s7g!Zx|03=fveti(Uv
z<|HbZ-^MR2E^CCxnh89mOYM6_v1Iv0I4(U|%;{FZRF8oR9JCtE-^&>@?bSV+greow
zyC@90qG8J2yO+auG$Gut0JRi@x;CKZYHZR}6A*a((*;K2r)k;mJwPF!Ca!vblu!hk
zcUk$y^#D`b3A3JLFT4PbOSc+DUI>mfv08zbr*w6O!|&`6>oUU|tk*cBZqO8B(4y)!
z;tmReh>z7uaAi`7SdF7JN)_&KTY+zuC3qBGR9=4GLorV!F0`xIu_iio;h(s<RXrl!
z*22g#L`^(OfX&frG4zvf-GR7n{NedYk@<7c2MOp_D4w_6QEMI$gb=kqpw%a83`0d&
zV93T6S5OKtTadMo?%%7oB&3e)0Op9z<qZ^v{0*rJwj{)RsG|%{gj8{#xrI{Sa+Jcm
zBp{b0hcB2q4{-l$ggaw=&t^5ekS{B66v1GVoK7w7`bnKq<N8!NJnbK^xoij4UD5yr
z)%i+{Tt|_3^!F<}G_Y+#R4D<Rv<CVEag&WF84(uIn>CE5JwE$|Ay-<fhCHh9_RmlN
zVk;Uh<IaM7(_>uDf6O2~k_<;06iZ9Gv~i-Ldfbjr5>|$#MSa3dHaM-j$u?2J0wwnz
zzfelOqggN8s!GQ{df34i*{22Wr8JX=Z%>Qg=nd4Yf{6kX#3(n11rVDm!NS;V7_4DE
zJUQGs3F*428i!Ux-bbTK$L}ma$(_S_%6t;)b@2LN6((QTZbHfQR|FONTn@rm#4bgP
zmvdgQ=2j7Dz!XBTXUho@eIMB3t*rEyPUp~Q9ma&*1!mtbs(I4Us7q{eTkO#n+=5#I
zTz3Al;qIrJ)pjEVz1_VHB@Vd(?2cIWB|ZHs7v#O)1qshqB23p*QUzM|zy`bjAFXld
zj3FL&Zo{tdyrSWRKo&=LmBOLsy2-XIywY{v20l$R(Tn|mR4Cb$XE;;nb1{?VcxA+t
zD#FTm>Q!h7sqq7=Jv%PF^DY3bVq9CRAx4eq7D{;mBbO3wWD(jnokgz>yC&EPh|+#_
zVYO*u&4ksj`YQ9ITig}7b+8xz2wRUIMv`BfyWGQ`JsK8^a<U2O+Ix~#&*4Gr_?8@O
zbZ{t<TJ4BXM=s0F4qa4k=gvTQd7G!kGgdo^)DZ?LJO|v3u0DKQmz_>JhB=q3dvutV
z3fHTM3K2Em=nYq~YDO(J>VPR_M8Nr&nQ{D88@2#Y)YLdSlow7^901d~2>la&W(l@y
z)r64NV(|M3)8%<b{jP41YnDpD=~?_e6;>)ACM=qk@29IN)URQU7Sc7bv3e7sPdVK>
zLFmK4^S}yoRj~H~v>o3Lhgv1gU|i=af;I8NMdJ;ETP|dfPE)Alx&gZOXYSSbePq7e
z^>{wS?DDNU%yd((rG%r6F>xtN1-2SuB_R;IcY9$2s4XJX!hkZ8Q4XHZ2g<FtK@-gE
z%O$ka7Mm$uQ5a{18&i0Y`BuRb14N$Qop(b6$d>hoqMq!PC`!r7L{g@Gk~RTThh^S`
z3#QEp9s_vDQ5hFs01Z9OgsjbCq))ruE`~@WY6*JM2(xt?X?_28%0_CDV^z(DKvE;I
zoKZE^gL@}g$hli^(<F%6>2Au;tQiXUPqp#_>ir$^!<l3w9Ifan3s%$5c127e2$+{s
z2g~(d#2*1+Z96@nG|>IUhMUk2RJH*UunhV|u)j@YOFW_#3o;f?z@_O;cqP2if%!re
z1EAb|KWiURP}cao;L4&2P^9N;{JPUttmxa#WiYJl|AhP>!76QC50BafF)Jcm#bG8V
zkx%`l!XCbU^u@shtKO>rJXpi6sGw-Az2C|6z)GD_n6`LT0dO{}(E!dOzlOq(z6#w%
zP-6DgSm!}mG?38~>=pmAj~5CUy!wh8aQq3DhH0kSIjF*|wmLq)l9P-f3PM`5)+{)D
zR?vk!Ke5lZ2`cdN#iuG&Z4yOU*dq_5m%Lwuns&I>y3s@VXl4E6J$<}cK9FvH`%{3u
zu1xwPX2M^E6<%R*EW6cX2(XC?iBRK29Sg2c5w_8B&g=9{$22e-e&iXvaEX|SeoT}w
zewTLr`d-HazfNfp)}uK-E<9<QMSQz4lb(&uwy}Cny8@fP%Q*z3jR-XHkc4&B@+XSF
zyVd4+Vfq@gjKRfy!c{f6xBy0*tcysXySPwA3z}1!=wZ(h)agA|n_vFfGTK|;d~qHH
zu~9lvxR%|}K^9s~+md<~ciwv{j^QCn+v47V;g|`#bsNsE4e9NR_1HJ<_)p$9Ec}K`
zJ&?;I$|(wf!+LrF1)301#?s}C%HR1bl$K;%Vg-aWIG@0FSt#tS=qjLrIi}-YZw-|v
z))%@0b9`K2l>E8<)4z_(LZ!y-vvD2qgsUk;0;?u*y$+B1dc%byps=h5^v72a`~71x
zipEZO;XH0D#b+A^Zk6q))_5Mxp^0j6YF*D{-|&TwQ_stwu6_o)*-%dT+p^j2-v<}b
z6_z@5olq%Pm06pe;kvP6#E2P<rk<(ulhqR^XP=URKyCr*!GDhhAI;>BH{bL;thCV-
znB)tS#!|F3t*$ndwSy0F1~(nNFo*DwQ%C0vamk?2ja?^W+XG^A{pqQz?`$(W!QvPr
z$I4y7`;+kbXg#8?<3WpNEv(XhHbR7fBY`p7)!YVf0V~MNnTVBXE?E?!0%)XxJVFb^
zKB0P1cnQh9VtPo25=O>i<x<bWJf}q@EEedB+Fpk8@7QO-hE8k~Iagz(4wtp51fTTp
zKDJe(3<8Itrjv4ns(L-hLDJV>3fVhl)R=a8lz#+}x(XBNW<iQM)O!~+#HlaRDs@~h
z^@KIjMg1wgvfiqU<j{mh6ON0HI&p9V;ro#SxQ-EXn~L+1VWC;n67s9VA8U`LBOg~X
zAk580PF6Eb>k5#rVCEQG2ntmuKs({?<`u#KiPefFz~?c83+yx=TXKRF?-4VY@tK;%
zy})<~XXL)t3L@Ftz9AA&{G9*^y+B^@hQ!f-<ar`8sL5SqF89oxoa0;fdNfkss_OcQ
z*H&90+)Pw+R9uF=>=4IJ&)VhE2n^piWB$n4?mW}6Fn)n!1>5bzz)zz|AWGyIWui%2
z4U-|_t+$ZizmHZ236^Zy0Zp`|zu!O{-R!)dSUYdddk2ceg_n>RI-apP*em5+pwFR}
zbnyB_tMEYgidln5m^gV4WMFZO;_0!ed`XQj<{(6!MG$KX^e1;>xMZ+@G#V)7XJ1ik
zmcS%Ci`y6(X2?~A0GegJ%4lS07N8^=+sWq=xlZS!*Y_J#2F5|Y@x0Z`(s#rxP))kT
z(4kiuO!e%xjkV*9e@R>_>KHmhTm}ttqCWdx9tXd)TJrCm2#5FOJmloiuW;X#7}o&v
z<FA*kIc?JB*6skBB%!$rDMFxWUjRp0{`j%%c^x8h#e~(%sR(J-;WNt9b_TkzxV6UX
z^iE1a`Y;Kcn3XUWV5S@?Qvpa47gb3gI;&72GC#qYbqyf2*ldA1kxU$LKFvNMXv9x)
z+I2KH!9t-hdSR^auWB{%#4dHiJc+9w@b(Ke2Yq&V{Z3+uJ83vQ#Bn`<zRQ<C$pgSL
z2;~*P;ls0*{MPSf0h7`3S2}<%gOd6>Hq--MVKTQo1&54(b>KePjfo&)0@6|>;sZQ;
zBmTt7??!>GUUnI39Ugf`gT7DM7$YdUzw<nBS1Q1h9TA`-2wxwW(Jq7~!Vngwze+!Y
z%>z{k)=zix9<x|Y@v($RP?H|<5Y)oidvMkpC1!LMDfbx-G<Bp-BpGu@$Mn6073e_F
zIhP76l^nYK0W`SI-(YRa+n9M=LE5EiYnkum)iyS)=U(2ndg$iQ#}9&I^C+aCvMo%m
zT^#K7<(2D&T?BEtIYB|1;HnR}Z?IVE>j+mH#$uiL6vFPj$oW}uQ2vWNm_M2aaCYDS
z0&V46lH19ypDSsR1xVk%m(u^)D3W1;tEsikuc+2a1DfvSDzbl}GZ%x*@DUvI^^7IB
z=OzU!{HQ^hT$0mSuhZm0vIlIEyJ7YS@9SElLpu-%r~6QIQ0!PwJ|vgh?hZ^REU;8&
zIEDMvc)TPEFi>W_W7XN;r~C{eb#(&ra@o7Y*I!W+8$9s$$@^y;#;X+;<t9X>hH5z2
zQcaE6smf?YZB|~bZ{Q9H7=`$C#gJlEt!;fIqQZ6k<!uuc&AVV5l+KnT(FkP6*kKI2
zfz1e8Xftf;z@_tRq66>@59O_!iHcQhQ7Kh-wUxPCQh`3JGR^doWvbMMoJ6psf4O~d
z)YE;o%lEpgon&*3tu|H@^96&e(O0XjeRm!;)*s68n*&Y4*k0@=;*{Bj*4DQHu5|bs
z=IDGdu$;|ei`1DL)rACf`Fh#L*g}!B3YRdhV{>)NC0<-I@C@GGN%rE)t^W3Gs3WCC
zr%4XF6^CxT8Gl=C5SGx``zw2WYb!qkcZ7&#S>9S&<y*F{6<!EXNX3~cv%Jc!)FG%k
zmg0%pgKjD3?%xzi_95u!0Lw?dyAsR%qqfq=UYBmd(+=Kc(z5G2+l++D^)|8XRW0G^
zk0$I<mmIQv7cXBNf#YvjvedOb+c^lb%~`IDMm2fa{{zmoo2;X9+pxA?S7`u=)yFs4
z_Kj&8aOG50i22&AHW5RA9jXG2hk}j%BP(&yjeLytogQ(^KY;0fys2t^u7X51uzaf6
zQ}vP1yRruz3QV(S;rjPt$tRwW+NZ}XNC2P`&qSw)VRs)n(P7LJ0~OL>8Gm95w9aU%
zEV@y$UZDGCXk$V7slo^sSgu(UZ@(GB#tc!sN_)5`(Zp(C*PL<%t@T<{xL^*Em-#YE
z7k7oft(}a3xH(T<;<RXBwrAuJgG0;Uw4aImCpehVw&61EJO1}WGHY<t(feQYyAMkd
zzvQqh5gVkF4U_7JEu*ytUG&QX{z5NN=z`$eU}cYWwqw(;cnm}SI||sS7!qTDqwF46
z6RwbQxQ-EXF14s2*TA8<!d)YUWL_a`L7udCAR_xH0<`Sh2W_5A!ZG~~wl$~Tcrui(
zQ@hS@CL#?U$uK6BZwG&&tbqoLA79x!ST@}?uS{$*<%5G}UVLQd4O~~e!9LU{*nz-c
zs4(LGh+ZgQ9yfWU%B4Z>OD(6A7U{Hk3iq?CVM{$f8SH!4TZt5J<@CFCRcDTq!uyc^
zQ-~{(2mEz_K!$^3lyNfWY=m)ef0$TYHd2lY+BNF2!r*%tpt~DgP!F-~SOHxwKO&u8
zsuJ5S75?Y<Q%TP2xbhraX)ua)Dl7qW-iEzI-!G~E?ycCxKBnr|7xFSMc#2buPLTb2
zC2`QhwDr2R#c2<^>5{I~(pqTQ8n_LMLb}2)V9_~s5lE*5M5IaE%Dj9y$5WG}21K2o
zVjO|scGh8|Y?(=}=TH%g>)m3m%gpeye*rt-$-1_OT|X)@Is>hAeHOX1-BaQzzvdRA
zn84IKigZoQvFmM5zn8z!$wW5vfHcV%uQh`PwQ4SWfX{L@jIjb0v09~0!$6v)74mtN
zHxz$>dGApQF~?rC6fcQ&-zUkJL+A`_J$eSLKZT&~$|T%jQ+w?pcC~YVa$5@ZFt~fR
z=~bIO5t~wL3-jhrJsox{3)YecoIlA1e66NoA~Oly7^$}}%1JLSr_=d?3<Len?x?bE
zJ*D&Y!`OzjkYi6dzuDy^2`I*xzbb2MLvY*LR-03GO65fhlxSfmC?SUTVCGo(bB1xi
zEJ>B_Xmh5~+zo$Qxl;h>TjF?yRd?mlimjs7TzzW4(f~wrEQc`qANl4A8-|K|y<+?p
zG8o8UCQ$+^(MyJ=2{q#M19*m8W_}Mqwn=vPEj_L82Bg_UF!(bv)TWdD<~MT4)w)Qt
zzn>F9N=)-{rxIRNk0dB?*HC>)IX_b=$eB2@a|J7Uf15+w<Jy{oR8VLsx1gj8f4N`u
zSs7!d^3?k6`^s3`s6hgf>s#t^gMnNHa&KPELb~_!Qh;c|Z*<;eGjl+^UPe(GtAt}P
zI05}XW$FUOkdT9$*e)5xGbvz_3|~E2I|i&t%N>9GspQ`{a;Z+T^&O}Q_O{V5Dt<)#
zUCSZ;zxIL5fd9LeBAGR0M@9-rQ_-NYTCykE<91t9#O7Sinfb_%lmLJL!GUglONU+F
z0n1C#WH_5-`A(!&>7~Hg=<;~g9owFK&&Ct!WQwC6+(g%SH&kEMwhJVn2DNSh>gM0x
z=e7{H9-1H_1_kjZ?5oOg%v1+AZ~?w{S<5yp26bY_O4e}F?ZW3%{<n#0M(fbM!5C%Y
z6=wHgc5Kq;>eB{C-TTYOX-cLc2*#fB!|c@}usz|UP%yVCMVWy+_9hezd8ct(m)th$
zsY$Wo^!v^XT|5G?z{K|>0K69o*JVVsKIL6+tT#rsh}<Sk7;*$wO_skgv6MMbWMSzi
z^KCDsa)b3v$M!0aOHTEopeI`E9gN&XlsL?;HTny=sh^4(;hLz*jOgZ7R232oOdp*l
z&4i#28^xV9xY#sge$9W4BXz(c6$W}PB^@5j<2%rac2jr1z`@jW>!vqdETg*o$Osx`
z^pDIh+Si?UXncK!<;Yijbw6&LYQ{b4au}RH{|?BGIY2dOg>D)Y4pRJlcGyEqUohKN
zm&U;pa%x;GWaa}a4~dD_B{p!d2C#5IZ9NfDQos$~!HJJI<TE}?F;e)uCi^^usSaYh
zymI&D;O+MbanN>ZDqeNpFTgm4Bg<T*1+Go4krhHf`~hz*;LQ|85nIZ*6s)F$@tvgb
z?FDH2sEyRxN?onCL8ayE%Lzz+58n2ocX8fX(^kRCnza4#@U$d&*-&pf!VjDP8-Xw&
z54ozL{GJ3mmztb1Y^-K%!&kZ_P@rm=6AFQpjtDZ|CIrwJnEi8;T%BEEokTN!_Y~up
zfJ7y&kXZv8NMw4^zg;Uv&B|L+_pEQ>i7XCuNyJ!&Q2{Hhr4>{&M8ne)vTC#c+Hd{U
z8ndkK%8YhEC%eHD-%D6jxzLw>uZ}KcHUclMh#s6VE>auBZ>csr2?!^Grt=IbRzrMq
zB?Cfh3kDqH5BbEswe5g0gqOd@5gD<?jlH>1EA=3??a>uIUGZQ}f4k_6$DXE8HOD6M
z%wGECYT%MZ4#dgj8@K7w&}v7W^yX*Q#nV^ajAgEOC%s_6q*$(?i5k^^tAF(PJ3vmj
z+it+Jc5^sRCRjV%*?hnd&h&e8xkWn+Y-M}+yMBm~O&~sJ*|kKvM4Hl`gp!I+`C5l5
ztKlZKWJia@uOro#O1dQ6nYYkMhMd&5A9rlhKw$T%n>36W>@}aCvRz=&{g(Xn7ecz1
zCgC_X>DFKt`>`DSCcbcddf-t-9#P5~p0h(kb~)8Y`^KY>+zs~qi%RN_t(&A;R!|la
z?ixo&Gm3}Mi}SC~ei=tfNO~%lqM`JH{>76y7hPse^IYN{JOghl8kt+!`<|IML03Cx
z@pr9>DUFBv$_wjg5$hKHJD>LN1@@?s(IK3`W`v+`jEGyM`C~sn{Zk~Ni*sm;^t#&I
zGU+IV(b@f_@JTogT@5HYYD}HoEB6dC5Rv!whd&<}=9N7w-U2<2&`!G}z_@^HxXMrG
z4D}fRjLKI#1Z4A|E|NpkdqbljXyTjdtnxaWKji4^2pM~G^0z?33`5xaQ;<6;oZOoN
zffAu3sV<vUS<Ov-UoJ|Ld+ovioo!q;GLyydYG=A}Dca!qXx*ECzi*DKiGXkNKgh>q
z6*NC!wZz>?GMy4wnS!od5`9j2=qouZxdd*$mZ+A+Rb|r${B#fGp$_~v!2VUnW|QF7
z9jiKRy@ccVtwlR-&F0;QlLSh>M8K{q>-lmsAGt5A&TUVZw9EE_7%n;8mZ?OcNiKG$
zdxV>{iv8vRiJ`?kPV#k6QBz7m6Rfk3;W75ALHIdaTN{3FeY2BbTSnsBd$3l$ufT0F
z+4G;O?BbQPoD~{>EkDWAe}HYDm}dERfKeW)7=Kj+v-77(n0Y6O%i49<?#lV${xFYK
z1PI-gMr0#@;3t^k&#^@jN?>}Z)jPSZX_Nt-Yb=3`#ZRK{U}#%I=mX+TgvBlQ+r6L(
zoK`=Wqbl+)W)k}Syu>!KgB|rC_C>Cy*EQNhO^l_7eMJ8JO*mFWOL*PfI$q#znc5Xu
zdj=44))Ld^m(CFvPN}8Bwd{Ne>6Lfmwe$v|uOUzUgpwXS??O4Cj5eZp?6Wgx3w3e)
znTgoim{$FkaPAIA>N+s{R{ygMu3+l7A%NyHNOlYEFKL)to`0vV01;?ZGH-a$=Bi+V
zbn!Cs^(ok@?@HZ{MYGrfa`yNb+GN19V|a&LjjMQ~Z8rOjFsbBzzlf)2$k=Ch(n(ls
zdhNo=Z&^K{Pso&x(b6VMvO++xpoLjTumoEv@fKW`>!#*1r&(b_aI~I7Ma+D1&u%LK
zD`->`tS>$+AAX9Xk9d(I+abDjcmaA7ujHAAyE9B7EA50g1`?Wzyg25oCD_67QmY7#
zsO0yH($5#C5{qLTY}$c<J3|lM`@DzDdRohOjd$57G#c`$wNT)}f^kiYZEmPWLW0>4
zOd6|bKW@gzET+gnRqQTQ@uJc)-otw`(8^#Htr}FYE|<-mi1yF_Lt=yGt@-2*z)Kv>
zS0M61ZZ{uUq9*~__-oc!17sd$5?;BVlhxmwKW1V78A6D;?`$q-z=-obHu<=os+g`|
z*l+*nJDFcEm}AaF(Eh!lZoL;wP#e4GTHywsxq)7Us3U`XapWVbZ2C0t7In?E<=YC;
zlD}u6OF}5HArkeB+OMRBM;r~JcBo-^ggLN-QZsfu`!5>Q#jN6SR{10T{2bOQ&WX(X
z{arVNfv3sHsAW=VA@5c<Nt{M;18eZCbcHRu80EZN1ahC0wKV==`2w^~lLSQPDt5Hn
zO5$8YZ1{(=s|Q#nojpRt$}&KMITdK4CpeIQ_s{J-3UJB!M}kPwxK=Rn&Bi)3H7o@|
zPLFE$ry6&VU3#jgyR<kyC`R0bwcuD^?46AavQW5yL*$Q15C5!Z*ES4ug*9^{;~5`^
zgiI=&Jpj1s9?x9h!DktBn+l@Z_6{L^%iNc4+n|??Tjw<D1nL>~hOBJCqqi6NmQn%D
zC~b?M9wyMT;fGFh@yU$=OYvxNaCA}P=$yHFNG>auOriVsy9v>C9kv(3v*@cDVnM)$
z5Xqpo_Q$g=(68SkQ^RZLDa)(L1CPr7O<O+mID=Mi@jvsArPqbyMWsyI>N7e`ae})x
zLlI^gyr%@Q*EKO0J{WxrL-V_oV_|L6Q^hLV3%@lkpP$bqr+Dry1jJkJE|N1dFz_QP
zhHj9Rw5^IB9ZrPEhKj~doDAwRRl4okk{z7HjeGY(q_aUmBR;P2qUp?`Z02%@aw8d+
zB7qda*+Py{i(4O$0959yiLiaXL-TX2&O5(IJ(6lNQJL1}D6Oi!@D><3?EPXI;UayY
zZp1=<FhMdu5SDK(!>eo_DWRg9b6v>BA2JA+v~ArjB_k@!RWyjO1qK<57S2b@_WJE{
zwGeb!!l~>-p(^4+P|3uxKo@4br)P`lV)1FPydR9BT;})_?Ao5hxL`MDE3QSMvZG{=
zJMx7nMeQWEG)`=jh7GBS1%dmV=t@Q0g$O|RwtF3b*8C(9m901<91-d+f<h6j%$;k|
zBhJ<?z@V0~r9FI{Sbdaby|Jcjbg@1ai;*2WBtvy`Q<r69ovVM&1obUkN#RsOakT{j
zh|2OzUq4$g&QVU&ho*Xe6xR3`t@tKN*I4s7Xir5*2vbT$NQjR_JHhU=Xpn(1D6O=9
z*IqF14_F(IcDo7UC9Ot`+-X8iD$hh}()ePgK%G^m7SHCje61@h)c|h>p==GP`!>Al
z6!-#wto^ed;CF&07+aiJK)+R7XB~C9g@&WgyCxCFGUN6hzki&DBy+Qfx$j-yeUxdh
zXVKEN$W4$~aN{N?h7P6uxg%1MgOUrT4{V@eNp>A>9rPn7nZx_>=pIoAR2plR#o}B9
zC)SRO(NJS8#Rbn^)4)(M6ad=LOF}hH{PXUs`)JP%7{8GlW+%y>!<wn@%^Zx_W}iyR
z><baJTA+Zquv%@IbJ;4L)AnGyE|6Voz|8Fd4FS{5^3*GIxPIvw`Eqp0dksgk=l{XT
z!akofLx_w|boLuDSHdS8_#D*-O=cKTBZ*e62D?xHa_iAr7r`u33qow3Jy3&VARJ;7
zTdgnHsXMVhM=G=|4b0#R9k{jy-BV-F`ZqWLFH^w2;G1$Z<i*1NLciL)({1t3l`dKh
zd~pik#nL_LkGufI-ZrUiZbEqR>ux~9yKgYtMmLjQkHNU6o7a3US&br_gX6gkY8#4A
z9GU`NW-ts$D*$Y=sA$NV^4QXN#j|f&w_aoo(*f%=@ammcwpzR6jufod4Wn=u{7jtJ
z70D5e9)i6SXHG!B9cq$+`V9gjKE`^B8_$;vbq0Sj`LvZZQ58-6^@Q=J{MFz*Sy1wv
z(NWoKPnyKnN>hZBj>sK;Qp2DfV$;n!JrY@{nJ0(Kdt6j!mr!I{@fc&pa$}LgwgATj
z=YY99C1ooXS;9@Z6jD_YT}wL**lpXb!{{j64dAnmuJY)Rn8AlPT>w6RfMCMz%l(L~
z>V?gqa%3>*Q$8vFn-+E;uc<iT>Uw&J{zJH0_y4IbrYFiAz)GN~OOUH9OlCS0=Xt|%
zMohKQ*u?5*H2E>3s1eLpu)jq4Iew`0rG!wZ6fcs$ZBaPmw0ukSyR>6!5YP~k7L8iI
z=>0?8FV~C><LRTwa+cciu8}#3WFlHCB~T}$Z*x`!LOBUWI#CBR=vT00R+a`QfNR7B
zEu<*bg`92(KJQzTJaB0tdreV9>%7T6D5NlAgQ-L5q~_KLDMnL-vZGWY_HkEV#kVV9
z43@!cs5gq_i^T{&C}`6>dc)ngNNf#%ouKOA-c9uYs#mwKR4LeAG2FA-WKZNVFNDJh
z`l$ldAkb8mOVHVfKUm2A*Fkgr)Vmp*&o1KnQx+<#{wrE<qA*(PO1t_wIRz+%za~e9
z@>=ej=;1jd8rBOJ^A>8{Lh)C`{t%iLQZpe4{9A|on7N2qX`0?<H2vOn-cM`QgAeSv
zIN+fH!-)8x74d>0<O--Pp&lJp{==s}4iivpPQ0FsXKXL{ICkB{1Xaftl=@-JAU~`K
zUlHjaj@W9x?ZP>2$jFFbsQe+3-pgC;Un?3XT~sNx`*0P3jW)^W^E$lOtJIdCFO#8E
z&DjWHN@DSP&=9M!&)gyS78Kn_F1hb1qfRRg4-<@W%;OJ%?p?``0<pKoFYW-jteK^A
zmcpG1#oSg)W~1OzhwpG4NYPP~3Wh*|5qgR1i%vGo^%wr-XBgjYhDJep{vvjLt~1T%
zW^i|wn9PYt&o|-|oE28{5&I|7YuY)Bxe9?Dbff$$q|Ia)^H_Tfbh%NfUup!47PI*L
zuckm!1WWX;wI6brYrfgrv=&ko7o#!9{Ehx0wY97HgZKBM?+J2F3s86QsUKBWXBey^
zN2pB18Kq!1OTcfLq?2fniHovxAX}|l%s8Op)9S;4_>ur5=F(O340YBJjxrg-iGnzQ
zkqVd`07>)O@RPn7Jcbaa^<A-EY!i|;1%9h|o%Ljb|B83c48O?NjPd$EL(vQyNSD{h
z?N%cpa&#YnilKojLo=;Pd2Y5JkL<|{{kYOrp%y-8okt+mU+?y+p(sL40bcJp;%F$!
z&*aWz>htWlAix4Yt;w+?Q<PC0%wV(vdHU#?C-hN$RpNwq$eX*V!zO<gJ0;1xxSbO4
zvyY@T3_oNfIKbHQj;o!`p1FBwsop2FNa8Ga5HI|aI?Zi3fQ14-CvFe+E8W*-3J=o&
z#Mk+5M$Rv~HQ@F-tVe#nAC;62-<luX;UuvTr!X#t+=F=`bRxgseA(W=b)iwt2%l2C
zg$ITxO{Xs$xlt;mI#$yKZB^A+U%EWI18v+jBYj<xxXSETM4Tm=E!mT85;|l+I#kLH
z8%TUWIS;qvjcyT6yj!e$O_E<tA+CS=zz!K^+D)H}%i#inmWb;KM7I?J2Z@m0s49zz
zY7G0rAd{HBMWG$3gwr{vPEU3g63cfc2}VMxmt&T=L`r*{Ko0NqAsjj%^M9H7cpp`A
z$`wU5aV<(4g>m)mWZRf^W#IaU?U`#cIp$h!FwNeDK!I1=>6M0JFVYR=01nUe*2DF!
zy|&K?Id3C7&wHx*Q%zj$0j&%HClEw%XxgOod&bqx(92<y)N0Jv6byvK2E7NU-^*eN
z#i!X`<p5)~^e$X!(ZCR8w9>oi@KFo(yVThqtnG<JxcR71@R&8VsiOCTt$6n4)9<FT
z&j1`YrR$YuX^N$crK;9d7cV|sASue6VgN~`mz%|e#O6amkot>B<J!j)2~=j+xN8?v
zzh-0k6?#;VCu*VLYeIcV@b2oxWn&q~&;QgT;lg?|1`yZC>jHWj8F{AqJCDN{SuOi&
zW9+=;2|&~9y-@WZV#0Nv_E9w?EYwsklu2<tE{2UdT(Nh0e!gZ#)ueU0mj{^|PjfQE
zh0DO9xZ86J#>re;0K-lS_lY+)4af$4@w0h&+KTaJn8{A`9LwmEi@0ld#Io-jbC?Xh
zxXw-dqnx0UePY|v_yO1abIewg`~)(xA2z5_({G(QQOER5e;vT)bWutUDB}kHTFI6J
zL!Cb#v1{ePJdk6P?u6U#()EcOxQuPVZOZqN%yws(<uK<Q97GHB@XLt<mv2Ky(nCsT
z?xop^0v~`+Xf)c<b;hg<I*Y%zF;GM>2YmZQ8r+GYfniOj;C;}$w%&XV5r`?;FDLaf
z@b;NFrQcP9uheBUy`dt;9n`+Kk)ReakWD}sjGl7#EzG^4#59^)VN}<Px417NPa3QB
zo2QZKsge)d!sV~mqa0;^;y(}!v(<NUK_pICoD>?vCGY9<Q)g|EYgr5n>B)HMyJz44
zRd0kLp`h3vx1@-HtWkeDy%fJO-gjQb`jQo#JU#Yipn33eiwNGdgpVbCgb{Dw^2n!@
zTGG~d2ovDW>WjeqDnxkO9pMS=veDz3j{`(;7X3Y~ZD^8Cb8X4n8#XA7yP%D6!4@;y
z4}OZR?+CfcgbE!~fX&^@4AdEya0|PFR8<$RfEvMKa)(Lsxn@-tZIFV(T`m90;QRbU
z+<vki2;RL8B2Dtq_@2Ywl58<o?F*jrRe_dh&DCYN5RURbUqMIs0p}e<X?rKm`#wIr
zKQ1<ccN8lRhfEJv;1wpF>*rlDS5(t&>I${3aAdZ(pJU8JK}@>1$&y1V9$o^{N-kFP
zq3SCZmF@n*7NSJe>3VA)bmV+ptE9IMT|mUfZ)Z-84eeHGmzle;H4=MK?b??*-3Xg&
z`H)pOTI~9W3b+my$>97b7m-D3Fiky#BOvE7;>+BSYM*|&e0TZHmdX*jMK&w}O^T!4
z`zO@6V3V=KBD*Jgb!z=6M^F0&0gfKvzr3THWy(Oc-~;CbIM`7<2O->`rSq%^u;nyz
z(@cF@THd63&&h!?Cx3nb>WAL4Rz}*bz$#m>%50&ebDlvMB~pxNec^XBP^QLhjJKyz
z0JVygQ{1EI6RnTEB%)+vRkg7Wyr%tYQSANhepZuJ-{B@c3x6f%;(V0D;P3j+PiP9m
zmMHOnY~^bq`C0nVhiC(*%=}PtztvYF>zruh#TrekD4a_-@E9K7f-xDuxfF5Yg^o|A
z@%to1>}{(bk^CaGmB1kJzUVVANRmvdm^y3+O*5<T#!)^;U`HMH4e(s;px(N=o01e+
z5I7*lm7>%^NdWoh<>KvV<JT7bM|9$2)E7^rjL3OiIR=5McL4+#2Z<}fT$3@u*#Zm7
zKPp7SbXsZs^9!T;Eh3j2lyjM&y8z-EpqG@l+oc1`35O$ECpmrl<f|}(#px#~;p_DX
zvO(&z8p#5}o;bUNfGF4u0HkojIYRThr|N7ZNn|t@xRyD;Ydj2*f~>9CNOGX=qK}a_
zYBs7yuadH$9W`=uAF53Qx!SlIMl9+BJ}+GkgTY0HD69xMbS8556FgTSg6!~5bkUD7
zI@M(5;o1>%tqLkz`wgn3E*GvxL^E0_hnP=PJsaGnD>9rE9Z(zwDU&kD1I6<4eGTU%
z*0p7ah^-WBMQo0?DU2Zrn;==~^?r&x&m`&J3%DK*SM){r*z$8Q-``;MXEX0^(TY4k
zdkI_>1P?krj*PbHgrpX)Dqs?)aOX@_TqKdC&6I_!9uIcc(WtHPITuAui8@cRg(YQ|
zy}dj4K9W`7`feEjcjkmd;M(V74QXCvDGsaR0PE|GGb}i)o4K;gd?L$SICMS_E$-D|
zUQiz@Vq=AUqSXzSMZ4#QbM6<ge6Mjk<3nIVoMtGUEyfTxv`o-#3jl7*qz$0v)IDbg
znw^HjFq^j9hBi4YNksoi;c02E!&{(B`WH@Oy?Tn$W$0WZ&a$%ezKp+m#UZ{+8ozvo
zBxgRY74wM#n;x2_Odgj(g{1z;Xzs+^VQr<F?;BF3jk0YQ$+TLo7N$z15CMuJVS0^@
zdhljQkdCMzM3UPx&h*9LWp@ar#lsiJ#ZoREFU<;~=EWLlZJ00*a~G?{Fx*X+7DOZc
zGfoj9y?%2e()~wBNn?;S{0QV)(^l`5Eao3H^DjTpuvxiDH-j^HHzxAjR|4<LUZIUT
zvxowLbt{XT5ob!-HK8#|%CetST4Ng8r*CCGUER+iG|%D1JpH?<-UHxVQP+mGlDgDv
z5~2N~hM@D%mM<P-Pr8sTod>b4*51V2a_j;?3I2+}54vG^W#`GiyAvQm@Pq6508kWg
zh5w5DLkk!GU<?@)vR#01y!xS_^!}~Ng0KdmFw}L_kK;<eor&Wo6)pJUV@dj1ETOv_
z?Xy9n_UaAEJo)RtT!=9>BBUvl;I3eTjy0Q+oU9Wn#-t)hq9Lmoj^sh*Gm6Yh0)9<6
zJh)-p(u#|nGraz0TUQ<!!A!6gO#k?-xsfWsyGT*~!|$$mM0jdL=++?f0@#)o+Q0I9
z*9Y{`*+gvS0USq8Sx$g#+yeo<`l^?gVWG~*sl0}BfqtjS7nP!xUKVHYhs8P4+;EIh
z$V?XT6ZQKy;%eJfp?E^W$XZzZLa-W=jSIRy0(V1D4_Wk+p<OyxCKf@$)_}XAr;Dh>
zaNq%Ppkh35mvw-YHwt#)YI_S+zz3D4A_XM`oo0Aqv)!<1B1L?pS%QdVcBkh_QH*v}
zBmiF<SE3xNw1clLjqyQLSNj_dn(xc+uR*ul>@DLnu1tg@{*8az$5Wl#&ME&sT1U}R
zt9%Kh`tA+~&Ae5NMZ>|19RlWGMV|!ByP%3qCtRn~;46fpb@6LAxJ4|%Je_GX4tgNX
z701D&5;E(oX{MY?%OjihIrW6kRj|UZJyGpi27gESiEFNF+_M5@M5cU9-EJxx-TvHZ
z1etqd{<JmC9QOR2)PvMxfz9%ro@QH2$SDy-C9QW8BH*IhD;-`KKT<RNtR=pdv(TQ}
zpN#m>2b$<QN0Qu~frmE6Sld|6yKo$|J5-KkDO)U!vYT@CY88rqOKk&N5C+22<%%GL
z*eKE*6Yt)-Ig{=K>|7KSWZ6vM>YiMwigjHHwGGyPNT97()6y>Zu!AgI@joFsBSpoF
zi91buSwwj=pKHVZD-(?qZ<05)_r^-PHWzB2uz5@%FepiCqCZPW3MTHdyL#0Vc#V>!
zc$1JYtdj`>B7fBvTaW6^iAmgi)|lY3;^Bkvp;SyWZ^<1iJF$LsiHJfgW3bKOctsK2
zGj7%-J6J|;7o!q43?{(N8abkK$}Cq|?jFFP0`+@e6dDEYy%_C!jYIr#$h7Vk?20f-
z5kJ!LGMvI$UmGkw#Er^R0jFFT^B=^r3+9d^y%9Z>-dXrQ2G~gaREahQ3~-(rx25@S
z2sma2ar|4gJP=rYUriyX4xo~ZsDh+?PKvi5F*f3=WM1m2Fl=`M=(^%w)w1;kt4A&7
zF<;`=jjI#PAjI7BqnUBS`>G7+`heC6U0Q5mM5Qm^+9V3|(1<kApOR_ME+@mq;Tv~&
zb&W6Qw6srK7$nX0g%f}>mO9+1F|N7uob)>To@bH5x7$HK3vcJV)|d$=>H)V2Gp_q3
zL!zZ}m(tBUr|x`@tRB+si}IVaLj$(-;IFewnB6+-JG+jPtCgyanZGX{v-n3=j;&LI
zH~!=Kq^hHk&c&uT_!e{F`qd7m^D%B>B1tK;+-b1e_2*j^b}ei=nhA;2UeyinEwsUM
z$wEt@p*9{1@6&4Vukjsgh+=ZV;Du%VV&?yxq!SlzqBLyD5aDRWNX1o*lL4z>5~=E7
zGCzHkN{z!`SVn#yzOqua{5%oyu>m0fJwU?0cnfQB4>7yG3w%fgtqt|7aQ_C1>q*-;
zkMZhCKX~iINS_yDG3OzlLF{DQ&>SJq6ULVIe@Th+(}Cc8T&%CVf8T?OnL1(PGCcap
z3XdU36h@sBQ9M<4lHFj=-<T`42o=WM0vMg?X{ew=0xjeF522AYE$(;uwmRph1d-N{
zXZIha;lF;@y@e-(`qxIStw84SOX-ZoO(1v}r<NQk-o{jTlH7nchr=w-Wa$;{j33F?
zyZ0iEr<IqtSPls<Onf9lD#iuoLadx4X%qqVak>qeraN~<Y<xo{k~y>0qNiw|ptv}#
z?-$xseBcE=utP=uLlyHyT25K+GV0nD(lNeN!<uY8SUJ(hu6cLdzZYWN<a{PAnIhgw
z*@X@3{(^cmJi4Sr)6cHI##WH24j4Haq0+)vsEXBwnxx80hngfi08d}aoYv!+@Y}*j
zoF+W@)Hh#QhDz4Y(e-0E!)ISXE9W`cbw);nn^8)ypxfnygCikC-hKbt>D3}+`I^I&
z-G$u?X;!$nxH)nzlgDIx$SQ#fmU9uHECHemZs9?oYASMxFJpd=@P4V51sr_1Xhzw$
zjFYLLP4XEDsA;-N51_%W*I^?wSJa{t(564Ept&OmOD}(t^t;ELygG+4M!?v{O8?_Z
z?(9+NN>E}ClpU~&2PJkyg&z6=EJbK|3h=-<nlk9{)2gL<1F^~wH2{&^QZZ0i)={);
zd#^=Qg_d{@!l3SKUQRdmN)j~9g%@2`mA3_e?v!s>=Xe|pRRbqUIj*CWcA2(9-<>{e
zXzaNBSqZaFCWTVPqi5X{tsqA)xfYOAfX|}SR*VN8qEEL#iWbaHg(Kh{IM!DzYJijz
zb|nSr6?bbZT^0cb;J?9iXVm+#xi~Z?=oVL+oJbR$Iwc~Y-JmLKX13VJ!b9-LhR4l+
zaaypha@IfX%J`G6bms$!u_kP-L<Yb~#xwR<rRBev8wy9qVh;543BKF?B-PgO>wa2q
z7FWX~*7M;l=Fci8q*lA#80mjGWdQcMGgLGJnbHpZwR;h^S32ulo`GWDTF!DxjMdc1
z%CqtmyV^hV>lo4Dv}q*XmzE!S3I@!MUf4;lfa+0U)9_7Wl?HH*miS|<vD@Ap5D(t>
z1utqxMMrr#Z;HrgOSWy5cd-eb^{Ltkhrar{9l>nCCP{XXMYFyf7VBkQ1_I~5@}HaC
z?Nn)Yz(%07pO_aU)!1pHA~Oi%svVg=!<OIrao>1tEF|fkUkoUzKvf>ra2UX*cu1{=
z4bcRjvsQM;%b`g!@F%%Fjm%XP?V#)t*uY=9W(?y_4C40uaf&=*q+p^sPrG~BB>vyn
z7I}ZNjtXWoif76>D0wp6<r;*LALU}By<B{+mbNShA2XG`J5tss55so71Y}O4d7mQM
zb=4n3*X1r;f+A^EFrazm2(1C)LM<jz?y)H?3lFFr)=;fHV&Fpr#deu*x0RwX)=EYB
zI*jZbA=zRE@&YpvFBUswxW9iTxYMQzs|x;^VzqLk4KJp_Cd@_nyN1_b@=h1pCk>@g
zPo&#qIHjI$B4c_6;)+eo1u1@`B?LJwEl;`iVVCVZCk(VWk?`?cpi^!v83PgRxUzp+
zeGi-|GI>nV{)#Z<f=)vcWRX#5*qgZm_Xjv_+U*#yo|{Z|@8=lovV*3Z#sUcHb06wE
zd~SVv0(U#PJ75oH*qaqhblGD^!>IpS95))usyUnwUz$Ctg*jkzNHVm=dFkF4YJ0==
zDR%nGjg4&F`&72zJlvC(7g5sfh9FN4%Wfq?j)9HhyXUQ#LBll({j9d-_tQ5XI7{Yz
zbt$dS3RQ1%!ka+-xT2Zog&0^`tG4)g%FfB!*gxti&kfTQef#6xTnx+(P%d(6PHyYR
z0mIEbF5Zr|-aaG)zN@lQKCmveyKH)KwSFB!RL3P*QO}`x6l+d~3P30c+fCy~Ic3>7
zCCXmdao!n7eailK#g40B+*TM#x4A=dh<`w3$~JT|8rx{5hm9txfctfHDyTvUkTVW6
zQGGCed{H9F#BFUy${FOZLZBFn^k*0NLP=@n)>#${r$-bZs!7tJsI80^Tw48hY`$K6
zL3lQY8YSV-d9%)g+wDJwL0xW8@`}&UKhO#Kq(5!kSgC`f*>H|j{NXr>?zyTuc%BiO
zPP(XkR1&Mn@W-PYaj>3$`#s9pWtaBQ;qx{w7S)2HeH{YYhAc(}it&T^yX-WCtBEN%
z`()xGzKPLg4WQy7pQ~$(gwi4OYtNgD<~&+gJY|*fY$L67ZaSC~<Ebk0(r}|mrP&;%
znlt6?s+1BFGZxofeQRtH$YF}5o_lKH*-X-HW%N=HVJtTY0iLSg0T8z`L&W>4BJ#0p
z=>7xr%KVI=2wSpu$$4^`3@Yo&lh{%9Hhr7e>awXBRy2nO*RA;Lm{<kP4t8FOPe}tm
zm!WM=ub7=}RNAa)uopa{;ND)V44&swqEe|ra{_$ojQDn?f=wNLDh6G@2u8rB#v<W~
zh$opnkty@PnCv=>XklI&wC+n@7?Bcn-LT^uY7Biu#mLyubu`1N_tn^EOr<ZUJRn=B
zplCaipo+n?BKX)Dw(6NQTp1_%odx++kFJp2wBvYV>4hH9^3xk!vlBD<SVFDM$jzB%
z<sbOvg{nJa?Es}c!1|PZFAxHA`5iztN?=+}sx3%%#QCVjmdd~Ym)=d4|MDq61V|O6
zKYW0OjG6yA*ttV#&FRA-wz%S@rBWrtqMd<--#z2Zyk#hm90~4BGlxNuxc6(xxf@VN
zT8=!UQRihRN7nL>ICLmLQ&*WdSgpZ0?W>`elq=<BCw~Rhk!9Y-9AuY{CsqNp&)rqd
z{vQJo4^?nfKx*?#dtT_^7LbpG`rG?=883mB(xps!M%U+ok&7Jtr47t~cWrW1z_>Xc
zJ|+xj#SW802(jmBh<(;dMw6~5NRxsyanHP3TyTly{x7Rm?`o1>*GN7Pq6$8>S_$7i
z=HIixVd6fi&yU{nmJ)K#jfJF~oj|6oPfbBLgTB*BAeiWQ(M|3E3)3LzeMNri-6+}W
zKb?e|ThOMF!v8XzY{4273;B3Ge>HjlxqU8nw1h?oYmyHC&;PVy37b$s^Q=8yKfSNj
z4o2VV)zRw@`;JPjz(fB+z^3mUNfNu~Cn$St;%oq@g{8Lqcg0Sy5-p6@veo**q#^AM
z)oOE)f-)b`dqDkkX;!+Qo=Ik&FoBW{#7T?gcu%t~arbD=7z_!sEf>AL$fdv5iFu0n
zH#CfZv(1gp%;=6V7K;!!4`5oPYL00G1+V&!kTJyZHFO)PTQFj1CR7PWFx;4nd2uRL
z26dDbqIkJ@+nLHe?@cocuOGY*Mx{RtBu;mGfEKs14!Ihzo~6q0wSGC6z*5(eMCV!9
z<Y4%h&!z2f<*v322=9VL+G>-*0rS;3<J=(3^;#b8h8R&FLe`IWKZ20Z++WKdbjC+m
zcGPh1vn{}JbgUgvgvrFO1v>DR$Mhj&!S2hGW6@384Xd_nM0ZE!Jf%GXYKL_QKB9V%
z7;QK1>oDI%>bpw-JsC7<u1=9E8T%b&8Tck=BEbP(;Q;aln!!<|qGe-2EdKj|FXyOW
zDwoTYfKt=|<$aTq2y{^M9d@`Xp_jpeP{N0m7HI=wi0}T=4(2v&bd7~&KaZvH;>}Iu
z(#q>1*Kj^O=meUeOki@K4{Jn<EnNwQZ@!*>nmOGRG1-RwAAvZ7t2U5>>7!R#b(VX0
zlVmgKxn!1|CGG{wDCKG^Is}mj2W@~#B6=H0bBjkjKiIo-FcnMdeYQ_BBIJ0*wv9r=
zLSCjIAa5ZKan!W}rf>RL<0HdyvwfTnkrlX`XAfu@now3|;0>Ru?@kvjhzU(u8g4Jc
z^^CY#yzh3?zXQmFdF&smFHUfvX%Fs{oHZ{zb3|5dsxxGBk`_T!53%VUS&nT-fWKE@
z<Skn_B#2uAKjM;UFKU4CeL0LR#@rI&C*0;uJGY`Vh^AT}yYPH|Zvh7dAw~r#-AJw#
z6>L2I=6BV=xXFe2fN<^+L{#rU?l?Wu<i*uht4*VXcz6^V_NClX$4UZ(!BV@n&Jy3U
zt+_k&v-0=Naf3l}XChKaR)D7D2h=Wf*D}5O+bt&6T4k-y!3ENgfn|Nvs4@;xuhA0*
zAv8`bgE}#&Jo+lZ{o4T&DVoXEFvKca0K9j(J7;j=1IdIr;B7ke-~m5`_LxM4Ga7`z
zTV?BQ>`(+^-zVS~mMR34&e&UQ$kmj3U2x9QZES|h6~RMyj&?{-WF#y>(M6Lzf<yRb
z#k2##Ms;OayyIb!V>%5k&>~>bDB@Zm{Y&$s<!j~hMTjZ)u-M_k<(ubc_i<x~9T62b
zR}uM-^dWaGOQ=++n@X18w6<H`GJo&IuUPu?v{r7EvofxaT~-_x2y6ua*q)dRzU2-i
z2uE)?Gl*(>Uo+g%_c@(7Xb;d4D^;(eroYP=a@?InpWHR6yY7mOTU*wm&jJ<S)VFOD
zIpRT(j+rD4z(f_^j0&}TNi-M)EMlZFd}BjadZLxrthk4^pB5!E#b&$pV^(Q3V~DZD
z9Krfl4aC;O?fZyqwpwLxL8us(4#BkAq2Q{IxUo1KA(ia`%8v5p2P!5(991O35kntG
zV7p?ZbCn!mc0|!mmT=yl;dM90lVGPD`0|`}*QA^SOa~M_VhQ+S!KyIvv3=e+_b;I|
z^=TMz-1E(iPB~>diMz8+$U>tqlZaG7<W<ty*gl*fPT`wU7O`j4m*f$kjTBz$JT7$Q
zZ;)3ghGn3Cr+b*AM5=%u<VYX&ie-fi&BTGkP5@A)<ljF%6sdIcxVgwwvCS(g*M<oa
zca}@H=J;}jE#7Pp=S_656sIMj04fC;kYv}M#K}z_`SsVLjA_pLZcoEgCbMlW1-iKp
zZ)+WOPQHSfE!Cj}(d~clO}dbWuLRJAYB_PXh2JWy)aI4*fomGhZZF)(>lMIqgSGZ(
z{oMX?OUP&IP{RS1r4r}gIB^`DX_Se3t7(8nVR`!u`_L3u?aJi^7G#Bm$%T;{BYM$F
zP=SKH8oiwl@xc}^E$<w!$+q~4E%7h1!qHoRl=<YUP!34fA8HS91VFgk)fMh`H+&1x
z(h9Q*Wt%T9hrKZr|Iz3@In8sHr2jQc#hZqe?i0@uLu**GK5IKVAd;5e+0v%j#0CnA
zj^nX*SSpC$=CfCjGBIwn)n0hzpH<jYivfW2P{5!*Uv_hT;-jD(UNgyNcQc0^h%;1<
zpm08k0XllXhj@V$2c}s$N888%Ig?wwbVrY5%?2LFHEw>;Wzu(cS-<dz$r6g2_bEIL
ze`ighkpdc@YzmdG>_n|eZ~pH^W1FUVB#jg9P=<xnM-`9k2P5xppig^BvibftG<aMa
z*+$Fq47qa>t8%=Jn*Usw+0-(`gX!BGm`$RrJq4-wJT<K!$jVi1%)gJxgpU${rKb7w
zK3?{hLI)1SPiOQ~vJjp3BpC?yMV=>E?*C`2U-ts*VtG`oy*4X|3RI+&pCI+18Sr5H
z?GO&*L~_+53GPK!@hWl&pqjNi4hYV{`8fk|DDMFhad+KH3^HN{$+`}kEtk?vhzia{
zs>Fqrp#y(Gfyksp@+F+g_5ll2QjSOH(6gfd<PU0XWTGqgvE`f_HJX~7s~9h{<cTie
zbZ+h(&e{A3Rsl<M+<mvD@ue?(of?%S4M1w0{X9+4?#GN3F%5{7&mx-VYHXaRyn);K
zqP0i>bU&>2na=pUYtiNbQWnr^T}HT8Ja2$S;E6;`nYT)}ZMw1JF6k-i{F#y366r86
z0ghj-hN8i+Rjy5~#9I^_(iUohqDm*TC*F}F#w=|cM##)g$j7qaHMKhR6*F!YTuI>P
z_TxuYqWpW#u{bIJdI|C!y@+{L1XVwIa8jT7`A+5mpZG^B(LgrCr}e82Ck3I7=9>c$
zdI!V*+l@?G0qR4Jg$)rRTuT%I?qVQ;&L?jS48?DIX^Oloul;esa{A%IAX%*hT*jvr
ztslMo^Be9y2zt=xCM?4h$d=?j3K9W#*PazJ)KkxcT1BsXF>hT|Y4$x87mMA3Z4RDA
zDmyKyk!C$u1za5+2PVi=mG9VIwkn6Xg*;N}G+LL^umqx5a#s6i`X7-QiN>GM7hIfA
zNcW7*YLkHD8w&xekT#|^28nDp0zjPsX)}=ee(e5scj%$<GXp7%o;h(R#y(<N?p*r!
z)f*N(<t~?n$4boMZ&iiGf)5Qf&{cJY{C5&w`{3y%5mQ54Y+Djy(r<1!d}u(~d8eaR
z(Lq`nc?t7ByTG&#RkYJN9z)&o*P!@d9+&Uip24%^^>S-EwKpohq&1f?xxL_TDm0au
z&&YpJ=9XGB`U$1>7b}-!7f@T@wz3U-Z_KBvPmvZ1Dip_yPS%rL(fO%^T8@}t5DW%0
z=$1Q@sF4HVFG6$9h077{Gh$4asljtVGa?5@xC_psy}3J^P$N}9nw?O?P$AXSUUEb4
zEXmM$I*!;$d@d^=@&$eg!sqie6<jfiA=HlH>N7F5CL4qpo@oG<D7{d}dtWwvgi_oq
z_ccX2EG2X!R6q!Ik#pcl0-|nIUWQPxV8=3LPf}w-P5eLSan#0H$1kwLE4KBLQwgW_
z|AMqki&-HnzlFO^Dc+`u!Q)|Ws$il{NBkPPf`_1=R<&H4^8Hb7g8T}7e#H_J(O+&z
zVABkESFT343{W(cI@Z+}3#?}V%2`CgsKJ^lOF3A@qNF|`Zz4j^+!uFjRi(fee!nkO
zH1<^|q{>Zo5M?SuECS3A$y08n-u!Rji71gCBZ`MQmvaA3Et_efk;M|f_jl(hGs?S?
zlEU)%uR1f8b;mX9%>twOIZVdAcy{BPs~MS<x6WOmwQ|~F-NJfD!nr4Hl!?iU$7wy*
zm5?CtYv-?+ZCjuxcvd$ji**YNqu>{pgBncbZ4YBPuJ0AMx&+x&r&!mI7&bQO2>9wS
zS1Pn;5aR$<)gyLJ@C@cOBodB$xzaib4?8h;RDL?O05+3@CROu0XpJkeAC2en6vw!e
zVtE8t;~57vtLyjw>ZHd^9EXM#h8aX!p%SLwi+LE(hc^x2ilKa0#!PL<7=|Sd)cGv)
z*d&k2;KFayTNW9YlA0X9(yG2_y7swPD)E_e)^Um8e5V8>+0u`Y$EshTja#CLL)V^G
zR)d7#_4bD4zE3d6_cl-_>xw9Y&LBU1D#kY!<X7^)m<~e0TV5`2=5~lqKDK;5s#a47
zhAnxFl^<@F_2*7x8xVSTOa!jxgfmUnK$U1}v^ZYGtGbwJoSFx{TV^{blEuDV#2Hwf
z-tQ|&(|>I)cVZ%Jr(^kQsQaxP04%NG!Z3w{$;?oD{-QMZO_ZfunzSGz(>4bXnZyTn
z-@^p^&MzLya`3)A9*bJU8sM}DFLh!`Shj64Hw0I!>j1Y{u*!tJx^*m_`(jyg1G)P)
ztLCy2F~zhzerCZuJIz5?bDE<{ttc%fHhjp(jh&gHz&;nMa<mPJ2B^*=<v2;~FUY1_
zqJ!_UHB4xEK*#_klgbp{xD928OBfv<^$!)z`;!UYceMNF2$nV@M3Eml!t2~K=-dKu
z8)8@|pLZN7V@{-*A`+698?m_k#E&>v+P$$W(fN_&5HGc_S<pJYEM3sYwynV`>ipcG
zKLJ=dfyke{+J^-w-gyK9^7D_vV@`jdOgbLbs^+bW5<;igTw(^9s8IAbMD<Yc#)}=%
zb$C&sbtbv^!S={?(dxMF0a9AYqPsGRMGl0%V)<l0GZ9#vV?)<<W#PH;l%KZr(j3(g
zY;EIo!{Z2B1PniiP`)Lj2m^&qzw&KHyJQIR4o?^FIZ5M4vXCeIU~xx#DzX4&2XR++
zd}6BClD^k*EN;>H)v!nIh}08h_q9H!iij3s5h6=Ytk_8A++p2q6{y+fmfye3oSJ=y
z+S2)Yd3|qFj7TwAX86}H-fn@!XFWyQ)k}k9;QyllI0MQ{Li!Ig^!WHi#}j{0c@&VQ
zUnJGg6J(SN27<_n3H0(;wfHG2k4}aX`+<znEeDrPCpiGA6`nWlgzMbd+fyaT7DcVE
zq;qEX26S|9f2jM1YWwW2roykJCjx&4gko}B$DE`#Oq4v(BJFxmA}j>tRT~@To<YL*
zuEqq>CFi}ZjJB3bMYL(h;{(R(0(KJhkXBHDP~i0&8}$VLwS|jVlPI<R&lhF_(fXht
zdO^vp-`Eo$#g0D>A>ylnc7QGH_$am*(qH_vhR%M=q8B|)7?mDo6dzEW;X-kAW2GmP
zLb7jLc!V;PLGYFcoH^w=&R101T*+zhDsu4EBcW4AEa46(CN7D%L06Rr_89myi!_ot
zW>A7C8HRQE-x;~Q7csOF;x4!OEEykmqx_SE|H&Z3rm}@%4-6*zE_5*K%?ox^{-~D`
zJH#(fI5#=@Pbts$UN-r;sr;%^K6-<O(nm=y!)Ypdsu)a+pvlIo$wLF+?rXg=@1sQq
zV&X*c3yNg~-$Bs|@tOqDOW`)l>>M5vI5+=B>WjFQ)2^!-x6Y3cbZca<*x@9X-f-Kh
zr$<11ACv#9*l>t^F<)JM##t7wZ0kwuB~i#W<FxHMqU%AR1!n~%;%Ttv&U)ESyE#gG
z-A#L3e3S6?UEPw`1-n)JcWRWWP8_%HH9Hq(;feY7zgK4-0!3BEgH^Kmc}dByFY9H>
z9usGFByt~WHl@Ko#jqN$N~os02We>bu26Y%H{DAuMkfMmtNAh3z#U&Ok3ZMl{%3<6
zxR)6tM<?>xY#f`IFj=k`EI()c00J!0CQX||-KJp(rxj0gu#3Zoik3oB=K+~K?>1R-
z`r|ERblE2l3^~gxMPFENr86S27!tsUyP!JbZ1Grf2U3rhO7Rq*_f9T5w99Yt#N(3G
zIZ`MCyC;k2#i_@-Emo+7cGg4K?|izvPrz=*w<ixp${(N2IOKC|w1yx9B$j0?k00@a
z;|*-)BHYLuI0OjQ?A}o-DRDGOE%nw@m>gIl0dLl>FtmlIX_d@j<#75>H(G~5A}P)f
zJ$709lIeP-!v_})K30P|WXL69MT@UyBZ=JYgMB0ZJF|L2@I8Sr$x8~Cu3dVoa0M2n
z7d}Yd7sE#5;lX1siEFSqcUWg|zr`MV3l*$ZQYxqc1nZtAXI)7Af0gPQo<t@95D3X>
zJ?4K35gB$@AwRTPgXBADO73g~9RvX$S?mBv$F=6CO!(HCJ=ysh`Np(?xm$!E$$H+j
zDcvfEJ5D5gvhEns&e~qu&aQ0`#1+U6F^<NzSm3<b3-jRp(6PqcaN++IJ|Mwsa(5oo
zI$~*+Bnb6P1o{56YP9~Ab$R+W6b5eh#tfDDW!u|$bnkNc<XVWs^x*jioU@&7vMexp
z7GlZTF#=rDHZiiBUmjuIj3zJPwBn;yqPD2mz?hL6B*&6a%I(6TleR&BK310RNnK{F
zt2&qx$vm}KPD2)WJSN_yMoC(E4iLwlfv+8Jn7eQ3zehr?2PoA+Dp#cGUVErb5mNUS
zoq!S_u@d4)$6*nKbYTC_{P!KSr4~O-JjN^{^4E-zGyu5%Ku+U0H{1DW-PG2OC}%}t
zuIlMBJyKsfS;nj{s+kDfys!^OQK8c8j4xghL!c@FZ+4GnmOi#GsS4C$=`hnlC-nZC
zh+}#5#-QSe+1@EF>Bw=)1fa^0D&zXnFvix6-_5gknCSBoG3^pf``?SJe3rC*!8y+H
zFU_P(u7C@2)@v(3m$QI`@vo^}76{h{%Tp0TYo2PYBILbMxx^1>iI{X0jZEj^V@Bom
z^mLO*{}H%1C9qZ7@Vz20q<r?kQl8nT{pk^j|Nd7867yi7(OXQmk5jB@u9f)EO-w&K
z8z*3C50frCR5?1$Dzg$5GWQ@j$@TPg74;j4raUWyJ18XeWD-XZ>}``_bJnB0pV$51
zo2a|X3Bh?aE3#pEE}t|$f?Kg<mGMa_^vzkp>EG*1@x1m8AKH+CMK6E&x-z&IO-NxR
zoiS29H+!4dbsfJBopK8yN1FTYSnm=x9FK`dTo=edX8h}YUr-E~9J068?8#3Z>%_@W
zjYf}9E2@@_D+az!w3DG_Fzd}{_jea9U|Gv-(<&uBzLE`j9t~Wguya_WVK|6ukG-76
zVf$CTuE6=B^oa3(e!I+)#>aJ*`o6x!waw9)l^~oTs!LQF7nloF@Ur0I=1ZK{N*bhD
zXczco%(uqL@u6>KQI>4LJvZKn_7cpEy$c|dBmMuZdIJQX&_1#jx>}b~63np{xLXCB
z#f_p3T_ge{F-i68K3Dc~ramt%m0Zp1%SHSAR;|Jk&8tEQpNTiGMOxiUb3X2FSlt9>
z_4LG8<`R%@BbFyF{|{rbp*{~Lk~m@wC2-6k-KB9<y=+(_4{p2AS~0I*w3UuD&Dm;7
z8bVRQnoy$-!H>H|eQ^sCZaBG=EtBdhrlWfV>fb0N|90eS=QY_(A<A1@+!osqWLNNx
zX~?6Z`>|vP0LLCtYi2@?+3(<r7vL3U(R9fCkeR^IfJ0C;SL8T)o@@`fSt=BY3_z_)
zuFP2kCvA)7W{ZT2t>C5GjXWh33u^`5Ho9%Il}Gjok?l~cu%&n7JL_orV-M&yOHv&W
zZ=+RUf7O6}hpTnpi?f~%+((_3da@uK1Kj2rQ46bimxCL{j@e7kzhp`ogbK<MbI`w+
z=HPK}HFfOyyooWhjw*VeAL63M#{REkA(-MAm8~z0#?!NqtJy|QE9jeWFCnBx1NFI$
zY7-(9Gdb{DR~)AM1)k?zGr}0S2oO-WOcok;1su=&z$Bw|JdOr)E!HB`Rmj|X!O;8W
zP36OMcq|*5c2$P^VBO-7@?j1D$=x2`#OOqBC(!9%k+-@A4d>we44#^rV|SRvjptoD
zymOiEHT4JzY6b>$3?_}Bw%_fb%N0<(ziuD_fzNYHKyjZ}#t7V5B>j$xkQ;$_jhW~k
zTKk|RPr<jlTuxHz4~WE&b^mK+iwZibE-AD~mOYvJnS21$KY%pn)Oj$k+T63=5UsM&
z#hf}@(zY7qHE6LMfx$VPI2N|D*6pcdSiDSk^xofZQA%wb<@x|>akiuB>J&2*4bY9K
z3EROCg)j49P08||&TyTzUi!P+WliU>`e$1uE$?SaB#=3Xm!F-h8*t}8Ixub_ElhfS
zr7?G4wL7b8&&A6sR<;*OwZ({uA>^WC9WUb}INjF@njIENgbHfbayu<-!<7DyO;i6n
zYGnSIy?Ig&3LhzEI}nV}8pKZ~=(>iq1Yv-PKfg&!T<Z!fpO^<1S9Fn;mu~PMzp2X(
zrzGD=y$G3h63~-d`YRHvF(CDWv*^pmOR3H1bP^yE=Qyn`fdWz8sOM(a_!i|vSZJ}B
z`vbSdDnR<@Iqu9fj?zW&!rkut*8o|!6{*m}%UE0|Lsqq4JQx&Ln%%A8lhj&+lI=P8
zb^Kth_jH0_Uc?c~3+!ix`0HD5w8X_%ig~=%cJN`&1$tm>X!n5Y-F~m*wA4YH=UN1c
zV=A@3!~jK^onI`nsGC1xikfDW^B`|{M_*}#&u5$nHB)UUZ=M(ZRaPW$x*Yf6$rI%z
zEeyA)7HGRp+Z(xNk=1SunLut8*S62Jv`TDtWg=zoRa^lGBc7e`uGyF8;WAqoB~4Md
zu9%fyx1)WLPivb+ibjBknc?c$3tiheK+gB~*OwAHq2Nn2kXe!@Y9op-7r>&u<rpGu
zPRL#(xk4O`IS1UO?iy0*ob)W)c!+8UQ_F`#q%$N+TdtBensO~Evtn|LvRiA?p~P0g
zivx0+89Xi@OmJHK8v&2I5T|OFJhd~PMA5%=6nFTmeu&qO9vzJak~thbt^f4(Zj{9R
z(eLRMOlDlny2+aqWYGFPvG{*UTXJM9B&%s_w8DdQDiCN2njSWA_xjF25h43SJAG=U
zG!t*>_sT2>2UV`@n{acT>MdBJZH@o@@BM1s6dv;lJ~0ZYjpBO)g9xB3rO#^6`SPn_
z47m_7K&GM+bygPmn$3i61SFP$%I<;0>3aBL)>TqD0Eck<W^lL@aT3pWs4f!KU-qme
z5a@LjGd43d9zck$1<ndikkzaDnjS!zs0d3uE57d#P(hOp^n96@Tr#e8FTNQFf`hT+
zGn}35{1G+!kbNbP1d_;OZXs$~j*lFDDn*=(OS6ZR!Bu$?8>l(v(n@Rur6${dais6l
zQW0!nY1Xr5i#eM4Z5UUlfL`kApPfL@M>+l1i$d6nZ%8MDo!fSYBpBKubGo`HjZ9v(
z<ceg6O7f2#6L06zWSLpez}7gpy{^04K;{_3n9>K@iNb=t;zR6?Ju8@%(g|dLjxCaf
zb0ZAr<7Mj2@cj`AyLEXa*TqD&Gw}wrQ7QDA@{=*n-fn)ca+@RLr%po8#(zXO6YH3n
zs+V}BUIb3M1%YUlAX>)R%qVHBF1v&ij<-ceU)CCeV9`q&=e@7)P(3W3wIq7T<RNWV
zFWJM=5%KxyOWyOBmqZ(t?rY0bH$LjP`OTJ7_S_1e>vukLNI#t-#pGJ5wb9qr<cpTC
zFCf8>hBzi^MJ?^}N3=@8*jNdswAEXtM&Wuk0lacMWx>ylm-6A)G^IJ&G;tTLXH@<?
zZbzah{0|PH<+zK6BwQs4U|=}GCkZ$pI_0FXEWfJiubC!qz=i(jNW`i*iLII2TerN4
zjli>2G+|ms$d-tU={K;oqA;L}Y_gdeD%7}UnZY1b5?GOJ{8?g4-OU>T&TqA~{*vnI
z9ps`e6Oj%NF~PsmFJlb1PncJN66p(}C-HPqCd6K_+IzD#b{+xVMlZX?>@fjBRJGMJ
zGg<d_#J;YkxbX|6LI%!k5W2uDpr34g?}{(G)k4iMmRdx<@m?P((Q&6>N-t_&-CO7J
zrsH{DyL`E5%Uv)^BzY6~dgH2)aAXY^AXoV##7YOEs^cc|nF(nBx_mp4Id!fDb+l3p
zB^$%OKv8fo(#!AUh{`$hT!TA`ngkWWZHY5h9y?U4-9*&D(4HEI95E>BXp&Q_(WM)X
z0nyGC_HPaI6s?vVxuWf4?S7IWhB<bo_mo@e>l<wH0yO;-vF-(4@K3quEEtOyuz|yE
zW?brx@4OQcQoa9zt{Ti}9wbnn#W<>+&J#hyR7#3%9;5k~h)r6D6dxDXc?|SP=q_xI
zXzv-3u5jd=QuZ*z=P>6Y{}WMA4+6X=0ki;w>~M<BQyqg?p9Oiu&egC<D?dM}7CJot
zuTP>5KGh7QafdJhiJ=9x#`}|b&C<7D82yWoaBxhzLlJnE*!`ZcIl4`uZM*V+vS3U}
zHZlJh?jn+7*m4en^1=F`MEtr8DcTF`b(`1j+45`Hf56`cF(;$|kcnJ8)fJpq)u@X3
z%^>_wqi2uhCawz8^^7yjXpViivPDo<s@3%H$N!oLoKbTd9$`Z<iEevmVUxDk<I5`V
zo4nWt6c`iJyd=N+8m7bQmflvosN2Gf!-l+JhDs$xP=`f8XB*7G5tH5=x{55=j<x6_
z$Z={7UqW06);VdD-K9z$BjpNB3UfwJ!a$5KSveXwNtoG_Q0HGD&|CeA_?@(+dtIwt
zzqus^f#hBN-IE`4LqA&srOnX(60#o4Cy{DT4mMxYtZg&0W5BtsVNbplxE^Z~FKjxz
z!U4f*?7T31(|RHyy{$jEUH$XaFR6cO`W^Lz#y644t9M#I7>t67@v3=E(cuPQ<`}uV
z=9O&;(9JZgw@iFA^BWWqWO@&(Y`ivRbRy%uG{@91*KXHaK~+oS1$LPRWJGLv?D~3&
zRTKJ6Yqq?3K08Ixp`D5QubYNRO)x9F1z%Eio&pAx6KRgvIQI;pkt&&2sf>PpEbt@D
zBk^sh9~?8!>KMRkOsvc6V3i{JgcO%S(-V6HI1@v}xiMEiQsUcN!e#`E#N<#74pu!=
zwko6%Lvy%8-Q!Oz&p>{BhRQd7I@@J>@fmmV8*4Da?}IBHrUV@{U*Y=&Aeq5Mfl3FJ
zNdK;5V^LuT|C*F*7C7yE#g5XAewOQ_g16x<c?hnt$}}<Pqq$4Y-7nt(sls`+I(%>E
z&<86)P<WHl(i*7lf0yx6&_bFvi2S7QL&5g+$i{*i*D!84I0NEee4zMzn^RKha_)p}
z*U9(aeykRE@i@%TCdBlO3qc;SX>Y=mCn!cbA>ZBC^%0m(t*(ma33kl+p&{|6E!2qF
z%TobwCQXFmcIdn=ls*fqM2^?PPhzxDW{lDA2b|3CB!uI~82aS%$yq*!w)Tmn+p(II
zT#*VnSgKM%MNuP7<ChM3s#`Rf<1BVPArn5-H6ZO5h`MU@<V`9G4R}jsT%fhppe*&n
ztb$j48^Km9E*#igrdk%r(ImK_x@9igoC9C90@LQgxEa2{KkNEyF_b<VAPFP{Qx5Tu
z0-f>8|0?ukTy|qqk>X=e_?N9?0}zzkSP?HNKb5xd%R3hj9dPu5fv^q<WSG8@wPlz<
z&S)X-EPl&fpa`Jj27mT|mc#tod+&63l4(0qsC%GBxMPHC_6KbzgBA}V$PmSTQorL9
zuTy*d#f2gLZFp!oJ-ECt%aO>cBi4}rn1xDE{Z3-n<c%ACl&g;P8IsJ+dd)*_cc%YX
z-7CdjE7e`?EUlM1$%@_Ouqups6zH1*l8J_Fq@`|itP{J%X|FNbPBbBc8Ee<;S4-s-
zC0P-LV@Ef4?<plft0hKGtyhx>=#-G{QQ+Q6oKxx^42IA<0l)6vkNtGE4WcQ-Mb3X7
zGT`B>S<T^J5d?s^*wMtJ+2ww^R;o!WMNedUb2Yf+qKO+stBW77kW0NdnP;fy0MDgn
zP_apP_ZJ}vs9{gFcVbrPsgz@Mc*-&F!BjP5HvuqjSviU0OqkCtzOD3q){tMvmmfvY
z{O8`_Qps$mN|+{U)u#}KGgH*5r!?at!=u9l+#Vb}O)^?rU3bOKK=d|Fsn-I*%#R(e
z)I~^gs)K|THw~~-NzUSqokpVU@<lt0?U<aJX^Is_R3cPPSNHFAHmU3P^jUKeauMjm
zdy2Xz`5z%+k)MF~3z?BpCbhRb6ctRS=D|R73a~miSY1iD!Y}A#-o6dx8Tl?u(Z9)$
ziUY<Q_o4Lw(TiY5pe_SBQOb(3wJ&30t#TOQB2~G=^#j6>wK2bIhjN#I$&<9mHw7Lf
zk;-Gk<01V7SGPHLS5mfyu%51KTL)iVlmW2e6Vtk{7H!xPBI2#M07H%fFZ>3`pmE~L
zVsc7)prU2)y8#8L+X6l{4B*-uUq-%V56e6YA03vB>OAT!(n<YMMB%EX$7?)j{!SvU
zZ-183VP-@M91xasR%?oGvEWg=`D`?7z(o-b`jj+TsQU-cdSHx?jq;WRgNJ;$4A3Ov
znqc#yZI1{z!ig+!LX4a@Z$z7rDmRYjv}6ipA*PF|1p!bytZQwHfj_RH=s{9V{rw+*
zm<-q7JSikYF6;lu;1;jztl*BM->!CD=MToFDC`?jBjG?mUQ|T|n>5dXRMN1X+0dd@
zp_Py{F}oNRK;Ds4CPNJoUpucFk5kgYJpSn<OU%Mv54Zv0m_v*=s$G(1lE3OOJp^+3
zqb(KWiD-_pVG->d+)MC^WqjZ9chg04i1+`KJ?~0<!yNf#GZ=1c`ve5%7Dxcg=NcPq
ztUpLs>b#nC<PWe!K5(Z$97oQSi#c2@)&*s83+z1y8Zj$(4_L2)a*7`Xwc2JMXo=&l
zWJYRCYg>VzEsB}G@HfyI;2xQhtT27ePGoPj_>}Op1c2_(odi`~8gW!)VtaKLzsin@
zYuDt%1DOiLE}JNC9hDK9UGM<9u5(GH0}ZD3(j1#@1i;-~bvwHYH+&jsF4H%zL7-o-
zF}x_jS&%{Q4N>0zlzEx1&8ZwNHp%w*)gH5pYDYz)nd`Wyn}WPCtINAuAmF~aSArH3
zvHD~~kc%;$Mt#n!oARc)w>_(3N^Y;rL9|8I>FnX}l6%?D*a9KXxV{!Isn_cTX@B*H
zBEl%`AcM-WVW;A&E=oIAxR=xkms#nLJ4vp5c{K0xbKoj`mAk7PQx5ofTEx&t@>eu|
zPfIr88R|~!e)+<iIoeQOr<xLO)?$sl(aOBeS>RewgB1o9YJ-@Af|H{)*3IQxuRvAW
zo$Zguq7O`mVosoMm*USWAEa9&{wVB`mAt6!*{qLtBcmhDF<!Pe9#mf4CWk)>1T+E7
zW6gRc>wGYo1TN{C|9m^G8rg53B9A!#v*87=F3P5obMG}*d@Xd}q-=ki)mv~YnyE<{
zD3qYXbm-c9JlbfZrC%WzibN-TgX6%kxjBlX|7{-l5SM+5OPCdiQt=?aBh-i#mnBBH
zh1v2Io4}5gw}9<!GWy(hePfKKWhDB)dqTO0zL$|9!=Bh@3;!rTfwDViYY4ov?g&Wj
za*6SBwDFZPK5pZ09BC%~{X*6C<KANIfON-hSn|VPA<3qkC)*eid_bp3Y9jT9z{%K(
zO>tNZ(lz2x0BYp#Q`%!G$?k!!j&fIX`0IR=r}HppbgjTl9~8*<hkwndhqx}yg0=;4
zb3=`JV|o*w9*b%rr7g`IoEhKY98G2U&5PBXmpI)@Y+7T!L@c*`H|kk8N<U(}w8)<=
ztLesc<yrSm!3KfBln)i!g@AS78ok*G$iQ+YSE0rh>0Vkz1mp{uKyF><bj0hO+NlN1
zjiaQ%A=yAe7&BYvN|9>c8DUY0HBd3~Ko{S|r-5RSYkNP6KEi&YpP#8L!?2%P;H)~5
z7+eoy0P+{2wg%DQCnZ|g(B*pcDYyKNv=b6Yoh^)ObeBo1AV3$eSZjkWVGc~a9jwTT
z^uV#K*Qb0)_vQB2Ct|e1mo%8BUHbn`JD_$*vt0R`xNpX~jw)%Wp{|G4+^y)KKf!X{
zFk=i6e~rDy5E7Oq*s~O;Y&RY-i3nbVvb@0(GNb`_ZBJ!O=RkA}+*U2ia*}^q5A0Y>
zV$7UkX9Tl_8@PKtjz5doC>^rV2KWi@-V>;Cl<JF!YKv&an|Pw(nE(2hZz<`96=jhz
zAP~)8FX02GXAI}X`HL3v#Lk}PF2PT<(2eW&foC1BdMlG@58LZ`gjbBDC#fT{#s<x)
zMZI@yj1UD3=QPC@xM3a##-I2)4?FxK)~f%jRdud!Pm0cbts6fT{AW@_nD|gc%cO>!
z=R{Zp5pNc&K!S1{GQ9QPS65V2d879G(+;3kJP8Hy965xO)An2SQ7Y1-1xUt#fge7L
z{i7HG=nmbC&6m~9BWE{X##H0{&#gy=UUQ1b6u2l@Zb0_z&2K#}2fa$3AgA!c4CJMB
z+@c>PhsmH5QvQWY0g1!6JUf4V4&K%KZEaGrQj@%$8Z!rOoEwp=^O>2f=Q&QxY)6&h
zrf~J@zWE$0dRAq2P@83+Ie1I@wvtFSOhkqPvqY?Sexb?r-)&(IR|#tb6sri#o072V
zVp<%q3crhOh~ly~rItn+h9lx2*c5dolexUjd=PIqRp9k0rt|sW=!zUm)@#!@6n;LW
ztKFQa>Ta(^b`<BzfwjV#)Thm{^Hv>ew>eSVtR~+OqH#}}**~rP58{D3ojYyulPS2e
zKxs+cDT@5gFVKPJGnyhD_yhceCooa&@a)AlqpqH#n(jWy_K?ulcB;#Z$?m~*8RGkI
z>ZLZiZ*XBtXn5lb(&m5%a)wtD-8}b_W_@5MR{lG=WaJn0KkStEFPX+ZMemC=T;Io1
zN5I_v$=db6aovTC1y}caGD~?W?1?6KZqgKI>0<a3!Z52I>WA8ijZf9XG{C96qO0P&
zF4O7HZ(%BftkB>+o6b^v)67^4oSymsVg?`r+tF;xQlK#Ix+W9B><JvO%8g4m%+3W=
zSpzZsM5NNL)EYyNVX?%m7{yu^%qv$i&-3FtR$JbK$F_MTgYyTsNTP&K6hz}+)%SYF
zIzy<HW@`3>5HdZVn<j@oI|$A{A=^t-kSDLrY8c)%fjkQ-FrtxZO6_Vv>47pPx3EUl
zcCmxA!Hi|kr-`aOU5$)AwN!>H^;K={Y9|DUQjW!Z<fzA0lYw-Psbb}#IKT{ET4l+5
z3zN+;?C%|(?2mfCPT%Q1L9||SDlyvE(@~a=3I4fHM?&l>sMG$jPMI#rYcp52E}NIz
zZCu6)2M_i-E|*#NCWXWUj>i+I)g$jy5j6SHU@3<nm}SP9J@^m-O2-bCeOAq+P1LbE
zNha4ij_->wL{?sy1VvGC5+mhX$((E3C`-S?hR>bIzp%p7lu}nYoaWU4D)ASJbSk$;
z!v=@?)E1I!)n^D2j4+IifMcwV3{w}^k3@5WJBHgrI!yr`HzWKj)$`;3i}I^-&*Z)8
z$^gd|Zp2+f@sDB!d84+JLo{ew!-hhb!(##Q-NAY$T^9|nt3!-_nu#Dn3<sXcJS{Ty
z-U%Ss?Ba<}Fmn*vz_=Gq1l-m~EHiB$Q4mzq`-Su|-~<liTU10SjcGN=br4<%6Z>>k
z=wd9x!t>4VYPPXUW3v|ZtqF&1Z1qAsadDh*8gJ8xLQI~$5no+S!g}DdUlw_nq)16&
zmZz>hBM1tPD*NSN$+>y>gS)6**dC31<Qa5!r$a9hijNoV?Mjkhqg3fBL132Z_L5er
zO%x>=>7zxS#sd~a6xn9E+S!&jACc){O1=;zSXD1T0f^QiEytHXVn!+)P`^RZTK8v3
z83L{L6DjNA{Mb*m<D*;i>?eU9G##`>7Zb72kO==|{oQ3$=KC`W?wGPXHc;Ru?=j;$
z)KB`!($SCF*Rc-!O9v?Yqt>5dCsO=yboIz?*-J+T0Ljg0T{YHt&WQm3&LXMfx^6sb
zm2mOhx21)dB0Ctjnp;h%c(erHtwL1@1j-R{HBtUfY}HUoK1-I3wQ5-FKc*Z=R~ACz
z@d3kBKRjXDqfV_QYM@|uJKMH!QS%aFy-wX#rBIyVac2O2B;cPsL~83EI>fl>MlYPF
zcI<yd`e1N!ybjC<6fF`XSZP{h@An+&vlRis9J%+B9T72OI50V?gCvVl(Py<Pn_=z*
zxvG5vFC(@0ubu@mCG6$b#Mv8<MZ5QAXI$STy>+*eEY(j?4uLIcm)L-vcY7ldGvOky
z#Zb}K4YlvPL2xxZx_W^buBUH8?NTDj_nnob@C5M*O}Q@gHXbrldhqHU_3<31!ZNzO
zDCx4CR3Vc=!?=H%X1KJ~=)%r~hX$F#ZMNiHS^h{1OqLnS{YL6t?msnz{d%t^>neeN
zjk}314$N?EP%oK^*GQ*6w~#s}>VDg`6ci@GEM`!FVSU^NUn+Qx+;KuM4v(7vVZBHN
zy_&v9UQsJe;3iCrvOLu;#t(L}wOLg-pRN$C92Z1PIv>8Vs_DMYxWW`{L|t(XwlV9D
z${<7Z1|i+3sJ#+C^b`RCY;&9j*2`AGj-pnU<<?WA(T1-N2sQ^rWzEm5(wzfTsU)`7
z@-t`>NJj4m6Es_=tv#V$z?)SwG3k`f=whab;k)Qrk)?L)WL51t;Y6ghd*W(<{vl6N
z{vibK9AEhZ!Gj&`+N1*N`0hbZn3x+K*Cxyfz>PRFtyr#qdhVkO1Q8#IDZUsO!z2&U
znzG2J)m=zx2^;1-Z2LLkBj59K3pF5a%u|d-ofJM!UPcc}7O}3U1dGuA`V7|i6hY=b
zMF!3h;6WN!E;r{4w5RXM)_`d4WJ^CQ4GHChq|;5Y^;ToJgUHYp5q`|en<|&kU3>av
z2h035J>DxBiQlFuXH!XeS|ztRHC}>_v1k;_Pp0CF`JI!zY?QEC4<!7X>>pA|P>DUa
zJx|{D4W@&LEJ4zOUIG;!5Pkcc_hhOcnbTypd`Y~KQN8IGn5yTonmQjB+l(?xl^C#W
zzfBwfHw++2b0gY9wi2^QYX+JN`z{tS5eLZ5i*G!f|Cp;%)>R~q>CBd>W<-_2Mzg9#
z9-e)&Sby7CN>m={8|fFMM-7(c$59IyX!d%=jRqMOc{Bf&jCFXi6o6jVf<5F<uaCNK
zP3*Q-FQA1hgR!JaHl+zo>f9P1^!<8@4Cv@W=XtrU%pzpW<N0!n-H3n?U}$pN>)Zff
zD~-qF>kXU->)a9v3*W!G3{vbe7$Q65ws=q}6*^IXQTyz8AJ(lWPVh%X!&KDI_}94S
zxl;^|5&qn==>zIkMIEKoy;7r$i&!OVQ2*>Shu@?S&RDG?9}$K1GfHC0QV~(bVjD-?
z=Ia|^6WY(HGpzcZ&aHJ=8Z{abbQF|^9(f)K9#dzY8Qk}76JK`{^=z((4(LjF7I$NR
zt~}`HV;paiSD8I2whz5#lD%E|oR-*_4Dy#lp2*^fH@bUNEOhU3bl(64;O<Q)t~%^w
z5IeIL3Wi(2OUbktPa1;5r@>?2$1PbXKHc$9&dSHl_bZ)PKROri_b&?js<m#7zF8kd
zvbNS{R9~B(qv}w`Iglz7F1mDK${4J?)s%PX*y*G=7yNOWo|cTnt6<HrbBad$=7(sj
zTU8Fz+nn`w1YWqPnL6@hu?xB2mZb`tL;HXZ24YE-)Mh2zF+X#}NlJ%@U;mI2iDy<I
zpZ<GKTP~&(-N>UK{k6t<Mg+o%?ckyS=P$F`^@qD5^Pwk~s<R%g`DcS2<(DdVH>EhM
z!@*_TWS;4XFEe>cJ738sTxH%al?WduaQd9}U+bfr-8yMJBKI$+bZcx4uQtP664!k8
zb8?eQFRUyu%tt70E5qdE@9u%g9210z@KA#&s1I-GZa0~QL{#ih|K0S11cTuv>Ku~M
zy1bqL^>FeTujQYRf$Jq~$Zm#XrHx5uP-(^Sp@I-U$1q!Q@DCiDIP~25eGn<8-0q{T
zwh)bjHO{ma4IgWp-sjtXcaF8jA%98AuH24Tpv;8b;~!d|0o;;w<K2LXv<ww(`kK+%
zXgRSG2o!j1t=SDASuXapL0i601v+DmY0xQ;ZvRMs$ZU1XvRAc@0eeUrH#~(zjVPIi
z1W5$0Oz<h~6<xK4*|<1CN*-|{Ly<Ol#{)yVEt}Cd%(q<gfkqxpm@7WE%IuZ1!XS{9
zrAe|pxc8ho<WQ+*5GhfGU-LssT6iKk&D(8Vw1|kCunB<6Oaxv`ZlD<D4QZ6lNgG}`
z_BRAX7A6>*<GAYQAWF+i`pm1TSwPuULA&dIQYVXC#MuLqgrqM8zUS5)0jTE!n^5so
zz=Y|x(pUqZLRtl{@ihvdqd$yWu4&<@MMS5wG=TBv+(Iv6F>wp~yj-<KspdJw!y_(~
zte=66b;={q^TtbmE5R;Gf{mbuVOOeJQmHBb#K}z)SOmSENWQgG29FgF&|u_D4d#;$
z-v+rJek>T)I>q3haP2uFf}d5u-#654n~Xo`{IZ`)Vsq$|1Yq}7BFoU=qWDEKE_IPI
zMT)R6k4PMIM?J#Dm_r7Jp!hyZrX*QIT5eAo-f(}mJaX!xy(M*ZR&!B3k4|x2b-(@k
zSZ|IZ`Rfosr?M_5tqffK@e|ktU(IV#&XdFtkVMhmDmp(+PXfMr_{RcUs<_ii*H*(+
zB*?@2tgDO;!Yg=SZss!09QWvR-jp!`*z;5%LSHf{ftGl{i?wyt&SVc}1^Y3i)48AY
z9=lRa8I+lNid)+p+r4^rWlDNXu%+LkZI9iFy!!CIFns}4f$Z&Ow^L}lYbc)~Kuc<I
zf6!A}QzdH>D0m}^;gemJABaG^3ErqHjfM0If;10Z>OsxYKRuZ<HIBRmsO-R!l<jSJ
zo15XcL5@8bB2$x~Ih*5D<}qH=F9_w1!CF_U>5?m#pHX0Z{Ve>|mjF9J#J?-cCQ-Ci
zYt5_MM!h;_2NT3~_w<tkf9}9|sb<qpvdeAph{DDSg&oEzXP*5rNyG=cnl|6Yu6y&=
zp35=T)Ejte2i(H>8z3{?DBwI9;&F~1>>m6iVRnf!Yyl@UQ&&rYV;+B?@EKy?otQQb
z+@rlhlZ9=&ttg#T3-XOM%Y@)DkU_7n#g6f<e@TvuZY%FqNG@5*{n|QrZ?@IR&NVKn
zM?&i|A;><Zwdrbv=q`oJ;?=l_XL!dkanX2Z@1RVd9lR1K5Xed_C8e>i3(yRXyyo@N
z>;f;MT5)R|!8TY8=fz<g0bsPhtFN$7vCgt~{33e-m9(RWs78kQ#HXHwmLN*8(3dD*
zDRvgnmR1VW0w@!hCfp2JW#{$sKG<HL*^NajfE>jclmT=wR?XThDoyU|@W**Q0*K3}
zaS0)&#7nqR?Z|acL)~M@WiY#P>!kDOV)GPw{=IOyvR-y!0PIGY@wtBIB9>Ouot`yI
z^<|*IM@9|9K3}PSReSbDZ(tuSD!I}-fm3+gp~9Dv2lsuD$AAsm^l-L%pq#A;O*)h%
zpB^rg5GsKduY})Ao^s`@Gzb=+eCNh@Sp=6um<Y7c)H%)%Datnk^`~^N&XHsL-kexl
zHwshT^?lL+lSTzn@X~~2dN|$z8YDt^kW=LvpJ<tsKgq}NDl2~`{jw+d;K^4oY}gFK
z08<t-j@U6KVyiAE8x0Tz%bE6qwFeA!7i=%u7a$WinMTP3TG3@aoL2ZjkrB53{TIB2
zJ*1Sa;I(WKdG&a?Q%l#|!en7Q96W+1ViCz>e`WAtbOd%!pLt+!#c`A&v0l2&L~Cf1
z*0%$R3TDT!J6U0poz}fOe;DW6<Vmu-p4|rGLtb*pm^lX07@YlvuX)?9W-XzWehf&&
zrWVZrW%az0#@BJrIIw!};?8MX4>|QVauq_!ueDQM!Os8LoRa`A1ULMmpB9ktnX(Z>
zKpY_g^+;^z2xfF5{gB3k)>uI%=*4C7oXJ+1v@iQA8sm8SuFw%jcFN2U4h|Mz{dedd
zjf~;qXgk9BDSn1S_XfT~H_!vM{?3-n9=cYKc?=nz6^Q+Jiz((~&4LBhGC+GaiAVVk
zUfG~?vn5bq@KCjW#e^)~7X7Ez5A{y=b(+&!nNW!G>?x5VSnXQKeB4WyBpcVFHySn0
zhZ6s7w{ukjh?x!h=lp`S6&c<&%MZM(j+m?sVH4Y1UG?fEj|oZ>;U%AgS}7$K*X)h6
z_aBHwkmpeahtTn-Kd^NKLoe`m8evK8f!fVXJ3T(er~C^3E&BVuAO9-1WE!1vp)?=p
zW(b3|b&Qlx57z+2lw84E8I-#MO}zuN)ZIE#N(<ofFV^u{-=<KK(K2KwO~r$MV%w}K
z4&09E&J^74;LNA$QIaG~vi&lxajT-b3QTyGIMgHpg4|n6)oSMv6VuY;P>IB5rOjsv
z^n8Nf9BFU~FU70qdXctRAcYN-k(5g(T=Btj>^;C<Sd5o5OBLPC5%s5E2v8lTAOMdB
zDsEK2AA^h=%)JF49S1vd@-Vw4!kaTkYFSLtKawwZdS)b=^004sG5hE1#iwJT5cUsv
zz@%%;uaH5H<pN_7@YfnI{xaTp25If^L0mrexF*VyX2mo!>Cmkib+;5!IgW)2WDqIG
z1>lft5@^|BP3duv!`AbgYf(#OBA}pT%M3{F!X;*v6}jr(Wjwr^KqW&<HUkUvMUICD
zzoaA8n1&*`nY}0Uzeu0cE^&+PBpW{5kt-?aPAQKZY-PZ-)3tH99B~I#z4=}-uJtt(
zn)B}0B2Ln;aa?U&v2DK8+5?oT&E&=3r>DL(dxU$Lw~g<m?fVtMiCGy{3{U6rDE1D#
zC-aXDS;tlmONK9V;tYq&kW1(#P^~&6pSoB#Xeb*66sc*dy#abqC;}3jpI%Wc#L~S&
zn!8xm;#~o?(d&CBny6qXqk$`R<=QdQ!1Pe)d+_|xDxLDWj2yx+6Fy%+iQn=l^&piq
z@t>?bR+|O}1k$sMd(=W)u?mc139_0pt}FymV7cHuJTKZhM`<|t<oUc9Wpi`C*yt?*
zQT(rS3b&Pt%HFmYc;IEn3}7x7fvc16G{QoLxJWj?`?VeF2ibE#=31{)V0KmzD(wj}
zU@?rqJwX>i393Z|`$lM%O`Mlrx)Ndk%ra#dr^#Uk3O4r2A#)Mz&b$LMiFddD4A@v>
zCf(pZJ3PLWGZN1Tui4vgIgRZ22Af3e_G`?|LpUcmSN=irBkhj*e1htkQj9L&m$Ubo
zNSPa^g3b5O_st_bpL?c-PQvQl^G}UxKhM~X+DN1daaKl5FD($s46HMvme~_#bh$yP
zEabsuv+Dmz<^csl8@0+R*teaIf~U7dvVby<=a<j9)DL0Iv<naIx@?#m;aVtO?K?`s
z2rrLrn0g;QTG6II0K`RnYPH9RvZ>LwtcpcvR64>(6IxWW=Y7p%Cw$jL!tbJ%4lZmN
zr>Gn+oDk#SS#!q{nM7_2ulBI7SR=5QpS@EOe;?}2&olOIxK7~`j&{H~n!AbjWWH2P
zzAMGj2uL779|<OhrC*IY-9;j+&iQ@3?m_MSPiD*<_;@>-rXGC(vn&Q1YuzN~z4NM@
z$gok5MhDcIeaBo0ISYti?L0wH7P0H6y8dXv5!+cB;w+_k6VJ6#7$qr5R}oRqgCOj?
z5&Ih);#s#HnMsvegxYudph&K|g!}PI2G9|j@Tw;Ctv%MSPwN=QQ~F6eX7sbZ6-*0c
zA3PwxzSlN|ok7VXM(3}HN_l4(p@%YIQ_b|GVNTZ`XiFja1<t;o+Q8jCG7`0Tov;YN
zVQ~GBw4r@S&XEMp5#E^_JT(B27;MYCMbkfj^NSj)C;qaWwZ(fYAfDi?REJ&`s|xdG
z>4;8<{3d-^;5&t7=ODpzZ%3qKOpL|d9}3>3R4Ucceo9k+p|ptBZN7~T5;o4yoP4T^
zf!Jw-#ZFEUisJZZ0ZYKttW7D@TG;4_b7k7V>QDl;*QvCMR2>Pm=9MtvTEH|SDk4)K
zb6Bz>x#L=p|1nls8fN|o)n)2l1$#ALu%*u6ug)<4{aHjh{$)o0u%5I1y}cF<bew^Y
zY~BjLv<che_)u>`GYNun?o5{>`A8WWvwJdzfEOgg&^nY2CEjT}#0Ch$xQTHO_E>9W
z3vK~5xksFiWGKqlggZ28cE(%0%HnG(8vL6qFWJh8Do98e*|G^D+CBMARAHK${y^6V
zKkDji5UjRw<py03*aw;qi)1*<fWbXaXg5+SKxL7fg{E@JwH=K?9f&k>WxCCjs%jq+
zd{KP)$9%@eINl-NPSN}nM9cP81@pIGFl-d2J*UYoOW^ibsycY5rmBLYUmqyVYYNLY
zI|^q?ypA4y#)d2)I<IQ;gp?Celr3JLXZ)#MP@^@YuK)TB`klln5w(fNN77v=3n|u@
z+@a<p63MGMUe(6PZ=*rkzt+tP+)H2X8xga6OOo=emp~h&!I8iv50bFbj6k9$!aB?c
zHP#Kv8p4pwpkR&XP7toj6PbSD59wWziRA@j^B8nZwa!W;wI`bc_)#Bo&nR*tTaLhk
zgF=R1eo3s%cMnMsk}YAiMY@=;IfC*%7LR!8nfH62j-Sj^+YOCiE*epo*o(d*1p*e6
zaHItVwIJwRhE7xwRwVcL2+R}`Jdn>`Cbi&{td2~q^cj}bM+}$p#@0`wC>xbQSC)iZ
zSU?{R*g~k~{;0cz<{6u9j3%PL%sbsNcsQG}r-+a{Y-OD=f4%!m<ams04#v(otJp8T
zO&uGfA$E<4cHlP3ds1?(*HP|#=|BnIuKC+{1l-#(>w!XHWmf#Dr^8~3R@b@0I-J!B
zpdxv6n%zm3PFT<a>jR$k)!2a3&|vTjXll{Xa^HYJp*9o}yT83ibAeT<eVy1I>2L1a
zMS`T$b}cAw6!^L0-wBy7b}C`RRwVyDZMv<IQgHp*apcxW$Z;GjT}B*>tq-R)h=2x^
zy^O%-DRGNCebYsbly`zwGP!MKk(m#RE3+(~xGSO4cDr0#_mddMCW=t0;<%uIVY<x~
z-Bz{d_=Y?-CJr1P=GD*B<Fh02Z?{mX={p$#+C1l$4Fitoj}a<=-e+|%6@hHhoU6_c
z-72%j`)EB@?U;J*1LZxDOm)N54T4TnH`mo`grZZ#rDZTSLMWFnKiz{zD6oi3s%>31
zqw*!gPK6!FF2n}B>8XTTE}+^&Yx%H$!|MWj&c`Ph`{ms}GmNkKE(^`~{&r=?uh%r@
zD_CV0KVpLQMw4GNpoEyx4^9$YMS^6vZ|1>ju(29Z$Z6hPyIV)s^7u-hNq<p_@h0Eu
z#TLIze%yMB(b}lv*EgC~uz(5loSI7MC#bE@_RxYtDsQCZqkJ-DG;tuQjq7MFJChrB
zTU`v!`@-O~=480UCm(a29<#lYBo|{QD7|o&nx_-0b2j8~A#HS#asbgU@q#2HKW$fi
zv`O?K*Yv?J=1Sx(sK6x<GVb3wEZ5^U4a(rOmVE5EpslGubdctFgpu-F)T|s{8Q=PM
zN!Wl;y{B?^VjQ=WcPz&S?j^&>RhNie(%r&L<!0T#&;W=zR#gg5FC&-<!^Py|TQZH$
zXjx4-4gjTI+s}d4QgMOb#<h@@a#|2CMD^MyQYh(5p|!*^nBB8Lz40>Q(sWY};OffK
z;K#=^Z3|mxV!nrN2T3`M!4e@3&`O|U8l2V7+KZWmKo1Ru!)=GtL$g?OzZKqI=$aHV
zD>UFxXVO>HPqKwn*vm<T5`u*i2zU;XS6;P{cf#T6rIm*hoHh;lN+0k`q$og!vGd5=
zITUE}Sww)1oc5wjev}^<Ld7sv34D*4NOJ-o{|3AV9&4E(U#v4e?A^T;Nh>gR;iZ$J
zKp;RyqGL3Ihj?rJgq475Hp+Q;fCGpK)}~}OArG!Ed_i!Gjql3{iw9>{Fn|c20PwoU
zJJLMMI|A_Mnac(D7uL;Vw0Y$QK^N@ns~B$>+N8^CBAB?(@CI1F7q8N>^m@eOsp5{^
z`&X|lbU@##ENxv&nKdH@JpAmW<5l1{-Zl%Q#;G3*m>9J~s*VQAxA|FaqTMZfF{+$d
z;{RWq^U0@kkIUWSn<AVO!WDgD#jP~3{Iig76P(cYgFO5nS+qQQ!^fCpm{|g?_Ddu7
zdC2?6f>w8J`ZYK+iC+=#44Dbwzw%qR?RD#Tp4F|#uG`Zwm$dLmjL`Y1p#OB7rMjp-
zfZ5BtzMPYW?BOgyg+F8qU+|mqJfl|09imJD4EAH{#tHqNLX{zUDY?qu*JT&iH-t<q
z`-C6xR0*f4EToFzWiP;+O-v}W!V3O5!O53Ht6_0Pq998F^eA;z?w|`SJv6)yW^GBB
zgF%i7V+bF`?t2;#wnqWQkdk~j2I)ODeX`AW4dkj(?Wi4g(_GD5yWjKuLfR@<p{pOc
z^4THcej7dGjBEsSOsCQZ0ir?)`1lM8(Bn~sJO3gSv4aAT&fn3vt@1zq*W>LRPnKp*
zc($cTIY#=_j#E%VUb0tHfi6_MrA(oIO=0b~ymZ6l+wGM3XoDhjMQm0Ht6G~DXO)Z)
zdcH~i?ZmJU!9fl2x40gb(8^_|q}bifV{uaoIlURy-|BMmjI*U0u+zs~(h6AAc=s>&
zs+W<5vN-Tww?woR1lx9KnCy8%;_ni{-=+itKBw(?E?{o51=dnabr)x`YGoIyLC3~R
z8<l~c*#t_a#{E~AX5;rLBZ%llj&9_l6iDL=77~Nvpv`Tiq3N#q<It%m7*JZs)33<|
zNhkkJJ9A0#LKe`L%6L$3CZIQ8+4crOvyn$zhZG-<lLXXU$Z-#Si*@(n64v(J?C}@)
zT~3V=3-OyRN<wwAdKSy!12rXk&R!}Qk6xx{1}Wd#a$vLnDYo@%RyNWI3FTMmSrIe?
z<z2Cy`)|YwVXk0H@sDDx2|)|8A#Kt@bDH3J(vh4LRTg;sCQI{fgmK&>n!R-$4VkGk
zt35!AlV;LSsaf!~2nl=ZDhDU9oRX`R^!N%UdJo~~DP!uFn9hoJ7OIDe8coEKP_ZH@
zEaa$|aUSdNOBvJJh1mUq0AuOx1ZXaIacQ97eLEMeJ&fF<<}f3qOD%01VSUa`*7wRQ
z>gB9woz+Us|2RniE8iyEA^+!XllxggpRbdqwXrB8=m<@&QD-`Fr3p7Ok0{71{c#o)
z9p)OXdQ1{f>iG|j(+LDm>|iOA%d|H20boM|LmX)QAl_(03Ud4BMQlyqSM(x-4)OzS
z|N47$(l-vU@N3xGn4FB_*Os`?ySG%8V0Wb_{W%UwGnQSM6X2ziIyX>M)W}vMMEF$9
zl$1`WRW&IP9bern3;^ur$*yid*0&noeeKRH&w<P48=e_CN0m5DUvcD+q^JM4QI+41
zFg#JP6a+;x@#Vqz7m0@W4Y-EtybG~cnT{}nCWgh6E?Kj==7fZg$y{TqPy{z^J9r0y
zpostKv|^!cFP3;t=Vc)Jd(M8mRvzmYQZwak^DqIX%5{oI%RTiz6-F;b$6BAa7t5&4
z08g@NU$h%#x%pN6U5ScN@+@|IXC3p8;aVRZQs<x5Z$BNZj=SkKx&g5GXTHK)Bfh#F
z3h#E;MUkaWFYFvP&~h=kx&Mcj5Y?jQRI^1)TJFyw3=e^xy1TkoJJbl4No^Av(pTSv
z1Co#dWQ%*JSxdBj5#vb1qlQPmRT8K-c2E#19IHD50uWPg)2k1Tk5VWzh97J^#$DcW
z8l+`LzU#Q+mDzCA#+{6-F3OshdNa*x&T*P7o#XweE+TNUzCqeDd=Qia>MCf9=s0OV
z)edKuL4-5r3YO-6w^edLP7VWba51JtHR6Q=W`m@~6S1Kq@%>T&H3ERv25nO;is#Jd
z20`_eb**l2>IDi!CcgFYB{f7%4XtJ@FtXY8IkmopAq~KsNtQ#=uygbFfS@R;MHS`5
zAi{h#zZ>bH(4>G(es~b6@3+-<(Byo63%IrEel*&%*{AC)O@!@aaXvDBFv`A2-lNct
z@f$wXsR)E`aCg)+9CF4}wgWMB9<vdNfyRx_Hoq9LysCZj{fE3oeHYZ2ne0Wmjw1~d
z#3teVaS4o2P;dr)5Jay6=(H@?SRwMPCo-Q1{tLCoc3_>C;4oCDr!7^mbJPF6)og{q
zn<2;d_L(-xcsDt84k~J}*F-4nhWjo?m;<!~^S%gfnVb}9ZZ-q>fhY30cEIG9VOS(x
z$B$|ieN84={@6iD7qDf|fsFjXSYYi>R^%w{<?KB1OaZ#vQf(m4W~??lB$4FT^Ml8Y
zU|Omt5b-*nYgta=tvy*IS+$o>TFHph{UYe(Uf_kFsO7)4(<op{x9aJ82<v9PZS4l;
z)DvA^*y9o;oI?fs9(Yid6F78MZca!IydAr6*hnws)6?H-`Yt5z&`^9JJgT0(SCEP_
zMp1IldUGGE%7<w+g~zOBMv&+zA~4$6NEDT)iazn#sWd)*zB@Zz$0fe_2T(|t9l|`L
z<x>8~L)i{-yL#86JYyg!pf5|?dbNW1xL5_2gh6Y~xM;WpN>LizD*WeRxbbGyl7l67
zONR6J#4}!ZBWQe{<@Pr4(<Y0-kQQ4Y67k}RZ6P5Ax~t8y4~P5ZunTzH%0r|jq2ad)
z54J|AW9;EsMgWh%so7PLW5mGIy`MyX2}(_m9J^rH>g=`rEW)Ey)ax>ehpIDnpak+X
z%E52C0k^bG>_~}|)A&|!qyt0$$=g$*HVO!4*qs{sP#*_`{81@Rin&aAw*su#Zm1t^
zlnjZ5qR@21aA*^acf0ypP}rv@T80j2vTV1dZWKfz;^SV|al<BA{9Zo)m!8c!gP(*!
zrA(fKvZFsU7~y(e-il?M6q{ehRr1?&`Fjn5GNVl;^$G{?Q2Af3jn2d3_WF!o7FG-o
zNDgcw3XF0u2Ui0uDF=dXK$EQ;MDQ%D&0^Ey2ru5wpR`Y5zzZbZ<&Or5mTyWf0f%cT
zj%`I|nwMyxV$ppITO0h4$C}DR;lD-9!q>w--6Kai#GEY{vlWAuL)qoQ5%VJ2g-OZQ
z@w<s|?j^gV8E`A^4Fdh}+sNX?!$NCm330d;m0aR%;?DBMW+N;>0WoJQT3VK8mNLJP
zP?rPx_}wzB{z-+Jr5;FpNs;nB%1_lN9pMX(y{t4ZEv{*#=6P7H2Eu+CmVY1Ue~hpe
z5ja4<-e`1uA-D|PiiQe@!Ido%mUb-*6Q~VRh)ExC6Mkfo#qv;W1Yovr@CA@vLUk&i
zKQ1rL-h<i+qPzx7B+DSD`1$7DUpr|ozj6D!b-9Ae5iA*kqb6S}I4+Bt007Ut&pYQP
zxA-+}I!gF3!E3C1=YRf3Lf9*~5a34yFl`{xEMMtYn9|WtvOnPtDv$HBqj)vODzLkM
zI5s&xg{Y0n?sLbK+KVT_5;fzpO|1(QfR^m#y2b(I(do+;H3JCt1oH`;tsCpTvZJKg
zLNJfwU%zlU@>@K0RD&w#uU6&wP!-EfdwD=<Fkv3hjP*Fh9m#iEe7kYYJP*3Y<P<iE
ze4WT&M+!YD*gFW;#g*`sXpdE<<f)aK&Id~&-RXqj0G_1|y*l2%N#{-#T#2h%@>P`v
zln?Plz>BU4^&yVv0oz%zgE>oyZMD4RC7%O{^Kuh!k%%DIwAv)lN|-`U!FUw0{$jTW
z>eZ*b3}{H=NfM{U=y59Eb0`)>6S_>mW<rwlI~w21e4*TrS-@hrhrQ@=n|Blssudp(
zLB(F@2u>!EG0AJK{H`5uQ7c|6;RCcmWL_$gvsgRns~$YkMC;m|nI1|{u}YY1eMt8W
zRDItX2!Tq$9z{$^i32A=e20Bq?sB_Vzy`cPMF$I<(8b6VIzz>Hkh39TR84nRT?kb>
ze>_?Y7k@jg3$`&G?A!j$9P_f?v<`_A)t#}?Pw2SWfM*F#z~vQmdD19BAvz*|#vfr?
zj``H%0q99>aZ!~j1%jIn$JlV!c=HFAVCtKYE~%v!+~ZM$3*_%;3mzbQ!Vqg_XxFBm
zd*&UD=83NGq6vPnj0f2zA&P_TX)t>oNU6&W>|BxUU<GP_dmW_|OaP~;qi$-eTH+mW
zKu8Xrh{j>~1x6CHFu+F<i%~w@JajFT+XXd$3?b#6wMAf00DzD>#aMd*D0t<I=T9lX
z(v;Xp7s$7s<Y1U>Ev2~{{Dn#n&U7IXHB}#*oo+O^fTcY1ZiBdxX*F$2)43cX&kMIi
z+v<0<m|9yV8f0BEV6D7{YnxA#?z(u_YF#}IE(U+-r{Uz)tf13HM*}(h!Y+i%wA6Ux
z&}eN|t)U5<8kgtOEII!@SkO<y{`3Oij;Cj!gtHJ2$XneNZ7f_hfiZc$RGmsUQCM};
z4%{g#Cgf!Ysd0>QDvf>5SV}hYigkpk!7uErgTgqoHPmR2h6z|{PZEJI-?Y2g6BMG!
z)TUr?U@fo3ZnxC%pfiN23CIzh-jA{uma4v=Qz>+RUPw}ks^ZxvWv1$KJO9Gc`dR`4
z$v4Zsv~a3r*}QL(k9p7$?H=QypxEDM8}j~PDlQD+)Q^eyQ97L_Sf4YL7SHZ?Wn1j!
zr~r>sv#QJs@uV-5i>`Z9?@^jnm)1!R%mZRkod>eR)@`8~G4-(tWpFE=8$8OP-BbqR
zybQJH65T_lRbVqQVfcnJv+Vg23e#@1n09;Tt0KwYgPojGS~KP?A6*Y6fft%gC6!J<
ze|&u9qlT|&0+eC5P2eP}MKCUaCY4V850m+j4P<NQQ~kgqsiS_x2wF;9d=h2*nR}c2
zUjD)4xugUuJTDi%m@9-Kc)&r@p(Ma&g9*aL$S}V5@HkelxfE|eNH$b`UHv*3h25FH
z!u*g5VfA^})6AgrNGzxce9tZHfWgAY&@J}s)*3Q8UI-Xsg$Bf4g}N!M=c(VfgkzWr
z3zxlfwvZ`oDSzmDI5Ti4zbZ-wz#+zt1cQ<nTgW{pHcLD^|Eny|lxwTELEzoh5AKyT
z2*Wh=%18|*mD16t)UU@;<sXKtgEX#&Sk_T(%Tu6{HLS=8z7y0WP0=4$@U~;adlg|~
zBzz1PNn5X+jHsFkeX_-3mcHs04(S@_BK`IU3IQFc+M)mK+w}sz2_o5aVNS(?j1nl(
z_@Wy6X^`gUUwJBloRMV3ET&G7UG4ci4{F!{lIxE*<IWe)X8Y8yi`h$PwNsXSS~KrP
zpZzjboz}~~TnPoM4DL!OMUhJeKD4?vf+`K|aj)!=B9D2Gvl=OPC&1R6&Fp4MEkhtC
zN^s6!VZfw$HRBhCt5o6=>JOX&t%V%VU?F{><5AmJrpc@{_6Yzv9Wr_>-o@wTYaVw!
z>PG;DZT&Ey={Tgw7CQ@oe$C>eoS@40bwU-w-GSDWi8$~5W#TsV5iT<G07)f-XGo^8
ze%B|IW%bSuSQ*Whlr%$r$*cUibOK5kIy#3bP6^(q3E!u0W+0Z31l6R5-{hL7q470=
zm~p=F?Fbg)UTfz5Pz(B~6xVTel#vU<c7|ZGZ=g-CTL((3VS`Z?zMLzQ&!P~dvvp*G
zb|zMWuLy8A%Gv<EFW>?o^up6gI`Crn8l42jf(eO@Dfmp=@D)Muah2Ef;B8O(Zcsv_
z{o*sj2u1tO32m?4RgX{0vrZT&$zY%cumbHLCg939``4gnHy-ZCz;Wx|7$Z3yPai7?
z_fAH-YE-^7o%ybYjJ*B7SA1seby*xsBXj7Soh4h5DKD)&VMAc<w&I~hUjmFWV)i3$
z2hR*lenP7;Sx^D9FAWJ8bg+iyJ$seK0&V@9WUK@ZD1!~X!!%bYZ}tZ(yt<+6J(HBI
zk+v<-ED00J??B|=UeTaZ9eXQikmk*NI(G!#9r&6_U3kGuCbJj>7%~GraM|&SL9rUs
z<1t%{)|M3qp0p#0wA@pL6O9)Axg3kK6KhB|orkJe%a=>RbkF}?RvLjnzyTG0iYhxu
z_s#I_N0R4$wPYB0HHiKu>^$(OL+Br1a6bF6e?9*&h15jV03|3l|F8T1K?sr=V_Ym0
zMaI@`1Icgo*zxhne$bvZPrEfnQ?IUNAy99~HH?$~nS)lX366Qr<$&sSdKqXGzb7jS
zLjh5rRe;2+k5Ony0|C2UH#+Mqxd5<(q+D$H$6Vejb0hIWxpAA*`!$ra-nZZ<e{^Kx
z%0$#BfRH2YnIZ{ee$S9;SV)UYZNu0#_T8;Z$|7sM^#w(!eHzMij<WV0;8Z?uc{sDP
z(V01CAcWw4C0{7=D<BO-FcN2Kl(cB^syJMO(TH`W5<skooE0yV#_DGt-e)eNzw;-j
zd80Fj7<Ds+-WS&nQtC~rgr@-YO?XPfwPyIuJ0d5U6L8BKT(|WGnUsz33VpC%9x43@
zE25L@+_Mb8DVs=EhxixO4VKu=tJ|1z66!vh@k%J$O=uHIanMcETq#nh)YAv*LfDy-
zx2QdIG8q34Fdv!ch!sNGp0~SV<RHoBS@dZwbLkAUwba)Bk-7T&8YhZ+y?iQ<Hvho=
z`?v%(tC~h<;7@4%^f(V;vQ%j5ia#D8UE)g4m$8p$@{E#;d>Ysr;FYf)YNP&#&MB-%
zggwN1R7;FI0kpX_XSJjT2JcfUbPiEW999$NB1|W9$-xXS*`CdOkI(h-$O7}C66%aM
zN(%37N{v(&7j4y1X<A7sZ~9bG>-~;k)&-zLxBAWPP?lEPlWC3T=Yy1+dX}gkwy7I(
zFDH+DkSH&^ObevocZbWRb0L$(ZcyxlcCRc+moxh5L80nA52I@rhRl%8>&sdz9pVBI
zTCrwu@J2otsZf^fiNPhje?#y(yTjoy=9xwf9;8pHy~x(*f75lEK<JFwf7U&E1UY=3
zN-qi<{nie?C{LZ0rl{&f&MxgI-#1veaz>&62+g{Lp>p+L5qX!1<E(kj{{cvU$uLu>
zijMvJ{gwA98ls|6-n?E32Y^qUa^v^X65}2e+u@_@(6QmHXSMFMUmO35qoL1*2bSQM
zoE;EG^^9GK!xlC&WwO3<qK&|Ke_UntQvjX1&=|k!T7pCBiQE4hb6Rl_LXmKtmjVFa
z5tlmDG6bRUBl|2}v3Bj<yt#uB5qS9Ue#gE17pP6bt)IAMmhUurPH^FG6BY5<a(jm<
z&5dKCFXNfS&Nw&P{5_M6(7R823c7`B@-~h(246bDTou!(g==&8Kcyb^U*CQ5?7;l?
zox>xw5y3*7pc_3a5kUrPwr5(WG|kAUq4)iCdqJzBb-U$#qu3Kp-blQHob|FMR3Sbq
z!&BuTM+6&%D)?dCgv!KOSIsx-?IB!TcK@A3d(Y9tSsNT)<rt6gVM5+1w3t$q*Fzz6
zCU0b^OkINYIBP}!4@Kr>zDGbSd`Xrh6ZN`60R1|}h;`0P)cm;AI9}3n-B)s*nA*ld
zR*zwrm)S_Twwd76J={7>S!vw55m`$P6p;V|52X?EPkO9=ckpE>^@Swmn1%rk;s3FC
zwK7qO(b8mCxrZs0DN;ufy@R9_n~0(kq&7Flj$XGPVdPf}q(>6NHg+b&2-P6;Cb)n~
zv92<Wb37U>;YRD+`O4eg5XPS>a7tJmfGX&W`zKuJkW^d-&gJ>2v)cKU+Kd5~ZJ1NZ
z1pHQpr*_xv1kPbJC>k#rzK64B{!9L<_hMWRk@{omoLz$2xCgtn%`?)pB@M%Y8S|bO
zWwDm3xN=fonG#LiOh#-^Dr0v)Zq1o5x(|#wH$$yFrGr%(?Ci|YwEpV<J`kT&`TWw;
zwrMaZ0PNc{cM>*1iQ?i<A%3j&=a}y;s&7BWL7_`d@VqHqzlGWq<mqWI#T~3%r$aPF
zy2;eDGt)U}ScRYXwf>kJLPtS5>jDgaKXlXDQ|lxe!Qy2B4C4TZ!yz+gUK1C<+O@9b
z43BUTjbwPL*nX!|A;?EI@j^um%eg#zNAHwS0_2bXA+N}>>6D<rUtctOtIfb<9hH=G
zx_;xgVs5h``~{1D(gqo?VlT7{gMJnOy)kmeTb=+0flF{+FsyuiT|qM^r1Bx)l+)ty
zqz9D#J|$r#n9!3GXzN}n8Tv(8(5k?AYQMqyD&X<TB)i~2{%lEQ?qi-n2#D<B1!A&~
z`F^YQ>PjJz0Ph86bqFp#=F(feg@epZ1!vOuZ73u9B>jn)5bicS7>tFOw-6Q8sDBz@
zynhpiuO(dW!4Pq}MYAl~*35H{#H>cVv?x6z!Pf>D<Z;_5NqLA8Yx$$uC&5J^(s%cU
z7I#dJO-`I<K;nI$gKm-F#N<F&><7jJ!2-d#Xb11YNa_rqt}6@2RE0<)uom4BsS1ms
z{mXu@tvQx0S_w!aOsNQ5&5un7q`!ax#G|5ATK6RESX+$ijaeThsu>cOM?LQ$N9rMR
zWm?Jj9jAR2!sIdCx>g9~47>L>e${JEqujdcARnU7B+X~h#4ynlJMCgBya+#@<r|`x
z@1*A(Vliug#4$ryy^o)P&<>H`<{O;BT^}1LuQ4>4w5$qX>pYI#m;4q~c5%LRHGWcW
z?KxBk2jYHy_zB;<Qt^f$7c_6%lBJ#B?*v7}-W7h5Ik28E>De?sf?&Q>bL`+QdWfA2
z=*fa}iBsaztjc8VC0lRpcSCp8+Wg(Yab+sU_34vg#P-R2YJH^ICm<(SjnGY>noyz*
zpKQOJ#Lz}g#IEWK8myFgLZIK_b!FHW$hIL`=NHpy_S=*|&qkl;3W6Ktdc8KudY9Tt
zuZL|~ie@G~U{!leSF8!sis9d`01eAwG)<NHX7%aOGCgd}*QH@q!L`A9Ee*qLyjZsO
zYNBTyClCMO$ZJD=;>i}Z0uSmI0j9p2DcT%(i)o7)JgXmUnY<#9RTYrJo^f!4&M1j(
zDv*}z`JnfRKnTXVSgDGxVxD0_Pt%Fi5)1_Lo!lnhbG6TtaB;^jVAy33v=nOw!9;QB
zH^;TUEq2ZnFt}!)I*INtDPXo+2QtUeh}Ryaw}SWQDjr&tIky0gV|4`fYIIG4R0#6<
z*T%Vt6C9rIk2`H;?l=hr{r3RaqJ!e?a)wrCg}rH`dvvlCcXvv4AuG09P8TD0s%55&
z+qw#7i}K!?d3ACXvq}2GeR8P$VrO#AFo+yE85<F@Q*)F_HEj!GPJ=cSll{m=iKWHK
z^iBT1hfPb>svf<m%3Art1JTtKCh-kBlkeG|yZQfJk21=!jQP&2Wo}*<WwA3TTvMe@
z?~q759e>oJEsl%n1<a=GOhm}Z$s!9kIzdFg=T>e?#X`qeOEZ&C*!x+#j!dAxAiFuv
z`QtF<8H6X>b`<UA#7CD%Ahw$_xrDpGJB^4XF)v#N14q|tN@SC~aW@pUZI}1|4_VF@
zt$SG$|1CEYU)6%D$;CMI>@+Kii)w)dI}wx;S>S<Z4&?QD%BNg!m88fA*Z?)$T{W%u
zoqz{A4kBA-SFHjF$hS)2(6<zGl=Es*w4-jd_}|o4Y<{@EuIM`CG_bC?^L1``9@IH?
zL3{Rk=LJ-d6^7&i4wBYZ%$fMExah3|AFkAWeo?FU7uI)bi_GBWIbl@^_TZC3GJ<)7
zugR-0isA;s%6o==XH6SwRC=0Wdx6y~z`h5@F>C_EiQRl{T1+b8=yI{~Ujw|Ux0iq*
zU*hnUNI<h9i%@rD#RmKLO)yII?jdl{4;r!4;SdQC*(f;JEB^X;TsRlEuxv0vq|<Dw
zfzO8Bkw$$l;rTijam}f+VukAKV>V2t!zn0gVm(8gmvEjC&{ZLD<msvVp-218lAT<3
z7RY-3h}x63vi@!n4@Tiw;?%sMgm~aO95&pcqL|tPZ~+4D2P@EjLj(=0hZXAc+*hJ5
z$GQg0jg2Dcj2!~_vi__qCMluGE_~FQ_Xq33S>79h^x$Rg6Dc^mBy<P=$aN&j3PNV&
zLc>DTX?PsO&eHpBbbnA>9P|E*=hZHIMHx9=?Tu(<6j*i;wb|&f>9)gsyy!hi`xeri
zCutr3)tgjrji9L(8IlAm&RC%Au7%SwU9bi*A&q5EzD*j0iPD56yf?aPcZC!tH*1Zg
z?W2z~-`#&T%K_}YxGKDGJ$P(X8DOt?od#?6$gOY+=}v@Hd~Iabexm6e_Ewry(N~ux
z=}xRp%b{Kl*Vjd$PdhxT(PZA-Z+MGLIseqqKr%S>dF8(Av10@J?+5-4p3m$AKL6kP
z$MWS4!}Ag=Yr$#$?q5j1N8Ls6Zscd78(*ApJHXpg#A*peKM-a>q1Wks8KDczHj?yZ
zAO|hlfsV86GT3qx>}_ARGDElB9>GXE!R~?IZE(pZ$!ivbokjS=nUf!yei0z`OZADk
z`L1=}HJ%w^6wz4gIX07&95?sFI@6rhlAUE*F$*5O=kmu=O^9;cDk)neDN^q?gG~yZ
zUYt))gZh{bC;@x9OqX<cO#n6AJI=!q_3`CSWb*W~^%G7jpQe~a!l{d9ND7xI>F4&&
zWnSRND&(rsK%e8vxW&5}X*zYH(|n;noC29fa!aM`R<V?eRp~mbnbKTjLdAHQM*UJa
zu!o+J3Pa6^zuvAL9V9AnQZUNe=sSqv|0>fTWs4RDoZzGufmt4y*A!<(yxpFu@B!|T
z`yEP23K>>}YFiUX02VqbgMl{d{35zw?NZbb^buJfm<L+bCu;aL>VK^`L0l@9=G-tQ
zmS*={my=0-eR(rjRL&@ulmkh8WwOEgv@<Gt|8k1bKYl@YRBwx@0U|5o^FPV&zrjaT
z@`OVWVa+7SPx>WHMF4qiF``~|5;p-Slk%=2$$OGS<{vB*OEq{UuNrS=AXm6mE*L8N
z>>iVBsvA{>RvS9SNDY#*p(D~fZa;1}$jdy@&`U{%NrvF(eZv(rwONSM^Mrs-#;fes
zMoZVtv<0ISAL~Vp58j))$Fi@iK+WXAo@h?rpS49DN?0`x*2Tq;3tUSR1N)M5WoTW-
zv6H(unm<i`CkvM<Lm`#1(f5)bnLN}9{&<Tp^5Fs`y9|aY7mJYJfXiH}T=;cBL{Ur!
zCRHr=i!G`_*P-O1GlNzky0PFrs)A#^8SJi;7anOQ07UY@=@6R#1?B9GOB4_+6-DCI
znb2^>=bwpp@cfHjOIrL3OZ6P%xY9Nxe)*Py>{hN?Q^ucXMI5Py;C*;+O|Yq-zbgyQ
zyhAljCXrYat2g@TQ^S>hga86r8z$bJIqhlz%?2OGRthqq-pj5}Vh^fsHcF%xE%RDb
z>`Cktsp`RY2I~j^08HOFrpNjUZbP6w%#s=b%!+FSO7abiiwKO!f^b#fpjD*9o9-t-
zX3&*s01AuHXr4uC#mI1jz6r7;i)>?AsvmSUl}Da@yYdXEUqSCh-Q`ytR9vW{Wg>#u
zSB;P<Vyh5IYU705mD)5jh6s*6$M&y#4Ke+F$@fNM^;v3fjWDfUI6p~#U&N159gN@2
zBJ90ID5yZgPgW7YtVlkkm4WSul{+e&oy$7=t#KP>6S<arZMM3PoQ_9+<<%s&?7|mg
z8m}%BsoUJx)Dh>`Q8c}bAQLBUjSkrl&xo{z-`gKQh?f>?d~o{KWxZ2~bXP7(sK$CY
zX+-l75%e1-{}=A+EQvbe03dhz*(jnO;<AEYkkCom%+oBWuKQ==7y*nNDuR!%3|c*1
zaZ6!@6=$iO#C#$eMXki1-%q;0>>0s7G)=|RbP;PD-Ha8L$5ZUzXJpzk*@XXRP`g*>
zZMwrWa*vptJy8|gB>UjyGQn%Z$L{_;lL}7_WVj>^4v_&ng+iHq)y>-){1OjG<8JOY
z!Ipo2ka))Xmv|gdX56t>!lcNCA?JewX7=!MAPj&1NY6}r*l*+)C3`-ygW~*Di3Gm3
zQV;q>Gx=9#o#lyWY=x^lQl2N}0FBzxqLa<JQpp(D?=H+*F?tW<XBN{Tkuus3cL1?H
zBH}g3y259YF_LCsu8s#IL=jYc0u(=0?1fAX?acV1$b6$+HY)`rcm2G52BB2ErZK&Q
zxgS6Utc8%cJVKHt2RjeMvdcZk)1On{5Q=uucRB|A>f<Z~#<uak3-(10fF@=yC1`e8
z7Mvr6Z9S7)rE0;sC8W@A8QC*OAguzYMrc0j_T6eP8y;&Xl*e}|?d3Az!RYBA7?zJ|
z<y%nU%FC(&p!F8$w0LJA>v)?1&tEl+IRfj(<3Sj)C11{82ua_S=Nv+80;TaI-AAtI
zX&s*Z+>S?ZqEL^A574>yDbE@J*VoDg;1SO20Q?Z4+XVK>AOLt_$kOnYB)Ef^g4t2S
zsX$r<J$*(}uu(BF&)8|{;H?f}eY4^LIhKk$tK*FL<&Jv%Vjd1V=X0u1Gdfx9J<Z>H
zN>53bd#qPkdc*{L``c&#B@hNZr!_+_^HI8sS?KEKu7Zm4?Yg1nGLT-0E-xd#T#K_Z
zhKQtU%5;4?LYsDC>KR^3KEePW%YXdfrtA8=AZ}T<l77o=2La0vJVYE##;$wC9okjk
zUpaRpje6z?+0DXgbifb@TgtW`FL?eP_uj4yI%{brhVEWVptxCDN(JY4GTjm86si@A
zbn_yS=jzLw)%mL)V!XpfTGC9It7Skhj-AVli~k|k0hJxzSz8yr{<(W)_faSkuHNW@
z3;ljQ(%o2jXT<=ek&?HQ0*n-<c@ohpGFHYof2@e{k-9XO>MHvFaVP#9<_ZKpI%NTM
z7`b&>#&RpC4Sm&j6H~HfpA<}4t9rum#YN0dt~`1D4u>NEM|L)6#xJf&RgcT52)<3p
zHXQVjIb~}C+mbT{nxL1~8Q8>{lkzr9(yiXw#)S)b*L#@L&}27-iQLyIB!f49N6t4$
zcCy@aMFL`o^Q?Hu(N}W&KWzZTbY6X@eI?VCTGF8*xKt$bc7KGsvs{m@ED1hw@p%pu
zjuH?pPAQxeN1f+}`-2-_UG%#zTx|vvKBiz|@QeSWL0L3ua@pV9NtNH()hyl{I)iKt
z>hp<ZH>GtaEnGN(9bILLNpf@D6!ScGxOpzeqL~^mv~g&={1aJ!-oH90Rp!fU*xJEK
zY|_cx>Gi)Yd$Il98l3G|)vX<z>{B&#zim3OOx8c@f64f@kP2C;Au0VFuM>#gBv`sl
z@cE)4vnO1U8v~~)+Yy2=L%rBM1OB*!{8llu`5b(v-XFjA|FFTMuyE^@VuXq}^H9=-
zLegK6TNLd@8+_3HMLdd$$9koRN{{ZaMN4C_!N!4D)fuSkbf6ZRF*7Hye+m1vXov84
z?<qsnAh}4x2NWZT<rSBKNWGsfHK>B7FJG*IpYElZjR&ky_etT-r3s~$oL0xE6V{6I
z6~#v&$%!)eJtXi!!l5K=aw`@=ss2oh(YU%4wG`G}X?LEkh~VoJDj|g4CU%(^+EQ~9
z>KDV_r9q(0!QxX;C!DxEtMy_oSKlQ7IugZ%iMd@DEn2e#jT1;)uIKdvbYepCIG#iw
zVLn-2WXGK(z`1~q(@rn*!$a#LfPZw5{EQSuv0~Tr$;eiHsM8k~CdWDO^fto+TBMX!
z2k!1uB|gKInT1@x1`~qL;hN9if&$gaZ$p|`KssqMSZU-c3a1mBu;AxH=P()W4FlC#
zmXm)`5nF5N)Vn^c;)N|Jx^$xjHp*H>%!W^Ma7ES^DNZdvVEZe&y}d`^HFiVdyO3#|
zufyJv-8k*-u6~w2gA!kb&3~f<uLm}rf#MRiX*4WJ`I5Aoo<HK}Yc!p-o4<$l3sI9=
zl6H`iKbQ?xX`E_mn+_(3w|crv|1;}nzKb{uiP&Or4<YGd@XiiC-8{kt2s&Lcyp=ft
zvE?sb@A2;OOcR`hoialLjyU!j;CR@+7vSmQP#S|;lK?uy!cW+eXl!BKkWU6|uhO6v
zdTtdlit|vMWlc(djXB?7$}Fh8@GaEJkgFtsBXbek63)1HKR^}xB=(XXbYnp+NiU81
zG!uT|McK;?6Lq);_(56#AT2QH>1eljeZ+1G1#5lJ0X66H@MjhCTl0I9Sww2QT3%Wg
zJ7Nh#y+?S#hTFKsW@ImrEO5TKf<P>xOis*!sb2qMCI#43rP@81lLAf~6I>jDOF;%i
z2v#YSy3n3dBn+Qr!}Cn(AZJSnFoU=eZUsbU83=UTJ)=yJHOIsMMRzp~qgdzq$=>SV
z@}vjLdu>MqKK?)>N3&tmP;q-1(FUYGd*{tFuxW<dBDMQETuw-K-Lj>0N_Vo>Y#DH9
z1drwAsnpr?9+F?3QsVtUu&7x&a}fzWBFnltHQIDVc?-`5Xc+{OW~5RD13sEs<{@#`
z!p1FMl^#YVr5F;%<;qxi>3Mn!u3eS^;x@OXg&~8z;S-tn$>;>zB?G0&6`9Dz8>^(^
zV^<O{aNSKv%v3|4ZTyq3P-Ejj>EzJput=)&Mq#K4eAgM`%^Ukfqi{fNvljBax*4}c
zupUi=A>LkFa?xGo4Q27&Q*=;MZB$d9;Kr)|F2+!lr7>K7jMh%7RxAl0X1-&l$f60~
zVw^19%g!82MycOAJ1l;ZT5Q1Mb*L19MX$@53Y736S6%%1!*wu2bul+aj$WZZ(hEPG
zSssC{b~rZI;({4;W&H<qXFf~pJ>ll+5SAAE5nbhHOzyryrKlG%{N0CPZgs<t5<R^3
znHd|@fK3&&i7mzmY4fiA#_$2MkUfQj!yOLYTocO1-n<W)VAJo4PJ~wFLlg;Z!osM@
zq=60CM3Fg*isX(g@H<1%kI*P6JpRD6OKOsV_6t@A%wZfh0d>D4cEZx)u<JVm!d5u}
z1}Tz$hp1j!{NGWvg>6bpp87F94?_EKVLp!}^RS!imiWab58g~aS<icMAksXC?OZU+
zx$f8N&^m=GE!FUv_u!Vv-_ctI%sv9XKCBCfXq!3g!vH}abv4hWt&(2YtV+b_#mS33
zKr?m+;pPLE1K0MPW|Z!b)GDStWK}c0v!4pw1q0Ceac09qBy$5u>rXexn$sEs+_OlG
zDbW7DExTZgS)^=wTVEHd+_`#rcPSm$3;-LpceyC*J`ocyQ}}n!XcADlWgu-W7r16P
zx6g8_xDqvZRa6B{li&h&?5@n$=S#eFRQo9`h<&rV%~G1SnD;U{l+h{?(7%*i4L3{C
zT;IBO2tzi&2D5y6be=TAB0HaaKC1m5D&f)1m$+kx>~m0~BJ3x7prnr1<)7{XurOBJ
z3|gD#%N;Tz$eakkx@nnGa!?1K`OVLhHe!~}Voun2&;_ADMxKR}+Rn2)_GyVuGfAWF
z>){L-j9J6|#+@4N5sh;P55EV+LnJb3U)(XxB}0&v@{QIz#~|2r7@4GO1Mr#EHNG0W
zCjRB??0?fDBwlY_d`>Zvk~_r-|2n9872<HUf>rs$?{3>jSvf`Sma4lW-oDjth=LYk
zl~rJF^F3+ZD}L(g2{)kd&&*E&qHZ=cfe&HJ4z1}+=N&H9aE>}+?*apo<(#DA6<(9*
z!3cPmVio0l^*bkUHI~1bM9`xIF%u*mGCa=bD((;pu<1sX+ys$_uS2BDNEZhUMe=JD
zs;9<DzhjhM6rVOx1yKZK@OEe-cRVx-QpB>>27+G2HIbUq82UIZf&Mhuq6zg>eqKip
z`f@BH8nfJ!cFWx5@s>txn}l98q(Rj|^~RB->}Gf1J|>el?Ul*VsS<Rg$7a0bAdpxv
zJk3qN0jh)03t$5Jia4$#aMI>PNJVaM4EG+{rb-(CP>;>v-*kc8Sc3F7lSSj&)v7zT
zN|-5=d28Vbc4do~65dUd5xsRb32ow~qP_SWhq0!*t}q95*lr`GUMO@`PN8jez8Mq{
z2U(E#AY_Tli*#*_XWQ{ou07En#TQrJz4s;?5nh|I)7!LF>~y5Y4?S2GBK=(c3On6?
zThf#)A&1s<a_LA$ZMoS33MY9z_vzL)n!1tNeIAh6Ebzm&a*uFB?%k?$roHAT&XN0<
zpkzf7hxrF|-10yCWL>DquUpq$h>iNk2(d6YH|=SrT`O+)0uv=AmH?mYw1W@~7?r5x
zGxXW5nMpVYt<4uwnUbA3iHP_75YoX6Tw=eV^4$yDvJwsce2tFy-BmrE#t#HlfVTYL
zR`*&6Js56|80XBvVnxjkR7_INd|FO3h1|cLri&67w0OSG2LfHL#_5st<HUvZQNqB|
z4i5tr{X<BQEQjxz4&(r&qKO6ZIb}eqrGck+CNqv+kpO7H*Fb2qYRMwwcgUX$FMo!j
zw324?GGbwKI<0(9iKn)D(N51VF~S&LG0I()Gy^2&;QtB_T=grIN5pZ5XQbYInc8i%
z9j&v0GLsK4pO_&vaOZ<WSs8rtjwfFE-B7m@o3V@w)qEw$qY7T0`FvxnX<7!+ap&{K
zVlc7MDPX`0n#b`$0oiB0FcH?}uod7;QN=!wez1bW%^2ljC{HGW8FBcd!Q&cC9AQGA
zGiRP-N`Jp|6%5jHj~`F9l)u+Hn~vT@;JqGA_EL9I>|#fRp|*eUz7y_jZ}pnGuY#n>
zIAH(<ZVmWDk<+DmX;JtytY7qwHxI!wG_IrfBhFQKeBwa>NnZ2nIGBzq*|$gp-fGp@
z{*IDVWG|c2%eVyFK)@lyGA@)d6BeH89HAu(tSzgWpRPG&#BmnV`d?V`1!=`bJ97nY
ztVgQJ>yt3L;j(14R?LdrSEwmt&9h64p|!c<a+QKY59)t5>?prs6p!Q_h;i3P@qEhU
zcc&N#fTuvDIUBBgP4@*q!?+dgy;5d~mox`a6x;Krx{5c#X9$yNt%}!7eN`{xauJ6&
z{*cM6)D-{40`Ttg{k@A2J|pyE;ujP}@yEe6z%;babs!b0{LM0PQk_jKV@e17z6^?2
zmCXJALI6!bvcJR@vx*i>ogWvx*rTT|c67Q-QnO9Z73X_yw>C_tFBG|7IR{zn>icaL
za~|!HW0P}6G4TnYPmaZqisr0Ru<We|!?>5?ev>f=+?p>ZV3OJC$I*8P;}+={$@6sQ
zf#?rZK}&wia(P)5;>hM&^Pd(rRET2p-ZkeQz+WJ6!lG`+=Klo1&!-A-Jq^zLZFe@E
zhC@gCVI7axZDyD-tNje(BCF)LGzfP>FngKvGt>@Zknovw5S~|#{o9TwhmRKo13lnr
z5T3g_oG``E4#pb*w5<73x6FzSI?%}kjgg!ma9mMhM)-Y^p?t8&G1=RW?1x^`KiC+Z
zPT^5zpSSqZ<GGoZs!}f7-UMc=RKFv%?zFsPg&s=idk+h<u}|mWjb15N%uVKgEi|Y)
zMKk!4Z5gP-tk?J+);B=UBKy}p2nl<2B|fHFI@MRU;J$tpD?6zSZbuk(wZ<NQmV|g2
zMe?VLGobQcrF(<7gt-u|5h+cK9{Ctug;^{`kvWNqn?&bYO~u%z<|r?Vl1`5VVS)FD
zKX+SM{nRpz?6H=4g@ASW(?i<+*J`R+2`1%#eSJXV!Wr2<RhP5r(u7?`RJZ}4=>7Ni
zihwFiZ9j-fKheZVs~re9UbE}8P@1v@&Qr)v`H%e^!{8NRr$~JB5)op^1oK_%qk=(C
zVi^p*POVspUn<Z1a)&l0G67V4M26?RzmxQMV*W85{2J@V7;CLd)?@+lE=oa;NMHTj
z0l>q~IVTzm;!N*bMv}2+8XnXJPAwO&K#M-)I?CYFJb`rIc7CyHRKBuUB%L8lmBSrz
z_8{=13GC!>ZeF{nyz-ro#apyd>9bj#z8y}Qq?sE3!5}47UcUTm>UaZMP;PWC=<-yl
zK{m1XO28*=Cpn$Qa>kT<V`LkWlZc!vUmc<V&-rz|kCK%V3QD-LU`l}e*KUhv|2>N&
z2-7`s=yppDAW#aYQ*r5^{E!Fv8I#U_s-hXtmMN?330XT|_^`v2UK+0!x|H>fnG1_c
zH7e6EK@x;bz)kjiq#Ex}N&K%Le1C6VJ-k-#1f5D6oo<L!A^~j1idbPz&BTtNLoc!n
zUvd*;O%gY*72D!Rnqq2SbTy@+A!NJR&tFT7)7`gPrxh1O$hQgY-Xwu8eV8&n8LHRh
z=UwTq%N|@S2On_{sa>R1<R}Y0BShUNNkAAomSwI{JwY!xY4pK($2t$G7eh98Xk&<f
z`!3|FaQfjyttukN$KsS&&t9P}aHnFA3(ODUvmM)sj;p8UB4QCrrIleBrWE@0;kqv{
zcx}mrp>bkNM)xvA&SlFxi#d<lZ)vX=@k7dJ!yW3MZ-z@uv#EVHcU=ah=-YK8Z3Xy^
zH@Ijxzo~w8dBMW!%hm*$A;u+yGuX<l4Pn`+Q)XR(W&pv4TXgullL#tJi&-9iF(qx|
z_`CPL%%oMotTF}#<hYfu9KeR&#$<;*q@9b)E!GNaMVm0{nd*^?#g4f{@hwc)h3_sk
zE=zNN@An{nhD^;uN}4x0)Rh5s=4~qz;}6C5dZ+gF<R+k9y#~z4SN9I_))MS_;;mpR
z{eaSs?JH}c{{+AYL}L;2L~!zoLTT8gy6i6`)CPq(CUQ$-=OfO5^0{oWAUwrhib)S|
zU*{un-o!)GPS|(KxNuQay;}^G&8%@{ysr*C+>ZAPU}Rp%5Ola3EpM{qFk917f0ZWU
zvKaO}=v+jiNz2>!i3KV!40HZFgL3~uU1uEUoxy!qXSv$5@H~NOBOuHd^jV#qs9s63
zOhi<Q!MkwdUzXiq-_if1u_xi`kD>R3n-z$&(IkxXW~sG5`rlLM@ZyGV$%MZqOie>q
zwtwl`T^eFq>+#Q!CV$n;!15fd0VJq;5V)u>()_IP!D2fvY&CV@|43gTNT>m#DqsLK
zx@>LMPMvMFzrly+$hLQeIQpVwmoDT>a{=wKz!{9~diIOox^THXE14Q&?N^oE;{c<8
z6eDKb^26d+jjXCq=pUQvO=t;(U_1muXqCcf*lTHm21tdv3_2Y)ydj?wK|bxt-Le7x
z40H?tJkH;(cvPxaA77v}mDzkJ|L1FC9A%~2^4kacC6-f)C_GJ&1D4mTzDa{ApZ_lB
z#lkTSKE1KfF1H;i^nS%boc!h0HOZ|-9g<+h{IXr+^zSev?FbyY9NW{8viAVurGxcz
zb#mMt==0jTbz6IIk;Nz-F*Bxb3$(xu*<js!@y82J3ZTbU2SP_lOMiVc%-!Tqs@jjA
zk_Y25+^UymhdOSAH+ugS=DLj`H@MFSYsIt^sF<vyw2<n~&st)jc+S7-MiM%SWvrbE
zf(EQw^bm9EDVx&h7wcObL5V-cDzo!!91)>@?#Lxmp&G4cMq+@|vEcJv7|&P-6XR-m
z9U{nNt^V~^=;k?hdZJEVUjUaALQ*`kkdh;Mk(vUX%wAjQPAez=xj64ytecUQ<nwOP
z4YE}Bo(<$QAxh}YMpXsOj#~@?>3{Ry_U6;OWef#11R#qV@3YMMsb)rijrsCvyH^Bw
zHb_r|uodZ3NOV!!LC>wboariNpA^X>b{)ZW!$D_JHypjV=@v-t?x%J6&>O=)qz9z|
z*PwzKk`FPz_)9C4fj==tu&Zj?#T8oGxA|sSGRHWX4Gdx9KR^bo7No}3d&p`9j4)xE
zAkwDGMTHj_mB3NsoY!_lb!Jwtxwd5CNu8d}m8FCe+y2b^=STyvPt3yh(}I$-9?{!V
zF*X|jM8h>PE=5NF?Kadf%u(}7p{1@9>X4=fh|OlCzp1>8&Vg7CsYiD*zT*KH-DJ+y
zuHwh(if44*-nbxfg@$e^#SU<!f${~fAKKw*hd<S%yV^M14PewEe$$Vg^_y>DI1(pa
zMs>}S*cEGM%<&yd94=zju$Xpu1ehGpJ8sz$x?p>jsT4bQ^PMGo={J>t)=ZBgH?DIt
zNjzxD40`lkW+BmSg>SkueoMwZGZPg}E39lQ5-ff9c^9eC8vqsH1En#e!O4yMfap8$
zxIwDT($E=wa9fs<Ydq8mlxT-qAs`PLq6{UC?O+GYu1I0j!FW<ca2Cua2#cA%LIDY^
zk^IP8-9AJr^hW_Y6>po|p%q6LyhC%+b(7@+q(-eg(P*<?lv@|-?XYoM>)Y~RnoPtk
z(euyMZ|2wR5J*v6XeGx2UsFUE28Z9kTGA5F4o^y}5d$dYMiGF#kNpW$Aa63@kg@j!
zQg*w-_v7edgnmC92g7wsz>+5D%Dt~|`UsX+2(hHKh~`$BKT%D@U>=uOqlv2~{>HE<
zZGCr#@UMB%%Fmk|vCx@P?>$)l7X|Qig74y+VgL<LXwoQc^XVx1^Fk86IFbI-%?NV9
zV~56sOZD!)IS>O4?t|M4DoMcc$!+OUm$`7<`<blk2HHzZ@ln>Tw@{h7{Ahm(y}O3%
z_)=hTDg34y%qcbLh2TFG)EVG!nil2M^|i#6VNGpLLG@2V<7BzmLLnLf(q7gAJf?#`
zF8S5ubl@AC3FmACD5NPQg$uHg-0!6<<4~dajVKd|MM1)85WjptEl-=TrBJ;1$dK?I
z*cdR<tOBb94go(svaPr+%p$;JN-`KvZ?C3rvE{Yd4j!oR;W5c}!|{4kPGjW8$_Tvw
z#Zd8mJC#p;G;*4gc9njTHvcl4aYshwj8o%Qhw)4|5*9#_`ABv_aOK@Ex=u~xw8)^%
zU|bhA`6~yX-QlFbqWk&yIWyMsqsR$P)5?X&-$E&mp~&+w<=>P2a4}2^X62`2AIcux
z)%`-U?DWVbXkDu4eGV*h9u8d_CVZxX4M~49928B$F41S&CFHrvkFeugc5>mLarnLu
zlwd;4PJf&AX`_nziws288A=9KjMTRdcrTF1p{cf{$r5e&)%O~8$ux!|w`o4I9MjLp
zU&oeNj6a~{5J-9G(|>f)5R~i0mqhdU>bE_UvsqC&6Bt?ZD?1RBREs&*$!^~d$n*6L
zU)Q^JAV!Xr5BlYyr}|*J{GQF(K1|jJG0L^*;CuT9uWmM?(FHKhw8x*Dq={!Z%gbje
zU7`WO1%To9MlHMF+8L26P5Y|yPeP7>V`!EeF}hEIl5EaO@k`imFg^;FxIBt!-Su4S
z6D3<H1#R8men?R{ktXZ&uSr4{n-s&{6)fYTs>25No2kvFe>G&I`Q{tKZ9&!S;|Kbj
za&!-$n0Fdrj`mg{^l)$t=_-y_EEziZ*97%9>GeMqN@USe-|shQW~G|?X}AGB*9uk;
z5G)YVeiH16uL6Ox-Z5D84lflv4k&J9sS{ltrYxu2a-4$6-A?T-SRHrgiJnr;LD%K+
zE*PyjrKaTzC%Gn>11gh2nWWkZs~I_vUtE{m&fxY|o}&$37H)Dq)HQU~zF^>+l3+7N
zd+`l$%uxOX^?1=a{X%&RXUr5pbhJRbK07dpX0=>m#2B4Ml3mMVrZH!h_pd7whT|5H
zjyEW(K?S1H_;N;%-BYmLAaXAgzG}}ewmkj}EQ;!kx`R$HYuloUfu`Bz&Y!|hfjo!J
z3>i5`3rW$?Nmp;ABaztN4qFjNn-%YX1VRMeD4hCLUCsxgt1icRkWdV-bHsT}q?T9~
z)S31V3~qFmCu%(z#k-537hC76%o3?;b98i6j2`bzST4mpXv9xSF4TksB*-_$J`S_@
zdh_51oTp4CxCqr^Xy-aBU=%qlI3>nb0jKGr|8D%{FYm_;`!`R#1ShQG^SXyY>%Rqs
zuDxBcmv7w4kQSy{7v;1=TZ{f6R`3AY3x+B4<B%W?50VQi59s<nOq`Pc*ebD?6DSYB
z5f2U(My|pI%SUBpad1LWBC2Re!QDL`6U+N?2ipJ$t>zSnzuv<u%qq6YIBSW&-DMMR
z0G<(?%m~C$15h8Vabf)8H$3t>q{h3F1iu9OB_F0Uq4R2JWRVrNfIFr0fSlOCO$M(%
z_)5aM*qMQeK?g7AKJd#e3w7$`DnH+++}8|@!!J~m5BX=Djp9CN>?SP%*|!04^|0xF
zxw@Pxdfx%?@GNX1F-N-{U)NwbGPe=OW%X+`cBpaV011p<$sY^!g>_8f1sF+gXvXfk
zA~`R%OFQ6{#M@mggS4H*%_F$q>XKx(!ZpzoHM#7pld#K2f$x_VGMxi&$VXt&BAN6c
zqGhmViF~4&S&JACa~MYx=d102O&ce?op2ot7lpkJBD;%)i<15zW*A|ZW%^RQqI80-
zv4Y;-6w3tEl2{i~LPlGPG0TTA4u=Qp{H?M?M8^dY{m=BUP`^NNO<TS^-+M7>hsZn`
zG~rS^G4H$nDe~I_<sfY)26709S(Vu@LU1|95>5QQrnH7T-D7VFT)vWYci+waCFTqu
z3>U;Oh?xcJWuUVvPj{i^GQCRp^;Q`Du1wp9#6?%Ddl&>(L1SE{EVot&F;Pn)x&EGE
z2~EV?(CV<Ego`UmFG?*UwQjq!S`u}ed)I%CC!suLBR}@s61WYE{nNAbL_@Z_iu*V!
z-LzSS(cR&pGW2KY49p}et2%cQ2y>vkd8+AA=0l*@gnOT@zWE(|cK&OIB+%OI3iF4n
z3*$57<>u)Y8j*XhFvu3>MEX-_hZRiEQM9(@9aEM+jB|csS<#;#lcBxqJfCS2vwYaB
zURJ?<d+|xG*Wibc?zlO%th5I{$%M9DXh+Fa?37<Z02&Z_<_yU+GVJ)X_N=V4hjm;c
z%)`=V8MXCq19kDM80bLLM#tD%Un)jh*IV#4M<fzCoAC|EKmzHlUzD|U^4#P#fK-)9
z9YeQI{K|SEqmH9deKS{y37J+}eFWCd1^3fSzR1FzofF19Y3{JEg68cgU0G+4=s(r1
zMa6OY41{tM|0hoUz`Yt-L||wC8B5arfR1%1E-I0YI)Pw9!_!CbE;&dm#@<G*F#hE2
zweMzW`AJpPBqzDEk!ko#5*H&W<1)5sC2W^`WL`u_@v*=!w`uv}^KaUKs?5v`IDv)F
zZ|TP@%TjF2r9PTEwdR-XL5YL#dOpjJ?%<9I6*j75YzYMG5lHwES|0Iqw60dak!RA1
z6pF4Hq8nogVdhX;jjf>!>-b-0DhNS3^4~W~&Su{VXz4D~q>J_y&|!Wg4b#k1F1J(&
z{W^r_8x!nk`_X}8dtN~3*XR~>#PD5Fs+t%0+`5hV)P$2BcnA;hzay7=&D#A^w0RX5
z3@DPORIPu;l=rXnq0=9L6<{OZtR*k&U;R9c!{sl8w0KeKr}{F}%B{c%@+$)l4hL4W
zBwoAF%K;Nk)7b}t=&54xyQYEzkLNf@BTyuOrN|hJ`6cw?S)}6>7JcfgAp%JtxE;B9
zKZ%XyCN;Q0UvrI<)LSFxc&U3qAe7z8EP@r<L~>|5oOmU^L-f2Uo%k=|hqMrV#-b|O
zO9Bvs_?f?5?#cw5@naq*eu}ATKf}MzawuR~SwU2dW%DJ~!yS2F=ZM#+LrqpyTmLB@
z?s{<+CRr}D>H+mC7c5z;nP!1(-lnD~Z=&6#KhY=dhA~ZKoiN#BWA-cQye>SeE48%3
zBOv5Z87wrjngw+cI0%`&48H?iJWO+K=DkJj|4i3~=A)m-<dw(BF<#X$pmD%Y>ai=c
zP6ep`kN_yt<ZAN+)m_Vj`@+ILor^xL;sD3HDrST%P%Me^!uw%%zMB(hvNl7Slbujr
zYCVoviFz0Y0)=kpg$U~98v$yKW>V~z$YWg0_A<D=hTmp{W5z2o#deWa6+%Q8PJ3->
z#{k*OD9iSM5hgV+vN~0JNnukN3PFy>f}$~CsQ+Gz5#$!0aGP5$U@dtF%m*az7)2QM
z4;ewDLKS;BMWwEn4KL~byKoUf5*_R>$~;L4&8!;53(2Y?7qJ}FUwuh3p=6!`ly-l-
zE<toP^ZU~CW~h596G5DAtWpas>E8Ae-MSGNRFXg7ytl9H4to6Flb>Y;R^FBK^jLF|
z#@#`fJ_#q`{`TaN<4klN?2%h3_JW^0P(B4Xn9^)Vc-kODgt5tf)jhnX+#;@#gXWnf
z(<#>9eX-SACEpnwl;0*16D@Ad07S;m1T!*3Z51aGE;CSXr*N~q1ACW{GjUTsY9X5{
z4>22i*;2e)7F$~T8MU}>&MbA-2FO&M&9%Keo=qaYHprtLXU&rk9v2^RIn}P9z<wg(
zTOC@UIEOHKb8>qVR&CSgybSJ*8ZDo@B2@hj>*BHY@LS~HSVPNMzXpGahqKY=YdyR}
z4tAAD+{0RK={(B$XrkF5Z$gFKQxcff&aGsnY#bkef&N5yxejF#8zS9XY5D~2`sa>C
zrn}j!nTGsMqBn6l*agVX&J1#$%#pU5AJ3zMMY-Fk7<V1~K9BXcHtfqT<Pel4j<x*r
zQ2nQv;i6tQf1p=s;VJp{@PdnM$ONb@WL1m(+BNOlCE~3l&tfnh{FHCLl2P%dH&zEl
zu4kP7e<X9FZ%1WP0dJdl`zqd<AvvkhR4K~aNo{D{G+yMXuxu0Tn%0&BsF{olD2OH8
zU*lsIj=CScQ|6@5Ly`XIAd{(#NfwEN8*ySi=SHQoq?wrdiF7!qP6aPfhH`^v`Rc+e
zdMzQ?JOpixDlcgab9`80N=mUFyWa3n$k7muO47&_Dcu)6(FND`A^~gz8i}%Z^>k9w
zJj{|Pz}yLiLA+AaKnZkoSGhRn{AbJ?0lQP#(Q|!w+F_|>YKl4B0Xbr@`JT-=6dbt%
zi(Ak~aG-#UC<9#|KCf%_th5;!smT1Wr;^BsN~3g1Qgs)@ZO((XP}WHATO_D5iYX^d
z;&yUG7QL^Z#5APqqk&~cz*Q-ukr5*WQ?1k(RDcBo#bqM06u_TzjYd(3^~ce&mL$~6
z_8OS8zl0pE@&~?5B6-i!u;hkq`bW?*&!#3we>s(eTpYF7pj=HJb{~h2C`q4U$%8Yx
z24Cpo4upM0kao6W`MEygP8cFN5zuMl`fmpDAPV)^DGLuRf1a*dNM_73&PzuYe}0-D
zbW*EO+ET;|11$P4!(qW55AG~b)Bw^kHKzwjwq#faWt*uj8TSPF$^}R~H|x#|t|UrD
zRmByLP@S6|$=>X)kk&TGNR#dD-JJ8kl~(d>hGE3kX~lPVc0ZvFtB5Bi9)Wj69h@pa
z8Ep_|>45Lp43H4#rx!N?AD!=Og-QtHVf*{FKux-mGjI8gi3cUS>=z^Nps+AF$v{V#
zJ7t~Cy#<L`?$}mb{!n!IEM2EZ;;9G<yYvUygV?KQDKPty2vws44;KzVAvbN6?u7Ha
zrOm8<X>d9K#Sl6~2Xy4Tr|f&cZ20=9wBnUj#}yH2wmNAd8)FGV=lYZY*->A2TAi-~
z=)x(*H>7i5;uy`!2^ygs_K{Sm_RWMwh0Wee@JZNK20r|z8q1;EK!b?Bs%i(hHWPg5
z)c<dRGSQWx7DTz3aYE6xt4Ij<*`^tbr<QnZUC);HPsLcd!P*L8M4t0V-`b<Dcmpkc
z$q3Ftn(w%zdkK)r1DQpec3m|#Zqdm$hP5X0D|NX3PWC=K)vcm_I)_v66V|%O%G3VL
zLUNEHmH9?ujzN?9h4s|41REBb&ptI*>>=}{d~VgZ3#S??dzD|yDB^ViKUhJMO6Ih*
z5hi-bjCXAspg>^l2C@vAZ^t=*9<W9)1vi<Q=c_Ad%3xrhDK4ay1-R|WGpvspewHYc
z9VApw-A%G=1Mtn<0jG2_cV!Du+Ab@_S@P+;EaYxSH-;6~bO$m*Uc!{3KpD=#e=xJ0
zF21uKv#>MRC$V*AV*9R-AJnJ<49uLpy@fidCm37acfVIed@fI83=>n>M#Xc!iDVQV
z$VgXQiY|HW<o6E!YVOx+3l6rRu^o{}S0aDe$gPH_4gOUFM-J;uqri<?;2S;?qQ2CD
zMwNJ6%iAA%i)S0xE4h5Ob<oQKu=4Z-H`Aa=emWG%8u7vnC%lff*{Cf|W~oVlqVyIM
zS-L?8<CO9vLLjkF{0GiH)!Oe<c-~@=7gfIU^Iz|4^e#1C&8c90R{-C$P2#NDjS0>|
zq!lSuWoiU~TiSzu_ab^ulej$F^5Kfhh*_82jaq_8X3Li2u0ej};(4m0Xnj~^ISPBW
zK}$hc%Rc?~UqU3r*+t--QlV$w4ITTfl7A!#^=|Z95PEYKtc(Yl3V=lz961Lv35=B?
z4=*owCj#$+z?IZBO{~*ZLy5}4=mo#ft6c#BvY{~B+D2a9uE?V;bbRGaW~xwE_M#nU
z9gSrJ>*NW9G>J8e<d!M3X-gP?btj&nhIEGZs99(1wfsW)J!ir11f|3FIV2zn!Xtp&
zLM#Youna5SD3D-T;kiZa8ma?YXT^)`6D5evu7&$6)Cd@gj=LEWm_q2^H<y%jLn6%1
zZ;o~t3cZRB!bVcUZIMZ?L{X*5hDdLRQA3$MlQZ0_n3e?RrMt<o@E*!9*IF5Ml%+bd
zNT!6(Yz)}Cx`>6RNvbLgkWfKwSr{zt@Ij^}v?u>`8Y`=%ofAVGtedV^yLRoEv=FI)
zCMpHKfo@<oy$kVe9b&Vp1_6N5xq`(C@37=`R=B`e1P^4~R6vtG#_#DcC@_S=<%&c-
zaw>1^A#b#4&i067Ugm?1L2hGWo`%AA_yE}-U7Tj+PfF!5q5$rKe!o3?adu#bh^Nq@
zOT4x}yp{nKr2=)?%{|tWYbhUh1l;%cR*0EE4Jk(2O@oyi9pMpF!nLdsi?r7AahbI?
zM#|7xE8K-4nXe4q(b*J=bE9$B>e~;=m-6RLcw-6goaFF!eKyY(PvjyIl*iuP7ap?d
zm2^YhdotI?F`-VG4N^H*bNFQ_Q!R>EOxw73L-byN7GOc-e&E;m^NJ2Pv2om=+8VX`
zmMTOa+@q4Ha5A2?XJ{fi_RHP>yRm5v%AU<wR$Slxt7Q>q7n!ZZF{e&WE%29okjAD#
z9%`G3utjJ^x9+dve3IIN;}cY!QWfD5ATtRw?f;7S6P_vVMx;#2@<kMOm)7~MJHqJ^
z`PhPCV6P(E{Gw%20y&(D$eP;cxtPzUfW%<z%qLQd`NhF6til{ccq-s@@#d5^yRPpX
zBN;yrNPV_sGfe-*cguReLnPDPLxKX2;U!|TBd9VV-Lg0n-WLLm{zR2r36(kH0t{aB
zpm|?H?^Gm#6IslAhdP@yp=aFP*Q0Bcpi_Z;hN{?g2*#y3q>cyEq@s0ux@Qx_>Ro$I
z<FB%F0m|XH!F|hocg=%~uFFdT((=KoK7Gc1@OKLB!B^S_els?oiVVx@U*N5)fvRl-
z{-nrr!^NODrWQw_6qS6GYdC2g@&i^m+TTB^K%o%sWxkmvEIfn-)mWsNn%QHxq^AX=
zn7;pkGB>vbGv<xfS;p+NUZ+*z8RUHj#wzftR`?8NMlecsTMb^qj+)D38#0qJc;#=x
z`A*Ghft}}ry0Ntw)^<?3l!(v>!Gk|p%-^ABE{+nY4RAXeCZm!Opk$7XDp&M<)_7=N
zQJkA4MsYBxNugf2T{RshxV9+zW|pjs=UDRxT;E+JB^bo|v%4ysc-c!;*f8ti1t(H(
zU~|g_Z0y=2x61&yYLf?|jML0OY$49&_-s7cDnxG37i25n`#V93e`Rq<VppABn5=QV
zrsOnY-*uN1Arq{8QyDRM+cgYR;V2Q3LcvyX*Ah^3PF(cZGyD|)710?I{>yJbOy71~
zp~UiL|B5YnU`C0$?<$d`HYqJZG_4a|<H})IRX%Ze3^7-ZGn|ojiY5?O!6V5tT@Z<z
z{;G4@Xak+=0YM=GqY!NW;|<<^SmQJxkzsoSIS-9>GHPn|0`EFrYIhwTZ+odyDoim(
zJ%`WSs1sqhjs<D#ZS;-XYQ(&RXIwC;drn+}SU0!TBMi5Te)3`W<n_81g+aKP%<6&X
z{qTXeZYk!1SVJcGa|<()*86hQY(n)K{WsrsW`Hul3`=B0Zrtf@eOHyQ{37W;Czh-q
zj$5YDte=z>8U?BkOzSe<&Py9*f|`Ya$-Y70`?~in=u}w7?{ws`eAf;2`Te~-!AjTG
zdtw8v4^xXCf6nh>#>AZs@<uRq^LPmE3SI<?3|5mi^u+Fi$-6CFS(rxx0yN6WOs7C(
zj-3|>#`2l&%3C0Qx`ASpJ-fsy)vkhjr(NgcZ3@ZNoK%&<v!{}V3D#MKkXNtuf$3A(
z@_1<K0ny&>#|85-x{gp4E|H?32~eyc_JSSNE~m9dCSL4TrZ}u+XwB8^I{W5dN5Fe=
zK@meKDAVq?Xna`pk(?Cn$yoUB)u+s;m|d06ENqAb5^VEO-f6=G#>+U?p@?t!@%P6%
zqFn-TN4)ognFjfC4d|tk_#?1FJD1UlE}p<_sU*-bR`;Lb#BTwMMcnDqmJmJ__8MD^
z)^;4Q(~%MOd^{x(ZB%WREIH0imf0x*@O7?cH8VW;D5aWxA25T+)zE>6wa8Fr%CTsG
z5720s+K`tV_ci3WEX#|d|GVNS(U<Y!Bg?S-6in$E7QaHe&4VNW7wjJ8AL}L1{*P3y
zv*H38QXTh<&Lsi=@Zc%e8k=nQ5;8yKZb{KlL|!Agq9VdH*ng*0%+pDWM(ZV#+^WUk
zmbv$X{6tqlPBY=J!pS^rD!>HAj+~`k{}p%8#SmQK8=`3K`sQZ&dDX*w$Kd(d^tdFj
zD~W-Jpz7sJIegd&1p^O}phFz^_}t^UdR6V#T)UAuOO~xXsuLP+lZI1FbIa3pQhRSx
zf6K_XpE?L*lHYmWt#j#YNH&R=rqZs({atI*OSi>F=~AhaFknd?{nth=<+ox_j$6qE
z&9ix|#5jg@Ci3A3r)#kjC8FGyZ~Op-r9R7+)cikZV<AX1k3B{*&~Q_(@=W~nUGMYG
z?BLeO;?dM(l6VEE<3R6{3Bev}s3U>#h^7lW7HWr%ht7JYLyEzQLY53{uHl{VEreE0
zS*hI>7A+xlG-Y;NbGH16ixuASGtm#vZV=<7ZR4+$)4nb#f@8~2!4fT*aHojAY$#Uc
zvT+rw0DRBXJv+vk@868_XrmSjtHA@SAjKD{3fWphi27TDj$vpObE~0MYk_1}8J8=z
zLod=-Wi+}-WxLuk#e+mA+{lu`j)Q}y2q}K@OaBLd5k46A*;a{&8i-uQV8^-bcR*bb
zBm7keV+@&c$TOSFJcQS`<knl<U5GBCuF}1@+|&8NGQ~*j`frilNfztuhhBsXIv-QM
zI2I|-sR93w(F5Ic$TG?<1d+y@mYkY6uUx{8UZH_1MEM)en0q}==K{{H`#<*sfS->n
zmTAJ*(CVRzNCjd66&*gN{(8cQ$EIL6_Av*Qr%S#J;=ckwfekojbcqO$zh09=IY~e0
zco@7d)imScz%dg(wg{W=UcU*@FoN#xiy0B80Q!0e-*)|U84Z;K>mUE?evE`dge;mv
zXQyvcJ$jBu+mi{i(3F&j8ATIpjQiaom@CJ!gzIBwGI<e93hOapJPCP8T&J@{L#*+K
zf_so}=UkWzr`8vakB_ddpXz7&gPzw6m?fd<&QILIK88y|AdqfC@+T~X4Z|W4$zDZf
zxQS0%Z^OH>WJj<$Z4}DX8LkGviAjL35q+wOOF);#MY*pyYq{>Q)Y+YjDB2UFrMzD2
zscvKgB0jXdIxIxjsgT%|Y=uYOLegp;x-q(B2qCtXAY6pgP5k`jS{K!p-iD9Sx}fh?
z^|G+W>T>35<9|Bzq_!aA|M6ON*$<V0x7FZRnx8h|xendDhbmY(*CGti)>K(qs~T-A
z95e`27+OyaqQa(1)7=tDSBAF&r51CF-khH*XZ8Nlu}EP1i9tzT@Vf+uV-FPkI)R6k
ztZfT*oz>w#4dd)n=-?EViDaIKVf<>{z%UUg5A);M0NhY?NMIQu7g`=rx&@>Ib1C5`
z*e_{(cxty{*8!%edd9oB(7D>Fj&_Au8sV($k1aaX?)YGb0X!%oT<;Z&QM-FP!FwTG
ze=Nc6TAkKei+{SZ@NH%KnC?8aN*6ne-9@ucd|iUjr#Qfh_`@erRN!}Gy4&p8A#q#}
ztm_0B@W%=%6A;L$L$SHDf~mQ{`dFW-L8lI~5cTaqn^@1zHrZXa!D~*!Zj)Fo+%%F&
zD1LMuw|wG?6<&4Gz?(4r?rJ=e4-v*#Tp0iolp>Q-TkE^isWSv=Jd$<x=Z#XWxR1Bk
zdhcyj$Il0DkHi0J?a%Z^UF#K0Be?PscJ~lt`IE#^O}&Jzgwy}vWVbDO*O|KG2yjQr
zc{usw07_-e+qd6sPjAe`NLRoUflK5s!Ui`mTpC<EuVj{x1|Ga;H}*T0aG+^Fi2G%H
zl(S$lJvE?iMCuf}PlR1wE`}X6MbPV;h+d%Gu6sF;Qpwzl5^nI?yGzr|8mf(NbwMjF
zXLwiYd1-;daYA>faH7+!SC+%enGK~u_kp9yzVh3>x{<lfQf!J8uTdL6Tqvw}7>k#1
z;V@Sv)vQAmggD6J2A*nXWWWcqz{d(m!ZNa_>JCVJ5>V|9jBd5^w7E?2qu6MZ(jt{8
zQ2JtWH3I0SlHn~h{C~gtHKoWr(|Sb_3(24cHkK%Y=75k=G%Fupio!#P&6e0YWd;r#
zVl+b!W7*stDA%8}1M(A{J&4^{xk3d-WB1#M<h9G8pqfJUss<gOyM|W#;Voro_R6hq
ziuh=6xgJSG!I-P_g+Dv;D#>W88L+-$``%(!h8d5e^SgRdB5{h>GQNqUutS)$Tcw`L
z@DKjfg<ai9TC(jjN))IPCj;<V9li=$2LnbMZU8_z*H;32>(P;aNe1FiHXkEGjY0G<
zyV?QF8W3MLK!s8`<eudd^-&iol*&O=|1}L_f}yAYZc|Dwjx_26hNf`b<8@D!Ze>RP
z7kIEfEPb=N8?X;R$sVcVxgb6`{Vo-%xrqL6$drQUBFK(uofy&j)x0@Bli)DQOwiE=
z^<}jugx`ix4@c)AI_JVEG?B`8w*M)qTe5R08dU*~OtSM>G#3t|qpxxRNvP?B;#6G3
zd-?+BCC#Sri>z_Sc<qb+7T>cl+=I!E{Hd(g)h|y^<qkr&Heg4iC0vP=Fk|%0xKB2+
zG<$A#0DLomC6_mrECp$=-I8ksYLeM7zC)QA*Ns8oPDE0Mjrjnqdh227BnYQ=hu@q1
zfrMx;(DV3dX$G(MIPt30`zY3H+rdO!p~%sK8qSlHP$t_=92NMM%EvnZRJ`@hX29v1
zzI3bo;)!eC_bM1LZ8-dCuugMWoD}btj;W1{5IiX|iVDif8AgGNUiIEi?Ts`UEUn_r
zLA#Lbr3IkKw=(7M6yxmffR!nfL#68+cTYJ)X#X+ODNWkKAHgqL42QN7`4<|bN#$yr
z&TR%@A{EaOAr}i8lMf>G!KKRc%OV#Z&#)5;h&M~K>3GXd`HjvDxCkv|-mEztIWRH*
z3G@;gE+MxIKh2SS*YpQ3VGu7?djXY9%{R3DAfiWNL62NfrvpLyg^xY0jOUBY6mMxA
zYlQI*>vh%j9mh`29hTC}przw6UhA_%P8m#Sq{xtI0iogH59#}K{KC8|+N2NB&_=OM
z0!{etS=xIDA!@4$UT`<_!DS*jdlH7*;BgYf3|ah?(Q%KXPw=at&E7nwr8KtW7}XR6
zWw__T-J_!`b0+6ckcl1Zr=0*I?sk;<$~x*(?5b!~X4IYD4Y@QKWAL`10LR0Y)_0-|
z%fm<t?1h34IoyXM{0j^R1O-yTkKwWA`W_<&!fv?;N?~Fz4u`UCqc0A0e+wkBY5-35
z^=^pNaD<yrP>!vxvmcWn*=hBP<J3<3mDG^K7g%}%#Q^N}eZ=@VPkl-ThRNGcdhLRp
za8wt0gzIB)^4)!g?x|{eb|J2to{M1B9fglI2ip29s3%xlFwcn$h?^VU5;D~c`sDFS
z9pY3t&AUb=@&wxJOPK6C4OK-=O2=p3WQ83z;|Xp<w$MCi1)zDTo94X&!@!$?lD1(Z
zG%n~-DqqJz8D00Sx;@20XjY-D_jAdd0_)2;>5`xAl<ZWLF#CK)?S)saCqXGEPC0zU
zq#PN*TV#`f+@3W-F+$TiYX1x;v!Y4`nMCGs<!e#4bzLyn(du?rhU*x|9tr?mNr=89
zgmJC{o;Zz50zPzc=N1S4yzTP7?>F;=hJ6Z;@18b`Qg=ao@O;=3;2?QYnt@MSkUiPi
z(68v^%{iX&35!63oqWbcflWAu3T3%oe7}I_#M|Rl`6$%qsE?Ua)NPQ2A%=ea^Yr&h
z0|8ICc*}I!{2AE}X}3zQI4FvW)qzrBJoQTLO)h~gN-cD_b2tti^u^}OC6|L7682X*
z<H_wl5L(4B>_aW0UDpM=0R)+CSC0VciiA<3amR5yOSRQz%V@UOHr9Smq(MoCK37fe
zj3Z~2Dp*p2^V|v;s>AZje5udGY}`GW-D*1G@GWg{>{5GlLzPKPi0yb&Bo8lPHFLQD
zpLLP48z(ugv<yOHgVxN!bZsvdFT^w$S|?DRqMfV-hqz4s)BewPCS)d@uExYJLQtm#
zI~Z^G=0tbT=^^yEuO)hF{|7NC_=SbNo=z`QpvJN+F_DL`fHZz#W=czP0Zfn?<$a?!
z2QN-L!v00=*8$_nP<ME-67RKO{h|!U0X8mA5`B1Rug#xt3oK=lrwZdA*Ny?efR(C&
zKEhvB1{$V(_wHY)#Xvj8DSxedL*d$slPIL<#a;F>7zTsa&#-sz_2}M+2~L6)CWG0M
zdW4)R*Kj3ZvUYkN8x~^!Vx#qvwDSaMqrFY?rZvWafi&2#d9+$;VZ)&?gGjvLFY3Fx
za!Ak3L-dgXy&FnxJV@19Kd?is2evzo<9Osc^X$`N<5CRBmRoF}9;J7KOhSveQ%h_F
z=+$fK$7%<)8-CgG^!&~?$;pdntawD!1)bNc0B&}O<(MPY6KL-4AF!WYYL}GW%np!w
z-1txPvYV|um=s0yF1dg1v4g}UmeRPA3kU@Rj$!D9RVn1JQ<>-E{H)O%8s@$Qj0`My
z3+2Ka`X@AzG3wG!{kV{c1D6Xj(DH!)f|N+Dzt+uj=qm9ZpHY5^yv`21UAc4NV`I*i
zvajjjZYGWny%2p&Wtiv_$LuAD{ccYFDS<?supLD(WH1U6VSj7Sk_fS?Sqy=IAW`q^
zGo_KQnBjB7snbFPZp460IyXXldL1N(>JlRD#gRA)(ng)Ds@e$8ONB=Hbd9g&>ZvCU
zq=XKPi|!kp6&z;_u2Wh1*7i~D<WU~Bxz>!GrdMlN$Rjz>50>MtGS2PiHPRA5cGO$~
zmpt`OY^sJv@qddZB;)%SBA#N8ZuAn#SvFO^Zua2}reGcbC6AV)%*T@}kLKL?@Cfd%
zwBvd4Xg27||2uzD_msRWv<z)59e#9efc+&A5yt?hI;IRt%?#S}#ij<XwihzrBr9WJ
znobL>B2_u?Qho7}iA9xjcSKk=Pwh-kMnEl(Gpbr|+R2-9Puk~=kS&Z@63^a=EKqOl
zr?U-jl=G@VJ<<>W5Hp%(d^@J|ueEA>(o1(pxF@fVh$4!3Y1T(I(sh%8OT9<|qOWKM
zKX#fDPaewG4Dtam5W>KwJ}o*5g>UIZVF;otb<>|eynmzfJd;yBp|r-z&(c+vCE;KX
zX(c;*P;y2f?et7bh4B`15N&-MqVzASZ0_lspPGm3I|iK^pVTu3W1kU6!+Hqy-H)}m
z5BQ<nOp$H{Q08uUO#ngK)_?1J6(E=Dwy;ncN)uje)3$0P`ACT4ZH#h1I1vczJ0bA-
zHU^<ew-pZ~D_H&8vh^L8RXC5gteTW4E-EXFdo=2=06vD^C8?aN<!Mz5a2VW`!Y(?A
z<-#H=!JwaEUHcOHe+veKp|B-1Zl9e=;dZVE2dk3J4Kzgr?d3`$1#sT$Ap*eJ{e_z0
ziZ{SKD=-Cx;+SxB3KS9P{gt_`UJH{(dOP*ed-+o2Gj1ba(d4Z?bXY_RoJ-nT15XUi
zBNEv4nz*Llbr%{HKPLXI-13o0?unsYN3dPG_`2YSp!;_a1}$}1xh_DVGYZlbcCz%0
zri&Wh#WcY$F%#fo;JPaVsBJkPDNomVS)T3yL&k*?W}l_1myhs|Qs?R%Kw*Z2PE|V=
zl&W*><THdxO}m;m-kZ(+Wl_tV9pl}cE+xJ#zU!IbDVYT1uBU;#3bfPKbFuFE(nZd+
zZzukS%KvQ64)k*u>f;@S`lo6F_-|dfaIzmMr7lI*y|zO6<gZ``6*%g9v#iZzTFn7}
zZ*n+HQ#cgtvdAIx409TpkOlDKp}U-6n4X0J2~`-9j;btlcWjeWp-B!C8~{8<m^@R>
zbt&czEy@*>j8eHYVW}lt11(q^WB)^g&g1`NeUw+(HUYa-5%uwoUzn@9q>6>fL%>YI
zvKlbS>y`Km_r^1FG7TUXhnFGWdb`nR1L<+EvMeN8p(s~(n!^jncp_efit6h`GdLs1
z>pl_VjX$FwOm|-K3_>+fPdiCtic^1hu!r~!B~c_jf`Z?UEUcD~6inon4ewmU3m*zj
z7?>Ql7Xl*^0`t5-p?%BX*;LC=rXDs?@&hdY^c4mk9m*=z1{j!23R|&?Z@LQSJW530
zJydQYnWwm5<_P#TnY$SX-V1K$Ll7+EB+aK%eZJx*gh5xzvNEDTEfrxL59D^OrT3On
zj^9dQ?zkuys9>CqBt3~hhSDXQdx+$OkCcy&{>~B+y!W^4nIy|7-n)r(3%0Kt!PgVe
zb64atB9^m13R#ZAp3ARpE=%hIT;$vW{)J^{yz@m_CQc(clQXS3GL-6w+)?6otx;t!
zC?DRtZQPP?$>Pw3SQ#UuP?>h1Re}Yh3JRDzI(yt$>fBs$T#&&}7I*QWT$C%qvy)TX
zx4E;ySR;uWVHlCk4E=aWw^V(u_oVlhwFMJ1jp%RZ0IEWx=!r55T%+H8m6m3vHcF~V
z1x{26RQMIK?jy`xj3od>IXvo%FW*2W51~!lr!-g$<NFmVA6(J1X>WqLLxBYG>bZF9
zykQ<~sQGL9GNA@Yj($+g;?lyVJPx(HQ@3&rBZ%G&x1nC{4;4N@)>~@r-YdxOhIHcj
zcVQZaBu8+x2zX(oE8}~ibxV|F`2#87|3qQ^yzbd?ECQlJ?he8?{T!U{qu{sHm2Ey}
zDs4Jr%TMZzg_i2W=H1C{k@85WV5z|wG?;H~P5C-5P%3K!VFUVHEC%(WUZ>Akyh8Q;
za}*)BLD3r@9VXR*dsePMT3i_Dd{u;m{Ucc0eZ9}wY{55@Z1W#}uTXAD{Co@H`b<$}
z^E=VfG(NBqkgs!?>H6hcKdUS}l}w45cg7R1Oo!TO&FgHB@~8!6BO{l2LUC%Z6pzE<
zb8tl$I7lUD<s>~7S|nRumj-UhIwp>^`2pa2@>ocX^k3Z)YK}ct`8#**tP)bY-()C;
znp<!AqR3Vc)FD4)CjYj2=yyN}xX3EYP@PEz<rHjqbjV)kGBxn~&0D>)e`+7qSm9mP
zjDbbuWv%Yk+JSfnsufU~@2EO#Hrv_Y-5PKb4fu~x@Q`9UFQcC!e+`b8O6YYg%Qil=
z`&xuI^xJW`kv_iP@`x%&$CXnNK?9&gjmv-gwJ$$WCNE|BJqT%O-Z70qJDRQV`>h?f
z<ZE*x1i;+vC61)YjUUCc?@!sF%Q?SW#G2J=sg;ndBbp}zYmm@)w7rW6E1ocKsyCi*
zZb1AB2#S4A@-?J=7uS>m7|~vGf8D#*NOBgfHj@%ewle!N^6{bF8<F>hF%i79oKr`k
zu-4^zz4((#h@6Z#5pCAT4)Bv7)i2x4`}f8CGSe-me<PvoRLim=RA^w3c_Q#)^bA}%
z=P3<7+j^OVX1gou{ts0R=yn?q=r*q#jD4^>$E*=k-#AcvnSY#}A`vL73731vkHriD
zmdwpL8u!^1b6z6B6a3djCkT4dJlXF7PimS;ca0|WxpC;2d4*}hYI*szUfQ2*wR0Ec
zs%#gqxcXbEY?d1r>dfM7;ZKw_nD{TEWcN41Wq%HPI_Iu)1XzkvTHk2mG{4^uVAbkm
zNw0*p{~s9II&VYhr>29s=99aleymcIg@sa`S|11@2PcSmzVU+`{fNU9)^!sy7wBM)
z_pf-K4MvVMm%oV^jlF>;l$)(h2%#57Dt-#@AMzBkL?!ul;Tvagqu>4~MtC?t5Q!^u
zVM}!+i{`56f}iSOes>2uS^ExRq||;vfbf-i6O#x{^eb)MKqF0OFV!SziMkEtVY^`~
zIgBupMnB&CtD!Y*Tl1{NL2XV^q-7{X8&=RpGt(BFfuwX1N<3$DUa~C1Dyv+Ar6TuR
z{{p0iz#^=)7z38JHMYT0XU?Y_<}Ohh*gvievze^2UyfkEeR<;$4^u0^J*l}=gtf^5
zn*@Ub>9)k_^Pm{j1>H~QVY36F=s3I^(0l3^3!<prfUGTz<Z7DdWh%_=`)~_fpM417
zZ*$cUfsdQ<8{cd!xoD1uz$4U3S00MS11x|QnOI2C>0CoY#;g`(-n%>`8qcrECv!D6
zb(0=R#U7Z5cZw#Iab70jkzF8kmxLxu?45V<89OGWfEexYK+8gp&mhCF942^t45X!e
zy<xrq`h8I#itNcJ0i<lPe6Sz&|2;lC^om~rM|@H{;7rbyqiNE?$x`2M6$03R?Y14q
z$M$%NSPOdg(phx-tkv0fS$wOb40#}9pEFqLBETZPF)+{qzOZ<c0xWOCCpaUhjOGB>
zV)gjsKaxN;6Lb$h!8}>5MT#ebu102^ORb}gWNYBP@viQgL~x})F6To5z*0)+oLTq5
z`B)V$5{u(%jd5tZyG*gDzOb=&4@>6ik|^CQ)KC%_Hqmfqna~G2-4R@PhoS;3P>o`>
znkX+ekWHF+`e8A9LeA;P(_pN+dQix%{^Kz)I1=Am+8{{ZsDXawUEzUxV><m9*R`GP
zu|IBNTb+v{gK<S`1QV5%3j|7TS32|Wj4KZHA&t1XmpUMHMCQfo$D$X;aAB*wG)XT%
zg!S8R*e~rM8h3kpCU4efs^3P&p9Lkb1JDij03d`8CpgfabcBYZ(%lv|mLE{?ItI->
z{#-2pAuu9rLdMOcb%+y?GvdO4zh6+6(3N(zf{|YgsOC~(o#c$rt1BSmNe{T|U$=Uc
z7%^x56r8G2>^J%?8g#JGBk<7cGXu=><vK|M4k<o?)<}pV;u~h|ff$OHM3QDr?`!x+
zIR^ZaWP@s$F%%fQ4wE0M<6>#%ewGLPZfzb;9fFXChvC?aPjj?k&D;dcYEU;vsKkC8
z@fOE;qdGW6JWla2@{@Xbf@gQ&c46r*{nRo!iKEsTJZBRzwUS2M!tl49WHvGSBEZSX
zjY@SqQ!kc>;#aTZVQ!m|fx%7xGD$Q3tts^4+n{$g^<`so(t0J=&@5AZ0|QQgUawTU
zW@!SkSyJ1=PQw2;a_&HsotdEutbT3iMU%SUrhir+?ehAZ>aMkj;I}69Zb;k9H|{d?
zFjWpm<}f**^<0gMhoRQsO4iZ@8CY=eH77|QM5iF|#>VTukXe=%3bjnQ5@<>^4C7`d
z&#s;(6EmJ=`h$ACABh^ti_75+)uyjaG>Y_}QfTS~mt^~Ik1nLSXW*S%4&(8jeMm^V
zr;Fv>#<dz@o3NA%FB&<V??y?U@=WY#2=KykVAYyvU7xfNXM|BqN1UQ};4-n=`fysW
zS4=g}tl-ib%^8*vL31OV)V1s6=Kz<iwt=@zJKic5t<7k98t=Dfo(Buz3wGMBKK7B!
zT%G_Ou^l-CxN;!mv-UGG9<b)kU@b;z1>sS4$LgzXsiLkDD!b$CXU=(2rJ9*6iCDo-
ztGT;@;#tXIq)d`m9iZqz=3SIlw}HIrHo2?jzhvgjor!hiw+cefS*E+D=*evglsZ=r
zgg>RJ{*5J%^X{GGB{VhvkG8aG5{ECiLG@_Qg(j0XqE(==Toq>|#Tw|g0VHWmO%3A%
zko>a#`v%-+g3;r^KR26l(5z2kp_=q&;aB-(5yRC`qdf;th20wJVt0X#^{_TeP8Qni
zB+M3Wi5ZSzHcj#D=fZ`Pg~kVXN-x6uFm$hu?GvDylHGrUEEdGaQRvdVccyH%xXC_q
zvwTmK1G`~@pwP)U)Au0z`XabwwbpRie1bNkEK-_L##Merv9=h;nD1U;>6P6cKet1N
z3pM*Ccp!xigx&+p5em0OR9@kpW<u3PmN%uBJE9SfZzK3SYDyO#Yb+}K;ku`n5!19Z
zgq?O$6$KRSaW@M3PrVfp1#@)dZL&4osuHpa21Ug@_b=6*ep^!SZdOCX$pzxMaV04;
z)rNZNn9gAiFgH1l#hfp)GE;>3<U+)b`I~xD$EM;~4;e-gJn#U&K%(50OfuQd5r}>?
z5pD81^jzF{H&Ur2F74u#b{R+z?Fq`c_+hi=^&q_RAj%$RKbG<$!!<4)Mt;dR{eb{s
zyvOAtV$AKa0OT6z2>r0iDaz3<FbkzyxGNiUA!EtgW16^0bW?v9HPvEWR#bchk-K{r
z+ovp6*MU5&LdO9kbt}=0Pt`Bm<gzAeS+eY3NqVK#E>Ojo#R==yAhLMxotvwSQ)qtN
z{32o~ahM}>1(_=zs}9AYMHlGhm}Na^l(sFANS1#|bseh9Eyup&8pWmPKUI1VYu004
zK3k>FA&Cq>mRTs!qj~*19BJfo{{%Je2^(L29=UNm$QHM|w1R#uuMPi4jKM8faq@3b
zWwdhNQobb{L;5*b8xEu7&SH5lO2~Z1uC>kP&~LuX3QMElu7BUg)Yw_4##ocpAVsXo
z!F|yA9>HiAg3gR}aEj#qyNN<qWcue9nMKv_JPYt1O!kfAOsoI_T{WW0RUtIf+J`$I
z9ErMt)hMRatRxcYiVVs=?6&0k&lO~b`7yLz%!e91iG*E<c(|5zK!wcXeV9*EXy(8h
z$myP3O|LTQ`*@(rCn%7l7!?LXlG+R-bwvIObcNL0vsmK5YIFYb_x+rKFm)n{w8-nT
zbo@WqJlY}r?_d4=ia`6J=M#M?>@kM~$*MO=yepX<3dmVi8J{x-N#zAUHNoFY^n-ro
zMT1qLNxO5->~zDTQe^*PU{VlOkptm8K#=Pt`~bW{io=GiDVSK|6nMyarthVl$6^O7
z%kd)aU}Q$rw$-F>Q>RzWSVA_N@7X$=sG_^@`>F8nG#<A|1NN4qiu|WUsvr=K30!TR
z!z{w4$xB_@C3Tc1$CUUHW?G&n9i&AVfEywMw@l<4_G1c_F`YYa4!}*f)%k8Ea(aJK
zxR;AEr4?JWyI>Kv-2-621sjDoI~T*!?)EoGPcbsoqbsUkwqU;mD)KRf0bls(Ww}e0
z_Pi@Em!kI%x}~_9mf(?&VJf)VaLT{?-+nRx%q+Rl3VtDe34A%^llJZOHg+^{Wyd_3
zqujgg0wyOTxv!~r<M5!PA9#RCUkzS<+WuVjgOj0N(|R7bajZ7(V1jM#Av7KmSLCJG
z@(e#MyBqT@5Lk>S^>0T%9DMl27(xw{b2OZ?!%{s(#n<+x$JaEtRomZd?cVHqCl@48
za;j)s`CbNzOC2|6c837!L4J>JK|()*{Fhtpy+7=`xk5_{)3sgq(3&1;=%2I904*Lh
zrq780dN`c=*@C%!?Yqbd!y9#kZdh7;wQ@s<3O~}IKu36W20j9EkqAFJ;W#u*i}oE4
zn}Nlo-QUxxb4mG<kUBp;x5g-1bfSa;!OQS=>Eb+7u(>1tj)lKSa;Vyt#9UeNH{0wP
zSO{`{{_M7qyw1ad_jvw5#oJ;^^>|)Du?0@0*Yn4iT352PuM4`+pD+<XPc<=aiM_&V
z8a2=d_KEMZcld@Fbg|NoTfdDTmBw`lMYA;WLYtZi8AzcG>Ye)P72%+>N{|<Xx^N8;
zrtbDO1gth=wAZou4CZB{<D5GR>ci%V=o&my!N2GZ872P;VFd+~v0*fxpzVgK@SdT&
zDeoO|pR(OYID+H@utN#Mg}&47O{?pIPcc`+1{9GqLRtONeSIWZSV!nNU?dQ?!|J2X
z<9*e~eSSS7h+H*3rh9#u5M4O?8^)ZqDcff|!j5(ssl^A6I4sJbM9Q78lkler+5lyU
zur#1_fN(uyK6TrX6B&A-L&&CLi$a&q1fn)XoN~rG#Y{)A$}<G9vOO5sj0!wfE|R-I
zWb+Tv`FxJ_FM&-Hc9#W)%)b5STS$^jc{$U<@3HFaSfQ|ekK$iF_Z?Sun8658H;7JR
z%@R2H<PRX6s|(QW)3GrZGNP3Mw%MEp;m}%!7W3?pD^210dqK_ICwHOd0p<IwPhzyi
zIyRPV3Q|(Pk--nSZ%gB_o8684o=uu7ueg#9-9pFy`sL$HD6&D@==<<Pl4KfSO|n1H
zbn{YXnN@`PKHEipi9`@>p3M4$mS4&~#J=!K^HmJ{1A9y$(tnkSfQO55Y&lT@bY6jO
zkQl3-Dnw`0P636o=*Z?2Kkn~tFlOr^rxO7mCKYzNbs>DYLo;U}$os+k86q{K3Rg&-
zP@v9pgI*x~oq&v5y#xLh=n;<tClXh#_h~FtW<X4lJcH$GA6;<*H~UYcs+m4q_;rOj
zNF0+Qmud{ay;B<S6h!7a`BOB{lH^@@aJPH8o$$UG7`>-Q@c0Y_sJhCwC+SNuaRU>3
zK52!8+!kR9qp+k|2bP^s^G(ycp%^ofY{XfA2mxGp$U{2GN*tP=b!0yiFbcfRj{yIt
zcN`cnB9yGS8Inkcm$>krT$LY#fH&wSYs+n8`aN1JDUYow(|r&<!KsI%tG}j3d3Zze
z;GY3Z^eZsJzca(_dUS#M-d@*mMDLbvyX6HynPcF*_HuE=)|OV3e_fZz|LunA3V_+-
z{C+TwG_&-rfh5b6qKf=cd1<IJo!}i{f~lPDaB4E@T}0#>W_~VZRmyB8tqT};bHZgQ
z&d(afRKF}VlN^MeNPN*xazs{OJ23-#A}|0oZXb{lTewBa1$tc2j(l*WZu96p_YtbO
zlqR*L47QQaXr&N1XN5-fRl!p*;r1eeO@&n~qAFhs?4)bs!F~}ErtslPjdz_#K7x*)
z5`}tu2}{}AZX}myK2|)3{q4l?Wi7&vHqa?>M;cIXB#d4m*UAz^bLU<s&njpl{2YLT
zQUhbfJQGb6LsOQxsrB;yGs;&TG_2^;TPUHS3kzZ}t}RS=Zsco)*=z~cd##C@y@9P<
z*gI5`109qdB#@$$vkvja+j`4axCY%oRc&QKRb=6M<PdG-pC`q1%#i0sUfe!1KBhk*
zOAks4qpS}?pa9rm-0Wx#OoJ8yIdhqd6^`I5rFT|Ecxi)49qu@;)g#M;8fm6{o*J&9
z4B~e=mY3+B1!2T?=jwDFE2v^cxz$mofL_fer>ZYIUf*E#y@6BqX+-ERz!N0yH&llv
z+oTPfw(5-eylTve{;jJw)l4kmOGt2VAJ<}p;yL!E%9uEv^;v4bW^rl#hH3^qmLjiD
zDqW5Klal61fULkA;TD1reX_W9dzd*dpSxb}Vs=wZarGIf)Qa;5u#0%YN3f(ukQ+H*
zd+iX_`R&LLqa<dozI-B?wSbghASU#%OSOM`1fmyrkSva;kA|+;7ByG<*v%6*^m$cM
zO~I-r^iMf~#hqknDna_&a%7321(dak=*LqhK;F3--}JLyD3t+^&I}j#=l9CFz+eD@
zj2jawiLi5WTQNd$oy|<}T+I=kV#zn$#h<>E4!eN-i2=&X7r|=L?hP4>pzwI-HWdNk
z*1hq{Y463;Vx4uzEp}pKc`a6lONK`Dg5@{~k2NKhu*ttyokZPx&9?XUVlk7uB}fB>
zQFAWbw5R3i^8yL6A@ikoy#x7j39Oq8AErRiAx1DdIsw8lE?}x8L+q5omgO?Lm_+Hd
z-s2q*p>&tHKRwJ2n=%>dhUT)`&dGp%iK^-+XK3a9U}X^E5hhoj_Hf>=Ru7?e)E&}a
zdD-GNl}%w~Xa$TT@_2K<VFa8X+L|Y?GV=TcQv5J(fHQ-Y)Es~#N<R_r!ugJ;qe|3#
z*m2aGi-!Mo09Fs>GR9&sfQNstEHRg+V;1%IkHen`-{=FfdKRyW4Vr9qX3gI%tp3^h
zB11i7Jist@bqrKeNaaZ8uLG{ZzOYqKG~ZzN)1x=SY#wwW-_W|mVn`EbJ{s|BRdd4?
zjPGGrBUO{)K$?POBWbw-7B#u@*rJX`{a)DUZ~oH3nIOolK7M?)<?$XYf{LEJ$Jk~*
zh5|UEN7K9Yc+4b4N_bUm!Pi@39y_$Ec@A3A(OKl;31{ZQW*~E<`Ext=&_Q6X;BT*=
zP+L>r1Fl9A+<&YOB1OdX34@=>lF>=D$cXpJQiz1H0|DJlsyK;$el%H%HttgX#&^+x
z<NDgO%9ZbSXgQ7kJtE5c!lr_~w`+2TT@d?xXA`{sg<&G3+DsuxCxp__<BK)Oy_If6
zc$BA*cD~ihT%XQeg*aY8LUTJ6nX|7ArI{J7zj)Q}RxfXC4_oI){R|PtLP?;TS21Gg
zIoaEbL?Cp*S8??b$`!e%qBzHV0t5PQ)_^$Zw%6>TU*ZWTqu6s#AKs6(ILmQr+ZdnP
z4e$Thr;3Zmr#riE+*GZ)3F(p30PgPC_ern^_tvKgDv+vj2IZm{;^#w0><~ya!!M)$
z$<J_R)MjcypG-2f6?{5|dOLRA+L5~$cpY=Ngj_j;6d#I!ne2QnRqglY9hvnF<{ps{
zXc#Q34hYVt^#5AVdpP**WKILA&PN$=Is-J9Y^nDg!YM2#xugy{ol^Qu621?&rENRv
zszejb5EKC}lKM@o%lIaT1LuHHdKNGuesDRJslPX)eQna8{_$zR8AL2+%>c?Jklnh$
zOFMjE#<f8MSc77Mjg=85hG(vUllls-XBBYC{LVRPQ06o0H@gZ?e2)iQxM|%6zObUQ
z&>*?j`P0TdxgXj%668fd)0L$brK-fQwusH@#ZLFoN6AtEe~>Yp-$99{QO%Kt8SC74
zTRraEE$iz~Y!_ejyz)TOVn;$$o0|=L<vE<ofv$AhES?qu^*dDQBxy3Qo;}5okX`JL
zFscE|yMo%ngA&Nhdcy(=Ig0u*Wzd`}M?1}UqdSV@6z++`-OvH#Kb4h?Si<%n@0`XS
zuI7rG{sw?3EY72JZsBUcN=ezRJ8b>)u0P`wc-WQjEua|;V0asZoG(u5e3GMjepaq7
zsDD7rs(eL%)R~7RO%)hrzf8JQO&0y?Y~KfZZ-9c;>uTAHU2}|N@<@hMK<`L4>c?{h
zM@gKwwiltfy2r}y_3Ow|ui5-TXX8gN1BLPFkTqHd_aFY?MtMt*MFiyei|pq0ztJr7
zoT8fFqZ<@0&Q$>qJ6wqUz7Ld}uffRjgu#D`PUsyIM9oL{An_<&k^v2fPr6ySbl&Cj
z6Tu$P`Wk-fUbh2`6$Km7pSc^9{&pK!fZWDg{r3t^3!+}H^3jX&gi5K1V}0I4*s1&g
z+K<eufM-TtJ<?3J(EU8u2`P3Lpcp8)9}i4&XHW`HRbJO$!lDOl#;GW5W>s4;F}o2B
z%12xT{=AC6r!Mu?oN~L~CkN8f0TDR9pZs((ybh^qFA>W_=$mK2Hv^PEg!^3CiiFpI
zcho~)_oO$bAk0)&P*8^W>Tk`>c=<2mt+%3XNmQ<;Au76#F8MiBA4M^jisRVP0(ja*
zfFA6<1}nN^7;Zq{um^mm$&%Kx9C!v3p>N<w=kuopFdUI0<boM7IR&eV7RP<9cupqS
zd_0D!lv7Ve>)fx$02`W+N61<ySQa1q%5KMlLNJxsP^SJ>E9ZggVT=gApe<Iev~DAd
z@GnQ0?MFv#W+T!#S-+uWs)z()#l`bkVoQ6VGD&PLc2Qq1*N#pH^^joswDiS*0l;+N
zp3%-byl&j5_*ZIJr?zA@yp3R{I2@W*J*AXb;xA6-TF^3s6KMa7?YuZ$Djj^CmVGD{
zYKZHVIJ{-hW!tqrqy%zy!i+TmjIZDmr26V?#HOIRvRoR~haf;4ilg`ud}}$*<P|sN
z4vY?4?Ws*!*(lh)xzJMUwFt(`gVew6z!fz+6RCZEsQqk{h~3KRTZ#zWx|$$eE@$VH
zM-zpo6%+8Jm?=4o4jBDJnT9n>z3<ftKj;WK3g(GG7BT!2X%@g71&D%Gx;cAn#Vw6u
z<{rE~wWY{`l~?ZZWJD4f#SZ5X*ef+NLR4<~M=tb^xnAIqaq1xSP<9vwSSHs9_kR46
zESK!0WYyF>T*9>$T>l`#$1)cXiQ)rz1Vw-}494+=!&Rcvb-D{E@m?{AZ@V`9q<NBE
z3NT(UHw4~X3R=2?YEpU*NnRoOLD<G;_RWA7FG?%2+n_x$_74`Y>~=GR<BeV5xXo%Z
zRvA3+KCE}bB#IVa_V*+4Wg#656}UvY1h$txHQpf*ytB={(Ed|5{-Lr`q0VZrD2Yj|
zXHB~}w>c2RXuXp$&vkEK;iFO~=6rsq*NR1O4M5z3aBcO0AUtz@M2x$|AtBi~ZK?ls
zaqMeD+e=i$6;$HSCdK-U(Tp=ukx!FdFBgGZyukfActdV9UMd|G!$3~(D*(dc0LT~V
zGDUk7)t3%{Ru7MUmMiB&xcF>s42YD6vrtGJUL=0OxxkrMP)?>40lE4}(U_RLDv8mE
zDu`<GQu$3Jlfn+%f!8v{j$;;y->8uF95JSF5K(gt!aktdQYaxMAA8OVOQ}7k9g?01
z{(Sg@`AlIqKgjt97>Q~w8=RX$$`VaGp)@y7+yXijdy4E%^K<ek{O$V%@HYZUHa<Y0
zy(3;Y#~Gr%k4|woYbj}xP(KIsLW2Lvn*5L6G<N}I^$jgSh92IF!M$q+rkij@nfoXz
zf`FrFENRkcZIX6T0$hd!JG>6Ow#7(pYOX832376=ZHVF%1%8Q_4W|@z*5c54)|krF
zx0FT#O{y}jBVOzDOwb*LS{lJXgRmoUDf`X^s~I}>=j7ONNtX?O(bh)8YjT%HLR?id
zPT?m*QP(70=Hf$Pyt*h3E}uxSu}UW;b;upjK0v9I1ip?6LW^O4D}ymu<U=m+IOt=N
z#={ydFe^hIX{E)A?LNw(<DJ1sPo_&y-aSgYsQ&%q<(J+2hHYV7?~D+_Wj;es(eC5|
zda=Y==kjA<hCoR(6SgL{o(1#;@D;X_K|3V7(82tu*2sx44wf;t1|o+dM++V|JhOm?
zY-tFef!t3CnqvxgNfjsKUOn4g5x@8At8St&wZPM^*g&Gv`1eXnMU3>oaPDvqhDqfe
z-Ss~wCaLbj0Gxe1OwGbQ#!RbWJ}70ix1+<iaroa06SO<9hSop({RjG`B`s>;m&xGg
z8QITU<&Gf@(;R;NK|O2?Z$QwKiBmiS-%JF@jXVCWY#{H@hqRm+^G+z=4^1rmcKEj?
zIOqbGna6}<z>^lCl}Q0)#AFq)61A%5=Zfy^)_``tb@n7{ltYhRvEFT)9Pxb^2q!8o
z>jZ>#wHdQtSJ%bkw9zG%y|iT6ybpOe=*8=b7%sQV$G%>t^Ca*Kyb#I%Drr2hf3@ob
zcV3bUpJ4>i=UA(D0_wJ%lN~!g0lEZdz)V8TjUbS(yf2jJgZI>%A?rgEEpy7MQnmBd
zKe35?Cwzuo*Im)|S9Igh9(9%XTyH@%NxYR*_`Bb4pjfHYxYuw_@-R!FA~o^*_^W^v
zPYUT6F!?l+;;~%-`U^X9*UpHo`jzb8xb)7PS2Vud?~g?UOz(m_aJTUS*^RVvb&!FB
zdB*z&4n5tDXpa@B<7xYt?MITNBHo+I9HjGaKiY2VDu=d2t*Jk~NXwT29#`Cs5)4PV
zNNR>J;~eJFqwDEbMHJ2^zAW(_O5a6fHiw8dgeb+c$6Qvl;iqvtpfEX$5zOd8`ns<+
zIUT>a?$DntMoD+qFd_jp?~{<$QNSxX)erw`k0uE;PjVY<CMcb-WoScIh>i4x+Tw$9
z$(!nce@YI%p<w4#8XDoLnBYzu9-X4f!nqN~|Ds_=2u1q7&E$_kcra=0Kc)^CL*7&N
zC@HD8c09rz%S7HbP+Smx?^G&Q4b>J^iOya*L>9^6zgTfmvWkz8Th|``X_#)x$TCNV
z<*nm}pRg`(Mnq_hEf5jvDPWrqLuZ%LaXnd0j++>XYjujB4SA{ii>riqJ21hzd!kXZ
z5hk&?AyX165(bTcl=W;>1SjPPq&x8lR%)UZ`ogGZWtxpA(Yqphk;X~>60ly*842yj
zE;|6%<Gn)T`t4tKXbg->il1A2q{W$0%j14DS`Yqt8qdjbDWacTjlp@|8kc7|+b&e|
z!5Il{k^6*Ga-vqUQkZ;0M{%|fLn*ghYsedq49Cqk0&cyS{docJ5J8D!R37E05QNia
z9Mo@}#)Lgd2U-#@_qDcJT{dkxB*It^kmYtTwzsdGMC3H*b$r}Pk{fPffMuh2RlVnd
zq1{8Ki?oe+r-qptuosw9R`j%;OYeXq^I*Zvn2-XO6M;Xy8relsNlVL%%a*;}`D>9Y
z_Ka_~QjT$Mq?iy7!DE$fl$4!CJ*wRICW0R0rlShSZaD0t{T|S;BC#-sh{$j!5)*2n
zuFnrg#r8BfH#2lHz~b160SRd`BcMG>uBU$|Jzuu1t`aiQt1^EBQy!^Qkr>omfsJXA
zWb3A0pza&e)vyW^77xKjtan1T1VHIXe&i`=mxSiA7nB0l>O@PlX~_B0WL+S!5LSKO
z;wlRGatQ!WzdPJhI8z=6wSbxiP>BLB6ZMTtf>CC3D@ckc+rDN>xJDHHQz{6v(Is95
z_+L5uav78MD(iJ*Y47EtC&ILk_5#{0{(x1{Bf;Ndoh$Q++~!MWmn##j=L}5H(#3F#
zoPkB!at;aAphmahyk4qTTtT1B*NRC}!hLR6dvDNP$u1nSN&#cfvqdg2Am>BU;471X
zmpJACy_Pyn{I5q&c8f>fmrPgiw0V8v8fGD9R3*0{wsq*-K?T-X<XtnkxKVP<Og+`|
zs2yeZsfDae@lIiYiL9qfw;iX!W%km=qR~f)t7|$oM|s^e_C+0(q{4L9VK}8!#SJ13
z$yo-)k-U*28oQ(2hfy*%Hxi@oEK-@gPF%K?tCFjh5tcZ~4!S|Y>Czs!JM;niu02QK
z%N2I`E@=>6`1GFNNWsB%SMxfEqdpY3-7$L2Jr=Tqwk{(R)J5~S?=&CJvRFcJ%n^3G
z&sguW72tWh^Ub>FaPUQBq9M<~_4Gu<CItG`pz6x>8B0U;V(udR_I7uaIv<fM+B)3s
zmiuGvJS0_vO>Hz>TSlZyfm7edV@ki04Pob9)9WI(g{E#w{(lbu8FDM!XiHPLl9MWs
zlsbP4v$EfV)ymKF7a*5@RxH-P=(H!^>eem+9v=+3(SubQ=})Wjm-@M#%tAIPDH<w=
zoF4WM>2eV%>vJFqcU8z!XT3Cy6d7s<X90xK8HZg5QfcvCibJF)1%$7SnptPZiI~}7
zwC}JPYkj_lSZ>xKx0TWECfYt{-MFDs5v6ag8Jt{wSX>Fv2Xm*~RR5lA`a=(rdbzfA
zldp7x`|p|2{7Or1oGsw8I0Dv5B4e5qy!=zxPKSMANBW7+UeZ-(RAWYmjZLfhdvc9S
z>j8T(ztzt7(-;M=>p)b%%R(}WAF-|o<OR^4g!{RqigqAK+i3xYe0mX^PJmhymMM=6
zlb8_|{c%iZ9urf~-OlVO$e%&dtlT{037-TR$;&8K@>PBU1|ML8?7k(DGXGJsuQyV9
z2wHQ`!(-`%Gd)43sg}ACq=Saz{dR%$H(84U@^2@C!C_rZr&f8*O}_?Gre*ziuAFKA
z>+SMneqp<?-kF!HfMV>dqm*42InBvC+6V0Y%gJ%`e5*O?!MK(0yLS(ac$nGz%S|B>
zQjqZ@1vF!;M12L!fSUM+HQ5(12w<hmagQ2ig(9At(lP=flJku*^$ID2atvbB>c97M
zL{G|hr+b#brH5q7WFmJl=r5}z??Ym?&C@1eO8sH50Wqt{W%y%uWc)q3puqA|Gws24
z*R>#lf;h6Febn}}DC9euR&i;>C^napWbcgs)!?moAe7@(>=L5O(_U(Zxxuc!w_5{5
z`NYT2ox;y7&BDy^kyn%ZuvBunc0eOWI*(VDW%oq7Zc(>hFW8nXgQ>Mz5(nLtf7WUk
zdOLzHeW^gqaWn>|33wUD0*1N4dMWiNiR`}7jpX)YImi78!_wT0YObKxs9%yAz-v;7
zE;P7%c^?slr#b}dSXFj?h*wK8osiS#ea>z0%MX9Hx{+5b4!IK`%nfEoCB%b2i3JGj
z`@>3b0+YO&8L|3F&VVC*+cn&2n@84TRVvwl0G_=}Vy!6Qnxabohc%UGpk-Xh|A`P*
zVF(`yQX^=+4T8eT-I*ne)J(h31^$<Allkg5kG0sBdNTgjC9XK>;`CjAR2*mzz;n=-
z&leoqw#mS}`my>|rS1wm8498meW#?l+@kby5^H6%Tx7FAR8mmBQ11906yRSlQ6EzV
z0bBlS-~2R1^BkpRLmbdWOqVjlk9I{)i0ks$Pm<7>`MTz9B9whid)yaqD<d<3gpMFb
ze0^xA1%SROsJdyQU8kxoNy{(L_1$_HqA^i(1aG|>e2UesgEGMcVcb&t*0dsF$>Rme
z$Mupib82ka@OnX_wieca4{Hd<>}U#LNx;5U8*M&kiG%Z}9!eC3&CHM5$=D^wn94>C
zUHouu_ETk2<!T^)@4Ti~RZuqB2UfidqZ*_1%Hq(P!+y!pASg>pC<wRhk_C%w!L7iG
z5G!k*cG<)19PyTqa4nD~{}R}Q;ve!Gh~mjiY0e<Z@^>pGr5>Zc0A-B@vEL~xBou0?
z9Ue;w0qq}uBD;!xu+sl<;!03{2|{NT-$w>r9<crFou}!cpFRiu$V;*gNoCQj;;4`r
zTB7o493JBzevkP$J^SH;CxCFEmb6x*dKr3ds#=+Ikb7cO*AvU)pf-%zHA>MMNGOUV
zdBXDFGrhM`Gx8Bb$DYQ-c$%C3_47S%4mqUva0vDc_<7o3>@PDs!e%o8;|G9ox{J$j
z;>a}lQVnNOcM6(qNqm@7bQUfuR=nyS*lj&u#m#$Gh0zO@hwAQH*<^YEChqh0p92Q)
z_id!zT=lDssrqg$g73RoNM>nJa5#9k1`}p6&8W$MndM{_DMUo{b;){`y&!^hrBvI?
zanQi@W6K+Yy~EV?yJ3;p**RK<#U9@9{pLDW?PDdNYyI8bs`yic(k~8u&1ZZ6Bz9Hs
zvD4yxPLvIe7=u&n?oOAyylHP5*<Z9FE)%|~`n>5$!xDEvas{4?mY%Gu(xi5xdh#on
z(>`9@=pQdKiw$()A_|c!BtNI?lDRqK<$m6BC)=Mws_=&ruxA{O9B%`+3=?Gjfm3{0
zQHGt*QQUwcNy@{(wb!$_w*Bb0gbTfV4-4F#Q#eoAf3L7s3onjHva7JXtmj!+#_WZ0
z2l2?3+<ismAGW`UegFxer5!`syd{%5#jJf?e2H{U65E!7iO)=Ly~o2}0fUF&|LK=Z
zG@!Ej$q9PCHrH_i=8(;g|1`}4Pg@2d%Xw|UV8r8?GD8kDNH6dCTilb?&pa5pHxN4{
zzT6NzH?0j&vux(}A47eJSI?cael_dcQ_>~&aGU7rL8p>t5XnSIdl~@*5H&p>)mLvn
zv1^foMfofjx~kPG+&?@|X6qe9s|(W)vhz*bG$6N1`?$<@Jg98nq#(MXL`-2Tl)?h&
zI|uZcKZ$F-!}}U2%pD$>$xT}=%9nWlr$4hlcpqE#l+>_w)_-+P3}^Ip(TA2R#AvGu
zz_V`|LI%Yqo@j#0i+(iy%rT0<dp`rg49&hniHe~O3LJa~Ok4!$g6T7{`!E1VJQ5w=
zBY6$!0E?4xUJ@spm(u|!Nqj1qnXhifps<KR@4}U$Ye0h2MozU>Aw!lNUdn}Kc4lC?
zO--gE`iz)?6dxg*KnC|+039iRz;3UK82$TZ=5<u(?BB+RFoJ|{vf#rhK}1@^rxFnh
zg_n4Sim>++TNzf-)C#-nzM0Pr`&0%FsaQ=7OttXY9ots5Jtm*x4;C`V<z=LITwNFb
zh)(x;x;le)MTVzBpAtA%acK}Yn8tevHn6v8$7fMdb0d|JG4fz?^C^9u68|lMcI!Ps
za7Aagqju2}#Tr-Do+OdZ%vgwJNIp0rX>Y<{<Z{#x-}@Bx#x5RjS14<gu{qa{9>2u1
zNcjD)H*Q|8b2Ujt6_6{-tWl5Niv>+Wx?A1~!U)EaACZkOspQa;&^i1r%c%$JIG=30
zN?>B2cpD@-ZDM19hZx6`*B=jxgZtf8H{k1xZKNSJZAT#?zmGis|Ktz>!ojGTdy}&X
z%cUO;F6nCqG)BMPW`TqprbH-foRdJgy6!2~u*ZAR?y%Uk3=yMk1enz4l9dgdQqhVE
zFu${<Cx*$WN*~5KsD<GUJd<=Xk@sF3tv46j0!M5@-pxOG2xdl68<?edWJpLZkA9!h
zagkDJhtlLGH`qyE;Dqj2@<#qthpO+NnSTfESSGl*yme2bUD=>c|0KPOh7Kv+IO`uH
zN&pTwxDS!ZOlQL`Gl6n5p4cy4-)Dx?9Jd!HABnnppY#1=nl3#|>7B(edV)6D6o5|o
zbJ5lF1eh<mLOxSz(2Jc|7n5>;VbuIQbY{^Plfl@JKg|$4+uiN{iFTdM?}K#>V1Wq-
zCbb^D_y$(m33qL}IR^NwCxXxu?H4EzRgi3;s=?Od*+|VQ#sm$IkNK?Lt5;gAaj8rg
zDKzKqe3j(+bbW-T)V*<71j^ds!x=BfvGl-gN3rGbNdhcanTU)BNE)z^0|0+PgN^<_
z0$)3nVb-^Lgt|N&BAkh?%m3<M?k(a3U#9Mw3QED3Dm*)_e)3Gip#^K<*)bx&V&O#5
zn_i`s&>_?Xg&(gpUQdhX)5{s;6+5^{3VmDAn+2+`QxGg^)XHVB7lNnb&r_wL0B!>F
z6cstTKeouV=jRx3ACe98a9308@#u8l@y>QpFVd_hx&K0{`KAl=A^xb>?7x?O^`^xS
zQ?A?Zj)hj8_>{;M22y*rp9}dclr_`b^z}Gu4a<D;=1gbqXMyxa!kgi_dqxXJPCD3Q
zpyH03ayHcqvWDbyP!Xp9JOO4>?}DHz?@V4GSeCM?(_F^&h~nYXTmhTBd+H3QGUw4E
zlb{YhmsZG`qL=m9`!wFK+OM9i4*D^F9vp#v0!LT7yU8&I61K-TQIniGo!8V<<+QI(
zu{c6pDFGX)fDD_@qO6vM(7UXZka<DkLdRxXkUl6Nau@v>I?Y8VNHSbGM8pRc<{6P+
z#N@^9ojQO{Z9+R~Y5`V<%#0m&jO-ohmewS+KZ$?e1Y`u}8c7haNZc}9Rw%LWE!xo$
zF0Bkte=!B#AtHn{lVm^iAD8)0+>Y#Ng;d+t`y^hH%{gZV+e`EgOCTK0e3aUkyHYmj
zdw=0(SkrMtb0uQiDs4KHlBSC$p_X5fTGo})6#`>uhd$Ko_rXZsE44#UDpwOinj;Co
z?9~Ie&>mu$I>?c6(%LfF>=$!2P+Fj)<W9-1kbH0prh*vaefvLqELKrnyIhZK>9Uko
zx*dn@P!^ENpz(MfE=O+wFu0<T(1wc^4y%3;i%|x=9BPG&%Cy6%>i5G6%)%e`e@KKo
zl(GCOZslQbpol(uKP$nkZ96nwEyOejw7IKLt#{#jtc89Cx%D!0tURrl5;M8}L-yV#
zD+kTr!nIi*cWYN%c)i@PHfX@MdscT0S(b;0I~klVjxvB+a$NKGhmX>R=&2rHTN&ow
zyN@(fy5nC*!sC3Dcg{y6wR8YvNVvSwM-ty7kf}*g+@c}kWWi#r^P205Z}BP+e7OoI
z(udG1A!v%aG%ocx*GckL{v~v6ig9aslF`M}CuqYir`Htx$D+H;0Z^kc+$bWhA90yY
zLx}^H9tvF?j5&qzc5zL`&L$Iou`g*W>KNI~bFOYR+=_(11Pvtg*g#XB9uxqJDu{z+
zYn+yiM+d-1Sprzx{{}u%$Q#&PUbpH`FEV5XDyERDvlMUxen*#eVX2cIlw}>_CJZ|5
z!HjD`&Of~J+-Y{{zW>Wxlh6^gK2gu@Lk&&EM7=nvK@&_&<X+Ur2a4rd)(CO-OD=GA
zd;EgkxyCHC0||Je{Bdb+gnVvhy`k(736Un&37A2(YIWd>Bb-I&73pvvubG2N$}VZd
zr`}Bk5h$Ew_p&o93YWH-2Shhwd)i(sd@ShpDG52#HC5_>*UrK=cp|kVVT-E{`_mNQ
zV>AP`CctE?U_ThP=|Lphrb@8)wp;>nMQ)>)t8hqs7M=9wE&urL5q3mlKeEWSZ>&OT
z&KYKPy0H?+c?L7whNM799^zC|dNu!yNuHV_zAoxvBuA*lWr<yeG*>FM{>*w=y2*5x
zjoY#RYw~b$YxR#p0alB&;PAEEG|E-HQBz;;_HI_%FLG0N(<FkU4)KZiTm0jkDijuv
zEq*%B<6C+**V9x`!Gz46Z)bICeC*Klt{opqD8fgOtwCt-H+$u$@_4WbIbT=@*~O+U
zwIWm~*x1DM^%S-I+rMKzO_VI<E>7f%M%n{}f;DWhca&FVwbnYJCE(1}XzK5kW1VlA
z2E-A7SDO##)vo)T_7Hld!>A;)n-+d0^y<QGtKn-!3tcE_!!s(#IdtVL33~Q=Ulqn3
z|G@|Y7D+2FMVzYbRZH@kr2i$jQkN5*5l&-@>cDe*mmw5)ut}JA_%M^QH09ryGZhon
zK2fItY_fdvoKcfX9-9*>|0?i2svD#fqq{Q<OyFDEWqySS=mG;8Z;mn`WRP6#C(Ht5
z)ELlV8J-FA3GlINM;b^Ce14Qd`QL8NS_||$8$2SkH~V0@=!|TuIQ@5U&PH39v}&oG
zE0kjFkZvO8ujqOAMDbkm9eS<yU<c&BYZ2?+lJ{<^tzE>T4L3DE0Z{9DMQRPWP_A1F
zeAj=gQ^xYEKgY)zD$?n#q{fJK+$}O<X2KjlvW2d30TTqD2`Wf08)<Z^+fqLpCgJ2v
z#FG}Df<+OZTU@3}$=M6}3Q=+wa~+|~roNv3&26t4`oDD(_!=tSpLOen2#frml<z&2
zyLW~^gEbT8y(e9zI@G<}<v2nW8)_d_y%_38vR-I<i+kkzOzdo-Uljad&pvt_GzMu&
zdk$Min_MG0Nm0&7oJDmRMnphdXx3k16Op+fj}s`v73ZHS?$Xb@;V&j>Xp)=9VHDqf
z)Z#`Ufq+$ghFAQ7^DO|3byRp%&85%^3}lREKLz-U#3@si_Tcc|Z((WHeUi!>jEShw
zxg1Ymsb5dPs;(y_;IwiP_Yc_5N`K_3{xQvy>x5muE)6hVCaas0VN{LuK|UU@w^*du
zk;37m?QQ#3P`-~o!{kvog>;$Rda#p6e2IQlF~Hokzr&F0emo{~$w_&gbzkM9_*F=m
z%(xU3;*y!F#t_tH>(c=Zu>FGC5vlx-1m%F5+CX_CkYq#F#jExd@#kbKNl?Rm=JDxW
z2yLeIsIIjCAjx&GRIUsF@eb>+s8l&2k*(vDoU(U{XO4H9W*If&(D$iSmD%X1hszar
zdXJG2!tZk?UYE0JeNg|@M<U_fh<X=gU-GLgjr+YBYKT_JM*Cv8->L=^kDcv(QN=!-
zOJ7nqY8Z?}*T%xII7cE@DGC!)GoZZ~3v6+zhVAZnXkU0yEMo{D);bCEglx1DVOF%N
z2=?e?2eO!Gba=qvzTjN18Mg_nPJy`I{K~Uu$5yC<V8ei+tr_arnQ)P-?Q<Ffz)W?X
zzR<G7!8**|6`Ib{5sp<9Esr7EOWNjY@>!?sgqcSbTq>>+<Chx$G?8=K{UQP@o)!3&
zjiywOvts7Vq%~E0?3oa*i<dlJL<e`cQ-!V`Z>N@YvxoE3Imgh|hAwO9&QcF}!2Ql!
zIREkCdhE^RYo(v&CW@=&!)i*c#@V;1e+2;rZfnJ0;fH?x&j_w|S`191=<7%-U^UcS
z2>coC&?=gh%!)TuL>8z=Jw1&LwM~Dn>zz~A9gtS|koR+VpcB<AkI;sp%|IvZ$BX3g
zO(Z~NFb?n_Xsy~R?+=diRdKX_DTWYo_Dffg2~4OJckvuDPLgU5u-(^=DrJb!?nSZ0
z_i6A~EHYK~281IP@>unFKOGm4*O8Fn<z;z>Ojd7*Q(5W+8`b%;>QXoPOes$TNL3VY
zf2A}-aZHRwjkP0M{$vfrj<eeaspUGP=%ay&0E}U&z~R$?rn)}3@`zS~paPk1h3WW(
zOi%q^;L$vy=eQO@6U>LP=SiHcVY$tjs^Qh!?fT(GjO90HK1-y}=$|?Y8*a^Co1J~@
zvAT27B6}Ad6)p)z=U{}|aA<GYubFFD`cmD@MKs;|k0j|zSd)-)uLoyXShN6%AG3Tq
zT>#$;{3{bsaWtGED|KV^O}Uzkvn4)V+_o{;)y#SrlZa5swAJ$)uTt2|wZGFsFa#i(
zHrPpO-XjiWG(Kq4fLGxBI2h!f8LV&EgGk}S>0D&n_o+|bd?GV`+zCjf0@r^1wm!sI
zBD-9N$YOK9!Uu%hq~=@L&^mB85j-Q}gqfzZYtN6?`RbKsIpgV%6}W;t<D9nhxgpxt
zv!8)zso%AW(Za4yhO1I(!i(vT5dMDFlCH^X#BSCq_Gf|s@^Jm9zSStZ|1yIyDwmyn
zg!&2~bO)~6*qr?<=ut`SSJ7t3B_ane;iC8Xc+|kCiSCa%6Nxb<GE-5gOk}4U=q;6E
zNui1m;>ijp^ulx8E@zB)9r~p?GisR$F^v;G1P$$r&TPC3a<K^JOMSK}WSQh*%r879
zmNwh_Lq%V5rn^J~KJ0#xN{?Z|L{X2~CNeA%U)1juDknAB|Kz?9nEp3TTk_}fz=|a|
z-aXZWAH}z%Q4LD{JDjlziQ9&+VDG>0z$8D6%=Qt$9NtMc4KRN9cn05|1^g7vL>ysB
zfs!m|V>2}TkM!s;tkEm(t0b<AkIWQ70~e1DgE|AeDLop(B1$?!IN@PM`*dew(8%~h
zY*-LGM3wznw<|JC%Ji0~N1&NVh4g~Nu?}?O$g_8R2Msm)xmvF9(5pxdyGME*;n>LG
z<NrWjoP$M=F)i|ndm9wioI>?I%Rp48)7Q|6)SdenejuO#Q^KduVZLQR7&%P1f8^cJ
zsb2oOH_c6?WSr#K=4;K2Mm!G|V70F^U+jGglApWrgC05rLsiDt<stL6f&;W;<J2Z!
zK}BK7Kt&{Y<j0o=CN{%}z39&di(T!()i8NO^H6fC#C?zG{|j4Qq*Ut0`)4Dz^43rN
zj-K=^PzhqL0Wnh4h6Jqnb!&vYHuFo&-_{)wG`Wnp#C)#NumogdTa*3b58>EU1{|;D
z;{<c^GBb*|K)kEE^&}xSEMDs_z02=GDiHE-fL8@DdRVSe&#bTmB!@KYj#2$rV7I>D
zf_YAMx7ht<|NhjC{{$5AILAFtHohqF57j1R-kLW`U-WdY36e2}$hobPO5j+=n`$a8
zS&}e`+kh_RKYfM)Zx;ov8p9)Vms(62^ijuAiJ@!dPQ}1OMbhAB26i{13^EN(iRLuu
zV)&D#=AAa*U9r6B>UF2uNUS~pYsH+Y=a%zu=^HILhXyh<4Q{eiNB8~_&hg>3QBu0p
zV?<V-NA!eLVixzG`M!*~tjd(eSx$iv+~|PG>P$BIpNEwE;0)iv=TNZ;Om~mYuiugI
zgML0nJv*YxP7Q+2#+PNP7kIWGJP#_IYX3+CCpSaU<N7|Eha~YAN;fu_8D;<hOhUG+
z2n2<3e7F%zB8!Vk@9U|wY#0$p9N^X%zUW-WK<Z9R0IsJ|?LYlx@g*m0APpj@!*9C<
zzDC)6Ntk+PMusGZ$$|j0`KRuU5Wz+f1UTmiE8}C~GJ{Z}-O7b>96f*)BW_Ft*>k`C
z1x7~onm$UiKvfB>yU-HMsvYB1z*!M_X?uW}7s3tVYgat-z<0e$zjwB4r#rk3;ouc=
zNV0OvK-!pB=@(^P23@&Ysuf*cis<NQHc2m=n%@lyZ8MJ9wz;Z6D7KhbV(m>E)w<{X
zD+$H`<g-^ghDqV4FO;m_)4gcyH_0W8x_a_yj<xL2Y(I@8mSha#Ix=sN>g3w%QWVRb
znVJD3nU%>0tv%4rXKJJ6toIpb%u!N;)wR8meMu*Kavy(Oajt)UYEc&UPc;kQx+Eu5
zc_waBtWG!hLOmK+5wChjkB^YI!GMp$8hx4!VGq3W?J~Aoa1p7&0vDJYAM=<d-nUMP
z@R5|&YIKDPH%@(6Y0lksA`XI{xh^xvh{SeS-S!Q0-5-*}Gq+mEyC;UxYFg&_r*YS-
zJvedf{7j!K2{LUUxW_3sv(vNCS4ne`IwRK>t{>u;+%RgW5{EUE&G!~<*Iz*2&qHdr
zH&>q~@};-~ib4P}ja!KfWWN-gc1`T8oPj`rzu=_%h-b+BH42~`HZa$3#{A>Zwu~>?
zselcHno$LVl0YlW5=zKP-AW|s%C%Z~UzIyM2V1jzm6Xmgl5LC2N0ui%c6r)hp#B0i
zuZQ;j891)s$MxA5I5RsuPAToB!Rxya@=RJ;fG829#Yz_H1AM4TJ%j(#Oj<neFtMAm
zSM=}mWK0mH^myve-#9r(8oT_46|Ek|9U?3xTP-99Jb@V&yUZhd@Ma~udMKK5FMeRh
zu7I6oM@Tr{O)!Tkozllv7N8l-Tw}e%+cLyYU@{o1ODF;?7nR6E31P4EfW6MMUre!?
z>Bh-_<2+;2<wMO^<#y+>phMogz7Rh6BBV|MTfqqtGJ|5&g2?-M)<X5INt^~1`s#<2
za_YOr)M|vXz*exQIhUl>QPDAkAb`8~s%y2Gcq7x#e9XV&3b#-yK6_O3dsLc~-Woj=
g=rQBV>gj=+Q!q?DB5vIUCq!e`*7`ep5dNTexL~Z?mH+?%

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs.v
new file mode 100644
index 0000000000000000000000000000000000000000..961bce0f16545e7e0ed217761d2f712afb8027a1
GIT binary patch
literal 6312
zcmV;Z7+2?u6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?-^*$hyAdN?z0$y!Z=*k0p=
zT6M3MNLENzQb6G>JC;`0EQd`Q_LIf*Y@-v*(gQU!Ya=+%h*Eve7Y$OnxD3CsQY3RP
z6lminW-#)=t>4|&t=DOF*)c4kWz7x&Sn+`wU8_4pVW-TMQEx{V!6J~a2oLUNf5roB
z7r;QX%W^I~)4wmZJ(hk9SxjKt<J;dh$!urPh+VcI2qsdWc8;GH0CT2>O#>t-I&)+U
zTgGNmRk(41YPmKY)w@a*<IwkFDoYX!t|*Y8dj31z#{<3r*$ppy__D?&3`Va6k*c=v
ze=lurzZ#@$Y<W%sh=B8;Z-|_+V!Aj!K>MyF$HeS$NZKJ>85&#X624=s1t6?i@Zv5j
zTwi4Jh~~aX43lDP#(%(cF~WP<jmByilQ4#a4|uXQ0%B;RGX9(?vxYV+F9d&wMmrk!
z?rQ`6xX?hYtNPimZmJ4{!qi8R3$|8KHz`tAX~Da8=Y|>5;j^iQ;L4}&g*$f07OO%<
zWR;**bZ*Mm^{q1z2^~?KJ*#$u>zS$N88`+WF)ltDy;RV!vie@glc}es+q6W9C1`O;
zM;e5cz<KE+tdt<le(tKi0W=7_`D^s6^O)M-KH+0|ITo=%!#tPipqLkfJ0RcI{oj9q
zLs3PT-P*M>_3bv0a&o)Z8d<fryDQQ)W~WwVrNAFu9y9}$yv%C5Bi54Znse7iD{}X0
zmEXNwRU4gfu{dz=T|uy}XzVI#%t(d@2cd>IBC-iU?SL>;{sVO&e~a^W5U4)5CLgES
zkfG?%&H{MVFaEy>pv5&e8S~=axXlW;I#j7WKLDx}3*5TrW|TtW)64{p>P-9IcyUok
z%*}F3DqbX9$$Js|xiE3zz&YTEsXlg!2ndS;#MZksW=5X51s>XAu1QNZ9s3-|r6*L?
zH6MzIyL2^<4IA%j)bPdMSL8w}azzx|vLvGax=~->{1XuwA$4lOUGKeqoK<y|wSP{P
zCXXuQ8Qsn$qQ&v_#s~*-pM>B^hoaCTDA}2k1Ot(D&0<tWsRBwP5h(iVCU*vc+Ejoz
z#tGSIunuXzvkF2mtnDE_njjbZA$!fI)8{-lX>dyokvT<><^5ct@{`95O5ThrPhV=c
zn7cS4kxlBNlqu%kRt$8~LdHHOvr2NYZ+AVy_OD=DO4B}My9g3S`wGsp@0|F8i}`{p
zW1%@W2lr7d4M9&B<19o?X&|HAxZH<?*d}ir`|>0-g2O}kW+QqM9zT?takSh36*TQ7
z{AV3A!uGn})vJ7#`Qi}}hzU8hLAln|^H<%kGV?MZF)=@^V89OCiA{J9Fxa-HWgJr=
zCOMmIs7!wgq|{Ir>DA4pIRf724SjU0RtW0|46S)jFa_t7R$%g|42MbIEpOZf9;J_R
zgnhpcqo9cgBbiZXTft$k1wWvvu{d`JkEJ1(9Q7lcp4dw5Uy-(})u3)YoFiP1+(PZ^
z^50b;3f|1il)cGZYPNH}S(gv!mou#<UV<#!9j~pb>1QzDnqzw+Ir1In9$X}Ki7X)3
z9r{3?cLWVcdAdr7z>ZR{w<pGz-hAqss_FRd`KF!~qz{tp%RKjR^3gxkNrscyv(8y@
z1u_*EH2c>VP*RaCmQXGH1rAk-aw*>}^6KjP!VzFj4a``?sQr}{;4OSBod}>Uxg`Rx
z%(&7^1ycs9Raag04)z`n|4ddiGIXZ>NR9lg0`>E{y_8uW^Ti5Qmnl76N=<%-!Q<FT
za&=Cd<Wl*L=-BCC#slHjsF(#B@Y=0|Yv4-~5d{KSR^OHF`hcafU9L_HN559=TjcA%
zGwVK0+UUhCa<2aTC72^x3wF{#L_ovq-#%_<p>Z*Kd~(Gr#$6_Ss<z6)k?8wK6}1*k
zyOwCyCy6m?z>-D}709Sd?^-kEVC*IEGyq3^QT++{Tk9rrWgz91^a&%UEan1Zg;8+~
zHvYOVFJ^OHiJMS@E@lQ1oq>!_ie?>_ca5fop`+GLIBBog^ZAcaA{VGHEmy580;0(=
z-wl*LT}2$G(GygSW4T$vprkVc_YiOCiA-AOiNwWh?I3mUMRCDkREemL;3z~y8`gJm
zr&MBx*wY$oveTvK(KeN)wb@{MWWlSUYchxG%>qMM!dt&h0=mjU3BxBhl8$Ai0#1K5
zG57j*K{ObR(@b0?C>|CEX~iA$m5V})kHJQ@#9OzGxC?iw<isdvMjl(}u6H325)^L+
z^rkmAb!vT^WIv4RUMynYJ|lbZOHq0RW``D8B)c2@#XN(yLxM0-<fYL~(sTM~0_Tx$
zATkKz_XFuXzm5t_PA%dSzbFrO<+=i%OIavNi7mh%gT~}~c3tN?3?zBrF>+rNTzNqK
zB5a>ZMP2TFgqj$3{H?Y$7*rjHZy%n06*S6$OlmW-se*4;smoT-Y+c6j;4~E;{u-({
zDMBTm@P_5d|2-MH+Yz9kLd6~?uOSc~dJunKDVv1$!!{@0YdRQCJX!mE797-A6-c4e
zvkoK5XnX$}^}%C8#8OZBxgIm&{{9iiJw_}q#D-GcfgXLU<SG~mVjjY=b9Dm#z%8Le
zn00ZsMumGgF3(HS9(U;iN?J*yZ(u2zw6Aa6vRn>>l@}Rue#*(k-jghCy-%2}Zk9~z
z=L2G_PdI%`xzS1X@;rt6c~!04@U&G5LO@L{V)e!ByvJhE>%t5%*nEog=E>>QQA>pJ
zMdY;(oF|Nh#wTz(k3AY6%5#3CU2t-WuWO7<X_BcmGe$zs=0|#)F6*3F-Kd(ZT{P16
zDLbOgPHg&@*KUoaqQ7ZWor-}_DRfbeQQIoA^m$6d-b4CTy_xsyg1~F<nc6bI4l%-d
z^#?dozk5Zuk2hk+n+PE_PhCiS>HpL>;Kiu#R!nWIdpAYUtPt=@e^vlW*@(Z>jVyo&
z2>el_{Q-l5p1fuxCNEdF=yHsot~e^PvRHDxWh3JrJt^i@Pfn%6pw=Fba~QLGFr*(b
z3#-ySK3^<tKw^7)OswZKsCbvZzBFgPAc#F4%HSrnM-yDCc)B)Qj+ld$vcZ2VNHHHW
zBBFv6;8*^E`x?uc1SYtHaF#J=S-{b-&%o~=HCMN&mqN{A;(06a3lEjA%J_`C+U~Gk
zufZ2#zB|^Wa%*C9i8@$#u9@ZQHp5l|`z;JJgujhA8;Al@JC(eOd=J{4)8~(z<niU~
z&`P{+{IV$a3uqAB(9JUA(d=drf)%n69jX)5kT$s$9#)NOHU<2a`9sko{^!2TySxE`
zoyf%{l=H(YXd?y7`*Tq)stJY8t&oA0c<}rsgi>VSs2y*Je)?%LTlgf|G|n#*g~9Rj
zyVoycUYlHnb!PcN>7%*>{=Tv^^c6j!c2e!UokM30FI|0OMJKa8amcz6@Em);ti=bA
zcoSpYp7^w&npYoYvB4cd6=!6N+GJt6v}^UGUU~j}nH%b-+@t4;8B2ftulPOJDOm+5
z=iv6{oaH0erLy{u+<=Uhe()Im!`_n_hA+!)l}=7UN(THvO4I`XOE-;iQB|8efle9?
zuRw(4l=<n&_`vwp2?8jzP$p`l<B50CjCpveplng&&S?oL0^>eZjw3C<Sf{1uNcY>`
z5f8f8V$d6N3#a~0tZEP|#TL*FxDmf%KPIM!>L}Q3m^3v`@`n%C#^?{kzwh+G0835G
z-J(kW{4a1kftI5z`8-`=DAeWU-v&7S;^mdwY9R#jz4#bYH~GoA#CrK8t2WaMbllDi
zTX02Uc44omJYqSGc!d$@@galxpWHfC?(?5Pm~^XwxF68Yt;QDEC?Jq)bJXLVtk;Rx
zZ_Nf{^rV^xq1#^;dk$KvJbHR-3rg*ri!vDIq6-8w9y4X-#?N1`SdS7SOlU@HG1t~_
zjMZ^<>@e&ESDYtK)jab~%Pp5Gd@=le9Gp8Z`Y@s2(V@dk-dfjt>4OkfKTg}|fTfS0
zqpq}ZH$J1kOOcWPQF(r6it!TQYVlD%k96&F{2hY-S6=t$N0|5nrAq>w?#@w+Voi=`
zjYDB2Tw(~A2G`>Xv)q?9>Mc8ZLvEculX<LJjM>Hi#mP=o+Fux4FL;-`H^A!ZU63t9
z!2Uq1V010Ul$JqA&IvNQ*{ArVkdy}w8P_!!t5~cDdvkx~H)({Q)4dI%jx<rLPC>kz
zZ|06^=qo3X)ggmMH6=4A<CM{x5=vkS8+C(3?!EcZ_r`LMC>d>P6Xn7NlIimm>2T!V
zLCeKH9ThPlpal_hIx#I_Y)n?syd#a4EE6d(%ScZ|pf53dT4=<#lmtNCBD-}gmhovR
z`o=skKziTSmfRaGzCM{?%!B{|%H%Gy2;zOe;^Kdi2f5vnPo;Sr=&xh-yz^A`sdKjP
zQU!2V>N{1*$($RNJM0drc<3(?FPci5H)H_=mjh~F4lCj(Y>&>vVVVl?w?ancmdU?7
zK|!128szl5R`1YLygfi3+fs^?iD4BL8q?3}jyO?b&j3HgMcS!-EdT|K9h6ocZj#!d
zpzX>#*lQ|<foJV|EthZwX>7~<^(kN7$}5Y`0r($o^QHUf_=AF=Btb{n{b!Wy!vW26
z-`UTfpFJu%sA4LJN53?U%Ihw3hT_dsD6Kk9H#~#O%nBvR-7b8$o$FiTMD??e2XxDv
zc<z}s?l+7=_4FUBvebQZD0m-WmodK8=&3%(NE6Kprumj5Dv-#hZFmJeOh<icYkwXS
zUYA@JN5c@B3f$oJ0}va{L$$K-jVvKibsH7gaDoD(Mz;YB3mxg}?{v?#6Q8cXpN2B&
zkQ?#1hcGQ?hgjX{uCK~~5iO0dR+Zp!=xpPcm_hgwE?Hhsg5=wMv_=%y2JKmvA_!0o
zw_y(yPHlx5G!<Iub7A7-H~-@FvxZZrxExC3pH=EYG<}IO&ov!=sV~ea6#G{0ZQ0w0
zrylci7gbe|p9DFS>yzW3N#EzzLX?QKxowX~PQTXEB3df_EeUI{-RNlSfLVukkp9j?
z@lX|$;~^7LiF2vT@jIKB*y#wrKthYOGSzmJOI#vK1k-!hGDqNn8ly7&qqHR0%2Bsf
zG3{uRtJCG^F6e*6z^CMC$$k0oK)V4RENTkB!>V1VsK5oC@-$7xDO7E776=9I!s!Px
zfNs5PHv=flCQ9(W8K_|5HV$`3V&FEkF!HA&*MfKd&7-9mc4KI!sObWO<9X@Hjspdr
zy<%M+X(^E4KHUCsyUVQVIqDaMka6eh6uq#ImHvVXnypkqA-m#Z)Il2U>8-c12te;e
zw;AHLd)<y_x(d3Xe;8c+nlepqM(IR>bnDtjc#LwA_I3fbBO|&Y7jrWU9Sp6(3fr-+
zB7-QUrc(+!W1bwk6xsz-WCI_DA<M-CuadlM-m#lF4}sKjAs4{9f+rhw?$oAJg<TtF
z8Heb(F`6Mvh1_%K_gGSFeS2?u?|bzsDd*#*WL*s_AK^E{tC2Xcd4WCKn*JnJKXr#L
z@@dC-kd00KPJq0`IC$$Fh7PFc@+i*GJx*SW>fK%6EOP~f5hCjGEc}r|Dw{^>$$R6i
z;?bOfdcyJew-vigwJnJ?HDpjGPIG4aFClvfj&c~TXyT3HCI)0?$^qVJvS=gfL90ZH
zad@=p^tG9nAsQ(75hFH&^|?89VK3YFyCvkQ+mY7Cd^xs(oI#{q$hHl8DaJ%a9kp$|
zlp>E$Q3YRYNrDalJBGZ~{agQhDrCr<9-g9^j1RxDqaj$y<h4b*${=<|PKf%u0lr7N
z^NcZ9q`dxIkiSz84m-vijrVNFGxFVoKzU_x4Ys|^VuXhU3gR>l$Hp2P&oTDf8(|h=
z*s;p?77Ok7p;9g)%^~Eym<1@8LdRfCa9i<E<Az)X!1qq~qU=n6FE+$!`qRMl?W|he
z|E&lSphci!!B%Nll<vTdUBqM!<`QHrMYqK8?JlT1PDbBuT_5ym|KW;FeL!&0MYQOy
zYXE}svF8+!%VM{gpLXC6zVAEXz-rCw5w~GkW_q-Snq<rIvDBi)_GGlF6^ma?g!Z`a
zT2&VA+}z7o=|^7MQFqPty7SMIP%fLI3)554kb*!&TwJ_fr(E!WN4@O5te86~eTT6i
zDt<dSBbo;g=uswon+Hn$HaToxh(Zj~dB3#pVXEf*CC0?JMRyF*JaMzDo6kvrLw+*o
z+yihUO#krFxSm3?mD7fv-`X2p`w4#%?)CUstYWJlM*OgSoI`<ngfwgb^Udrb^lc!*
zZ~~hlW-|?&WDAu{t+BU9T09oicn4+Bv;!R74#*616p_0xAs^qFrqibmMnU-eSVyIB
zSE||zt|~>u#%B(iE2_Zf-#@T;-VAgrvetbEyQYqg%ukjQz}Wszk+C(jcYt#yW?_pF
z8N?o(?s?}g<qh7`wwgYSLcXdmKxykT{U$TtDrkA%4?U{EME*?bA6~Rd)`UO`)B1rZ
zlP((lG!VN6SvTlKE|vQeQUtRUFkKWb$8*IFABq%*uM*z(6PP_fYF>O;8scvY1WiMe
zyC$#v#NFHZ;g`lyD`L1>%4yb}eQK>IEZ=AmBYGW7-%A%sDdI2ScV+ZQBsJ*6NO5bd
z_fXf+<U5e~>J>=ST{1Lo2Yyn-lgYKAeCN(lH($6`$w^^zWo&Q;4f+^)!S)rXl6%?N
zNWF90u?M(YrlQ6w^{$n$Je&><y}kpqW4oThH#wV9odr9yW9jYKti*680T^It&20@{
z6#@KX;r_#?Wa0dYYF8Vio5#erH)+=o()VAYGy8~Ho*2NvvA4gBo4xMeVjCUWAp*_w
zx|WX3`ZYzwwk8jk{SF7CUTsM!u<DMb3?<)WiYu@F9jP5tl=Y4A<U7vXhgJ*k1-UD>
z{(SHz#PFPA4wU7ISO5JlSvq=vkf}pT9TJd(N^@vwiV(1(bS8<>Yi&5FkQpt8StbF*
z1n+IZ9g+VW)s4@BrY?PIyl`bq&nZ|E(rI5{g#zY00f8yQY->pGQu<!_qMJ+VhVVm8
z%NXess&MXQ#o%Rs^c{KliG4oB;u1bu=Amn0D`jk_DE@^n)#ZeqR5f^V>FRT@-->w$
zI*wp;1l|xzrd*-Igpjdd2u|wqhwDdU=tsLk99jxF&z>bjJDJj-tgaU$Z<_ri)ZLmE
z+-3yb3UQ%>!DvCmPTEiF!ibwR&HCOK59G)=I!7P}=;vgsO?4?<8law~do`*o;6ZS$
z8)m2Tm3;o;6q5m?UNkzVyNa%(?8bNo@;ec(pzdbDR+smBrHiw`mP=D7KYyUs@Xi?M
zu(e^T-<n#xkYA&8CX;s1H8?-RbCvMYlUs>@A=rbX5Jg3vN20$;?vjt>gTC!zmkcB#
zBZ16j(`MDfdze+nEjO3y$#VHiy3xr+B|Zp2J$M6rfwfGuMZIJMehoODj!#wv3rwd#
z4eFKScBgkt_z;-Ao@*eyf4h3vC62U<e7G)^_M)wQzhM|dGBGxnf2I0*Nfc`6jepao
zAz}r245^2xCNQRg944)m`7D8IAHW9@W3E=1PuXaT5DO-HM5k)C*N6(%R3GuF`JA<m
zY;nIo&E4X|Or6Na9@VAnMc3<Y1pOn~Awq;^f-6tM5!$)GHfKw#WHuQ^?!7o5pw>Rb
ze!5g__LjzC*Hr29Y>BbZQ^%!=s$lIQLN;LYe+saK*VF*7{O?ZzryVHRsuK+7$RLmw
zZ?#f;kW(T+vWV#P(e<Z^7zrwc+I$d1mj0_k7lJPl1~DGeOXHPaIWC<-QAKrCapO$c
zC)zQ6uUY<}$%p~c4uFm#T;1VOqj5w}Ngbv#<5TL8zmh`K?V-nZHJ;Qfa5pOG8CRqT
ecS&jCTz%8FBI_Bm@bu9&Mq3xK>Rv=4eHP@B#yrvh

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs_strx_gx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_pcs_strx_gx.v
new file mode 100644
index 0000000000000000000000000000000000000000..d440209565b502e15214baa3553ed27c3490466e
GIT binary patch
literal 6504
zcmV-u8JFgZ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}SamAHz}R~fEK
zXIXgq^Ug8WHO@ERS=g>BWw7l#Iun!X@qy@3GSt3|Ft>Va1bhh2oYr{bViKCJw-j+l
zhz=w6CHMG6xa&>P1i#~@X}SjZu~!iUe~a=sBs`h1<k<kiv+{p5#Xd$UM^Jh;ZHA`i
zJ|}<f@*fsG+Ti+XV(i-P1=V$a3s5bvG7SGGfydq*Pq6Hx7%5?pgZ&fV;ThxkvdO_U
zqUZN%vy+^A!b+*6c*W;shH|p^f&NaSEUE*dMU0zX6R<6nDiJavF@&2}XQqQ5TtkcQ
zwI`izNzod}E&=ol%4W$2|HG2p&+8m?Nb((jXv=1&71ASL0xD8I-%iPbv@5fKU=R)v
z#kI<o8Vuv4$l4rgqOL_TdH8j=AW8sGNsweI2*?ZPTnx5ERs(a7QhPLVT&<O&;gi=f
zIJAKQ$Sxzf_`J}co?6mQ2{SC1NajaUXtSWzQH?H(|BE9b#Sk-hE&?Turft4#p`pNH
z<E)x2|A&y{ADuap%og*+NW1+b<yFsNJ6biynR@LBn#&@y#o>(>XuoaqXU9oB*zp;j
z(oFf`z~TM=yZW1D$e@$20hvHOF4BT-)^s32Qo=wbEF-8oivtNrEPpGkL`%MPlMd_b
zcP*v=Xu6YHX7^o*gciqR?41^e;%c_KgF=Y^$0z~)$;fw-q(rVCDo>nC4kj|+-#$6v
z0`hp#t>WYN`n<H@TN%8plsP;wmW1daK41f|YVyV}!G^40^|38$2=gMx#+FNFE(#XB
zgR@8R<@OEEZ)DbeNFkcn*APUu&l>k8QYP5RUn>DEUl>TZEc9K%mnL2D9fl<4CgWQi
z&_k2KD=zWS-u5q^p;^IKh;B-p%QN|fpff+}R0NdH9!5dlI<jq`LtzzoFJO4#uOiLr
zBZ<Av{B1LUe+5`Age&R0mC0kckQim)nY#$&A2nrqGq^~&?C^fL>KmZM(yo8iagG_@
zPFh4R)`b7@294q-be&UZUgZZIxrS3jy8V2TjHxPnS6vO0-*jk2r<K5aEVgxfJQGe6
z1NxDdrVyV%eJ&j2;vnF584Ypg_lJV>eDn(>KEh6v8`CUs-x<pPBPbO164xc^2lO=F
zee29?@97>3sv=PBwU0{CKs^%Ze~5OI#ZCmY^$(^q2hO#BlKWM|#B8@R@o_skD0f0<
z<GxK{-VKA2y%auU#zU)R5AoQtp6_^aXJ#-5T)^G(ge*wmo=PFju`j7OvZAUk7-}oZ
z5fxbM-<Qa-v6%5&sM<#HEFn&GD)R>nHhkQKQIkO-!YwIR8h#105<dN;3lVj==DG};
z#@@>(=xD#n{9MXI8nl8GS_T821!+lhZMqH?ba=BOV@>TGGCy0-pEZ0YN7atPhm#S>
zK3?%K%_n9>nBYTDjC#yVUfb>A(##Fik+5~?b2B#t>L-O}lyN0E%&E?k>}th$8wN02
z%&d0V%#%Y&u(u1FmN-m@{ZGT(ncTO}KdKI}YCHmB0sk^v6iRVU(T|83)6aI2$l)uf
zE#KSO0DQ{rj>VUMPv(AtP6xTaj&-dA?I4=+M-(5HA63AR-{a@GoFUKQr((8-7CAq_
z{MBY1PH3Zeus;fIz+~|4p2(7%5GK+CO0=!Ta5D$2?YyVBrL~Myv{j2Gh~ghhtK%F-
zxz>v=D-<_ej=dpv@_}L2X1Crt80dw&_6c(9Ezz^*s}GIO+p2CJg|$!v4YHSN6dEEp
zru-#-8f=iRw5XK|^RQb{L%o3NK)>w=nDmvG6^9U|Mf^tke`tW{AWuVbMdLYlsc`XI
zEmLYbt2+tH9stPGn<yU_8lLc00jY9UIoKO+X;<BM#m|gwz&jU(V>EUtaSTuyGWI0v
zW;!#G$=IFWyhjxfu3BDD`0Wj<<R121qeoRXA@1y(??L#Lf^39f;RfD7=i}9Ekk4yJ
z<#u(Szh6)Nng5@TnwGp94oI8;oVf2mGxcdvT4;9_l%b<u-Wn*9;Yg&Y(Re5D$oEMw
zVz((jYs`d?759Huv5+4e&7d>%Nex*%K{k8dCSNcMZ2=^~H@*yBFDBAvpxw4x4}lke
z*f~ys8mgmOTJ~f6S;As)33z%NxqB3A#l0nS8kfpvG9oiyrc8q48?oqfzDL{)LMOW4
zDpgJTW(Jfh@FZn^3*|SGvAmyo28yv`T*t+&I`Z5g_1KPSru(CF^Z`CUH)O+Ulyy6?
zqo<Xry@+Jxs3Fv#PH~zzxy@<AVmf5Eq_^zCY1Tfh>N>7FR|Kx82`aV=rY$QX^n!=q
zK7U_V+XPBchPp;uU-!+PTgJoE<?vp{7eOUOv;47{h=aMg=U(`SzifyoPR{?BeWmYg
zCy7M+qX(|Y4e~4j8!{20^({nuX(W%}6w@W33A&}2;x%cno$e9y365d0#WVy?T)Y`2
z5)I#?*@ctAZH1};9OS#9CE`w#U{&_mWwYX$a%(zD5!Act&t0h<Z;w-m46Xd6C+Yyo
z51l31DIu_uJ`mYZiERt2P(X(~_wG?|`npz`STl8PJl_DkVibR<5@=$38>A7<sTb|T
zSr?~#*vmiR=4f}Kw3~ufV7x>B+2{4dNOjn%D4fdOS>EY%=4M2<iIe~^|Kf;ed!nHN
zCNk=1=Z&EGWToYx4Dov){I6^0<@w+Ub7x5g^t{ZH*g7rGELhts?o^TC8c9P%p&G1+
z<uL;FtgM)u9Tpc$0+tj)^S5==5943?eup{t(V#|2XD>p3eu*>e1aYoAXJu|X=QxNj
zuOvtF$cy<jpyeWD_Ac!^Lp0FSiwemRe>HqeErV>DMdnw>>?Y5)bI1GGMbHE*0dRg+
zVXshRGheNacgZFkY3}bc5`4B76A^un{2w4t3wlz85d136gG3$E0Tx+)<G~~m&4?7a
zXu!NkeIP`Btq>5OYz&BO6X_{ZVnO9tF02^VM~wur$#rOQy+G=D|B^F3MCxbC<WHK(
zbmY`FN(*KtpdLqd`Gz;}-(L&V3NUI?UC8XU4@Ty}>Eh6%+`se;eaiQfZ@T_PnLJ{q
z1#=UZWgOddrM_XuKglYY13etSqv##oqcs7x^qzLgc?p}~RM6<c*Xv;g20l`+QDcYv
zFOsh~=rCGw*Co$GiJM@G9jQ2+zkVZgFugu)ZvPL)+C}TT`<iS^)9n7UZ6Mt}RM%1<
z)D!styLdtv%Py&B(D{S_Oq`E2@~HLVC;p#fes9Y`l@qM8`-Af^zs`E!SVeBSjwJQ(
z|BS)owGVrI{@yl&iclugh%`qqR}-e$Jwzw&O#7Drk$Fnh6=r2<9xmg=3WyX5Pw73h
zJF-w;DNh$w`bv$KZ6+0X$U1|6RrdYbu&!*q12z0-1-5;Q{v+qnBFB1;eH_{j;S9GY
z&m}}hDW$o|WwXfc!jNYGX(uNh8JT{X;iK^}Gm|H+3oOO9SLb{#7Q{&fg0P%{twm#B
zr+WrX*9V!i4H9rFE1E3yh-1*!QKLu$AmH^ip%(u#EWqjsgdBpgq>i*U^Q6cS7lX&w
z;(KS{P2miIomWf+8E*{qdFN!QJ+ZFhd1wI(7eNKcy>Opy+JLGvdhO~AbZ8ocS((~o
zs6hl7DDx0<d>n*$*Tz~q{iJYu)$i3IrcHWM^8SbVcB6LO8U|5s7GDGJ=}Wqin~oL^
zP4Z%raoy+;@SafRs?Mc!pkkvlnd^heCwKdPOn^RZ6{{ZjW=zOkP}#cijN^cdf&o@w
z4{8x5WuSKNsCJO-^~6#p2w{Dteq+Pl?6NOm{^JC5DWxt-`OtLC$5%R!=THC&WF5`|
znbnPlQ@{&ZMY}63gq0H1t;RW`NEVtojvWvL{@?g=a)^-ou1wYqz1qs@bVd=S<PE2b
zbOu*ot=uxhuyG)GfTy4XDP`RU+VMAJ17_N*p)vB~piOSjRz0o@JST2dxRk4=P#?4L
z%d%1IES$uy^~>)>@S|&OV3#u~)hi~iL8nKjJ99rQ7g6gY<Bi9%RVB@RE}``ZRG)O{
z(+FItjh&GN%m;{_dsJuCo^dh>#-;rGn8dTL_N0@n1FdSB9_n=wMMmzmPWf36r^6nt
z4TUe36U4bMIAc!(HR7xH7j-dyg3CnT6@7`9YtG*rnyIlS{YQk2jIE3r`eHM$NHqPo
z@crGW0yz+H9-Z7YX~<U&$G?c8U)}uDDIHRNMsZk1aKUxje>VUEGK5-GG2T1~W$Ybn
zv@0WBL1pyLlUF#j>D`$*flt*2L3_=^6iC9OySh$Q%kkCGpG-=RCh-S3uaNVMIJH7G
zv3)4Z0aqO3Rdr^;(_CM32#Y{K-}4SVRUb#@89S4<cLjV>p6RUb4gu%3=w{=xi3+<q
z046<@{V)F;C;3-l(5}8*Md4G%j~GTD;QNn3^aE*XVTrV&={Mx{^;H>CCZ3|kTFpr1
z4cCJyylvch5Oj>tJz?58X$dMRYBOTPghGh<Lv1cW0D)>VKa0j;`%X6t&nGirEy7Ie
zIM%of&6+Zd_LN8$^D(W4J1)q9I9I7l#qAEN=RiN2`@859+TVhB8jDloirHK#k{=^*
zawVuUE$723GROP*DnQ@si-^HMKwk^=WGx@K=!s6kB-B8u*Rrmialo9RBM=sK_c2D@
zq~nFdnFH8qqMT9{8vFK%!+y56yM^G<F87J;YcKzrq4ZtJhB84Z6EK64O+Un>h5aJ9
z>gX_-X=+vMP!!XqPmQ2KfoxXqLveTp9)RcnfAT1EI*Xpl_E*V<zvNe6hRGshO$l$2
zmIB<orel4>I3G?&?TqF2FkqwGSoO-hCB$*$mE~KTVCesVKIwT`svD$1JtlVxREe_h
z>*U#*#kws;#0oF;wv*Y2%;>@j`q$Io!M90trLhJ$7Jd5Bq+%0mh2d&yDs_p$J(Akk
z;vx<XJOLK|Sbh&6Vj2pn`SsxatNeu_wG%$jD2oEoJuXV<NKg7jAIk9F*Y_RLjH02~
zfnS<O+WK-7QMnfjKIs;cgO$=~PsSJ2o(;t^6G5DA*mP&2Cv)?cJ^tIp#mIf;5BE)Q
zd55wddkDWsMIP=!?3Z$*t=2d2$8u>~jc{`l;ESfhDSahH5*RR>>Kx4Eq}Q;u4Dnz2
zefHf(f8T#^U)xm;j<&qI@M4|{U!9_I7#ef<3f>d@BU`UK(|@`{JN{%mEK#r{q3Q*W
zD&b$P&T<w!T?ho&X%f$^;N=y|Ve<9+f_vFbOzyHN!>C_LCkfjAo40*7B^_KKeQn}3
zQRFc2@*k~)qRsj$OZ`-z;|!!;VgpOHX4Fwzx4Mw--WQ5m{GIeZ)S9AMMJog*Jd)PE
z9e6?sI_Z;mCCpK=W{GaV@2U=61~WH%Zx0MJae?6!;}3ms8eN9LL95=AxWTLG4(LCv
z8z9BbOnwi<U|R?fAqjJerC`K=-5Dli^C1D8R$wk6x-y`ZIh;f)zA7nus(1~Ur3o#b
zbA3WMDB^jgva>rBjygI1o9I5<zZo9is&wXArl$@Bf5?MvUYHA!m4`@zsY3xP(*@3X
z(@E+wjTFGa(DS#a*b5YFTi0ene;LGT62gcGPGr6m_|5~=X=6Ce7LpL$Gzl9i7o12D
z3ul3hk731oWg?IfiE?+EchX@2V>2zy&9<WEo%^#<PK33<qWj^4Qtgy44H>@8a#Nmk
zR`pt|d-=~tqDYE4=A<w=T_GDgZl%_wu0exgdCC45{D*9PJ=B>KL(6(nFU4U6K5lw_
zShR*Esww^PndsNaiPOi!`E&~^U-cIcrNKmtotJ27&BBdmD!j+aH-s$U)?RF<+0Pn;
z<Jhm+R)#4I+&s|pMdS@BmI!CGQrQ{a><gvKYF0ZqTRMHSv7MBbaiqA=XQFb+5m67&
zf&g5o&dr{~2mj9n*jp<{;I8e-wT&9+n8S7(-?>V{N+~4^)AZYp!o5(jJ7XsL9viB)
z+DdNXmo__}M(+RBLsif2M&B=~3@Zr5FP+P?{{UqRd2kflSy-REO=p0fISXA@OQ#x-
zo%*`s{2qCs6lpjIR~HCvu{u2sBCZxc5TT`NQ<14}!2SED97cnq-ZInDY)NavP&pWk
zf%8m|5^~2Als58(T$rwJ7>hrRcgbUo%A6bK!wP&FoRU)(;ma}?Rp<_SDkFpPi*+QM
z{AU>ak001$%YmJ-dPDT&o<A5qR(fw*;Utn2Gaw+L94v@EE)14|#Lw4kC7!+u_HT(1
z5(VBo%&#T&?Jr8$xfFYE?qR|6e8RosPKluVSrCP<E?Zah$$oYPQtQL~F4*eDMD^yr
zSnw>oyFJmmsunZXz3T>XCxGw*UPOItW0_bmDsp(qckrR25l|?Nhtuk|`+Rl?EF1|X
z^X`C%8d<g3_$_Jo<B-_-04at{P#<A^g6zFTlGmvK#MImL2LQHm01S;aza21(?s9Fx
zpvs|oEwKpNoO<Ml`9|AkGG(fcm+6(%pti+iop|vCnka6nbcIAgMyBu9Hc!b|f;VJ7
zGwAHvWfn{zf+^0B-YNvP&I2-dxGlgBWmp$xm1Z9zsbbRA?L&M%@kt0$v{4Imc>N?@
zO-<_KziNr#d!__-XeNso0zv3GOjtd3FDLqts$=f5Tk~PG1LcefD*~*Pl{b0}87jK^
zDh#O5q6!*KNT*ZG*u*y`ZLeAb)Ynh)ou)hcPOmtx;%azfeGua;MvI#!eE-uXvT~=z
zT#-3t7XaXRC|xvW*2E18nl4{o{lZOq@VJe-*VC#W`a}#v*lum>5L|4pGbVa&{Xi%X
zY%t49-N9@oq?>t<ddbaNp&A2)3-X1MR&FgiOypuiOt7I^#B=%Hf#qnFw=7&N33*4g
zmAUpjH1OG3hHsR9FsM+|Ifg`%7c+iiwRe{nB_!8&M8oy)-!I5q7q%^<Zwoc;7#s-#
zSe&P<fdbE-vZo#I(pNGrR<m5`>rn>_3H?m9cNP}cO7Xswx8mNQnIkR#>)raTKK8s1
z+UZ~|`M}N>3&y3kFfh>|LFM8L_y6`J5K(XA6Et+b<pRPIK(!R9wO2jTKkM(2q?oh&
zjsrP1&Tbi_6^=Wb0LYc<KV^duLxi>XF(Dulu`Hd7c#X9f$6JFJ5wAy8g3DXV+hQo=
zhW?i_-g_n@8Bf~$Cr3r{hkMLVu9uZ5_g6=dt9e_;S%@n{xkoaR2UY*%7ekP`PeY`}
zUek{rJ(8Pjhx&`(x;&^$E~?<+8Ngu>78jm7#>3eD!UuG^|FY&;dAF?Gu<Cp3=M;x3
zjZe`|^0LqOKL=v9B{hE)8~d3l=>R~7{ek!UJ@YHA3;m(y<CefYCx1wsNC<3l=4F)0
zStar>-|F~BVX2XVVnFc9<RG9FcR&XFz9MhX#e&*an3i!j|L`T<SN|sYCo9tMW-TeW
z4)$?klHDgS|I8d^v@G=-U*`G}J-MNFA9*6<?pXz5I`^Y8cD3Wr)0XZ8_gChd+Ul8V
z!xMZ7^0zM$nDzJ$4qlb;Y|K3BehX`Znsc6|^}Xu%;rcd{zTi>WvsU|I=7o)o{A8jP
zn#u`WiMTYZ`=Kk%c{nTix;uJ2`;B*p95X*F7Zs#+woxgx1kRx(O#UM45}@{-AI5@g
z!-%4O_}eX7lg^#4u$2)X2lu!z)V5a|r^q=XOAnneyyYrh%!~g(2`O2D62J3jO5?@|
zJQNhc3cbZyA{Kj%65C2P4X>1G(#8XJ8>##XECL4r^>XsVKL<bn+kSAWgKmP)cZe<x
z<o4s(w(Uy!{I*yfRVR4Enx1Vj!7GfkCs&j^*yBQ*o^FLGue#SPMvW;JQC=Jz2V+K?
zw^9V7At=0E7|1WD(`p>5HGbLdX5-HVreEtxAK-u%Y+7exkW0`$ot=HrC#5q6?FC5K
zf)SbL@m`C@hwzhDQ&iObb3M76EJEn3Rm4={=QL$(yYm-~#`BqAl&3ufLJ9Je-JIS<
zW_2%pv8eDI*hF9(<$>A+lmRFPby-=IL;bqN)`+UGd0!AO(5_glGmI!EBb<3iNC0FE
zNlaI+1p0PdpqS0<G27ObiyshTblLmC>|)ZUXj(Gl(*lZ|!#jUzmmoJvkuYx7I^k3}
OOug20Jv!R0BcDvoiJF)I

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx.v
new file mode 100644
index 0000000000000000000000000000000000000000..61594f772406cce3586dc96a50207d35f19b26e1
GIT binary patch
literal 5752
zcmV-;7KiDJ6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?-*bn7(ruAHjTisx|qk&peK
zSq}c`aY_8PeFne-MNe1Tx1kJr+I!|}GuUDfn>8|SklQ9D(}zf=F^+yZdu-}?K{~=@
z7%UJ}L1=6hlyp0z3;@KB7#Zqzl2+Ab2`4@_OvBC$lW_ORrcV~gxQb6i1=zr4f0|V%
zY}IcR&2D}iDt<brG;>2amYMP>IGSv=Yt)j72Yani1e0ow=d^z-h98l)%>si)Hj^)r
zJGh%rdxAX7iPO0d@RKQ5a-NgTmMLMZIa;{NI_)jj*IDAfHPpIwBu_Ca2>7i1UTSi!
z&z#Q#+0%we4>e=&67g^Nlbsbedpv~^UkGD`DX5GZQTo;rER=sRk@RI@-AMUE>+{#n
zxW2ObYfJ%nUF+S76lal0HT4k<GuZbS*=mP;!frGmZic7A(J}{ZxFQv(S{|fDfCs2}
zj!gE6R}C9;a*==5bD~CL&nYDJts|Hw&u0SzFw=o53mgIT(WFhQA1LW6BWZzQ9f6QD
z=gch90NvJ8Id%(*3LAQ#BsVjENU0|P&vkc!c|j~ZI2Cmut<AOF*loTjca8{LkA2QQ
z^1!@-PiieKS^Fry`pbaV9{-WtQQ|9X&E5&|<Y|4M%qrl3ivn8JR+HoNwRU(7VOMu!
zp{-Oz^>!tTJh>cD9|Tc|0%NR(PBoO)J(%o0PpJtx^0t3(w2FiF7X3^qHw#4@dgZ=z
zA}_p81&!hrQX>X%H6N!!hwSt--Lcj)R={K(4R=Y#pCP@z8OH@YZh<wXixr<vxuILr
z<VpPfNg@dIErbmDoRgX|z|P`4ekO^B{z2iLgo5_BS0?8g0To#&e)R4n*KyaV2g`Dp
zTyHdTEV$~)!b6$Wpu0I84PT*xq*~z3hLwcD_(g00)m2_cGEu-r`g7S{KgIW#bdTTB
zT{1M+>n1_tdwZs_>X5XT6s$^aO5ZJ#O^o{ZIWk>hl1EBK=}14>yp){y!7p!OA37rm
z%77^BXwy<zr?E}@Ovqyva6rb7PWq=rnqTL{a>SM38Y||Wcjd<3@$olM%#ReQ%q2AV
z(GxHwgvS*s$F&CHcWeZnW=(!|@H3*4n#AUgZ##j3ndBMgRpJeDQJr0)5cEz?F)|>X
zFr0n!4KFi;PV`S9sbq4{nFwTCly+^L<9-FmOW`BUNuRVktUnN<{xoY|q0;=YcfhSN
z-C5t_o%;an3^XPuVDc?Gw0!|y^_Tlc);2NJX{(6gr$#!r@jnxG=6gAP_zEkVKtbzO
zfqUQN^Kfz(1=Rx5i2l9b)~*J|@x>9Cx|ZYZcqqvwOn4d`Pw&MvEikjd-fVx88AMjR
zHUF6VnUkDM_)1KB8O(9YeXBvK*wjql3!p5#uAw6mu#`&<Uv&_Q9Ph$E=1-J?gD{>L
zMhn&EV~?eMe;@_q6xYPGdZ7E52;e<G3bK<=O>`1qP#Yhq%D*-Q@xUkF_n3`v3F~g^
z$VlTUb{p(7V}Eu>F3-iV!+Af5(<x@Tu%r{deNkG9ECfL4rf0T{^bch>P~&+*f|>6M
zacNm720UyDajW{6*XTI9h9)S}?Tk*dH^nb1y|)1Cbylk=YL97@aX(`Pn(9e<j8dR1
z1K946uUu<+r7H?5WKY--pzzKN`rczLZvZ>`1tw&dEB5n9cxm{yM$a#$?M^{5c{X}`
zQu~w>QO`%m^>C{mnQbazhybChm?1hPaQNmx22jQNg_VM+3o`a=dL!NPLI>yxa<Sz$
zKc%ur0?VDZcTH(TU;V1_Yqt$7z~Lh<4A8^m1z<xOB9kZ#IoHdzgEoR&;8uUmg9-e~
zC>_>9VuW}NmfT6w!WSmQQqHc>i?_v9qXlyw!_f&>$zi}Z5g%66;Px^m+55n;eYgzB
zS2~m0>jb&tXgCxk{v<&cBs`LJ>E<bu&s-0~v$0)l(#FQG2UO$`st2%5#_3+C@*fos
zU<f@ikH!LP$Nu{I_y~8gR4+}{38BiN^&#@_-0YcAIFv?r0jpmY4d<4_XR35VxD(bn
z#ZQJ18cJ!jt0kB<D3@nh@*aDbSHm-HK(N;!QZDf>%fD=jt#B4S8T|2GqLWSbqcrCm
zpVHuflfz2QGAzbynH1XjG7HwVYjEpsVVHndw(bY|Ba%b+h|}?=h2n-Y4<Adp{HT}c
z$DYj#M_zcA+;~l&)EO)<SR8BwPxA+UP3G8s9{8NTKpQfkRU3;s<3`j8ip)=0`CXJ;
z<{#hvRl3q`u^X%szz5+PUMk|g?$m;>DQXt31ewZzPx7Al9g*-I1aM7YN7tq|b5xwg
z?py_fEeXm}XvcXcXC8aZJMo1|)7~c;ew!TP_^oCt4#|E{e1upMIn7#J8R+KNwdBOo
zBr|v_{BLZlDTkOs4-A91&K6OS0qFC1{>nb3BVY+QWrvnL)+YJetU9<Ieo+&=;rg<r
ziXd^(>~k*JyZ!#R4vCW_vr`0QggW0bh=jX{lJBWs0?)*t<3nkOo&yA9mEua+;d>_x
zCdRdfc(0KMQ!f;#L=R}I5uIfEf8`=a&<0}dW3)y~;$S!@I71NPrmhm!BdEY;=1-_e
z*FyDZ%W8esB?SKlpBX1OPxwA`4muh<>MDz9+EKc(*^xm7Qc_J<S&qQi1zDw6d_&G%
zLz|Qfk)BG7mSsxE0DQu$Z=|!Hll$b_Yn2<yKuMTLFDL5keEtDBfI(dHYN?3&ZN*~f
z%-6=dmUxz4iRpft7s0jgKIxWlJ^qcg6}3bwmEBvm{xX$-1L~1Hp@a0D{Vcn=@1Ti@
zD3yg-T>v;MwB^g1DU6c1(%f&~z|Neu?Bl7jwZpXj$JrI;YV{Y;*P<e8zLviXLc4k2
zReuijBf*~L%apvei!}3OTt*yyl_EtF!$cDI6L!5Dk<{*n72LA=!3}a%9g;QpsFjKN
z3qV6oTx`MkUz;n#jHX7em3_p2+kk)~-a=TL_+Nzm2|++2IhD+bTZ{V3Hv7#~W_(Vx
z$Qu$G42pd?ohR}qAfq&<NKEF)>{FNaj@3@Z1Vb;b$|$x+A;9r=EI1}NipN10(S~(g
zCZ!FA4H=RR9l?`H1RyqYar256RmJ#e&_>PJBS6v4sF9jV*Jag(<sV>L`h_U^%hfxq
zE~RIHB7Z8(Je~9RBbqFB4`@*?wBtO<u^}c0?wdojR4C*L*UlHr<zC+PA%m>a>xVU2
z!ifX!M0roqIk73&Uscx}nj;lvdPbkD0VpFhYMH^?uY6HX6cAFNpEzgHF4QfJV2|;+
z!fepgDWAYM&Fv2u8I>3VCH@d~(ro7ki(Sq~-R=UWnjI0qM6|+rBYAa?epNpxnCd9`
zyFc4H@qx$NK?L?3mGET1+iHop4;L=me}M_|r8{kdvU{QbX^G7C%Q>*FS;nPjgb~a(
zP^(GN?YFj31MFRmCRh>t)2E)+$KX%IL#}5Vj-t5EeWJm8Ox_E+ei^=$Qd&f1`!JvS
zqUYwAPh2-411a*cXc?!%&&YbcvxVx$R9rrMNoh#SM8RH*d==qBLNZIDCgAKsi`VD9
ze$61=qNmY#x<G9gvn<ULd(Y-?qKtNQMqYoyNruO51?4yoRP3kat4q1%(HSg`A8w?p
zYKKZrA2`2=>7<suqR}mbW4<T5Oxsd_FnkNALqu#$4PsjJc4n0puTEgX_LO`#(+Fu*
zkte^vQV91oT-O12lkJcD<N+TladTo$|7N8NO_beF|H@Bjc-s+UhH}=-jAH|*JPEBx
zAt&kF<{?F%0JiuHjt4cjW-<v2%0W?)S;%unwyJ}9k!&3y)Se4}LB<eC18xF3VF78!
zY^3jI_vX9XXpOP|)`JVnMBaCp5qRe=eD*0*@W?7f9)yB37Wm@+-7vr3);$SEm-5&6
zA}OlNr;MM^C467T#q~+*o5x-3oU0CsHgaNw@jGYdbI1a~9>a|z21rQ-Mei~Zlb0*2
zJXvfP(qF?5&#$un>LG&IN%eo&MY5~quYcGd*(xgXCkxtw_7qX`dan8G7<Bq4eEFMS
z?UOv)hi;ZA^c%(Ke^JS%sswj=?=F(~EVVctn%ls$dIS1v?s<9Tl_|aWp3>SAVr$2M
zC|&zfNeBXe6l{ZJlG{!ypj1Z70#X}+=watYeQATxoBgrEfW_1aYm-*wpSH>R#s?8P
zh;#!NhuJv3>=p8jaaGYfZ26s{LQB5!)ta2|XAZ{NSIJ&vlyHG;xwGAnWS|XV)3Uxt
zW`L9TT@da!@K!)!l458*fHkOC)@aM78Xe_b&{YcVa^8pMQThs>e&6l4wz45@{vwUs
zsH*gas&#Y&P-O}s-+Qtsk*EE#43@&LCJn||^(yn_++U==3*!2-@XRlsQ9}1v3hsB9
zqdgKt{~}3r0SFu>o}`P=*YDV@OMpK#z_%bNFq=E@2$u58rB_DC%`t@28Qkx=%U?oZ
zXgmk5t3@Kuw#5ayxh_&QTtIsvg%x<D;At&1I1wv7F0lZ+VtggK6jJAQ+RU%CJ~1X(
z{ES+mtQ}N7KFTLkhL#z^zmjj2rhv4cpw(Ga&-pSZ<q#q3<U1$rW`;1Ch$y*FJZxEd
zezzk`OWL}&Z=SBRU99R;{-`=6u$R{FT~#1$qDn}HY-Do$4YwEOW`@CW+VVX$hPzHh
zmGHWcWDTP(4Qb&S!XI{DQu;+mpZQ0)1VOH1;bM7kOL}?~9tgfDZDuV^mZtqJ`clam
zI~NRZ^(Tu_b0&vEER^9Mve7a;^bp6p)n#lh$bkp}$>BM2RG84nO9Flfn9IHt`Ha|%
zhJGNXPh(Hai!2^MF?`37#I@Xyl5X?^&_w#+w<A}<g2bTyQNtL8cu(gUT8s~z(|vd=
zzdq-43n~*`7YN0VKJPpY)fIQI45M73O%0p`_OgA#t6jiTzE4RUV~2fCf2zP^ne?S!
z75EwUQaB9ZNsVPpWO6kZ6P(NI9JLE1U=^(Hb3E?uhZR`hETW9o4L8Z3q4nva9c;cW
zQi|Chx@Ty|O~amHH{XIL|2QINB_#dMJ4-Un?!sMqt9Uni%M$LiFLRZvpxa|jOPBeU
zX@^FzU{OGhvJCssZ7}PEgoUO@uGIwO*HctizaZJ-Z15~GBJkJuSOTtScAmVFj&>~i
zLp8B}djgv#9LR4BABjGguOvLXL%wlO&s;OOY{P0$qxjGDe}-gDP{vwKhYE@$tx@x#
zyFjZwkW6r-yVCB-39vker(uO@f~gklk4)=GsVP!{vAsWNooY@y4dcFYQ0pv|?_&`V
z^<r6|E_6Ym<5{YZE_^wJQaDP9|Hg{Q>f$6N!Wru7Jz}p@lh6TAKQ-229+(@^<mMSQ
znoIuE|C_ASpDd4LK>50lv|S~Ci2U%kS0(_(WOAE4IBc1T%29noXmY%NnWvP!<7Y8W
z@Iex7^Qm70WxfpP^Ps~IYt#Jg<H*jwHj0{GFNm952+1@*^e9WEE2@&@DhWJJ&z*ZM
zN%}J&kr9Z0n%jGW&vDZ@h=(>}?(p^Xiq&hj`mdtwqwm4Ev4_IG_kec`KWUa3>sFHV
z8J8h2x2NqdpE9hRt}^oA0GQi0B!NhbZ#<jL9ggx8;8WGMa+vM|bMn-66Cg8yoo<VW
zg^-QbcIhfX&lOzi1@a$$M68m(8sWi71gEDly>CJ?>;GrcfIuP|IW<SvqOA(tl25(m
zlFt#vKGRk)@7vjbXYc{(aV%B)wGX6|KQ5?su6zWc*B68B<q`3$p!1?|3ArOvB7-yK
zR-5(nn~}?Stobd8awh=5moc3D7Q?3{t+E+69?1XPOq4mM+%*}*3@Y4mtRE9@L#GLS
zB`N%|e32OBe!79fycz$3!;(H&at@AZsVIsuR{9a*X*8m=z=y8xV5s=zG-cqixriQf
zNpqsH3ja)5a_uO=5Z>BT&Xrv%wK_(X-DhfsZjeW1+Fb!Q?xHgnJ=+v~qN^2)#GdAH
z`Z-RR#GE828#7;aP%f&0Wa=ajx_TqCDnZ$ed0gKZQDyy8_eFOueO35GtiO~d+;|E4
zQ69rHEwRqvElf|9DfXPDD7fSBl-@$|-%zvf<}LT>;d>ko5Aa{8K>PyrHJTB+3Z;Z?
z8MZyxiO=zAfqwCAlnL~&<>V*a-2&c!hqvAUpfKIfHcfQJL1omGGqw$^P3l3rnf>Lf
zC1LoUBfJ1(_XTD@9X*Z?<H4|tBa*Y5j>Y=hB<71+mAY=2aWP#rbeFX6NSk<|=yl%=
zDD(9&_If(5B@TtMkoO2!M78@<wE#PZhB)Q{@LE`rqX859*~P}%l5Vo#8()iNFtk{R
zk?S&_Jaj>qe(rbYNGILgD7tB$zHh|&!m|X@PU{wpNPYM+ma~uj&eJKkLSvkflRbsR
zxv2ZvJR{3CaJ(86-H(cKEx&2F%gH=yr6L6^&rY><VK~sm0Rj<aKbrXa@++c%kM-O_
z+-ztQ6@306MujtPpAT;!Eyb-Vm|{i+qe0pvNt0B@;}dkGe7oCdv-wU5q^zv=h%EVL
zqLBi%@jz|IW+G#oV(%J6;o%L^JS28tTo~u%1arVzTpE8vQRE^g{gI2Tc!<A6%#R%#
z|40Y+iIm(Rf7v?@4_dQAAjCdbNk4cTq&PCrA{q`i5E^9~k^0WB1BhFor81BrD3Mka
zr&07!^eJvzP+=HX_SrSm*CkTcZ}O#D^pzq9|GYR<U-Lh!lwu6vK%fZGvwuk$8%^%8
zhpAaA%~UKs+jUS@Wg5xfe3@lZn*NnAKm(n13B(FgYzUme(F=9O$ykf>8+@|-^qxd5
z{g9>jIsbXUG(ZzTo78psfb2|o>bj?tbnmsor+;}&UudYjTJ~R8M~D>m21hEADNa%}
zV4N53X<lr`BL6UejJ5IBDbBb?O#*)_Oob1NXDhQfq>sIhdasJ`&=p3yHtDB+=S^E+
z>T*#$YYw46Kt8QrSPwBV*bf5Hyb3fD5Thuz#MT`}bX>6otM%e|x5)_gWf^W8krS*c
zip||Gpf!4^{Vk#aB;HxA*C)d}fwV7js{Q;}m3Q6u?f51kS5nlV)X1=2(Gh{Umg~hR
q0p|TE{CzXPb(2Eu0waY?NnTXIcvO1QF9#18&B&j&L(9~{<{I&u$t!69

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx_converter.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_rx_converter.v
new file mode 100644
index 0000000000000000000000000000000000000000..19cfa5c1960d69b82c4b537b86f3bde1dc340074
GIT binary patch
literal 6120
zcmV<E7Z>P@6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TMP?uI)H%BxV
z*<U7v&tR{E_0}pp??+?Ww8BO<k9f*;ax;5?IRR=DIGeWpZ>(88p>T4XZQ%EhNysv+
zW0#7i^wL(T1j!FbTYSc7`gK6EbH*MPz40~@(2;;)rjQ47+*TwikcnFU`Ge;{l_~dW
zH+~CqmzZ23q?U<JlrO574I!4F()A4(`+GnX$49|&tH%iO$ZA-in=UU#iNGv@K}Fj_
z!u+o2Ai&p`b+Nqkuz059%9zNFMkZ@<&84m3(8)9+CZ_fH4h-(yyn1uGf53vBVuIP;
z*a3Y<cTVUuwEBD|y_s^@OY2KmW|E8_;qc`}MU<9Be=b88Ddg7A8Bi_(fx;5Qo1v&^
zfBIj9dPbuAqz@jC)TM1Dj3sd>n;y4ol>uHgyh<3@fu4hUlZ(+OhGbIWRP9l+jiZ?k
z*tAnT-IhczcW{50lyquEbRp~*FHI5BtTrV2eYx`te%rIOJ#|Q`NVZ#@fPs%if~6D!
z=<}9VKbPur6d@57HjP4SA}4;LmXU|Y^+ZX;w9!4lj799=&rl&9FwQ3+^(IlPKxG|O
zA~>VZ_<l|#HCI{wLn-xx1)6#&w2c(%XvdE*v5~f1;m*^a{Ed~V0x>;+Jt5<Bw|hKQ
z3{(}1u}@!0CbZd%IjRew9+K}q8@#mwf=X(aBbdlyQ5iqgLAk*6;S%=*Y>L$T9y(pB
zF=7_k_5D1L%AvcQIqw<4!PvZ60ex-JhSaW1x(PrbyG4EsSP#AFkx`NlZ}T4ZY2b8P
zO--0FL`j&tNjL`82fSeqIoa^u2Xo9c%vT`O(wk$H)NM&Bz_d{bm}b`OYWPi|;qC5V
zT!W<`_E;c{M8_XCVNxB%d;&k;q?#%RW7gmD5*jRRr-I||oxADOg$>RSoSi2OZVmsN
z!TFmC`k19xwq;iyzq?-7xs~nM1Oh{1%rKq8)?R2Pej+Eyl)YG1Ad0s4#aJi4`Kj>E
zHpg9y_tm{*5wyua+qj78=E=xgeF8i-Yre49{ZxxjS=i&TXaK<yx?bGBn8+-dUb<5b
zk=Od}jRV+&DVL{eu~a^<%t*ixYJ9Sr>*6ga)&Dm)>IpN8-V~PE;+oub^koi#TAatu
zntP?_bGbXs-_!-{?`}w<m8{BNiz?~0lSpC<Ud~#vz1N~}2SJ<OF9Y}mBeWMe*<@46
zQAP{k$0jxn*OMZtETp*Q7*z@$$^m#T)<-0(A2GqUPY`xzEibNIM`L3iWQD(jxy<*f
z##`G}hR*1(JoCFIOQy^}Z;PE&1Ir4NTFN-T=i%+T{#o6EahO$65BB2}?=a}gBybp|
z@5Z~j%Zsujg!;K%b+v+_;lVwnpJC)yqSrW^6ZQu5A9FF8AOOp*6S>|0`Nr*#XA?TT
zsuV_t7Zh`awNGtbXG=p7l9`;N7%%8EHq@COi9s;3pJ3+Mg_*8dexyVY;veGQ-3@5_
z_8VoJ{HJrdqxkg4%+2}b9eNd1`1l`md{AptLVyfBuSI>SHG+=(z@8hV(TmfW!%~)M
z9d%OwlcoghPCu?uEG~aKUwrOPjoJe?CVj$O*z%x>+x^iOgXYp=1?}a+@&ri*UaOq&
znCMdZgaO6{k=un~?T2)GUGH!tK!63pf(sJw)x<Z})%As*PtElt9*qw>Eo&frPlB=q
zmq3Y(ge=0uyC6T(ZqY`O&eow6_4WMv%>4Jxmn7Xa`Qu5x1{u_4l0eKe;T$vw2g$8N
zy7Aye);|V0Ze@51%Ta_p6l(P28_W3WgX1KK0lxio3!`!!9=w+?-#}#1KIqc8czAS=
zZkltBkVI2hh_9*9l`2y>8a()Tdh3<1%in}4@9E<M0Uu-hU3|Re$2lI5g$qWJD!t*v
zf`8EKRZaY(j+u7+&iUd}bf$hC4qFs`ij1(KcxCQ6FZ~_g%P{8DM{siS*JXad)Kr{P
zHBb`?>^L6q=3C8OM=J}}52l1(x1^<-#T)(PcE!{eb)r#Wq5g0vqPOPprs6<kOf|mr
zA$9p8>jc-RyQt4wasnE;E)wH(AvfX7ZUX-xC6*;#a5{42L3%2E+3H^OVZ#kZPD-LT
z(qOXF=`n<YyO4gC43D{^Rdy35cTi$^Y1RU&#*5SA#J)z`q1#;dck-e{Y>j!IxRt9E
z05|ENdYz$*AUgvsyKse`8KGZdnl9BspFRZo4W23|V(-!rfRzWy^OJ;FZhsseN9a2j
zQ1p$E!uqE1nQH*MZ{h!%E;>3;W1PA~Ay=X8sp)wG%R+mWONoAEh2oe$byjJ50-kCI
z-BKRZne*6?Q<<=4ixebswNLm#AwwiZFXP+;&UBppAr4z|;=LK9rUC(t?PQKCPoy3w
z4|*D&G6zNOa~G45lWyTN%C=_U8uM>;-!p@z!cuh+Y5V-kGaJyZT;~GOKC6*X*>;rE
z?wX5$SD77Hgp0gpK^Pm+Qvre$`Fs%}#Pwx1IF_bn{rV*uhi}aG50hum>b}T(J8mff
zps7i`m?`*c+rkEucXqf_U#rRKm_pcUBi;!CUThgu_zvsg&J&qVt<Wi`vEZ#srFYcW
z8ErnYhX_2Gc1@Hqkz^Gj;Xm)PNr$yWT!RjML{HrFU<}ZolKyqOyRi9gS4|QPqN@hv
zhN^ZhKkG!am^|~xUr|!n^`(Vea<#ta-=Uc@oJP{+0~`ln6k4wm@_~Pj7NhsyQA~7b
zy9Uc-9)8?n^?$F4Vk?6Bk6GVCnw;$E-Y9mfik~G-+XO(o-3MjFkiE<tJv(M?)1Ttu
zExtJ&(eA#HYz+s?uosgdTR+-5KZG#o%KLTaiRQj=@dlT>B&F3D@bruB-xGHmzCv$L
zWs?7H3!0F+ZFbgP5P1aV-wvIJ`Ek4jMRso&AL=9Bss69*k))!YrI#kXu7T4HvydOX
zI5iLQ?4ZPRloDL;<@AIW@&W4`(p#G%d(saeYY79itl;^7!p^PC*bG740R`$%lGj`N
zFu?@8ATQl}_)On_RD?VO0n`JAipGSoY@lla)N$Bs!Zd#<KVY4BKzWDjqnU^g8?ksH
zn(4J`6rQMcrDNJ{0JY~%U2C?Q$-!<u^%=$f{MQ8gOl-Exq!c3>zQ1<Bs#bKh_6E}W
z2`U)f3wb6U{{gPBJ2G(Cp$z&1IEM0ejHv`{$m`0bCPJ6Ws4LoHjCC3IsX0EZ3OC13
zf;%v;Jtth)ew*Gy#D3YrR^Gp0`Oa8LbdJrf4TTNSvfu~Ce%lgbM8Nkk_HIEqj=(Zl
z$96Bv=yNJ2?(;)zw%_Pf;WmO5ZvOf*qNKs^rwg%-<ODyl;C;GrE$0r%t6$B7I_#Ui
z!V5OCUHw*FZ~@B%;HW69@{n0LAl~vPmnaR)WDpR99I}G5MWRo;zgdD*k|*LogZW#2
zb~7GC3VeHG5n{g}C~0+>+|k)uz%ay}=diHZWFe>J-&s*XfuTA}zff&tw7iAy`Wi`Z
zG^E(<nVJn_DuJK5YF`0o?xh-w`=~}RgzB5GhH;CEetaUxs8PoXY8K29D589o2+2H}
zV~>RNTx-ymN&u5$^!`jRu9zQtXIBEY6}q4>5J-o)J}vvT2RgP@%+uvQ&EtBBUe=jB
zr$ubpwBtDR3{)>ui#JlBI2^V3p4^wKmc$Xc!m-sd<v)96fgi+j9;Kq0cS>_1h(!~+
zdt>AqHU!xfO!KXx5s)UbITnooA<fe>=~&#kebKhyaZ9ex^Q2Fa10+E_MbQv1Jz5vz
z$CB~kJ?+0b8>_@KK&iej=<Mu%I(pgH7cTrroJ<LC+6+fOxn@kchdMTTb}Q;&<b<Pl
z!#`$N0%b%H03U>tmp1i^W_*~TD?he4cy2ehUO-fgB_9^3sG7s=uSJTV;?zpi)W)Bs
zYlKC8bMvF=J+tJ97Q7_aMsZax25TbmKss>kzs|XTy9^4)>j9Fkpx}FHr7rsS8C`;-
z@x_>9*IjBg^nh;@MjDsY+wD06C~af{xAWn0>S+X(XD4}zKJXm1)T4ClhEDAYqTa_m
z3f=RQR>Zl=t+IPQKTWQ0-M<q=sv8V(p29L76umX}9{F%s`0*)QQM?duFwZk3s!usJ
zH#N6y&B_P|61&CuXP6Oj5$tuEqRoPS-}2#&C8z2J<GHxeiJhEGg=EBUXvTp;2drAt
z?6^OYA@=8uP;UBpZmv^`^2`PGb_uA*tNco0GsrO=^Oioy<?7+s?pTQA8IrW^qm>N)
zI<v{@k#<)pn2=C++;Dg%N@#F*ZyPWrpF!>}QbsqZAhKYF=Qs(dSPFy66Jzd(j}*7#
z#b_4p+kVI*u~UVFbK$A%#{opRLsWKj$Zv5^-T|6t2ON#8Ri_k8xaLoE;AVma6D=9F
zHI7BiBlfR^ed#3K&SLMb7TRhLP+LsV7ADbcQp*BbuL`C%GHB4XWm;{n#P*aYOJfTG
z5kbzp)+wz(VUIse@txTF>OU45I^)^&Jm~H<Q=`NN4v1{HL&FZO)v0y<M|95};ZzY0
z$?zcwXWu;RcQ8bcl@D7j6_2*+@Lg1wLN}dWaBBYO6BWdT%vKU}{>h>m6I?FpH}raq
zjl%@zDvAMIb_!UxOULMb3m=nlL(U%g9H&XaCiBxfD8`LS0^<}-lKP3!rfm}*glg?%
z?Dy#N8dKpiE#R5u1UM`O46R&!mryN`dNSTLENFCBPdwDngq!ee@gWG*rYSF+OqqD;
zO@^kTN5j6jKZ2rb{<AoPTaCWR3COQn2$2xRdAp)f>V}^~wluZ*`n+SdTeb9UkYj?D
zIJOsUWxa*kvwrS!Q<E!9XPwr5EE%1PqNK{IsF2NB8EvgiXS+`&;;`T#m+eV3BalBH
zEXvvI%Ed`rcC>NcIBMz|gj~4fMtPA<1qqpC7u!52?H|DzF1k7MOGWsAvk<`8x2)xf
zT)(ja<>rzr&0e&Cw|V&z3qKetLmB&Vmn7~B-%R0bJ#Z56Xk^Yb-1*^%r=FmBKD1Le
ze8c;h>fjuKFUwQaUlpv#2Gt)>V!r?|9foo>rfmAOm((j(WIRg<ub<A&tdjTl;eU7x
zok~A=oQ<?)yNuCv{c%0S8^;vb(|lfp{t+IC#{zU)wEIa?tU06!1|Vowg!ksJY+EB_
zZJwTQFmO}^MLVe`AGfPHPi0qnw&b~x*V9__>H?w*6qD(zv-qw>kZG!VWVld%g^QJF
zf<8rLN5!5V{3`i$l0Hhy1;hT~nl%{yQw{*27_Q_?3Cu0tzL;thzK?xVE=?il$!?gq
z!2=!#Su*!Z_35ld7!cRm3B7h5ca{_vp>sAuNH6OdOhP@G1>4;#y%c3&cY^ifxy(qn
zLXzmGjqN#Uoe~t7F-TZ&prEM+T_{yLU`xH;JAPTk^t=Tz?Pr}at9Lx^Q}Vqd5p8{%
zkSz8=P;W0>Z!?{`Y2WN6hC?D+5)9=S6v^47A+6YC2hWulZLmvOvgwsg5sU4eU@dSp
z-}8^mnhItv86j@JHboaRu7E|og*2E4^x~BLa)zi&>g+BhZIO0lEUmQCp(vE2VF0Vl
z#Nx#jVZ7*aP{JrMh{~d%u^6Dqh&Q@V#E^Jw{K>^Raw%;q`iARSFIpW<Y`Xu5S)~W`
zu$U{lJ^k68>}1iNE1!#rt7L&53wL@_38h_djqisJ0R<D(a#R`3CzX@w6L`olK*%6n
zAQcZ%;wecfx<y=(`$Q10UuU}p1ZbDz2-%?KnlXL4b&S19<UzlhGIzH}H7Lw55%3$#
zVs8=(gn%PIy|VrvHYyG+5r*?{O<GyGqaeZrM!88lOv<c=_R5{ft=KzHZ!W4q=cOQ}
z!LrTZ1x;2~=XR!2_BMvJdmSN$Vv+b{dhFAi5AlK!ZWbn4JYH^Tm(ogIeo3xDm?@Db
zf=Il$DxU!kVND*gf_4DHRK*rx+Vu8eLTEk?|1XPcYQ+s5NQVT{MQS8EN|xvN%{+*>
z+603I{sFJpb2aGNbtHUwSG&Ripiiu_ENxANCv)wm^6$K`|8+m2ne2cyWjNT9T3QlD
z^ZRJ#%-U!(W*^kTmIS(6a--YD7R;I^J^E`aZZazNhn)?wH31bLZmskCl}hOa4Jb@+
z+u^MVq$Pe&K7}ihV(1q19kE`}75MbfZj-=ctr&(gk?^JX#c`n5Hb8AiQr!(khGQc#
z+PoT=9$FRVSSKs_5~vOW^4G}R3aqA(qFzr}B$OezVX!o6y(bBb63pvXKw3c(WF&~I
z4jv-F3_1=OMvQ4ShD$FJH-765nG>{eMyrNI;&H-y%DqM|e0^u7HxOT`2}v3k8{?HL
zRMXRx5}|cpn6MxM@zrJ=qGB`n2TXHgK23l!`TJO1^Ph8|a$nHLXxgGz-Ky5&1Q7Y;
zyj4H_WhVY56MHa8le264`PTbA^;^FhKos$()~egN(QRJv6`V$r5nGS!R7>t!S@at9
zrXg|u4e`%O959nJ;q$@#>L>7cKx(<7DPje{r2IRLa&+6$UX~6q%pY-sp|oBvV#l<N
zv)B0B)Tk0dS%VezVv?9Ev3|g*Fp(%6*}bJ!)EZaDnlJ`L!D$t|*OC2oec<ArmfiMy
zFc52}PKo5P6O`~mHyWRhh*%c!BZg}RyyQpPXE1zc7^mBU?TI%!NWNi5$z__Gn5ZJ2
z)Zf!uy@|KftOO*_2ZUt3lJZ3~(--&|yXvxu7NDlMRrC~|b$c|=4Ct^zhQr(?8YzJm
z*sUVYWo{PrvvgqD4Gs)-hpmO>)0&wHFoU1SxVG0s=PFPh=vL&i(IT6lU&D=hPH+K1
zq!lh2WE<1daZIX>eEVUcW)0nQi^K!FBJ>}{+a4y@ckI?saX|1^3vP42UTpmrgYZkv
za{z$?$e6*}U6@jC`+a}2l-M>I0IJ5^Zv6D|`Bh`3P*+}h)vy!h2^Rahj`vrSvJc64
zArl)-bg^qFo$oCHa50+*AX=tcjZbxso@!z}=T|D{yjSvO-i<tiV>SseH->5xK<c9V
z<JtkF)sqyQ>SLbwvJpfAF)VV~U-v3GTU-*l*hT(vxWwF&<zUD#5Pt~TxWa`FXqv$Z
z(RFYZIB%H!6pWvF@mBu=-d|o>^Sr^R!4!3}-&gcC$$7)5)yw(b;@EA$#F~Yg7c=UK
z%l|01T`jjOO29iSHvct%dvXs=Hr?w`b&P@?cTNDe=P$O`ac(3lWN0VfOK`qxiACTN
zh|bNaiK8+K&gKX7%k#f<^$8>PsubdH6FABzXEwaw)!_mz695%HdW`WF#Q0ByCc`S~
zj7!xR!Y#1uU|3apCULscP`DEEb@x<f!YVg=tlVQTJ05-j5MSc6Fx5H)Wf*3>(WKxV
zvBH9?t3Uw2M;WWmB2G)8Z=Bgiz`X$6CpO5@2qK`IIb)F^FM5lOG_4JDN|miQG;K!Z
zH|m3G4k6-?@3j#68-@*f7WwL593_xpNr2CDF5PjC+zonR=uhPhezz{!EB-Yk!|twN
zlet(6z37vT81K9(8{^;;6$>7vyxwpVo?PnRCa021PY<;9E;TA)rXYSOlk%b<cXtpY
u=RE}{$^8;@@4y&9QT0o`+F3`#)o$RrNlV1fG}A=Dtsfz90aT?sWw7=-*5+0K

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii.v
new file mode 100644
index 0000000000000000000000000000000000000000..6b2d6e0397500c20095c96d525dfee714af3bc06
GIT binary patch
literal 8008
zcmV-OAGhF(6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?;qR|G!;jaQ`MLbPlWRp#@;
zj!%7MGL|h;|1%HZWKILbFHl`Qxqg6iCNA9w_&GwFWXVbYJi011?bp+tYg=>4701h%
z@T%XaKlz)c+ZReVSqt(&*6p#J`hsrnz5KLNqEqii=a`d0ye6bR`V~LvYeJd>dsXM@
zK0iuwd7BP9m>>(TR_6q?TA@q|oB05%hi{nONdnC0`3s}gvYr!RgBH1aMghQj#<>OM
zQ{9iAO||9G<`5~rS`!!&0?sJtMe_F_loznKUu3!+y4Dnyigq0i{drw(=;I~QrkdhK
z`$fXjFEM$wi$ww3weHT<*gtfst^tr!&-+*ni$gRpeu~*7A#&VwjM*53H+V${3cmtJ
zd91z=X@AwBWi2rfV7rIV6JpGTQ#CUr=2eJu=-GEMbWu_DgPv9?oIOjZMDfBM8y^il
z*CxajR`gS4UpJ)c{8Y&1%kRRHW&Z5dpWST`6xB(%maB%<7-JkN`7OA+My#ASXdlwc
zv#HJ${jg9!&>dti)bd6n*3a`Z8n7{mqSCP;Y@nz>!d7)nX{R_N)n0kk8X+Uh)g+;D
z7J`hJ%5Zg73}R;!E8GY(ZZkD!Ov1y~eE$W<Ilhr>iX&B1gpVE}%M#c+ug>d4PC!e4
z!yKd-R~b#YiQ0*(#WTGz)mSTlmBxoWhTw8_b%Mz0#`2k%DnE0?@ctAF1M){w1k#J9
zI5k7+z_cQcTM;o^#<{&>k}FQQ{JEs?1lqpcd<KzP7NZBa1om&k%S1Q>ly=azU}{xm
zO3v9{(V`$-+SshY_g6boIKc+!^e!}7o8Ga#SdStWcB~=}F!s}C;gc`=K|5K_zF*%h
zZE>ovv}Ur)9dax|wDA3Amll*!0ti>BW`gdCYx4tDlOzbBIbFUmF3H2DbgNG?tJA5@
zvp4EyirbzPDACE9bs7Wf93d{I7}7JZMW8Jf{_y0KOOMSX^!=*QHf?xcouExf{r}h)
z#m9Xe5iz$)XRw^fIwyiZH`ax4Q!-+s1i!Y#eK!=2RL78mhkmwr;9tvjSmWjQYc4Hj
zBYk)>SmR<#it8?*LbmvQnoJ5+i!US2_KUDf1lfu>*}U#^grN5Jv3P<vErKL{-Xa{X
z9!cT%`4vL7=z%uiMaG@pkxq31`CCmbG?eT^m=<AmX``{Z0$4^nlJ#dV5<3RCWV}O!
zH#;d>JUoUvcojEhX9UM$bg=A@8Ps_J9*rn+@C|{%HKCUn9O$Hv=vPD9BfotEb|Jps
z1rl9)pVN=#(qYVN!jW8Rtaq)kzJQ8~Do>E+VqH?X+R?o9N&XP)d<Mm57fxK^D1-m&
z76bL<iVy4KZ~5^2)tF4=0O_8a|390+Z_@HNwFH$_y*yRWz132<M>}_{+k^JKi!~*z
z3-b8@0N#$E@1Ml0cU%B7wap*GmC`DCn{JK%M4>_l7sGG89k)>VYdO~Cwm>WSng&q7
zkjIwN^ak|5BfW1X9k1@pLs^NWB%g+p;LH+eR1F8?F?|rnI+N=8aQuMopSQ%usPV#N
z1hTT=^OL0OX+8z(vb>Xyg+5G;oCnY{Xn!%Lb81nz7{`M5B*2Io((Xod)PQH<=M(Ne
z={-cxP9em9^@6S}U>NHGr2XEMxIWmZe6cx6Sz1o2K)b1r8CKz+L7BAsxV2z1T#+&)
z1-|km_oZ^Aq*^a32^uKj3+#<FvSfu(pam}gw|~KjwnkpYw$&mnxe3Wsg2fY^Q^6au
zLZ|HyVsW*&P{WDhxO3VKtrW^9MB|x(1bgcL;=9e2htR8A^|n`YCK3W>PYI1r)s|}S
z_l%tZVF!v%O*R!J+1oM4VEf~EYZM-6@+ZTA5suzr^`rS1q9i5k75saXarGzdAggT1
zc^)fr##rj|1l(hUN7@5OX1+G9U;{%JAzsCDt>O_(^9!!bR?tnU?&m6X+oLMaiSFwU
zbA?zE6H@%>O`>+c8q-U~xY!*EVR~O@7YIF&#XAegxg0RBdxaR*u{fvn#aYLc`M}4%
zef&v%TrboBRA%@%sKMo3CFGIVD3SeBey4<@m$>DBl!RABd`kIY!(%JK7&yF^LOQ;s
zWEnp3(~s#N8w@c>1gQ@D%0p0Q2vci5x6=T0ASxgRBp-=U-#>Q=yWHiUd_v9oM<}vK
z>RZ_6{_&W4II_}z@knprNm5(?u9ejoI{q$RZgeZ$1d7}<sh8=+m&_c~=xzKpM^|yu
zXDeC*hOxu~${O*IloWV3gehrM@lE$3-hVP`(cxaE=ol*1S3&bezW7y16p9<|?IE%c
zY{WSL!liK(rYe&zPe8#L!LgE!2GX3apPT*@Fzy27XckM{-}8sBM<DR7=I?>P$yn-_
zZqoi)iAj2P)*F(^TEVfyg8J~3yY+euF_S+Bg5rao#==aUw)Y7cWYb+<cTN9ia$xSt
zMXLT{;Io>=^R{^2;zUA&a(<H|UnhY6RIVc&&nhK8JU5nNaO!yui$t3x%?7wO!jNNl
zAx%)K6%HkYflk{ciML$dROc<gp68-0aTe2d0@c$$6Hun8Nw~KStd!Sq`B5XJ#uC)M
z9H;P||JY+aF&jwvv*!R_gi^|sry8ySaf%s3joTub{If323Bn*4x+g3KUginYBGuJZ
z<zs2_K$FDInJ+>)dp{(y<<uQkLa+=IH&BtOqXXfr)>7KPH&oKy-#r@qzPnk6OV!I_
zq{1l1EO%XxFo`bgu07C#PX(}2amM7J0wb$C+*1A|=jkctMF96MwC{AL<ih*>-5;W?
zVVY4sR+R?fE0To>^5D?^h%C>UmDMk*(#w&gEzdng4!NRnA?z_+v9yv&&?m#0Rc}Lb
zUC=*Ody)H!N$jW!p>8_3N4N*HFXC^K3iH=+*|$8olaOoT#;HKD%ebS_x3{e1^dzfo
z0CSkZk}L54Rj$hScsNp6I`nq#z&rN#VIO-v3DHbN`ngVJQFe=~*>jXk3B0f_-Kqxv
z7ynD&e2Y#WIe?tLjK@b4`yV-*>+!jy)~h;KsLqOrD`^-fS31+wiDQY$(><IKl#-<{
z!u*=lUPpqSo^j;9A;HtgCVrN;xX!IC^Z!e=S)5&=nqGUrRMgF7Qgvynf<RVp!sE*7
zGN7yU!VF_2aFsetp;sTI3M&T_L!m*@AYnxK7=~Gu0xbew2R%Q2`hxu(O#EpDkhRq0
z5Zb5Aw$Yg7Ai0vUVvV;Fr`8Z|H@A-Mt6;U{g_*-i=DvYxmcm#UNGZK1OvfWtB{37f
zJ_^68T`)6(TD)C^e;{cHpIQQ!i7wy&HWx7x&g^mCK<yKg_>9A@Uc*Gz9kgIV-3M20
zFBH!ro}^ah2z|^+Xdr4Xkp)6(9|L?7E?{!d=F{D8DDma~Vd4;alI38mzBVs7tWuTg
zQPc@ck(|6AM|uV`e?OC;eu8&ZXZ?(&*v35r+v&21nTx?&xms*B8@#mpZ$~`M+n{_?
zcI=jw1MuWIWkKRfv4|Q+h_QCMeu{4G;k3EP^%2Hgmj0YX2W!(Wu!LW#CGF8qZ~yaa
zBh5O2WA>euB>c&xlki1yt>PNPEH<AG)JzeYGe^P4NOpq0z$*`5=B<<LgT5<Nsy2T0
z+j^?irSg)l34^dJ>b95W4?B-iI&^L$m%06aIX+l;n9r(#$pWf~VWZFBN3e`mWCY5>
zpue0|{uHt*tFWj+O+CScNrOWKEo-1!O!6FuOlRtT)5I;9_u(W%Dc>_qCmd>j7^Nsr
zH$<rmlM%!hFXBh915GhQy8#a@z8?@t_h`6`*<*XPruRuYBlF%?fhw0Jnk^SX>RoD(
z9*G2k>Svulg^eV4oP5UuwQHvB?IlNM2xb2=LE}F(w_ZTOwOKQ3`@1{Kb6-WmPHCEg
znSGZg%1d1-7Sco2`%Us3(Bh}!Axs?^5z5<HxkQ!(#j16MNq?Z_7Aascf;^`B?cgka
zx4I?pB}%(BJ$*%ZBv5@wIl~dIx{K<YMaBK1!x)c8$m6A=Gz8?X^>%*Y2@+G?m0ekK
z$PVlBRdsw!0@V`PiyTeo7ihBU*EcdyFnMbzsEfBxzh)%M1BbN}C$Y%qdAy*MJ$%k$
z^!A^8s<Xcvzf1u?Og#~r!KbR|-uzn96XIp$APXAur0y8ud*c&WXluZ7l0ltDDN`VQ
zIafqpyH)odF7OFHB+v|WIZ1kwC6%$qR5E+LlzRNE`Y;8`zR4&+x42@DbN|at;}^9a
zD;^n9rsxF~mN?Lb&Uuk*N!RG4GO$q^;BS+~{8My-qt{HLSfn63onc#}f6~>Mc%Ri?
z(Lvhhi9Pi@J8++~Pg><G&+`=s==yhK28?59zs6=~2abq0P`9U=HCJTgaOtEXnX;%>
zC#rS~e`}B7%clpdBEpm}q3kupC$m`%fXg`#qoZv6c~(^uT8p8=l`O^~k*``FpXD(A
zAv_*vs?YCT%fYHi4p!eAt-gyiIl1jMNyk%&%sOogXa%wg8s89<kAj=1T-e`i$0ftq
z&vz?7s}b!Z#%m!^e^NOqZcoY5)_jS{x?9HAjf2E8DOm=l@UCQdwD-J*<gQo_mFh|Q
zfrWSyg_~2%%9~?7;1jlPylv1uZaV%5ZmvmL>vY=4OMwVfn9>n~#;Fbd$SAZJ$dgQ!
zcs1<3ko^;?{ycTy6y1o2YuxiIk=aUC`g8+=$7Y*!asVUb+!B(wF$1Q`V&FNr-SD&o
zGjO`FmixP@PujrZm*x;>(7~eR(B@kE=HV1)n%M?+^!05A_GI2N`}gsuQccWVp{wr=
zK^TMIR>&iJ>rvwu&_RyJbB7u~$9G{=>!)6>3bECXbx@im8!EGo#4Ehadpnx+(c)g$
z0vTr_HAA{&G`Vt{%kJ%ZGkwT|DB0&kvfIw<@rB21s*T;S6_aoLaQWK)7;qGIsqI!^
z;&hA|fn~+`$^aR%T>rIQj?PP7Z>|+aJ=_MwFRX1WX-C?F5)>qRk;ZJlime~eu<HM*
z$P|?g_85Oyqd$n0wBjoi0?CJdMkb20WvJY_w>$7OF1-c5>oKM1Sh6C!@k_A^opEu*
zDJ<|gq0+uoljjL|vTCqke7Gy%6xkGs;s3^_LhTVTP{MY-+l#GuXdtL#F15;-0967*
zZV5B(da5W}HG3>%^h6c8Vd<NPVp)3A`>k^Hww{VOODdYCEJxEqaatReHC%;l9fa_-
zO-E-+Y!M)B=^|8-YvswaAC!Pwfe7Gi&9~Z4VRhZpQqkMd46d_A_R3ig%w~T`AwBWW
z!;&<6Qn`RgEogkDu@rtK<-0MD@?!Loym5lI{^*okNQ;mn6fZe%A}6N`<z2i*!0aj&
zMWM0Yf#-7W-c5;v+oCBvFs84e6?95e_+}2lF%6KDcA3#y;4A~9#7Bn>RSJ#c;g_Qv
zo^J1VnIcugHiF0hHHvRe?LHg3PYIU>pr?)dFXAb^j4k1GNx%7nX3TSjjfn@OHIcLt
z4o=fL-`w~onL?@s`)vDdAnc@jGK{2WhudwsB;{z(P*y=cNlkn|H@EQ|?wjpOk%#u(
z{ZZkvkjs%<Q;ZTyaHyp%`kPRrkrxOLw56gXBk(4?y_G;=sU*v2D{_+#{feqN{$Kw;
zp&s+p&_${o_3EQgU7j6n4=5gvZ*JQ<!RG*R0i`iB#}1t62r@mg_jB|5_;kM;_gO6B
zc)JB|6NALRqj#dVgB7qy8VnRO_l3<+a}bQ0#m_ia7f17YZYR;sG}bb3E4I^bU%zEk
zYX*t90)ghLD`1sV%_GCPe0hin4Nl+0$Y5f)FeE@f<MOx0FO0NxNNb1Og}7)rXwaYJ
zUrbArOAc0M*&8PE*dC+Ot(G~V-Buc~!%6GPidXS6=z!64*=#}I9Y{oVlsA$IYOKs^
zZEL}Q0!>QiSt=Qoxbm#n&jMJqKhbSolu`BEh3g=mhIqEG7h&h9CC!LZJMQVme;7p1
zKnB}Zm8Fw>yy_Rj%^Ac4&^TTYv<xy)=mfMSKJg&Lfx|x<*6?W%=%3v@xx4G@r%}uD
z=41{3N&rEcv%H#gTu>mI4U@J~!H-FuUA^?icmT~Em?|FbJBis;DTYC9eQl4e1-WY0
zuEGRQS(aMY8#7SDS_5?HJNxn>DY#wv<J{FZ9W&_$^bXscSx$Ql-i7Azkx_XKx{~V2
zO6SoYiPh67l6YychAR$(c`b`E*wJYfeE)#vUUD+sABBMIhEAOR8OgFp<3q8ao^EEE
zC?qawO(Pn7kFS=7-((I<H9UU=ZmpDfYG$@|+mq%MlZCZ;KJmMfzW~p;+RTkPol8>g
zfRy+?j^h?nCeF)%Yt3N$dZntX$Z<E2S8}urWG)Szu~5Jy(QRTV$*~I}ghpF=OFu2@
z&xp0(zKGkqZ4wfxr}ZxH%Ex3!xG#R3l7T$<cwm?n^)CL2p**Xho^Bjg&y)+%Ba!pt
z&(P4-JZ6l$-JqgXEk&+HQdVPkjs6Gg;s!JGc>?qbm3c-;A65dLADvjg`$6oyGllHW
zuoF2!@Q6v4l!fIVqXs`&Ew2J7ic4@YF`MtudRlp|m^q#TF;f2XMb}%bX-q#h|Au^6
zl-A4g{L>gs{<LjI&O2<8|5m~}IuKRAd~-)MpX#L|{%}37DYA;ryH)7`s62%!3w)we
z9;~VxF@y)9>PGB2eaWWgMmm|Ob4wLt#lgoVu18w<8%S6$kycBknq|fGsNl_ancp+*
z18P6qs=nA-qBty)c5=l*4$QzcwMpgJT$v`IY71FeV%~NVbg;>q4%<F}lOumUIh*-V
z5~{I!1&hzsz3|~DShI!KO2Xt{O|&xwTo#`sE8d4Rrf{8pvfb@cd+PXsLVC%MNu244
zn>U*>YDH>!20VSqeBdx2^d-(a{z;VC!xOmE2V6wI_)tf0Jv*G6&Vp+pJcUTOi<Eft
z6tle8e4BC4IN`ZcVm}m7p4z7XW&^07K!It7Ni9MLDS~;*ZV&4LXTR-=9+0^)XzFYP
zivFq0>Myn2zQM3`2>k+Fcr>Yb1GJ*e&<dz&{|Pj15tga%c73fZJt{0X^DnI$-3r}p
zE$;7LJkS>*#SNcXR4^#ZXBI#b<;19W**Xg#vCbt&;J>=mE^Kt=r1g*Db7GHK?Ai0l
zyxVGY^!ZT25^8UX`aohF7R*;xF0l|V*)e)3rE9!J9(pv$ag00=D4?Jp;tp8dA{{?3
z7|>yks;7`E^<V9N{4fhGLd6lTz+OQeliJq-4z>;%@T?OVUY{HVtEm;rm~s={3)9UD
zEZPBF2ds&~=$z@V_KOPa-3hzM^nxStFB~?)A?(ir@YXzT&#3#Vkr6RBchLJ4dJ><q
z$P(LLL-J<u?-8i)2*PkIp+W~Y9I7}oYv7)C5qO~3%g>tkZ+UgO1B1WG-Q9B1?~LJ#
z#Sf3DNu5>eljDKi;Auf-YsFz5q+5nla%Lei@qZmC(M~UoR?@rhKS0l8)?u`S0im&S
z-YaruZSbxAhaH%Wn)pW|zGUq9`Sh0Sxzd7lJt7lQ7N@X+x)8o7;kV0K29mr29yaq8
zRkOhj!)ZyAZs_IuG7d6XIFS5EnqnLqIuK)GT7Zc=*HTF7GsDQx!oX8HJGUSrty`B?
zTL({RHb4uU!udgb3WeYIhR%nQGtcZprxSUcK)afmS#w8dC!<LR3pFn>L(+IpJPzU0
z=BH`U<ojR)TY92oN_T?)KDtPRT7DYJBV3G8bT?A(38Up!b4MN${EH_aZ~pH)D+9dA
z`6~;VYT0A_2+enrakY1Sgzf{6OzC`xroRV|)JONP3}0(6gi!cax=R~|&`xmwM&Ozq
zI?7#i4&K{?hmE5%7L5pOntvzA=Gb3&VP8OB40kts)a&YB(L~|QXSPkxW2YkurpdK3
zh%NH~1P`v0YMSCQjD0k#@iW#k>X7AvJ8|c)cizW@VJq>|{XgF?y1==W&YJhHR@JVC
zk&6XfY0y+<a7`6Uz~SW}XcD(A`=BRLc8?K&m(l10X>4UVWhditR5Q8_EC38K&T@%y
zpg3)iwNn~r+MNB*fK#LXSn0uWLtsR4)Tf6?*8A2IPp&U+?DH1C$6Qs3!Ujml6zHMI
zJ1NGT?E2w6oDjbQAf(t25yS{`tn^g{pAGOww&`atkHu)!M^J53mNL%CO(g0ma~OPF
zRKXVj_;7@y8%E6#U~r%pcwL)TX(@@<YImA}#l~7YCj}?dV_`wz7w%pcZ_1}BuRswd
zJr=KDsP7o-F6*<^#_38$a`&nRgqun9Y~N56*iPIpR*WjYVIWMu?R22-KsL}W<lL@@
zhy>DUgN_g@<*-#5;GHx>hTo;Q)$Q?7`PGntwAin)vqDfA1afzIGsG0xeK9%iJmlsV
zXlH~C0v2FT4fPThJ6tSS1hF+!n_+B}hPW0m>i6hz{No`fPywi~E2fVsCc1gildW;_
zrQ){KT~QH{bMW-E`sl(BT0PM8M$)&op(Ldy`OmrE#@yd?4l+8tT%>);WAb%`g+%7r
z#HHLO-&$S>vdWArf2UmX-krmX-Fw_Loj5MDEm(xrHr){9uz4!1|GgXt)rJ@S@Ga~p
zRJ9(-00!DWRvs2g_v2PUm0cnUF91)vb%V`MG$$*!dNO^<Nf9<S&VQxQEw)K0iY$|c
zZb9m%fSR{n=$OUn{!JLD^p6De5tx6wUaYVTU#$+=cpfgS`7^NwpLxducoF?0RR^aE
zvK85z=$=x_zt*hdlf6%5c?<sVI`I4sE=c4KQJn$<F#rRxYI}F7CCC7h)~<1UuTd^F
z{Uk*^6nItx87+8UxYcK$vO;y-;Ms!vt4BrA1H$44?(lH2|M1}L<UJxD13QUvr|U;J
z#R8&_*k~N=gz3Rl`^$*ZcD_ikw^Jg5LzH|@*o*@8Jh1~?mOqfcrT!<f5<!gyWw)v-
zk9C7rMzu)rLlh9uTm_h3!*&k78iT%tYYv0O=bCeQP1T+aDE;c~H7{ocdE8LGqeEpr
zgMP?o?@f-hzoXS$BZB0Wht=EAx#4|>RJJsz7YVd_VU2*!((j$NPI@Cc_^G=HoEt8Z
zWDZOgKps@YWvc0i<Nh7Vz1`ax@;bV(izo))0boyIw98}!j6L-mr7GyOpSr6wbJ0R?
z|1pPMYB54k+X38JPTbP8*%mS3Lrk)j1c6-gG1Hl{DXxQ8UXMwfFZ$!FOiDyI+C4Sr
zd|{f-cmWtvU?1kJdFtNQ;gQImT6gD<zj0N?Y~Kt`9k<aM3C}Lb<D6fr-!DZ8d7vkI
z?xHf$s&L&}w3^a=Nn*w0vswLk*<5uuflfx}#D>U781{laW*G%a@#K<_L$FC_n?A3r
zPd_4_?D~RLSUk3bWP3iOoKWXO4FFx`$)pjUU8p*nyD#GRP`03&mKG|O(=64GXZm<h
z2$OqDevBy`Hffak#l|_{>u-5X+{dmG8yVdfcfkV?AwDvDGUrB887s{)g$#tx+6_mk
zV4*c#XQbl_D!<5pX8qq`?b#A8oP2<b`{33douwe%VYi@**eOC$4U1SQ3W8Ue)D=gn
zmO_%s-GxD{L;tR%N_n8vdE=oJ;j~UJ@_P(RW+(z7yeB*ciq>LTaGBpHw|$(BUYb*c
zZunWW2i;Z*e>6+`MD07vSH{?sxPihITaRGak%rF6JeVR}Fv*ciaPoP+GTJ+3vcVpS
zL?*iEE?*_2mqf%!Ixvw{y7N|VNey*KA@{Cvv%nW}Nedkv)i9M|gptUI#m=-Rt<tJ6
z9mXZmtS%tXzNA3FO@jo=g<ai=A>Oso@p~46(p?dD0bZ)3jy8dG<%WoIZnuf6VU=@2
zrkbc|XH=^ui~^N#`POiYj0`c6sr!MDb(9e8oZz~CmrpXei1l5Ll(9z@CnR&*6OzAP
zLHJwQnx7=>S48vHDOH(^BkC(fjR``h!|Tbj?K;3%41$XO1bc26QA%ymsq{mo&a<mP
zPhIo<Ve{m-b2DV5(m#cIp8-3^nA4*~PCUUbs{lwuJwKBB#Mo2)f+&9Lr8)l6BZK*B
zL_|5tGipR>i^y*L^-?{rG}S>du=C)V+m+1}L4g}+LG_xWDfVR8q|f^1g7KB@=b0hN
KS=cJQlKKrL60SP{

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii_strx_gx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_sgmii_strx_gx.v
new file mode 100644
index 0000000000000000000000000000000000000000..4f386f2a93250b883ffb9fcefcc8092eca956802
GIT binary patch
literal 8312
zcmV-;Acx<J6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}U1%0xbCUV=Pa
zxi4}FNcb%-d$%oBn%tDz!dpA239IDxt;SOr=vuVenqGqai>o>OqxKu5HJdHd@Ys1I
zkUfrTK!jizX;r=ZW#zR%?y43wA!!G%Qbog3v?wq=;;~>s%&C57Ive+r%gMM%2UDq~
zh^nv$fjc5(1*l^f$fX<=7A)`>!4kr`!`~a-e_@qu3^fk%a1P+3J1(2Pu(W_odG;dG
zV=kUhQc5Usat01u1CUjH&F2mnNEw|DVJkgACTFhgRVtDA#G@IH;Iq_h7QAHdhd&Ue
z+XgmAkp{Ka7L+sUY;M)4p|>yCf#rI=2bJvkx*VCE@)-kSae62qV(3q!&2IF`x=ZWE
zRc1S_4BUreXY&)Pz6n2oi#7_Bi=m9pN9BwS>eCa^%P6Jzf?|soy>$8i40+t~sEu5H
zKG)htD0ap-oyPK%p};qBIub-p&P@Le2L%mGuSEodL0qTQMbXU<FvvtcTr}v=51j`Z
zj;S_%YIl?HDb_JN2eg_#a!IgAr@-oq@_V-S5_hjwvZJWa(ADtZDMc==-ryxszkf?a
zi@IwQ1pE)qS-vJ4{_{Z61P&V~yU6_n2r0e*ZPK&Yz{$PjY6TW)e{O}ub-_kt9S55M
zA&NjG4k6cz1A-da3QE?|mZbjhy4+5wgvSYRP{%)<KECul1mX^Kjcy1koJbWzK;Y*S
z*xiX-zxGaLKg|yBmO>$P#n6xSay`{@qdG4QJ~nQ!32wt;&)$LJh{RU(3~Z^jZ-h|U
zg)E9)dE@RA5gLJiNMAX}B87^k#s3T23ew&tAajtD_1!Ym#P|j5v5&)%n=_?&hYwn$
z0(Eeerys`ij#3Vl4NaKpr&{6Wj=k;$c^}Dy&P<8W;4zbbuw&=_&|!;Bye&#<?jvEI
za5)djgA{y6IeNB?G7ZDd@J5yD;LDHNM3&r?lyD65vld-taRZ^8jI9>%s+p33TGw_t
znzfG$d?j~8A?ccNjUFK&1rYXrxiS<8#218Y<<Sk!6Q`4)Q*NBJMg2c13xnOpz<vy+
zw?!|p0`7ngUWeFQzHAIXUCP*ZSBl><fa0%FamgPkb7Vt4*mYu>EsIcf#JDXG^<->!
z4le^EcI(^GEF*U>7AJE_A=~i3-irD+-$ytE|DWG=lWB!WG)=D0641No9snnPM*BZK
ztQ}3UII9Zd2vv`mW)OS&{?<gbiKZq3rVUWa>~r_J!q~vW@1R;B_~eqec#`w%uqiqK
zr4SCMx`ZU-=0<X<eb^9!#bIV6pXo>ezY;ue#7WVel=v@sY|gFNIwTynu92b<xRd|P
zj>f@jA)CMs-Tv>6Yxj9cu)xk|?FSlSZ3KP|(OtN&s7bz1jm`$hQ>DI1InWW~W@AFr
zvF|{fQN(Rr@T&pXo-Ei=^U?c!znBV&U|OnVor)p2d=7~mF3iZ!&OMeb+N62=WVnt+
zT$Txl|Ig*xrXjpg0jlZa8^6N=SJC#7g855%dedsc@(CETA6T}Sr7@DC6(!q3xJNJn
z>sle=kaNt%Zr&jYkzZzk#NAE?NO*}iP@`Z^#D0`=#O_HD=T$BCNnd@s*O$2t^P{1d
z1J&=4$}N3lT$TikBImJzj0U1{S9`H87(4T+_^%ixWX@AgE-@#SAkpFiK?vTXh|)}U
zFNGl+fyeY3K8DA9I1nGAnvQN)M5UUsc>!>RkP3q=hJ2PjI9@#($95M(_FFHm_g4<M
zQ&lU+WA|kjCV;_|L9b14aTM@GXEn?}D!~wJv%`xxZfW@iH||t_LQd%eKbmc$vxQu(
zkq+@>m*BWI1~myrVH-)87Jr6olqJgn<7`Ed|92q`Pj8Pd7Lqa?X1K9Do_-Jk-(igS
z#6>XNi5=h;3s?iWscJ;f>xDSgJZg4NUcF7fwM`9Lu-A>qPuh**Ar&Xx9(KJ^HB}FH
z$P5&PXPJ#y?=49YUeT~5`HMouNV=hiAYd&;gKXOh;MoPhpggc8pLFuxsaEEw0cp^_
z#U_pQYx$l|!{xAJY(P1VSou?EeElRlUP3W;7T$cC5)N1KhEBYNo|3^xEe%fdFErVr
za|?F?7KdVCtQJyoIv+4;5%vkt(aL%H<^^DikFj5r94mI{59XqCdDB<VgD?4Rg?CjE
zZp`i-kVkf`Ufz4zfTZ&9n=$o|_>qDlGIcgUs}x61ZQWOZFV6aZckv}PVw|qO!TTL5
zCSpogeN5@pBWtHczeqAByzsGZH?L0eOkx|FH--9r5ZS4L03fveAlQD4lqEGtV473R
zT(?yEmy2k%=wDTA>dEec3;bg5ezT8<ApH^^o0Uj2#Q>{;fdX<9!jSU%P;`o!Ye1>C
zab&Vz!Qcjxpv}b{Rq1m#s$t?N&x8yD;Tzckk*m{3{w5hM2Qb-}L%%(d+Fs7}wOtnm
z0x8#4+VUa2D_P-iW$)UpuLRIo9w6lX(i9X7#D5N0`%q=VkyGMc=R4Z(iJo+BEZ$B#
z^A>^X<`!`Eggky*{oglxK~}*seU?vS5(TSoG&)aN5p(#ekLwO~j^}5LHP04U*f;0E
zW<})gKRrF=Z3Z=y!+{$4(UJ)kVOy)00ZWLUGUMT*(8lX6?bBEEJlY@u3`2DIE^0ys
zPX9NkpBv<|q%)77-6W*lmq#dJj895-cd`7i6r`SUv?#9V%SL7E5K-(EQApP(74^6V
z$c!Bli5QXuS!@#PhYLGKzh7)WME<wH{|$fGiEOnDONeo$1(-w{!3st11)uLux(?EB
z$|)&RU~a*}q^$#3kb`{op3F(=_ht;Beu6kIT@*#W^nZ;E9n4oPf%_<j1%uYyAnd)T
z)83GR3V80M_T1oR7UjSz&QWk=B;|L(-k3Y|C5s-`Aq1j4C3cHE%|dF^^H4?NttCdh
zo21g63SKJHY4ck~5cf0DtK!@EhVGV-m-Uue!Q#Ii`!IgmjgU2VUgfu%#EV_`TD!O2
z<`bNbRCBz=20=(4LVeicL&tnXy7@d-mj*rwpCejuCwcNT<k8B>n?rp;fB6|cu!#}@
zfshqZO+vD|GBGqV3JC?|@LwAEg{hx%cB`(g#z7a_Hwd2gT=^9Qglh~%{+5Ne!`wp~
zO+M-xxl0%l>+aoYWue;SWb`rWV8#qCymD*u6mp@)J8B~izH<<H$apzh_E+$LJX7bj
zIKSsm(f>QdOma~vDoo0@Jhy{A_054)HfVD?J-O>9muxT10<`6NpAFr|qf|Y&tkICN
z2+aqrBhIi=#p6MEJ`Pu|n4~zgCHbAoC1pF|MlyxwO@`yUx#J(_`NzB97FG28{ZnOR
zm7wU1@lNQxm)OJ8-swelaZ=AD1cKVB=rjE-Sy*26Frl&kD;0%+#wETr3l}Mp{)`0Z
zr}}nGkdh-GS!M^Ix2|^hS<eqm4G5z2P^!3z38JlYhsC66{)QE<JP+B!;3_$&qpLUR
z8}#T=hU7}!FsF2A9S32~X#Z%&Y#i9pdqz^o-m(Ms&l)D@Q$W(h<~fhfikr9EzEVeN
zLG10`B|gY0|1Z~vL?U4i7nPjRY=XUY;b@Q_&WRF*+8bdpxtN0nfkMU$gE4#$O;p%_
zw!$E7s5r!=Nv9`56=c~O1pbZS%FLtqJ*u@<fX=JL@@bhjtNTj!Pl*HugwMF^NAoHs
zQO`;e8@>XESq)+PAWH{`!-*v$?-F1lmGudz!yA-D^A^qzB>v$1#S#9-(L^H3u(HsP
zI;HpsQ;PgKB(_z~te|jdg4LAVrj4RH9^Pfnorn~ycH@a90H*;>m7Tfb<rOsfvr|z+
z`3@BLTUZp=V<`=cau0ht0UHP#UnPSj%uOLvan~>U=}QB+2uuH@$6eH%@Vd#2m{2`M
z>#xRlsX4JHCQ)UDGADZW^x#h4;W#q1KJX?M8E-x(%q{;I#aKasFV9`v@$$A$rc)yl
zLtQSIamUPbeLhx7POidszw(c1BsVb8nl*2F(6>N;Rmm9&yqim>Awum-M*}1_zKTWO
zvW@a5fy+<~OCvEPS0IJx2&2`O>Y4aMG~j0x^%0kJikU4HBjMCBB1Ggrs9|5Ohi&P4
z1RJR3j7?YROg|xh_BR))RFo2vSV`_P6?${zXnE=4#)8Y3r*_OujN|bvF18=4ui)4R
zt43eRRN;qXLI)e3G#G?ttNP%MW`|rTVcgjwqj%4ssqv!EgqHX+m<q?LAs$|ArKxmp
z7PddHOujVV@jpU40%+X0hp&R4EUhu1?v1q!+t=t9T{E}zTY&UNrWFLdQy3?i>!|{%
zIt1ECD>H^^iK}v}6W)orWZrHzHpe7H-#?U1uIJ-HA0^Eh18OmgFCHRATN;c@f@l06
zJ1IHDFs^x@_xu>%50A!^4$oCt>WB|PM2O06O3Fvjn_~P;YoyI17H{^L*tpVHCNUy{
zd`EAoz9~F>HHUADwi}ms_*t*vJ%QMQx&lpVbtP4kbQiEs=#dwJI>ijEvEo1fG)H6A
zu`Umykit)^M3@T5{zp-hWe3NtqW4Td2eB*Yrxv<@U(nKgG<K&s>E*&28c-n3-VYo@
zk6!qHwGuZSt?As*{x(4v{3tcv=MM~-W`MEr5B=5M)azK&>|I%(edDHrs~UmJlXiwV
zr)UzxUVXOj<bg79HuA5}_hU&#+C51d)h2ZIE~*+xDU>@#v3NnULfbk5$P}5z)Oy4c
zn#-DmD`f%2-m8%M^ipR@sPzaB&<Qv}T=^i1cA=DD?k!Ts&_oHH3MO2E#3E9h-i!-6
z2WF`sDx1F?EU&i~LX33+zeEG8N9nX9IuzfhjVZfcJh7R(0-WJ}UUsC}2O_Rn<Gzxv
zhVz`nu`PUveJ(NkG}jI?Csi<4{BWP$Q{e7TBcf5fah6?Xk13n-%_KE$y25=0-8wcJ
zPiP2Ym%FFCO8NvjD<ffV3O;^&AXqFwnSLqle>n@60ks^iXuX!C@_tdR#V9%?E!35E
z6!lvxsn|GyF`rUg5rtQMn{={txB^})>?A^0+q`Foy64iEw1w`qP@m9?2g+iDt*jb6
z?_tj9Qq1LFG-e9>yE?Dxlcj+2GZ1XkgwVd@dpB5KVCifQ+y)VnW+PKyOE!u~u)mC8
z3lL0yYL0bYW)Pd??vS`e`V82%w1wok&}_==cKez>;<(I7D61=qVH*%q^7x3cHB{Ob
zETFCox(pGcyxql=gQ;z=zKWjvpAS>vXFtOgJB7KMA7`+xq@+p3Tr^xx%Lf%6u#aeY
z`ho?+3L}7z@}3<q<zO8LlS6xgSl#Ku&=U1w<mumF*}W;-`;y^Q>a|ps+j#01q%kb;
zh>6OdEb204xM5XM%e4)}1;K@w^6R@CE_tS%kKf97YQqjDh=(%ASPd9MJh0agCfJY!
zj7iTJEiD?kli4kaYeDbf2t(Fqir=Ypl}$)<N!`n+lJIuru}}SSNk)&Ki8e<t1gn?P
zFvC+Pbj;vcVY(Srvt@HN!NfC;&FSp@?laKp9=jD!=;{qj*@yBy{Ma$yr`R_2*M2!b
z7?5Pn074hG#sI3h{-Tn7>XI1C%j#96lZ-aM8d?_cTt~t6zJI`RWzTng)nVlf7{J?r
z;eLIitnB-AUq2o`E*VDTw0nf*85k$xJ+rTt-roGbpHb<DlzVp5@Kds{W$jtHZEEBz
zkTl+BEGp&R`LHxjWa(LtBT^=yZlaCFD@0<Ulo5<Yaft)A*Z86OBan~k@U>$EFXcyO
zLL(D-q?958<9*n9LM`C(tKrgz7lV3AiACC4NB@{Tx3LSAD!-??|CxXX^Tt}KT0IS0
z_@>=q=HlSm)A+^$DWS0Hdx)9OZ4&F;$j`O2LhexqEY1G+#2`^+;QB!|Fw6fj_=!n=
zWk&{e@cRB`JwAa3Ju!&ix1}kTF+Qx*xkM`lJ5eiv<^Kltj8{;kw=3tmx{FvLcD0eI
zoU4ciDX7FjlFZ&H_D}=>66faC>hm-y9u<Y~!p(21PC8aB_?Zy}c|b8NY9I@SH_9af
zJApr6{1C4i^4_D-nL?^eZzDZAayzw#TJovGa>9@q;CO<;D-HhtRL&@!S)>`})5LS*
zKz-)=b7J+ac~SbRgri7)pmNqGx8Vs$ikh`uaHMR>@XTjg3m1?wjWE<_2V5(cX-ui1
zVP<Iw(J25do7Ith4Xw9_%n}s7Bz~dD(`8|QI!Rj`($5Gn3dU<`lObfeA3SYw5Mrwd
z8)M!D@1k)zlWaB9XKH=~;4{VU){K&!uQpE2L+={7zbO?=vCuQedQTI_@r*5OD4rm+
zy|C<DbB5~Wb%0Y>4N@ez&?tDz=kJMV+pFJFq&`msm|4+I{%1nTM=awP#5o~XGax47
z0S^b$8u|=?42|G3W{e8W7SJa#j|B@q?K6P@Fy9Vqejw6S_-yBhP!4aA4B0yET=EU7
zgKN-qtWi;&i4WWqy0%_~{TC-!BH5WJKUOJYMT9b+C|-0IiZBBxFI;YTt>Nv3y$Zf<
zA@-d^eyKO$Xid8q31t{uu~wENKxdqzNJAaD+sGbe4X`R@s5UNgYPnSm5O;~VZ`4#g
z(n!5zRJ9WInz=fwMPJP<O`ytwQ%mnugmY^4aVz&*q+0~nAcUG4npErG_oM`m5=xH_
z9lPZUZp`MFl^@zA5ch5779NCMN_EB1RF=gFh-^@?q<QdmnMWS-9qC`nlFRW1oJ$dW
z_0|V`8-*$a`CMg!xtmW-$2JbMkcYq1wb&WCN6rmsVaY@~zmkgQX@@>FX_!L^l1UEw
zNdcOA^6%*skImC&AIsUA!_HjkO9NoE+@#}6=vT?-d~AW7Te7?zHh~?r@;)Q*J{)9v
zYIw8_6tN^7FV&mcz}qta!qPKDu+KQZ#b1zOxKQ!t+%b%OBF0FScafCa`QEJW8~_qJ
z91Vy6!6fS0mb-InIX9I+hA#v7U6pe0ypRQcdRD+XzB#L>&9p-KBW5dtu04_@u<R`l
zq$%BVEP;{N5>c{j@zzC(+IK~zTQl!w^K>UJ=NJPgAr#-j@Neq!h><Hed$iCVt`;1E
zpliVAdtgJ93|bR;>ook<U9`=oekyM=`{*gE7BGm~J#^R7NeOwD1$K9qCttyeE-g9P
zYarKMgI;VC7ml-6Y==F$Y!p)eo>Z=8?^A(_m-3_80a6z(qli1zRD%7KHt0ua+U!h7
z!wOScg#9a7BI=lkoVFA`X4$=-Sx+OX{!u7hR7%!oy+yPHlkvS0LqGR86eFrBhO{vX
z&tWr?j_7i19z<JK?!2O|K9|i(K|x5nEJa@ia9OBQt_5owES~&CyKa4%*~ggy;~RN0
zI&}z>+DojJBX7v}RsuWF*CZ3E2U6-YvBUsRS&xiHH#T`znMi8@$YtSL$Ig*gC^Z9=
zQ1P|CAIq-p;8j_qwVM8Ktj!B1X7bxg?(g&do1(~r3T_SCUB)&NF&>ITX~5zZqYm(C
zqI7`Y-x!Q|;o6A1krnv_%?MblO#;|T(OIm5gc+`Ub^Yc%HFXPXr+uKP)$n_x4i}L9
zeafPEqJr6tRBv_#cRjGF{^4lm*DfKZD4F;4!zV5!<_IQQoC%dHT>soIzh;93T3P1I
zuB(>a{r8LYv8^JRIRssX{eTgCJovOGi#<aig1+=6ETSe7yn=(FqYJYQ-xJHv??~tc
zI#isX6X-|Huu<pSmZ)pVReHyi#)o^g{BHBZ92YWF-zJ`|^z-ygUF@D#UvxFv=o^R*
zx>en-L4CGP48OEl|I}8;h3%A*uv?tWmSmRJ>GQYrw*6{Aw_F7>6(Py9AT@@N`xF#S
z>^?MP`(fAq&bgcIAxzpeoTsB0gev0Y_8=s~%yi)n`J+xB2Kk=JxUbN3pSeWIMt@rw
zRI||iT$l43y4r+%udGrsN&4;SiK!G`nM<+4u__3H*W2Q+MM>RMJKLcKwx^tm=v$Ik
z0qY}A#%@Q9H+fG@Y1)w@;EWEU!dA?*ODQbhIP(iW+T4gY+-JZ<3hcMQEy%`UIbY>b
zE@+TP2Va&MF`ToxCUbCv*qZ+UN2R_fqL1AqhkLd5ab-B1r#i)FKEZ-ppJnDKtNY&=
z$~zH<W;a+@lDxg-%o2oYm!1hfjTC;VtAki`^jO*5D7^x9hSmuAQgV_9>gfV-eo2iz
z`1XAv7pQRZrPI%?9w!p4>u&&o`q9hG5m6!+CMWO~rL8GUTL1xIQ?||yF_5ikAkN0V
zzMSs?+ejXgn}%pdMgA?{7lo&f&Kho?{9+V>lcgu@w@M-K=SK-x9-<>Jucn0e1m!Ad
z3Vh0ZR-t)T;0ndXOX+AnTD4_5b(6&5lehv&lLz;rNe_rL>I6{6W>e3e87?pAncOW)
zW}IgdI(9w=5?I*|<UJmC60-LqcLTl~#)7|sK+{KZ<`Tk^f|CygZibHkCgue?%N{;>
z6c!gTcrDI}Pg36y9rs?3uJ~A1+geSIw+Q=Pp{y_-FbBvn6a~n@bn<9l@3I~*(qBBr
zI%$R@<O=Jj!Xt9+<HCOc*l^Z$Yb>FG7Vg|r?2z^M-e4up%_lWLJlk>hQ~`^G78c_{
z>pB6QD08ISfrw8(tG{l}UTVh?-130?0GmpvNdzBnKiE;%w~~8<WJnGrT;Bb46f04o
zs)Jcv&t&*;^Nk%|<{RKy{}F@A&azexRJC0sU#=$Sw!pnsqLJn}O2M`{8e*F78YmcX
zN$Z?Y``ycZ4c)@a#GvQ;W7~ODbPu}|D%AQ^fXG`FAehVM?4BZd?o9Fy8!RW=;IIws
z9rzBc@t8o8r#8D2vi)-=WX53AKK^Yw&gu{JDf|Cqjjl(XGKfsyeoUzbg5{la(=rR{
zAUq%}-rw935ce8Uc8>@9Dx?88fpQhJ+4t+~d#T5Vcb_FM8F0`LrV##w;`q(+aKrF$
zadZ`){dZRW!S_b>$i#KR+Po>_UiaQZx(70?zk95mO~L)V5izQG6`~1a&(JU{OOG!X
z&rPFurQcap;ss(Ohc6Ie*9(9QYbj!;k<FDwFICT5Aw4jlX7h#uwxJSVe8^>o`0KLD
z;F+TszT>VPQ}u$|`zEL4h~Al68OI=8+<4aL<{33rUM8kSFMc6eE~SgHX1%>P=#y*C
zsbj!zQyLrFu4w)JaO{A_i!<uJLWj;VhDr`)+VyB1T8vtLM=JamE>Pjpj1&?6TBK&X
z*1hbAXlhznylBzpQ2Qgkuuw<D2mz15?P@+=GWnoN^#doywR1cbQK#icz^oxsfl0x{
z!ODyFA?{SCeT&o=iu9k!Q>cQ%55^@x1d4=b;(61bRjV||zsFA4wMjHl+(%gXPgrSo
zUK&Bv$}^ioHoS^IvU*-~63(#C07r2DK3ZK3gqwP}xDv>MVIu+)wU^jg-h6A7&7(jt
zQ1u}rU<^PWfEiwf7VwTkg6krBHd|t32K_X04R)c9Z<f0;ANe=%XPhsOHSJhe2n-fE
zgTg2~JEjbPn-rJk-w8Tc096O(nnL)Cvkt)O@YT#IR^@`^Jwum*^so&)!|mPe=R;4J
zmH{iyg~${h%_6YY?r;i9yf_4_EaCy3GF&Ec?w8whhE~E1UQVTVc(8D6!H-g^kqm2<
za*}iFnv7*k*0J95q~VYW<#A4Dv0@k=-bgk?j*)>O%l7!lbQH`|m%*dZS@%iUo9&^G
zay)s|fQxFdVXM;VbM$W~Vnns_n7fTSV5I`Mg4D723CmCI;drlP8-gdYlEa66iM<`M
z13$}%3x(|T&%LkR4nAn&C3~+lZA{P!h-O9qv+XzO*#7QsJF~+(t|uBkNZTQVwuq2-
zA9}RG8Y;!@cPW%1tx@_V$Bh6@+pXe%ap@+@Ouk*OV^$OdjB8!Hbe$xLn~mdI-3#Nc
z^r7ev>55j9ri<9kmge%u^KCzQyXJ)CB?}hbqoYRQWH-}K_u%kn4+2%a(D&4ub+MT*
zY@ECAA&mM>04lk#6-?%A-V=@Z`)}1CbpZJ!X(AQSAy9(wsqp7+Ugc6f;mQH4Z{EU}
zwz_`fgp<^_P`EhFa)+o1r3<TcE`8xo&Bp|O(<p3G_R+|vn2wvHj`%pxTEUblM6==;
zimOy16fHU$!fswme69&0n|oF>_r2X8?@$-Z;c>nxW|yyCGHToZZ#eJown<tbNt`q`
z;3|0FQcbzW15r^K4aL3AW|cSuPL4Y{oj2qM<2N%Pq9HQh3G>5*-zR64di=@pMoPte
z)HhTcgc~<*xSB$&Tp5nI!U*jfeAiiy3!Z&vxXpQ-=&}+owKRfG4=;%53`e#50yNip
zXxLCsf@TD<RE`cq_=pMu2~0!&;dMr>{05r>0S(N`BFLWFyi6gY+u{?vm@W?kWwQfk
z1TbiGpK7QaPK5klIupE(D8a>T)IO@lexEq&q2DtQP<NO-NLh{a@&*6MrfZ>vv<brO
z%koCQE2xlw0rM_0(gL)C{{|IVpR?ASL^9ZuBpkMM_I9|ws1l>4hM>4bF#N0Wd6d~L
Cvl0pb

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx.v
new file mode 100644
index 0000000000000000000000000000000000000000..61f195863d4bf53c4d68031fc1ae01ea562fc619
GIT binary patch
literal 4704
zcmV-m5})mh6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1PN#)klWU?*})D6mQu$FP}KJG~C<LVk5
z@H4GmIycL|UdUAO9DJ3B?n{p>{_R+7INL<_NT_ZAJ&j~P!{`{bZn%APos?O#Y^C4I
zh!JwA$TNeBI{GO#)ze%V;49?hofKwK({}}GOz3B^qzX>$GMYA|zGH-~%pa}v-aLEe
zA0Jm2J)mv@jZFm~4fm>y>~vf<?h~)vrTUiCsJ4}Y+`lm`+CKnF0w({e0gev}aGX;5
znfj=MM%3$`CoDt?G*CoPaqAUben_B@e#=9gzrM<a2Bpf@w8F&@o#1bs3oLo=h~WP_
zp{Y=(nY(Mkhd~$tgaf1<GSH~oV~&4s35I=olIqz{{T>@NV{^K17yOunlEYz}yCW2G
zlIvpR1@v>5os0T0qp3opKFtG}6vk-qyqSZte?SO4a3hm-HRb+G1ZooUgl~D0lK)!J
zsf<j_;hlzkhhf{oe-QQ^bxaZq#6k7kWzIF-k$1_18cl2{Uzv@<I~`0C>&0eU<&eXM
zXgiTF=1>pj+gUU;^vQ$=Alr5*hIDmVheJjli{;Y~=i6`r^46=BC)ZtT^+0vUk}{6n
z!M`Lxu3e0%dg>P-CE&I~NZlc54MuLEh}lR-Qq8o_g$d*ECDRnzJoZO$M1xS<#F|Au
zfgfsLX-F=fB;eJU0&dD{W;gMGv<(;u?*<D`t0z=wPeuF=wEE!1Ho{PIGI=InV}lFl
zt61z5^S$GW8z-FZQI(1M>D1reiD8O_wg3tW%r4~+0XT?q)-rb?j#!h?R^r)2oU+g!
z+_Kaq`hYc9w>hL%eYYT0YRXJyFq%PPa@TO;`P*x?gFELYmE(Cf#(8;!p0OqvB&@J3
zNHpd>!SD{&+PfJS?e5mA;H4z%Q6b3lr$33e^%sFa-rRvq{4mYiSTZk-NL7I&SzyE*
zVLa{qj<DxqCIWb2sF*mjZ}gPtZ9rmQ<V{D32d#d9IouEm|1f3?o-ZH9NnYKpKk4N6
zJ;vw`&kQC1p2tiV?Smww1;EasqyW0qf(F?uVce!zRhExZ`&qI--VG@X3KiKW#;eZx
zNL)tdYJG$VMob~6uqTli!r-p)gx7%i$N-^9Z4yNqsj-8bM5M~0;t@`w^`Z3v*e`36
z@7_8sr5M(%C1dTRu&V~sca(Afnoy@Yt7CiKKjb4NUZRTq4S=VK?ik~J3X*v?e>k1m
z%)4x&V@9;ej4gm{tOo2IYKYYBMtzbz6LM%eulfw&rMX1~l@Rj@wWETf(AMIAo)B0o
zh9w{j_`jYr(g@a;POx}-`JNq&Xo%_O%i5Dkur}~HT#t+a`>V5W?3lVNG4%P8t<?~)
zrjYJcVtJQXCEDJDkXmyBPwjG7C7ksRA*0$(!a@2oe($i5I<|^5Z`lXsz@r|TttQ%=
zg_-%l+Z#GrwOoTuCF8OM2hs7|t}0YO-$eD4x0;HI;ggKOwl|45qb_?TLa6iQR}X1*
z{xe`^yV5uE4+fLlm}2NWZ0S$mSGYUIS{~FI7{ve$UNVMP`z~2`2U1qrt3@Z%yX>9<
zu4vp2`Pi>8j1>L(b4-?psrZTWg+W{WpKfyr!2D_BTF6G-PH_(TE?(R3WGDgoq7yvA
zeg@{l2<_5Hnd!>KH_Yjbww+-lRHoET3b;vgJN#I{*+GgSX?+yK>Ex&9&z+@r?Ee<Z
zBojg1PE9?w*HV=+ov3d4=aR$2uk&}$xx?I}ilAV5MeOEF`bPaC2l&~%MT9v)Q8UI7
z^Ep6b&VETK!hLQYr(DR7G*g6<$grt7Iu^8aNPCw^6CQu9Hs(Z9O<_uaVucx3sAt-S
zLY1e`No%`T4yu`0QlNaT{?51EReM)Eh7kcMiXGN_6rX|#SE#VJmLNtypcJXvg_r6G
zTq<$KegVQ{bvj@-Q+H{k;*)wsU!!;RYppQczV&mh9oww(Ws=_@obcjzRN-}XHKkkO
zCb~uwF9Dr`XfF&8KeZ5c#0F!u0Eg1=>Vz4f;q3Pi{s_;rrUz^3KwNti6C2M0O+n{F
z>a(ZC@<a-v$agz51#>>Y3vZ+z8aD<tLiw=GuKPq)8lS1)jdter-+@2SOeWlGA@O@u
z@&{nIQT?U$g6=u8$!6N4K^VZ!dOtEg#{)HrVoNdD!M>&3k%CFXJIMf0V7$R}mv{1N
zJWT)hrbO--So1uL&PHXJ$Jhv)bAkXJpzkmj*O7*23(vkq`k*Nt8}k>UL31XV0dgH<
z%7iOcDzT$i?4MPnuE5>`P(k_TGEo#^>6ZiJwo&;76OBjn)Tx8hD07q0kCmc;?&i!Q
zb+^Fhh)VbRSwpfVcHn0!H3Cdz9mOl2qUg$6OFCd*Et7u%zg)f>1K-J*Xb&;*RLl!K
zEe+FSCUPxtnYa~?Vs2TAx0B5*SGz(LK47v6``3hqR4%Ife@&*}5?%YF;Sl`RDWbqv
zLMOrF49@#1CQ1=4YY&P2wJ=(u5O{f#Hs=I*wl1!<JCYTTE7Ie&2Vr$(U7H-&B-C*v
zLKWIJJn0*-WOpJ>x!@JtR$c{qmaONCw}|h~Cmr@Y6nB@oi;_AV6_Wdeitm3T(ySW>
z8fW<rQwp0dUSuDY4*R0QAKH=!eD1gr%~$%T@HhSM_v-e}Wm3~78!iw6QZKXEW@t&&
z?^OD9t#I&2RNMa4K!HvGV9w4QZ>}D+VN*UQ<0Nb>GY$pHhG~)2QS#R{lMw1IO$@R>
z_#c*rF{SR@i|Vp<W(Z7k3H4CAn>mx1L#*&RTiLh`blF+~b~S+Xaoad9wCkMc@-kR0
zD`QTty89;w4PZa^)!}M{?a^a$`FSfn-9-7(ZzRl<9qW+TO!(59P7-TcB$kvIy62Th
zRbWIF+UR$1ltxsdaqbP7ql_!oi>Yr3vW0e)Z+jft`@8?kZjaLj`>lg^nJO%pbT|xI
zK4zz;xoaST6w1*U@W>~m8E#>vlV`z=r#_X9zvEY>NGt`2yp%2Kz7PQ+nc!tM9!^^`
zU~`;#$5HsRkAHjfVE~=ejWYAak>_l4LnfFA928K)m1JC?;L{uOGAaV~4|akjR<skg
zmd~G~WoYkB$Z}w1kQQ|H|3nw;NUT&1ovgN=C2Sy=u|wVYzz6Y}AHp?@tr;$y!1<At
z7@Q4Aah*XTD%-sO(k!B>QENxa)4*;bB+%tLVyMe0;#m8f-^*cS4UY-}Y3@2EzWuE0
z5Jlx)Jg3%oQe3TqNnbyHc*-J6sG>^5gfUUb6RrH?hY|3X1ke(ZzzKdx9a-8A-}#Ep
z-QbSS<UBGz*&<P{0$?fPmhmfs{C!DTG~Qvg#;<Rt*_3$yD9kNM@$1ScV0^n4(zn@I
z%L8>ezur`2PMg^@7lbRDX<x>r<~r60l~cCk91A5My!mHyFmZ-6ss-`K7vd>{Pl_r|
z{}WFiTT&bNWtnzUOc6T7SfUJd-~_O@JWWVRRE!d^=VeDfWQ2gCHwpsUe*8XUR|yMP
z;0^Pj?0s$nr(-fzi(g(_HMFoc`W&JUd2nVzIgnzio1XoY;X+&1O=%j3rAn=R4G*Mo
z^q^LDdM3)qs96Lz%}J^E%n+@e>|4~i6s=XPjF}}&6+1BR8{)4$X2S1!;!E5hQgP18
zwhZ34yf*U&`RaN$XJa;uX>jCKn4Hvm#@E+?*m<OQ`74s(pfDnv-^bCvx7Z!^f=j<l
zq{W4-T1$yJ9`7H`OL|GBl+|~pb>-fKD-b&P<@OpLkcKx{fXIPRYH*)bYzSRx2;vwL
z4ldo)zAr$f;y}Qgur10&!}fkU*tj^2yGwuj2aB$az!dR+i=u*}j=2q#8knU2^D;E6
zEYQJhwf{&`FNevw>(!`wFHJ_-L8u2^ZwXA?9<eW{v^M<8?M_FR`$kU}sFo0rce52R
z)xX}EHxC<A8*}p7#>IL%GP3eq$$S`ZH7SW#O}!RR!PAcwA5|;o!+8+j?--pZDid~e
z*vqBct&w;B12_;S%J_+%*geb(2kM{G!yU?UN_POhHKYrw4hGu157KDsc1qF_>wwq6
zmPwuhbAVsI1daN~o#GHi5z?}&<GaF^Gq@Npy!$G|0bQX`e6v|@hvdu3Q8anXA#Nw$
zvzQSelj5UZ?UHwW#U?I-YvFDEw5PbE{i1H-H&QrOLWB}Afjpl$C4VGZ|K2PZ6iJ}C
zzyzpUuE&<+41l~AYYAyS9U1l9$xburf$MWdt#^{;slEF#qC(X9*i{_C`Nt0yZa;Z{
zVZC4Et4LrT;($D)e#dGoWoPlO4DI%v^Nk@rHet<437yXZ=0QO|-(L`maAlR}-Pc3q
z!b`t)f$Vb8cpDgkjvtfKY={Ry6Zy5|XHO$)%q|VQpLzD+xLQVCU%R1S5M@az15hUQ
z%iUqsED)+qgR|saCUPaTwOi<&kXTN6W5oJU?b@U%b56OZA`bOale9x%bu4k~;HeH2
zD~3eyrJ(s9Hmv!ERouNS6In>haxf(nyi1w48<gl6Q!N!{;|?Mk^>UT>oDNpJ)?Ky$
zkPZMpbYt!$=u0{geejmtk^85nXu}51*2ed{NB9BYfLKMoLe|b^E|?jf&#T*3YCAVf
zc;oevP%Xm!g@vUqbHt3V(GYBj7>Hk}1F2LBFwzyM5d|ydR(2rnHopp7{{%E4`LE=<
zAWuDfcd<WB+%q=NjpFq|>W+3FjNazv=D8;_iMnT|5oH`Q0~b6nTs4jbt3eHCqa#s>
z5-e*o=Ci>Z5ENoM29D~aVC&9>-h+<2nSMab@CiJ(q<$1&owI@!cnmfF)s5N5?z2CP
z;m1)Mf0VA+Q*Ic@am<TI9j2Y#fL5X;71W0qj}1^r2|M~#r~{RgikD~4NDJIxfw#->
zsm&xJqe9ksWXlQa(k?JYHio_x$<E%4SxhM$7XYo!{47bcP6O*8@}Vt_EDfy;U<|7g
zAWA~<(nrU+@pH(_&sriE)b=5lK0!KJ_oj7|5AA}Qgzl$3J07hr&LO!=tXBWY;L4WH
zog-iL%DiWf8wU%btcFeJIEglWq%^2TmgO$U&!H+^dr2{vNmQr*r`9D1u0jj?N5Yg{
z6mz~eugsOT*<m-HN7xZi8ycPMNKa9mkB>X{&l*)S`J5ye0@zMYo}Ld9b@;wsjRGk+
zzMoW2b8gT^J`x`>dEL2co$ajtXA4N6;o>6s&YBc!fNGUNK;L4!Nx2m4A!Uxx0p*Ab
z4Kf&PR)#jF2AUkzoIZmc%Ib~UHSPSQ(%XZA+-lv$X(>&@m6>vZgkH!KA`fxh67TcF
zfCU;M9t7n!L8vJd(HQ=M<M!Fj1YJA&-oWNKG8)kFsLqGL^xl%}2Kz)3PMYo$>#Y1!
z_Mf*-c*0(03+KGRpLPu_`Fx_|-3@HH3$$6460#WQGyz0<E`9$wTEjMP(B4jD>>!I-
zyE}X-SOQLMx^o{RIfVzL;5oA=gFFO4<zdQUq*Au9H4Sm*WIbou!{`G;{$-)J*%LVE
z7VUbsUYq3ULhidf4ijNbWSmV7O`fKdPVCS3&chr>ys5Iu4+RY6ngNV23&>B>1+?aY
z;+AI&aS5Hxt%1$c5JmpRSuplf)^_2cFV!@~VupXiXF>b?I)r`;p0=R;T+UQwb`~$(
zuvY~ak9DM}aggdjdKokh4>I87^`dtP+d6?di)+&0;6*0>&_dy^6}q582`2Sx0?t4`
irU-4!&PRSS1Bz8|-=T6s(-t|b@H@gBeXPEQE$9SoPaeDg

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx_converter.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_tx_converter.v
new file mode 100644
index 0000000000000000000000000000000000000000..4c72a5356c25b08b47f21dac2d545691e4be4dc9
GIT binary patch
literal 8224
zcmV+*Am87M6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T(YvG;mvj1+g
znBjJZbozzu=4^Sls9zT+MTGbdcr}B3C-Pg`UapG3L8V~E+!_(v?C?X@(&tz2YNr>2
zm*-PHyxW6(GvwJ`HWNgL!Ud3WGEkOa%J|;45G!O>TTyON6xqX`bPY)c??>;Y&co;~
zHmPiEg?~bF50qJ=3OuRt(=53fRD?YbSRDtRDT;fR=nUV48T(w>4-va3OJ3xS>Ho5z
zUNF0V+opd&cb_m(DP3cAg0eCWU|Y`B%1@ZUugq1d@n(S+K<4G1N%DJV)XUHss3IX@
zOMXYjstRbQ`W)%q4;6`Zn|+6J7G5`)I!1Lz^8H{KR7K}-%rw}ZDEdtqTMx6^b~g2U
zCVuA49^$9^aD=-+BsR{yESiUj@rPG3X<hO{=pr7aLfCF%pE6@Dx&KLphQ2@$!+&QB
z&Mo_L!P`6-VXwl>vGzecE~D~=TyAHA%DI0{)+99Mk9jJvu}_if7ovewmf%LGE6{(s
z1SiWdwJ{gkMd>cH7lG!<^gjFhk4YRxl4ip%WFvk?<Pk*9H1jS-LENbC5w4cS)`BEE
zEbo-REi-VtQ{`x=-xwUV_Smcjfay%s?aq>ZgiU)y1VxTvcj%))-+F+anjx8Dt$j$m
zG%Tx#6tP5PeilNT0>qUQ1O6)tSMY!u5^a(d^QV;OXvhJ>2TRfPVic@w7_2~>jCwgn
zZ)+s`LoF(`H=NA<yPi|7K(s%=V)I28MfXyr<mn-=UM1|y8IXWgm5Pv}zGaw~U~rAq
zS>1x_PRMDoOom&?C!!Wxz3`2sEZEsApPZRWM}F>*ZW_a^=v10G!WG_7MI1qKs={4w
zunT-5`MJOy^mj10Cdcm6`#wl2#=*SytflZYm2EGytOEcZl#qyLQF0i*tGfpqsl|vZ
z?2Sq4uP5gwUQ3--7TZ6EX)H@}{i!XNt^FjKe|WlHHpttj3&WsFq45uzdw_2!Wa~|4
z_B>Otf2$&L0N!n?=!F)GMFky4Hx{c_u^sRhz^6oAS`v-9lfKA3f9-b}5!5bkIF-H=
z)shg?zylFs6P%dm<F#i<hj57?@`qRj8l~dazPlHj@P^Oj=`TCL#BXIfnN;?WD5=i^
zP-;1o)62_apPcXe<b==2Je!>Qjm&L_z{1CCH9wH(=V%e0LzY0hCe$X;Y9h>52_G2U
z|B8-Zwa!E3F_-y8-QF|}Rt6gg9(48bojcf3c-AJx;hxV~=1W+*R=rPoNq0quNI+4K
zCXK}FrfCWjc;$B*NJfrEylLyEi1SZfI&ff$wHr8%kfyif2mUvo7L)uSBh0eFd~!!A
zrK*b{v>t!ypQGEqFW9gmP#d5;+*A1bq`1B8MVXF>7W0FsRWaeU?qoD$G(MF6MP?jc
zqk6;$4l}CO35Sc(U#nr8XJXK<|C`Cs_K@Phl=F)~CFT)ul3QcOj(VSa7h%Wnz}ap$
zBhUF47jlQ-cRs&(A3?9L1+YY?x>N%-yQg>^ML*KSBhELe;1y)kolBLJ7dVfR&&*hY
z(_=H)of18^=wzFC_0KX&Bt&Zz8A}lVWTtG5?!o~ifNT;L=+|+2(sxP`k&hwfZJAP7
zo{gNKWTFM%WrgQaC49^ynJTu9V(G3P+15Nq<@1-bXSM^Px7VbYGJf#>o|`q0LkRMo
zGMQ|nQCB?-3+2`YRSp_J0;~I^O|&~nM_+~(S4+t;<v3E+%^z?HuL9juhx*`IvvdBE
z>V2gbZF*!zU-m3AMs2)1hF1C<Xc6HCb-l}?Y<3O{Mw375_oArHEz7zXlk(e4jJjgx
z%nqTGNv=zu+4qSNnG2;=UzZTHH00+s#=5v5Y>>wA88=rJBRhL2l^&ZsNQKxej8HM2
zs4N<|SJ$H;u$<f)_EwGjEbWVya|rYlmU5JQSzXyu(8NpN2us1jxiB$``_QaWhOTA1
zDN2)qNUV!w)z2*D7RhgX-rqxEv4cI)3Tix*Nv-Fj9`Hk-`F^1SBZF+NP{~C&gh|ig
z!7(NtKbJXyBEJj1t7`WXVgG$@4dO>ysJkY-ospiG>AdUlBY?K`R2@0@D0teAr9a4{
zjbUY}-U75u4_5Mhhw9`iW0-*IJ&}@jHDIOYGlB>W;yPCH_@2BpLvzO)!V#sL@S#Qc
zr7pVf`%N9Ql3uiFpiutkK&Dur^qH{zz-Y`7yl9d$dA(<#Yy3x!>L8;v&e%w=Js!a=
z5A$mMYbZ!hGeeis`wmXqzj)8wi_}B!|5KEjz&wF$yYtCGws-P`If|S7Da#sP83C*c
z_v{!Vq***iXFIg)Y~j!vd{FTCa7cxm_(nVJoM_kGQG0*dYAJ}ReCfGXw@}muKIpN<
zF1>@+NTI1=-V1hO5Z#A%i#&Tola`Tlfxu?4fr{{^i$ElL(29u#L+?*j)n^;1i%L}s
zXU`oPUSB8TF)*-ptsvs#gLPdVS#2s3$zuKmia?P}6F_fD<kPF~vs-nuG%3DnzS__{
z(l;ui$D(3ehyVpeXPasT#AohT@a2P{4s7n(p>kKMdW#M=eG=`Uif<#n7vz@l>Lp^{
zr#nD)qH(GJ9JV?KY+eH}{R`WH*mf;bL2X)$hJfm(uVd!&V@396zftg;XZF3ni|}L5
z-n_#6R<gVBpS3@7`lr#kM~!Zxd`_kg<FgkkhPm~fG2YM3SE~|(FA6&b84o;hYv}oR
zu8ET?d(aloczop~?KlUGPqAYp!$w%AUgqr2;?u_THBRYB2pbCL+R8iN=94NrCW81}
zTbavooPRV!6yb_j36ANv2t0S0ePK)FIU)vR@>8HcJiYc1{Wj5AD<XW(ntnKP71c*S
zsOIg~S3;Yw?2R-sZP<RS%B^!K7BSVHbQwL4w(1{jZ~9>TA0*Ep$`-uVs6N9~(W*?X
z58-=T1p-2+`qZh?LQ``wpQta=hKW^rP!}hWlTVt!%d4GbT8_|xqCf<4oYnNon7cd(
z>exw#P#I=CknI(&DBZ8mH-%mWFlUpVsQ{;;{4&KfDjNGCo8tau2nmT9lZp~(rg7ir
zjD_sdZXh_FVmDqT((iC`zfrTld9XU>Z1q7C4WPU;MgKVnA<RG7bu3@97myF}JKMRk
zifUZWoT9oFju^^2GeU3K24@s?SqBq7GL<Uhm&We;hT*^6$V*{C5oAiFJWg}DqGji`
z8!x#K82pxE2&+ynSB@jV3~n8AS1bNQ-(MQSMF3SMqnul^!XRtGQJjhXf1>}xg2pk}
z6v~I>FFCT-z(^Ch8I`!GQ=u<unGOloU_t-`ff`5J&8k;@^O#vqzF{nzkp80+-CQ{W
zX6<aw3)G}pn-qFRHu_LP5<s-~$u6LG61bJ>p7rJuoq%g6CDwo`W`QHOksdE8O110-
z^QUWfJ<NS<d()|^V+G~u72WI_J8RPF{@K$*`AE}Q|5{hc3i2R&Y&0%o4HNCFej>wb
zYUnlvyO4M1;gU>p-1JqHd}b80-u<6OM@VFuCe<ou@s_lfbC<ZS7N^TNW$~b3UYzB$
z-jzj+wl~H!T>b{fST}rXc@N6B)uiv$FK{B<)rN9WL8(WlOiw4scB_e+fJ}u9Im9HP
zG7fTBQ37D6vnZ0(bL?rUxo0YT0iWOOqkkJmz<gw5!AczPsLp(y%2-f<I0)6kKarxO
z${!K91yt}pJ<vGWS`F+LMPto!RtWtg2r*g{lzu$+x~)qOuVDoyzJC-ova7LP!=`)O
zmcf0`vGO%tl%~z8@vQZ%HUYJzWD-D!$TwXB{<=%<050k>s49Y9n}W8I$)wh1z43A}
zoI3QC2As(P<C*L<s=vuQ0vuU+h_)Ir$TKXm{CRrx!cd;i?r&InitD}MnKQ!Tf9Yc%
zML2YUyJ|1=hFCFWKo097h?abR)*ySW$>zY7tHujoF$VC_7U@Nj;iwO9%%Clu0DW<r
zB%%?pf}!z>x5q}vrSdWg68DFr;ML^cSp>SrOf=dI>w%T+^;v*W=jZZ&nc@j`T_YAt
zz*6gp*a^%3Fvr#aj{VNDAn#z6P#$evfdF!xl<-Mi?ck5nfi*;e#7UcvrEw5+Hne}a
zN0kaREb8jZyuZ==N3<ZkfGavPubk}K_W(t=7THdAn8`G*0YCJ?AURt-rF${$xu+P&
zH#bN_#@ZS*@CT#BYdQEi@@^0u3%Ht1ZCbjDK?BHw^a2Xm(Ke#Tm;HH_p`gK_2?DE0
zn?86qLvv&4Zc6=A9YbA)sY~ON&hPllld+dF?QY*}bBKXu;G;LbHJj3{eB4=tmgC9G
zpXw9CFAd^cPg_?2FZt-Tu8vpDrMR6+W$4zu_H;$FTW7(F|097?g<s-2xAB0Mrj6?|
zN@{1$xmRrnF?puySqleTsk8{{oWT^H^=~!SUYevy%94RdLNDvzSDcF6Z*1+-*ygJT
zBAu^u@Due-**!Z=FDg!6A|rhsd4pBAohJ+^z*uIt&W&VtsctP^h9vD-Y^dovGq-$D
zA+7z6!k9B<jF&8O0H*ZJE}4?D=lY=;&QEJ%H5o}Wmj@`KVQb@>|Ew>uBNz7-4R~Nc
z@8a6j#|hTYF5H5e5zmnCLNywpJ91Xws_r1ZMRdfFdBU4L(vOphq^IO$1SKn7^VbJG
zyefcbiU0~ab9l)f?8`jfr0Vh{%^lSie_L&|9&So^PQ-(xEML)#g})dk|KcX@8+b?H
zzUP4tW*S6tt}Pv^R-yw4>Gg+xrMHhM<~$EIC(1M!SHpB-Q<#ZVu+!cY=wZ$)2$<T?
zM|_qsgqR_!hA&hz%P8uT+5lVL$_AR9hi-b|Y_N>&tYRWeFn^c8ZmlxWvvs}b7|8UM
z#<*HeJ$=TY9`H~2M^gz%%#^bsaQD9!D}Bb<(1EHHVrluJEH2HstM+&epse#~QTU`1
z13!tf!VM@Zv*aV#@iQYv*f=>K4W#|kK3ED{`rqP{y;MfP8gqs8ssz*2y1qLhTJu~s
zE{1fUHf_rdifVs@`eze}ClbYwD)ee^LJT^a-ZKi6KLwC)#`V%lfZ{$hhgo^V-QwiP
z@c^)4q7ONN!5_;$$;LiVe?5lHx1e{9JzjGOxOE(n*d*rX5G^lsDMScHh+<QX-2XdV
zJUTb`xeE#2b``f$Ri4D<*=OfZo=B>LPQ$_wjgQOQSo<Ldh;(GcZg&QcJ<Aq{vCSLv
zr}1NF?b-V<y;2+cPQl#k8#F)bMh{LX)d(iDXZsnf^kpRIR;p%XbArlA0FlvLDXKkp
zb|T$Wg<+@rXbdfuf)LV{nTH(oCybSO$1LpvqCMgau}dc9b+=MzjSy^9(tb=efVjmF
zS65aAm(1Ae4hCPTK4e|tX@PB?>i8-=Lutye3^2b9ubL}AO(9d_oLozN9!VMfL?~X?
z?ege33(jmKr#Ggseim0pC)h%AE?)Bj{=jI%TC2QDmLKhQ05$Lt)@U(hQP8QX2O>e3
zThCK+gH-cSHL)a~)~y0b@W_`r8B~T|opa3*wAL=*yHL!uVJ1XW?vZlDr4Ysy6uBX6
zBh!UNdeOrh{@7!L=t}hIEldJ8`DGwqsLI&y#T~q24dIbxHW<#X>f6xGc1trGFa4oA
zHb4akEp?sROp^qvfW$9jn}8I**N|#`#+vBBy+|F`ww~v=w~xHrsc=b#B2hZ&iw?x0
zx2`Xz5VRsf-n6@b$RzPg)qx(?<kaYKRbtN@rs-LL0u4>D5b3Dw_In{@-#AEFqNt7U
zYvt@>e-Z$;ZO?AN5ce-o`4bN~AvH-tu3ccwxBnR1iHEXxj=JL923fWHUI7B4s#V0~
ztiqBwPmopeuSW8N7u?%MLzrFpt}V3bd%*7hl4&s~qLtz&idw^2YWulbiUNSVw-8L9
zL_O)lX-@FHtXqp*ci3*2t5^zI=DZ5a2P{JFp;X(tk3H;|+r(0HaoMxcFX!FQqsUpy
z30p(t$v=;Cql$INEecW03N?Rl9?YV7Thb4AxgqG*GvtZo$nVrFvv$g4)MRmOJVt>`
zK=W|zIC32ORd|$wT0eqd-PcT^QmWOEX%HYo>-PCgXF%`-u_-fhAbf~dNhA9UWZ~9$
zQsEyp?$sIRz<!b>WVbd9TXPR<LuW2^??)L=F04V)A--r-Vp7v3<%lRg5^OO3GUfiF
zPCZEl_K)dK%KTbZIFOpM!3GuS-k~6izDlk_{)KwtR|uNU;|e*#u(pGWU0BLhWG;ZJ
zN}Z9F&!H@eB~a=1UBrHLK)F@fQjMX(RF#d1REcuKIsGMUb&>u&{oA&u?l0kfaf-nv
zW0xD{!!I7BNZ@)HXluq=EagI-@P|W+*PgfZ#mY8!IQ)N~WNbnYj5WtxYQ8xW6H*1r
zU)jf_|Hr7?n<8!{(iz8Z*!d<?BDM36iwF|-ywOyuTH9sb3gA%V+GprDvn?x?owXOe
zFAO<FGih4G#5{Fe@1M6QvIE-uC2Kh3DGYe~92PiGT-`I4Cm57eJ)6MIrirnRtY`71
z+&~-|vonE(Cc)qa1pXRsT;_~YiVYaI=WFn6x4y(!`!(NC&BO5rx{cK>t!Q9}3-m?v
z<2D&t57KwB<E2w<TPsi(eHip@%vg0&#k?p<pZ6|#C!cO>Y0KlEHcTPb6JKh16b74+
zH%hdjq(w}dP3lE~5QyJ%Fr8S)c?;-aZB=+H2a7h|7He!O=2-*{U?gZ+Z*k*C_}mPr
zmv1(E2E)fp*5B|&M|^~!TRELTI`8=OKJJP<u`q(-3r#$mR<v$$)IOlm8S0<yN2Gs4
zO=~tgOi*%jV0qmN3%&`C+}hzH087Z=S5HKAt7{H)Yu`{iD>QM|2~4Z-e5W8O))fHP
z6dhETT!{&MNuBJCjrm$`J#?`ccNBc8$zEH!gGxGKK$SrZQG2Y@T{x?AMN#<{;@Pqm
zA&Pg0Tju4s>-1`=YcJ@Uc!|8=%4UqL7E@)Wh)BNI>m3!Z0a8o~ez4D_rJzz~I!ue*
zWG$n{L!bWx)|ETo^{P1>#FR#Nn4&eXTf7nGt8Ai}Tc;)1T!kp2mFPoVDrvZTPEuM`
z>3=tCn;wIjoBWvb?KU)?a=Pm!;;TVj?aGsXyPxzHZsHfDKOF8a+)FG4+x|2@8<9PR
zHcgkkAMY8eYK?<wtJ7lUf7CBEhO-Shd`j#k{7AG=);DR4Piu)|;IKfA%yETfz^T95
z5j6t?EVB2rjSD1@PYIu>{=VY-@bkSCE2rmKh?<>04}M+n+OxJ-Mc8N|_B#RJh31Fr
zL_9C0V<W}LRWf_a-=(cj(<wGmpS?OU$V(?N2%#307*}U3fP5p>jRx`aHrba@K4grv
zIWL4~N^pZv*oUqvE;<7BL7!(Vq!z1R@Peva6dd$Rw0eNXS4<5c8Y)){12lgB?OV<x
z!`}Q!O<BXkRH3MfU88McI0zK1;bsG8FI$N_|H=mB<uIrds_?q$;_tG$S=RvXt`JdA
zlLO`N3PE`L!Ix@-BxazLqKmTCoYx6T8D6P7AG@Pw>{4G7!&^>=&bv`EkfHr69>e%C
z8w6yKPKupyV<$-jL`tbG^P8Fh7#cgp7I<W&Pvql+Sswb$y<I55n;|DDp@0Q1ShFL2
z6sk0+vt;8_)`^<VJa-df3J59ock|P^?}aO1CGs^rwF-P|GhWS6gz*>gUVzu1jq;ci
zL{k-XNUw{Kl`|N*E?sK_q9ONm2b<*eN<FqL+Z@5DBGWE2=2Cj`^(dq<JZ&AY5Sox-
zMpB}KH)Nn$$B=u>Gc055hulbWs*r12$?G8%o>ZvcsLR!wq~vTmuRxERlDilP?m&Tt
z#qKVl+SNj2a+kYg(5aSu$<ccTWeT*pbEf!63R-%h^h`T_C|9S!Qca|9Fxu338q(!e
zs*7DGy8^|Blaol~2el{~s>(nG8PYqLRIa-v9uzR@1(NUsp6`SldGOYa+-BVx8@f*{
z4!9ePiAOAXSP~&QfvBD-=C?a}yR6YUDQDfQ6H6RedccJn`L~@vrj=I^$5^li6}v<M
z3TesA3T{W7JN8j&K2I7i+}bE$)MjCFM9^=bv{f%;kqe4L(bpDa#c4UH%kUC>&qJz_
zqq=T<A&j_Ran7)1I}t|EW;;K_DcQB`SR`D>cbvUH-<AkVdxw2Nhd-A4yYI#9)w-F%
z*~yRDwb}7Ob`DjQ@lo==fCCLd?_J>l!l4ttm#PMe_t7}+UyT4{%@BW&I_ZVao3CXc
z%vs6!TxgcZ+wpMs7u70s4b>d^-g|0Pd71)Lm%FOri0}ruI)!;7p&@ip6qDJSVDg2d
zL1LuhA;#;bajRQhtYx@SDO^>rZUqReBN~qezL@DxE4tzwS#YW(j2npPK}f2EQ8-`5
z(_|YN(}dYG?2MMjzb{q|Vf43?QUVD6s+&%2iXD3hx5F5unO2~gUW>3um}dnm@0R{s
z#`<B*s{6Dg*!RW`WqlI%2g4d?3iF1_6Q26IhHka2(|G6EMY@&8g*rmGo<QJ=UDQt)
zwwDfCZz?{^7Tj@L4e!>sbU-+MbDl)Wo@Rp*o%NkCx75^W)cT0HdGVx~O{K0CG*@=h
zfg*>FS-Vy-qW3Jz^-?&e52S=Fiz8IFe!^u*OD@Scf_U-uF|l8;)`1T~-pS5p<zJx0
zPlv6?2+R=U8z1lXjC$F~Bk7Vth$3!{EdSX#{WjZ`{}Z=i7Rr|%3GLVs@UQsyf&U?y
z(io5*+6GyIOf9zSE*}f?b^{a&SfO_6W2Ln%6M&qyuft!N#u{vZ6Cb6f<pY74YodnG
zW}f}&;q#>38<ZA{FG&ZSDFsGyV)@Dy<;n#I=k}+^v)+1_Nf1O%u9B7s1^N*%4pQ6$
z5s3DOtSM$vk;4cIERhfx4+)H;iXUNU!?3xu86pe&nVP1PN>HQ_wa-SjryLqf%2v*A
zecqCnl}=;v80x1P+3*NfB>M5vxKC)vc4@I4wH1a(%ler{dctx`2l%W96a}j^Wks%}
zRbG2M`KH>m26L9UdOD=ayELy%V!oq8U9J)Z>qpNLnIqm20%X5Kh*RC8D8vRtD1<+N
zoGxWsFU$O;G}UsL#ZM-AxSSls3EJ^Rai}wLCXM^SCPra*9qpWEWQfVa3202JdYoSJ
z7^j8m<bcxZ5r6X&Z<mFB6lZ}I^TWwlACHD*x*cpBDETY5n`2bd@a9=dr`9lWbN%S}
zYtHy)cq2RO3_vV$)R3B7V{+{2YwzzKlL>zlj+2+a&Bf|0Y~l4X!jg;1!+*pWK^K71
z((l3YT3O<Fx*7CVT8B)f#@xlBSiVz6$sVQI%gOQGUGAK0nv5)Q_c~sB&!W6HJNVn=
z=RNrJZo%X+9^lhiTN1vqKx@(zy9H{-X>^(kvoVQReYGjb)NEt{CK@K?P*0=y@Y(kf
zkRyk86`-zYoz(-_!l&{VoY%4Pb{Rks0)TY=NL@dZE!iy^@cxv6$+e5$sv)559|Q4=
z#d)%%-?O2Fwb`MMQ)P2iY%ftCEw``acpCm>OtTCjpq@66+>K4LmS`%?fvr!a;0Lpk
zsEzdWS;n6<xf>{Mm?Mm$&0;fcy8=p<<9^5O^Tx95z;7CUmQydk#=Yw`dbHmfEOwL-
zNWGL*RF@Sb_Gvu5U15@A20Rl^z9Dbl^xVL8syaw9uh{@;{n{=cpx3eC%Qu%ghpCkN
zJ7#Eq@5ksgzv&^knX8YRGTe-~0o*SM6Mh>@(83hPw^VzBi3!b#!FPi5O*?KPT*mHK
zd>zvUEysc&ZX}T(3eY5y9_gu(u<WGPAHB8X8XrKQ8g#em{N=#YRHpV9SX5|Hu;Vm~
z0nJ}*Vyw-6lL>Cfe{e(Biv8z~fT~>~Jh7MiS-!EIeP0Ly%!Z^xp)AX{jF!{(xhb9n
zjAngi5w+(}7*Qq+q+XD|l<mS8rDY5NA9AHY7iW&0SO9!l;FGhZRA~jmEMl9xA+k@Q
zYtqYg^t>Gc)|5Q%WxEVCJ_jGIG-r4tTF99LGen><NY<87q8j|(;Q~B|RtBm6K-F{0
zCLuX^Ct#Xv+pfozRCV9OeGBl0uAu{jZ)yRxn=U4E*;=<r!k_VU!&m(Iks~D#w?R4T
z59vzP{uydPhu;CRv@LzA(eS2vsCtfjJ&c)l3ota}3YuBhI?W3)G>u$dUzrhlbsRZJ
zHDj3F2Q2Vtke}czdF5Rp>?5&Mu_&$>CIkdN_&ciEz?6FPX+P73hZjZD*w^Xp2t2`A
zM3745_bF4hgBy$(JI0ii77uV>RiNa54*9a4z#6soa9GyS=xHPub(~*0uR2M(iyEk<
z8Ri{`1?M$Xkj|S$aCC>PTu10W{(wM>GgC4rX`g_cA2iB2Tl<fq>0GP`Hrz#@VCkEF
StBK`dZq=57_We7#pi4Hq<po9n

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo.v
new file mode 100644
index 0000000000000000000000000000000000000000..e8ff11cebac338c21df25c56c936263bfde31b32
GIT binary patch
literal 48312
zcmV(nK=Qwf6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}V7RBIr)D;U`>
zCx9jxKgOdVk7w%16N&+N@;5JBvLK<_Xj1#sL*i&vUIzK*$oc5bmlB#rcIeP30`=nK
zz2U>-M>?9&=C(zcX3Sf^Cyg8RLOZq&<ZF{y1@7ArFs8BZUeny+RbI6Q62|m(j<LQk
z`;^3F$_`c5j%2<2?AsMiaxYM@p^GsmZ|^?RA4Q6<y;vRx$PkfUYriz7^@z`-6Cx_}
zg~rYPjNM7VpgxlC^)7oqWr&RYKNFseJM|x5|I#T4@dt;E8q6!9PUfSYftosIB5nmP
zF6_lG-jv8+i?WTu(sO4xA1)v?G*6QAfwDvb2Wj}c3C#ReEN<T#;&7m^Fv9|Q0PL>C
zuX8&d_c1{Cm^cnXua|Z#npBM7sjMcv$tGJ;6~#<If%qoxW*I*vMj?z$TiLa2sGm7O
zqAQE{&*jb)i5PSI(v~ud6;IFm$MHfHI0!-DFH5>qGAMQi&mNfHp%p3Kw8Ue~eSB%L
zYu7<#f6kRbl)kPKhK{1ywS^VK?r?I2;IBq#OMsGsw<QhkBAi33|0k$vcOpM*TLs}v
zgKCh|JNKas&o)3>x5tt^w*76@26^L<M775n8O-msjs+(1!5;f3<Ti<M%7<u#w-iuQ
z))RUgk(6)UTZt#K(wTdk?TuO6euN!hN%En+y>xU=1u?$}9N(;1XhTHZ-YI4DSPgdE
zr_AkY5(#qC;_Sq;``4a>>DHJ<xmFGO=~|B|@P?}ma&tjU+Zj3K?^%I}mIIXyb`$`x
zX600>Q#9SXJ+5di4@M^8%aAo$Gz(!5h}1aW0vlWy@r!cz=ARo2gGz3`Qis>XVoFw6
z!=#8FK)`^Z?Vw0d0!MwmLe<FVeV0s!%88j7yqF|N=T@A+$~1BwWlQ~3KY${NR*pX0
zw=(d)^vm;3Pby}i5+)@>Bl5r8f&B?j&x9ZA!)3wGjNx2``{6^$mMI4%Q;%sL&rQ{k
z>W$vq!N!)khTR$9qL5j{OQw0sLmz-j9OcWW1v9nQH*BNp{8F{2-y76qcF?8Kads(U
zT{uWx$9AqSiQ#eFIOi&Sw|=~fpCHQ_^LE}{QUy(6^Wwg>0D3qzSc$Nq?N?F+$>n<{
z7d_B6lv_S?f?9k227It3<MGkH8L4rLMU(64T8JjlWP+G^(n3c5@nEqu#+ev?wX|5e
z+6*<nc#*p3uC9<=oGZ<zQ|^2U&&c#P0jRF5Pl8tCiuLZq*wxN-0#6wahKN%;G~0;^
zilaZW!kZWfV*hK|e6mXEF~vjtWla%?$lGX2mP^&!EaK*m%dw>}ooM6?b%ZoEPG(;E
z1{LJO`NtwWUJ2BmF3+uOu0vC<W8~6@@#f;PD<os5Wp@b2Hgq+<Xd&14OMa#e`r6wO
zWao5W)N`nLSY@E%E|DDM_-wfuvktwzba#-W#yRZ(q98HlWvP+Qvb$G;_P=XmifhwF
zNk}9-G^4MBce8Pf?jrJH=uKn49-Qca616K5JGS*7T&nD2`FBW^^*F%X8Rcq)oSN3r
zhAgAxKp72ntz}`#<H#|r0sH&IU8Gyd;gsx3JLx>o&$Be%73{@m#mBqc)i;(P*-wBB
zTyUig_J@s};~zAUu-K@O6`|5eHuenVg|0RJDn92cIpBl&3Pp0iA;+EY=>*{odZ42t
zNjfoAuD~GmLM#w+s;hzXdg*Se(3#Dc&Gd!S?&^cD(~vNLW-K6mEDj=}G>guhrc+=a
z+2vN0(aK(H(k$H9kMjG#imOyzv~Wh<@*})WC=jval^-OKgHtz#5Z}=O9PLUe>_Pby
z+LBY~Wq;Ik5;t~N5@^DKk|5SImso04t0Mc=pGmnvqFyh1>6z0bqI{QPJ>Bgk17lwA
ztfHJqRm|t&F*uBe3XUzljGKKv4yh1%cd#%pBw91ZIyL5)q~QV+N5}Kb!tPv^*E9vQ
zAW=(}s3EF~6YdlbWEs%-G_j+XXfCs|YJN6IlK$rw^7tk0j5`BdVNVI~F7J<`5ZL)p
zB@?9RUIQe<LrKySF=~iSvLB<fPJ9=(dzWF)gUVRA57;u*aOr#H#-82@%%r+DyC%fj
z%^kg*PtO70LG=9k3@#2&$c%c|J}fgtUwwW)@mafclcUA!^Vv$&@iTO{v}78EHHQ7W
z1?xeN(cD3S;01sy2y=qve(WDIA=|+;KoD2CTnC(od9L%DbKbQG>Z|lFj`I`R1$9g;
z_w%B=a}@BX&E~Lnb9F8gG2%rQqCT&1D5PJ%)T)U?4#Rv-tUz$d_~I#@=la%@LRkF?
zedO9<34q+2$dH$wk&T$M#8qHKNL>(p_E<qjD`b+dYX8Y@5Nb<%sH~uW4tkTckxbic
zVys4p+l)Lc=|puiP%%s=lH}Y}3)w2iA7+oLz(zZ}QmiO^!-$yA*toWNO&m2IxsJM7
z-R>puuCjK{KN|DpWhuM?;p)}fZ~#@U&;WQZmTa~&T)h9;KeX+T!tS0{+JFPbYiBjq
zMaLh!%a9XBso*pG6&1>foK8~Y_cN|pvR>*=r3+J#&JW|t)+Cc~X*wcABx?bp?oXv6
zH^zWyvWrgZc1tw8q-@6FEoT~O7XjB4wS6aRc*oBmd>IMI`kv^1r{!t;x6;(9B;*46
zKh*|{+1=|v=u$GPOWCJH8SL<m)4$2bXfFwsyb>XZ`M#h8?%njiFsA<lP}1DBaKGhU
zJj+A^PV}cJ7ujk>=DhZXG={cTwMT7L9<+*^wP(TrUc1Z@cB={@%Vdw8|H`IONa6#>
zV|GC^sPhMjVfZ?^KZDS1PQaTU7h3tSul^|4wSP6gzW=CPdN1kMfAUmXQAmt{OM)>k
zF7=uQ67xS(dNothUo+TS4G7CFLxuEK;;$aq=a~7WP>&jLBgbf8d6-fGWd{;$z(kiz
zW7)z1#pwy;;{hUOi&BN}oB3Pv*<0ksdG-B~q=CcKHDil$@LmL+F&W4Jc;(spBMIhi
z0)6p#eKz15mg}vvw>@E_VrAUhhZC*O78~Q=#*I*bPN}fV_NZ;6YK}lA87w8fAvz?v
zAvBHYPivTfRwmDFVmC-2ZP$C3olqTKMJ;XCnn$-OVBAO#gPswIrqfYI%V(bta0syi
zCsVGsiac075%M*wF8iAh9i6WvOpP@ngG8YMiRM?q`xfCxx^LUQ&eu2FJ@<h60dlq3
z&tv=1bku2Gvh+<ZIn!z~v^f+#lmXR<e%&_@w{#`%nuEv|TfNb%CG)1k+<M3Y<;P62
zla83QWR#1@hp+vbEz7%@fDUrmF}gi}M1lP*YX){5#%W}@X<C#p=oDe)#lo~ZoG6=#
z68(oX!y4*nWXp=%l92|bASmEBVTUq{SEmT)nOFMw548a)@<VS_RG<0qD*>JVti2I?
zJhqNRYDn@bD_?S6g&F4yi33P`F|k6Z-Z}#3h?<xK3p2t}&VbvcXZW!xm3>zup}qI~
zyPd!dC9;lcdc4=$%v^HD2Lz7L*>SOw>QMv=l)O0dWez+Djgc_^8I_s4!3e1&mUeSe
zidVbo5jYP_lK43`ldRjNg3<lM+I&PbM!hVUgxmt@aL^4^=B<lPR$@t}%DT0NAKM)a
zr!o{6m1(C6Ne}lrZzMxsDTN{x`YVbj2ZWZTd@G|6M}m{|8+Pi_vDA5q(^52MJ%M6z
zImWOu*#!P)*B}&D=q8vaB-R<1D)P$d7yZTvZxeJnWGr;*(w$ugAkcLu5z{cP(tcEp
zwl~q+Lgxia0a~Z1UW#bo?0ath(ne>;!257r{GL!c(+P$yc~j3J5{Uu=MWc@RcSsa2
z$6UPW$J2Q|!1D9&o6}R)Xm~(<$x`l!Y(V^kFq@yoQe87_O7f>{WYQNTWj}UiuB1(E
zi;2N>U$puS%&8OmM%u3$;}1`IIw1lx@9yhTLe3cXlI+rD^^hf~1<x$Zo%=)R+i#>a
z31UCvc4;;)0Rb<0<Cq3*ozS}YG#NMRSa3s_<vk+0+xNL|Zkn>k^qx^Bzenha>VGzS
zXfZTc_iMXw*@5P$pV%-onn78$^z@x*Pfnmfj2Z$!PByRaw^@!Og~+r0_DMH#>0|5I
z`9u>;j)AAxAUtQu*!8XQ2b2f`D>`kAz-yV{9L^Sq)hPa3J3x}W+f@1VjWeFUq_7x>
zWFqAueC}_Jhq;16C0MveT`+4Han;{sLkhNV)X0W=g3R<ulDmsJGxWsV;>wym0CVpx
zf=9*IsMK^0)>rxa6|>C~K~$_R?DX8I$ZV8*tB&;2w9LaIMe`R<*=($SDimqW#R0FU
z(7G;a+Ep8#jv7u+lR9SOca{afTdo95Wqe8Wtu!hFdPczYaCFm<8sD|}?r<dk2pGQO
zbrp#HtOwndC{s6*abTg(ERZ$G_a<MeWmkAr*Oz%MkO?~g65IWswV&OHbUJOXZ$fj@
zwUwyA(mdn5B~I1GnQ$MC>PNCl_a>g>Oa<oMHrR+S)nK7=z>&70v<8&zw}0aIUo+5-
z`2`g6tf$4c!X&R&;wSGFf@rz`7*G!SwHNOp0z(~PI?5pA*IQ@GMc5<`ZvUCECe#`d
z8E?I$O#cXyUBk7Eek3+q+yRLDN3x0pqSsO(S7*ZI5v+Yc^})+PGE=q!Q0q<=So7y?
z9(eX0F!%s|1umkkIAk<Oz_=tvi6NC3!aoKB9E$8fL~bK&=Al=y#Z2_Fe;h_x`5!TJ
zfUa>2sM49u6k16Y00KxOdDq@KFg<<jD%lQOr{IO11s+XG>|z=*;8Q~c8=>Birq)Ui
z*hOXDwx=oN2M`3OJsB;SGJ&b`6Bn`3nmy#mF1FUgwNGOpScDVtK-kiH8@F)$JYH@F
zEOZqL!{tF#CX*>T(>m$Uu4iD75mEkP+pN0mq3s_GPE%mUVE&eM!DU0*r(b$UK%rG6
z&k6BlV~VA32^MqmGU0Zz4(2_1^V?+60`I%P?*r!DRbBBNa_7$TK>Ff*m`rETR~FKy
zN$5G2ZSekgP=>k0n^RrwuSkV><7Y{~1M__@%P7^knl*$)49A=qLN7C~YUkGG08DeC
zO6T-!$i&7x_C+;xc-B{To@_3`eA$?CF=TE2k8SwQPr<sq&RJr>_bS-_odcjYPFXG=
z-3Si0|6#TFhYK^{THP8XZdL3`jJBNrQcUtW3Cm~xZjI3IBMr)}I>E%B#?6MR`0M>^
ztyd>C5}aIH7}{moisu4I44y%=tGI;MMGYCFY7<OSCAMZ_Nj7W`xi(J0gSo4%Gd!3J
z9!R0)f&?g;7F?$I#r6;L4G4Ke&y|@O{;S(o72N~)dNh->@Kw%*0O-VL&-Dy<<Vs03
z!IcFFFcEj@cAJH6<n~c+cAtzp$^1-^%yY6iQj(%P6*YuAXqcvz$O|f-l^__B!-uM6
zCJ_poV<s(PRm<Pa8Gug(dYDCr!L%-qD7-}PLv$7|GRRY(69FA!xB;DkSfo=>kcS#@
z)I9uYjyS1kc(;|3wSB~e7?@CT#4R&L0~{>4C)eu9#-FSEul_k_0wsAT<!o`szZ8)`
z!=e|{i$lyf;}<C%Z8WgoR2_}U!TwTIaeT@7x0Yi@nbVI^^7>9ll=i`cWkC-xjL2fr
z!cQ{%p#X@``^Ib105S<*aS4++hqDrL%X{P^=={H^q0j;Y@A`oyJp8053eUjpEobIT
z{K6sktM3-m;h3>L2u%}z3`YJ#xO|>Q)a5w%<6%!>fzV9y^(<@QU|m7O3b@#9be`L|
zQn1?am1kob(r^ZHgSH$JIsok3)zL=l%ZDqGcqCF#aEhtb+oULq$}RY7f%v;LXnh|D
zxkg=qPj>YvbrTA#e8`&%_Orzb81prep5cWM*#D>jB*b1>;w@sJ#bW6=pW!-MpKs!l
zFXBk|HoFWRP9z<j$4|C$P^?{fKLW43GpA^ETT+Llf`!azbh1VP0wx~?9h+{we++rF
zhT69~5p2wLF=oi@P>MN!ce1is)K4_(9}PsKq>SL2V=~}86$c)11@8%u7&j*Y*XDr)
z=b|3inRKyQn<=9hDY~#mr3|K5<T?S|O8@#>RI{l`tIx{Hr&7HCVWE9;_1hdT=3N92
z6*3aY$>7PF6A~6j?{pe;*rho!1X~2R^E;Qzs<4hB4|kNp=G~cWJ|^3sOCmL)8@gf^
zo?%{Y0K$S>e$(iwQGMvEzJ-B@pZGG>7m-ZST?@PLzu~w3azo^=A}>X1)s<p@(dFa7
z?oj4FOF%uclRy;>b|P#s%Uz)IAdmqF=U^8DpWI`N1rbq>U+NQ*A!;QhuhtI7<LRw2
zHB0(|TFtr6vw{h9hc2*9+)Wu|uDn^$JGbacyB9rL6_gCoA~7-_bD28{lJMBYZTrSh
zkg6LPg?^7&8=q7ow-YW}|3Qk^A3=^|ys@Szy_uh+YXnAtM7uY>dtR*&II`4s;q24}
z@h}>SuG8ny9vIod5p})*sO^!j^|Y;m5eiWd56$e2Qh>l~Cp$wXT>r`sJ6Z92MUr;z
z0j2H8YlIV>P4-j!7<m2`uNO+(@s`|Zz6>sTgLG0e1ePJ0l9%7YvS8x4@yg}=a0h;}
zo$aALqYB^B%S&LF`il>gff_?BNB3!*Q(3zP+b&x$%uA^}X{6oSNzzs7-p$$SN*N&&
z3I@14N_zxSRrTh13`VTMP}RLpgduRcQp}{mG`DZ7`sua;=(-+zC%0@u!9>Pd9Svw6
ziO8&NAs|N9Bu-N;zsE#*dmAk&iq1$ZKIw6>YWB}2#ckf!#mn~T12Bdl#fTm~G(;q_
z5S=nJ^EM;vrXsVBmOb@U`?0}o$4Q3Pn2IQ<uK2ps7n5N(chZOl@J-uD&-#}~X=V;U
z!kXbbcl<#>YUX!I$vZzF7e#1-Ak;3cy<ckb_kp*OY^y=zhk2TL556iO0!_jR{*HA2
zXa&>cY=hGJCHql|y?XyGu53V+{u89KdwlmF`FbnI{0&sww(<u-M=WP|LLB=pA$=5|
z%bIjSZ)D7%#OfPQCg%B4l~4LpV1BY5!tHQ(Q&luaGXjiSwJpggzc~STX%lb(Us!|K
zjnxbDMP(!TQ_9=lMd1Uo2}!w!r{mx(_|m0@e2*o`u~$p+cQ^Aju1g3A1$*k@^_Z-v
z7&*$WR|K4}Ccr*@8O?Un6P3yUthUSJI_1<hF1qAO!7lUYC&Yh-)I0@p5TFN&vXujd
zZyDrIZgx-en<N%o=W2yLMbq4B%RmZlo@VJe2N#upJcj(Bis08%7%Z)ze(kZCF75qy
zP(5=sZ<Z&;&8G)5ke}Va<%MI9@H}tEC^`fzhZyo*n3bWBb@>9kJUUn?4a^N}DfGk~
z61qr-ah9R#hh&4SupXJMdC9jtGY92^kmvMAv3i+>=G1ipZL>((?)$ljDrM{on-^JP
zpMa;&xsSZS1%|Ol@yrB*R(P7Sm+Qi&(qGX8FnoaE+nxKqyqLU=HPpAYwGZxkpQl9t
zrrQ_UF{c^y|7TsfD87L>Q}T!2pMa(z*Luf*VrI*;MeEs-p;Lyq4Dyi9XFY!ClmX%U
zRsA{)FGCX>%cKlZj~iprA6&+kxl_(-!&&@+#bX#(aeMNXGLgnniuMqKoxo8-_8+!4
zfQ!N?n>)}eDQOtan7WzGY_O((cL+Xs%-4`lVD$OWFE!1-iWiy`5xDq>+@>Gv1jMm2
zImr&aPQ-9TN}O@ZG7wL_2nltgk}?s!qgwV08U1vNNCZ!-7!*DqYPg4E-Hp4VX<NT%
zx<&>d)4D}G8>v7x&pI0*pB6GM-ar$JGGBoSDHv6zl&x88-m#`##fij9@I*s3NE&bV
z$wmD-xBTjOb*|jpdE#0b=^e1ez}VnU;0@0cs4Ci7{x_Qwq7NaBQ)cKHO0wpjN?Ge{
z0^!=`BSM!#FEQuYOo)VlJIyv*spG>yRUqsYxX+3SC&Z$8vAJh}g%BYD8~DW1Ru9=j
zUZ2b*>xtK2v=#Q#?jluI*Fcl%0TJpI$XXmmIR8V{PS5)m#=hol4XIe3y<QC^_!i>6
zd^o*75QIxqDg)L6rD7%u7UarWqp{!ItC<A3xMN>c^*rI0JUA;km)KkP3G}qpL~9zo
z1LLnx3G3n^7U_L<)F6}cTwDFA>uztMNqwvE&-n`<Vk_}~L{3ZrO1O+>a0szum1tyI
z0Y$c;h|`LhKEz52Pho?7kz!qNPTJz|C?+xwc)!y<%vc#Oa#$q$I+aLTtj_`Lxo=}{
z#my)Sy<mL&{fKIC^MM3K5~EO^Fd>)&lRfR*A%c)Su>OHm4F8++YoyKk-j=<D8R}S)
zg0s72m#GZQqNCi1yXc$~eHp$<9gk`TN+-TF^L@uB5TRij3fih>C}la#gIfTs?BalY
z`|7~y7pP@I&%m?t9OEHq?w@d!-c)R*`(eI_FteGFT;vHk-!CnaS#klQ+?`eLy{E0)
z>`)AOdXI&%M1TouwGomIWRcYv4j<3d5|^Vbd`uFF$BgW>u4sx+S*$tc3Tkzl$q5MW
znYup!y`HUI$({iGrzn9bLr-4=$hW&Uj0<!*wuZwFx{dDLXcY@`-L@;d_b|82d?YqV
z%<9W{4W(spbgtm*0B=RB3TOHKJJ*hk1-H*`2Ph8J&c*hf>_VA1XUFskneq2eKq*X8
z57y~8NUm9U#05cN;PMYA&9`?xcSiI6!jeZLmmuzoaZT8UJ(=H&276Ba9+(qsjAzQM
zJ!&6yOj8J2b7zFMA#TR<lFj=Zo>0BZQiZx4k6!#>G)Br7kK;DY(BT!L1kIM{&p|p*
z6e?_R48?kV=Dmv#sv&L{DZf5ae9!L)ZA&q%Lqxc)qPVBaKQpBxN|+(}{Zan|6r}3c
z0ZhrL*et`(LTuVuP{Q&Rw}|SXY%>Q%8Er!pbs~;ZPUaX-N_Od3rbO5<Ht=b?%%vMU
zWK<+&SV(b;_AYz_)1#G1odlUo%c6TowP$9gl_=XiGq`0!C3;`hdfoA^QQ3c^-#d<G
z1kT3rVwcPG#=JI!r4{Siexo@rE`7x0RYXiEdbZm35uKYd2tfNq;LkLXRUBpBXEU_#
z8&upOkgI(;PHMp;9^*0kdkCb!T9thu39aYhcfQ5>@1wBr<)4iGJ%ipy%TsV$8QV!(
zOTu?L$75&8oiZ-H4Wo$O(UdswDB<@TiZlVUvMm554mqwd!pPCNB!{T^3gQqNPF*&6
zdeN+7v4BHA=6j$rFUWVI$AkNvHN)%D2HNoXx*Cd+!UlN0JRyq4$J22$=%&3TkA+Ny
zoc?LBU*DNY9TpKA1UdbB$AnU)16cJ5I?0!qE@XKxRs{r=FL1=VOc;MFWh5D_e)6w0
zr{5Z8^@OJsqVo>Eo=t&r+as`2di4va2z3rYjd^E^pq+ejUd`i8rA=NdK3zj3{0+ME
zUT8yCHZ{CY7E2W8eK`|JrD&s!Z+JJUNkh$h*8_aTjKi8oS*@TV=4u<$9H*Nh-N#5I
zX&DQJhhdDxoa_o%4hqoNVKDUW(o1z<DQwk<x%8*5BA~HcnQ|OL-kVX}^{uk#wq^5B
zg%z9Wu~a2Z_TwZfN3mCHl@W(|(N)do3_RZ#YU7wtyelMJ1Lx08+(+U2LJ*%E^+Hu8
zV}5mtosQ*6UXB9vXZS;THP1EyjxCHrXk$H0rYw%6U^w;TlKLCe@DLY+KJ4GDaT%3t
zTG05MLx>YaOnN(EGh+K&NK`Up_=QxpUL7)CvO5sY^u#x*ue5}(H1VcBU+5@2dC7oX
zQapbxDuu<FFJxcv@P{+cB{mh@k&7M)^SbVS{toTXR2Mpuc-aXf#XJV*C=PXEP$a^n
ztz;Bi(G`LKiM^QDYOHFPXa#W!V#ANe!nW={@};K->?_YXt9P}&TBciZ<-93!?dY%d
z+eua#RQo&+FM#6+Su0W5XrJHF!svb`wUkmz!R4dgKLN6$33nD<NeGQvvFTGmk?)1*
zR29%8s%;uUWZw|YL`_NIbcXa=DA%6EH=MmmGaOIQZ)^yFVCPeV-0OaV3~(4uU>!z7
ze<M?<naP(#Myctjq`A(j(82SGO+|y;ZnLcXW-V}NywI$G<ii1#B_GdR>Z|;U*U`-?
z!!qNEM>{Hh?ka%m8f0YVJ?vN!?EM~j)59J#=6XK_jnuC&3iiQj*~SiVpRx+G2ahLq
zV_)0ezzNYP=cM91@V{*6>XFXM-LO0Pmg;>v5@aP_{Ifj$K!e5FL*SBl+;WxE0(03f
zoucnbXqB_BzMoWpG=67{al}`#CtbPS=!}}&6%*nglR^M<VEh(XU!U)kv^4$_h4*A%
z<m{*ExR1B!G)D)3A}KUauY#mT(AE3Vy~u($PNGhz9xn7{W#3g(iv1$YpQR2wa&cjT
z)}=;rkHocldfl>HQ$z<pKJiJtA|Sbzw72xMh(1}2Z57yLtGGMmo(P8A3meaH?mO<n
zkgf{LZu>s$r8A@8-czgIBrh91X>r%W|9lQ!(QPh$XQ&hpm5~l9S9;V%pwvW#myd7K
zS)`v=aG~%J7RU@Qu+OcUw=ppsr(Y&5jw{#a3qOszmxz|wp^oJnk1Z*jOVC;QQayg6
z8~1i|o_wx1qeZYfvf?g=G#djuWkB9(b;j7p#7g5>x|-ZR-&D@SsiDF^?+}%Iw1Yg4
z78l7OkIdhDk2MBb2$rsYRG-obEYj)PiOs4ETqy>z)&`6V!e{4V14=FDa#)g7oolqQ
zLC*P9ZN#Zdgr{IT1hEMC3wIHu?b|3cd}B;MGsC*eRJ`%8NDqN#48Z5mmTq{!FG#+8
z@t7LGD+)<$iC~}lh<8=DJnWFcGxd6oe<4lDh@tKV(_7xFc0TE~4<bU~Pe2DSKBtMP
zkI*v$?ROH5GEw$Nw(sFSI47(abzb$D$NVQHuTMJyK*YEM0ffSTtFRGZ-0$WBCZpIT
z%mr{>J0sZ1nwIlv%A0tHHzI30I-9zhbZ=D#em&wWw+=0!M)C4LKe91zh^|M)QV_KC
z@=o(eXsCT!7aBC{3GuwkiHR|~-I?V&T6o@w<7&Fi?!6kFN9CZm*voda2O07rN6VC>
zc!Hg4nf_<qqjNO^EID9GLBi)hUP`U<l2aW<-Js6FaOFav#@NX1AlYg3FY(H9tNK11
zqMyPL@7J)WfF_QhIdvLDX{w33;g#7gjx2NXg(}D)47;Gx!ahU$DONe?H$XQr5hDlm
zk4f+z(tQ4X0Ix8kplzPLMVG{lBj$s{PGf*S!Aqs*ma$Dzl5E-Qb{C$Ri*dbPlD~t!
z4#oP|{AB-=lah_49O~P^Ua|!Ki`76aK0LTm?EZxSyf*LfTp@`YYiK~hQ=0F!FyE(@
zLr__#Eg_rK&9zk|SNC~nX&NE$Ir`1qoPR9BrjzlHTwiQ&s+w~`t4cTFOuG0@o0c1_
zH7FK#*;Bc##=O}{22;k2>tPQhHsZ`Pio9~O1T9^9?JR#*#hihc#{5kh04PQ>)1=np
zO4eKJ5~W7XMU=2XIXPhJ8}}I=m9bgbHV}PToNfy~4|xRXGG|*Z&N`~Y(qN!+SH?>g
zb$BK)J5B#gUNoBh5>4VK8{Hb=6thP1;8_d1z!!mw_njxJKIb%(g>O5^mLm{L`P|yb
z_lWD{7csCMIEh)wLBPdO7D}d*DHnT7C8+Zv6-@;RQ^-f{nRqhj_3u=zza}l{RFX4F
z-occ9osFtO3d@^86d7QH!#K+>)gv!{CBM?1RH}}+@VAU`?ei;qV34Io@|X#g;nSa0
z?mP@XhW^dwhng?ixEBkLm%U%5G4Jl;tr)rxS;h|G#VZgVmUSHv`Krfp&WMpml5a(X
zi~iNx9KP;3X%zT%a#wO^Tuemkb*yUwIt&iSYqM@eA#(1pb1Q2=N%HeD!Yd`Q0H5g{
zlH%IaKFB23iqVUWI8+Coq3CCDOny71ItZ0Kge-&)X4DOPFvL#<yS^zXn%`==@w?+K
z8En-FI_28Z8j$`BP+YK1bPdKue4$8A-lWSW!WPT!^-L_%+X$(>Q8?Zk3*YvZ*Gx9f
zX1GQRKh#Q3DDpL2-fj`$c<%JPSFnB^7AzQ@TDL7AIkwDuQ6viD_XwC|riMB*^hO6T
zmIr4(tEGBCb5PCF!lcT;8Yc|TEV(G+#e4RG%IYQqmDnQnwWQ^4m*(|s!@!YBAvs6`
z4<@$(xuD0}kF$;x-&;9@zZkC68Bc;-P9;4)9<enbwDBgxyKuiU0s6;nmO4~q8lt2F
zQ<SxnP0){NSL@K0S-|NI)s~b!`XStxj_s$%X!wg(@8(Xv-AHH5;#i?Gw!^fmc>iWf
z;s>fin+4m(RTlmj>R^*JAVjpYoBn+!vy_45e71tn^EefM+PVx>wN_6S52koPUNW-9
z;>*%O8q2D#mO&x`H)a4Yr`PK7FU)=_-RWvU5g@qiPCl`Pxgv$86%kplNEs!4`ygkU
zlR}_vdCjRRE^Ity=H;W4c=nwzGT)sWNH`-nqttDg0>{IZ`xGU(X!G%+)pLHFyOS!7
zAX1LP;;8TLq1Y!KYD$hGoc3Bsvwu_dT)9?nAb#WZ9cs^Z0u=>x4x$WK*}I)-p$VTf
zvZzub{#^jmAIoFG|B26yFTxmn=L@nX<O$y%C($d=wD@x`4MqfVg|iW8*fer%j|z=2
z4b7C!%frA-hsbf--%HXa_I=}l%R<jG70kPt7a#M8kBo`_-l;I3>p=Mx(5eO(+4B`x
z0CqPH(1`#Sj)vJy;q&Y+`VZv4o6YGLF}D`V`g=}wI_?{$l9rNWV&F@4mKdN9(F-Wi
zOL3O%NSv`AY6LvAaf)%%Wm>fJZ_Lo@fQ)=dys;N%>3$w8$CKhNtKOy3y$tWRyH7Cg
zhUJOih3w^IMquc<A{>!Q6iW}a-MtqI1=6qTp{5~Ve}Pf>#k*uWR#QQfBvxDO-^!{C
z-{X$F87X#jFeXIK<>RI{7m&)<X;|-ug{N8=c~u#F{1!G@FZ?Uk68!A-lOds2Lhl!M
zj0^Fgnl{N{ZAom>1%-a~e>fkA{_AiTzg%##T^*1yaMiVFT~8efnYC7aKm{7_pj}HH
z5|nHqS0v>RSV=pMc12Gs5$fq_74mjjNx9R0p#wUbwD@^qx!f5JUPwSvGXR08_B_(q
zRKQgJ*So&04Hw(c1O4Ddh6-LXkQOF%RTow<dbN{vD5uL?czhsgDtH1hxV-vkiRUi8
zZGU;YyFsyw%R(i1RO7kDCq<p7LVe^$c(I)EtA_!4Hkc;v$NV#U)OKwaSuub-NrDkY
zX1*OY6~zQ>CR+DH?go9OWOs)?Z4Le%y@@|pAP$RMVfy3ybtW))ji9+}dPN|#caBAU
zpMy3RQpv4#M$`b^R;sJbMPe3%j3WB=GB%Dw{PUKn-*@|6K*=T9wR-~X(!|6jh*337
zYC6NgBZh;QEr03TLB-`yca?+*a~O`q3el=ZbnI6*inx8M3r{FoFfAXdln1s+TqsUH
z>}~CJtk*4ivVCnUe~!y|q|(ny;L>mTDUg~RHAZ3}e~5nLHEuBUP;ZDA_Eq}G$-fYm
zn4i4i_FUb3TDAKR-3PH#uOUEkP`Hxk3&E7CmiR*{f+`V`x@X=ig>!dW{%iB-HF>y4
z+_bJNolYPqUM9%lEKj{K0rg*5W^v!Bz?=2Fd|Xa|jYIqo{Us9G)pBa38!@5@Ur%4c
zEJ1X2ba_!!84Rk=3<v}#$#4gd4-c>>PO7JT(XA!rj3er`Mkc6hWbxXxthiEP3=Vyt
zKk2-!)!)9szVJEP9$CV<H&O&VtP2bR=LCX{iF$~7tmDv|xKW#!R_D=&++$Ey4t-W@
ziknPF1ao<gsI>;)>bJyF``gSfVLg(|3`Gqv|9mTd5+4)KCi)063f(y)A+f^I2@HPD
z$<P7)wRXPL`HrN6*UGp0;O0*(tfZ?>fuXwYLP3VzFFd|`dek{+MNTuU8~}aKyo&-7
zO!?M1LAgo~?%w3rb0i)K&8`V>T4{|G{`nTU$xYoG)1s`dLE?+sZnD-|OJeZCjfs#n
zP+V?#(T+hAqFyYdP1iX&e7{8;+Rpc4hy<^g6jV4x@0YI;jUCBN%&cjSvcM$oUe1DB
z{lr>Q3rcnQDMay&d|`P7K-|Ti!V?JG<aw_WOX7=>go!g+{s+*CU$LulG@+Dquk|S5
zI!eMcC<FYu6PUb|B`3B#4aL2o@<SPC781>t`+42(e~c2P0KXq0;#$4$M@eWWLK?LY
zxL8|YqIs*Y%)80glZT$^-e^ua5Q<yK)9am+YQz=O?=`!KlPuckVFC^+e9KRU2_GP0
z1t2sJ>PE^lPxs1lD|ykKNp7S{JatOP_kpfIJuQ>V<8Zfl_P=wv^za_^2B3-P1A-0Q
z%_FPKU=GXLkMO%YawigB`R2GKNWl0_Q>ym3*GmgSgFd)Luh-nI<q~_`HTyo<E+C09
z1^Ke4E^b;x)=A++d}5a@!;o`361Jh7GQ`h-Nc=vjtuRxaVuYME(<CvgHH!2UvK2}z
zi)7ciH7e(NAC(l`u?5fM_dUKUu(&}RYUYpDRPT;b7fm{NKSqj+4qfU>kJV}@Yq51*
zKC3%!bF_>RUUB2-=ytyV2*&b)hfv@PUVP--ilv;I|5=!wb~^F5Bbt6mHR_{7;4GAp
zP5el){fTE@1db*&z1RuktYwIV$ycG*UMZ><f|3C>2kIfTc>E-R0GhRq7&3-F=r9b`
zxZ+cnmk4!G8{f0U8aa_ZiSR|P7LeZ^Pu<N{xR4xjWl&fJyD7!P0)0NU=+=4CIAITZ
z0EXD)p9GPbKB)tRthq(g=^e6HK1t0@O}AB4+{oz{I8B?1!!V(n$mYicrxnS3d-Xr0
zHzo*}Zx)uq<DFWSlU>cR4iALxw_mroo5G1>l2!%_iup^lcMd+F?!9A0g)J?*G$=YK
zwntmP_dGaH<E)jx_=<i_Zf%+?79BIi#OT-^81u?=v<zcgz$ENnCJYp!Dc5odWLvF9
zF^SwO#;+*gSkzIld>8hs%v;=Hr+=w@0`^8QbYH|p&B(5(xbasaM*BF%{jmeACEN0B
zkx$E{T)h(mhElvc@CI%w-+Nz%C{fC=zw8=1x-Hw}nS4PTtPa}GKm{|797MW8oeX#;
z3{u(H8TL>ox}g{K!5PKlePIf(wkZdR3^kUxz}3}8K{O`JQ_bst=BNpj#L6CkSl~hc
zU-E*f!gkuXp{AknK-*`5br_NXU~A`jX{V<HmT(;}xfNYn4WGEG*!rS);?GB+nr{6y
z0aERqOKh_eZdA2#YC-9l?Azb9L5<)TWf#;UTepiA<>)FX)xVdKYcNB<dMxiU(%VU`
z&|Z4bfq7}=l|@-y`wEgJC30#<^XP%A@`_bK1#^!iB*D<qb%RBxwT(=VByY`0pep}6
zq>4e?d(fG%2fH5tJd#6>47H?Y^c|9KKV6533xzl+hyMTgXT`jA>(t#A5Jm)1qXQZ3
zzaLzo=ErMTh}n-znNPu+<qXB>e5-ifm^4g5t*`Tr$L#B*N(5Nj9V4=1g8^2Ng?&IJ
z)>;x)1ac2;A(0Knxt*t~h(o4+?l$`4pIJ9nxC~%iEj>h_h=}(&<g8;-g|c^JvU@$9
zjOPS&q!>mWybM(sz^~vemC$3stkdkB#I>k3!vGntS`z|OeJCIl+{CCOE{{h<UXK?-
z;F1j1@Q`!e*U-Ll=kT0aN>y!x5ZP?pZeYQ>PzC!(&c>-6MGQeOX~R7W{Ll-|)QxUU
zHToOP*_y8FBe}xa!)Y6UlZkfY*^o&EGSu^~dBFp+TnQ(Fv2roG^gU$%{X$RAWO%8H
zdL8(%5tw*1%Jg1;=j#S{Rd6Qc7kzcTVSKbG>>0&OMbh9D=_x6R551TzZfd~f4P#w<
z)uOcB-77fWqFDaEn8>t<8R=&#I#-;JYvgrJD6>H^JXc0rEH6kF17#may*oKr?oTaa
zuXC81Z>aAE@I_<uZp<pH+G*E$*_vJ#C9SSA1gW!{_36d$sDAp`adT345y&{jW;8X(
zy(C?zCM4WEhSv!pv@Riee<>z+xezl?36WxO9ksHwo)1#D7;U$7wEFj{WJ>ukJkMbO
zkfQH3FhumQv`l8bDQc8dud3W$Igl8?vkw{B|80i-*Ij>`+%MYLT|F1Vm&diTzRC+Q
zqic#3Zz;p{WGmg5xtgR#T8tBZ>%9a}ElwivU7~ZLIUc|js2LzN%N~F4idZ2V)-L2y
zDgF<J1jFNrWAUF%0*|-k$BOjo^^Yj&CEJXt3jB23`zgX)?o{D?Cr7nUkcJ4Y;#4Hj
z3of^=+<s&vRSqI4;pW*|lW7SWBG4mL+Dwyvy=mj$p)uU7!d<tZvep#|Ttt2EW6^)L
zGkXGXqB1EYuzIJRD6;WfFWb;@PUONKLIMTxX?R?nV{7B(Aq^Wp-tk#~Zhq0f&dtEv
zQu8K4S;rYfrV`wOGF&?fdH&vvx1<C5ejsLvxFV*%QBxy#tGK2)hk@Pu+_Zj_S3h3`
z7MS=PN8zX^hB+CgI*zQRp+ghTdrjUFOVX(QF1a%B4xt}%@@UEv-XyQnRGQC@c<}7X
z^<p3dH2=~a!&lw42kk6S<9!O!E0o#pYN59@ju}*SF>>lmm&}mA#<>?sX-4`x&wmWr
z;0CiioY5Efh3PKzf6gr~%R&C{z$>sGvPIFtX}>Z9gG8~PU5z&Y`3E&!?nyZa7M$b$
znY|$704@nOHVx(rvbpyTmHg^nCk>At<i1KN8|>m^aDyVx;uQ<v>R6|>c+M6xnFDzu
z_m(<-`R+msqs(_Me&Ni=TV3;+IYz`KfgMmyd%{qT>tp^}3zI*pf&^=7Q}8Sut;4DM
zpx`X4ptsqF*!9Sex<-z^SXQf@*$G+Z?n}ahJ5gRJ<zaM+5^4i2ge&)1u)#;ZfkF1Z
z?>=TxO=v98dzaZ@OyAA=X62{}Di4R18Qsv(-i6bPBudPpzy+USeVTe;1Ii|FkHyoN
zGAg!|s}A*kpJqE%M?%>SjHu^0IkFO<3_QIB8Sx2Kk?5e%^bXlQ1WF&>)l^bsIS3kL
zcE<3#Y3u&KyzY32VaP)>#p63{KP#l?R3nxMuoz?O;zVI0E9!_$2fRyZ4es-EKoI;B
zKGq${sXR-1v?e?;gxv~b(Zu=!AJ0+|p~ENNh|pSwyE)Yuk<&Aje9i{e2A-bUCwZ>x
zI{K&ST7%dIum$Vz^+h}&HDs1q981b+0L#**!=10svRdj@0VX!Ao>;;^0wAb08Jb$S
z8fSi{FgH8B+zCwyh9B+Pb242r4dIE+pyaom-7nQv&L^o$Ai>7eb9F7W32+`c@&i#d
z=bN8E8Lq57fGAvpPH{*!ihyHl6g{@6JnsXlYeArGb$x>+*U6J^@T-lBG=~*z24Gy;
zz{6ZXdn~d{yz{OoS%`|@)d3aCjx<nP@z;g3{CZR~Kr2chg&GTPeK&Avo9`?DD#*9V
z1?QoYUVUS%AUk-*a1A3X;G}*LW0HXrAhQmf2pln665dCgd6EW5A;Y!B-MJm;hzBqI
z?aLbtmmMWlBNT;NTA#24J`D{vu2rd~H;V8uhUfe~(vRLhnReFjvG-D<gpk0KU7-}m
zXRsqQ?W%2TFoV+KNzQXZ)n>LyC!IoMEnCur@?%u}tF~prFSc|dC*?$25pl{q`ZN<e
zA5Ibvm%b(nen}k#C-v9urx)icamNQN+7-_HOFXijQH-0o2nY7C^<jG(x+9JV^T(x>
zbtRHuF6^CZr0Y>>$a_^r;i++PJE)e#UsNKJ_dFnMlOOA#tnqU?Dr84~ktgX9Xuvdf
zrhND!?3R};&EBdEJIBMLb23M7-3w1%c4fi$m@~K=iO8%jI={02Zn1n?Q%(*o*-psj
z8z)EEr%A7o3gWE2)7f>ggFWBd<w?BOB}3D({n23Gh{PHqQsE;|N*q+2)ngSlgy3uo
zvXq#X=HO`)r-H2j?Hf>S!)p>oYEQ(ka0uLsEE^uW!asKw(Z)>eO5;_Qf7%kt+#|R}
z`P|7^`i`Ge0F~+e`u0qA>M7pp_{R3S?c64pBFt3ql3LgeCWPhYCdle+6h2uSehIX@
zSaC1^N<-tugtB|McmwVLWF8@VNNMHHQ}h{Z<iNYp$Di*-SX17iH9t%usdvt$u)VSW
zY}R(0h|p58c<S*-K}Ryzo!MlP2LcmMN>9ee42-z_$D~Oeai{37u%Hao4MVn$@$6Dx
z@`3kLy1zb>Cat{$EN7f;uB%avq@0f-H$>9~rHM-?vtg^NO)W-#;4#9_lnrWNRAFIA
z8qM<m_Y)UWcG$PZTmt@U%Xyt9hvq77+~L-QXl`=^@O?L(k99?O)CQ>7HlG&i1=&<E
zYT!&;3<Xu|o&8T)yzHYwO31IAm`0$+<>^#-0F7~npb@{nzLWgp<;~NP=ZZ@EJeq2U
zvt+=qAN9?lYdfkR@*I_!gUyEd1NY&!D#UhGtY$TZ=aE`7;lD$nY`qkoFlHRrMemqu
z?<Cy<qym(ck8U8kTB5(2R061s@x#8M0B-9NQhaws4kY)z3xuWR_&!<wlN;&L#{a09
z+?}Cv%+v7jS4oC{FJObsN}ka{8CvZR&d>63?9e2_D5LB!2Rq58;{HOb?d&W@Ee-@$
z`%0*!b7VR-elkWVcnr!R4lKf<TJcIqmE)j@q0a<c^dqdw$c-Z`9R84Rty`tduQ^()
z>#AH;Zlm_Ki0CTmt`+O7nrZA`?~NHSEOCb5=cDp}IuH_4<=p}q8fQ=W$7y@x;03Jk
zmEiN&!e9uf65_7@3b?81zHIrr(y(_Jhxk=^Hxj$BS{_$;*Rcdy{7qhyj4T_}hG@UW
z?6Ot9aZ;<=N;V1QpiVFUU9FlhQ#6~9>rl5{QuA1qQGwSf;}<usOWl$2>-(XZ!`7!i
z;mS0*MdS$EnU{8%$mS(UUPeK8EGt1lg1ND{Eiy`!+K;~FHia}e0fp%mW2F)c47w)w
z3NRvY2uci(Ko~H5XoI3H6I@P9Pl$rO6Pv6Vl%KNH;JZpzVER9q-*`t;c>!@jns_F*
zOV_a{&7y4JoN7XQN%xSlPhFGUVa14X*lHKn9qXhD+O5#p&wLI(JTa$s?l-dj){`mO
zH;8|bP`frGz|1>VyYZG?f3aj(+qeaurD^Xs*h5RcY7QDJu}DSo%7&zd$-;9LE249%
zle%4<7ypobL4t7cuaU|)4Ew#3f$X(330^=!B}kFB950XET$su|>AusIhsa$%SKLZ*
z+UvvpET?2?pXno+L9uyINzvw@M#-jZt|hP>Ak6jvS5TOz#1KsWPg4Zd&s9PL5&-zq
zwg;b^A8HDPWC6gWx{>SY?Xok?`*|rI{|C-x$AggI>Z7|FJScyFmUGC+tSi}_{)J<d
z$^Gm86b{d`F}Za1$!qQH(=gwAswh?7y{!C<?Url@z;5tWqB+_N|4^~=aqs;cQNl+g
zn|;X&T~CZd!&-}a+HddjiXVWeqT;LEzRI%}=!vK9?=8hqm7d`H6S)Hw(*b0YUg~|)
zzRU&fy#lfqr%H$7bfZ+8Kuz5afn7M;$Tf^+lV{CkQgF8q^}#uD-Jsy_rK4TK{Hw!0
zYuomKQv^#+Dc#I$J5z`I#}_aZ^UJegp8haC%2jFTPw`!QWUP)>ARIVG9%XNzL(h(G
z99^4rj|EKbG|?UYqjW&(ZJ60SyDewDXli&iiY1uwnSj79f|$Kt-6Dx>*m8B%<QY@s
zi^$-9YPaj8FdyV+#(SwACQG%f&0Yl+u=3gBh~R@=Yt?A_V$k66hcv)qD54+-(S+am
ztF+c-J<TyXDR`xHMe=|f$=H~Kd>SRv4{rQ>$=^YgPhkzx;p+Cg&pBS@gF~0+nSQWv
zt}&80;kOtVlMmh{lGr+?k2uCC{Gy&ip5U54ZIO0G8B5bLi(cuz>RMakCOL|~fc}et
zSTwBlZB|)<h6t4wbbdTZN}bWHD>+Po-;jh@>f_AplZ<#{CO#y%pYxq(hx+*}Oh_gq
z;v+RwA745|tHrzfL-YDJztU<uoKd7QAR_fU>n4xiLiLNw59_}h+;}HNiH#Ty?#ye4
zRSZqFikq9`8XcroMtuD9mu>Jd?o}%AprN%sQ>)<f+xhI)<t9+`@RmgztCG)+%H%!L
zs0f&v^T7R<TC*<8aYb4(&C=P}V|#{!bQP$9zd43@ERSf&nKkLrEz-922?zq<4ZLR(
zJmZZ2Y+F<lGBpYJ(2Gaio}N@`Cx@o5qd4Z3<@45(Wve85#c2Se%XQ#+=QtNawenkC
zSoYDslq3tnb&BhR_2WKARxwu=tQ_tcuYKnM%qb}^bSjG2;=c8}psywC*5h6raMxfG
z2djcxV?z4Nvs?{p*D8&B`@~NA$Y*9AuKu*H^y+Yu!tS;S72U6&cmJd=PV!ca04oXQ
zgidJ;<u%Hq-7gF2KIhX`g|`YJNTZPUph9S;b;B8`gg}79>Zk`A%h%9MnQIIWa7&r`
z`%@pKWz-SWkRjsZm=%yI4IE=-I%C}IdV$6wR)g(j!R{VqIi6mo<n8uqZI-p|?W&#f
zV0x`iNDyMn*vj9Q-eIyczEHOf(tC~sdK*Bdpg#7|JldEQ4ojo38UTy<7TP!cK-<GU
z=PT}+zUTcYrg{f6v{pfcC9#=fer~uwW?S>gPtKl`H%WaC4N4Ycg^IuWqqYoLc)Yv`
zL=7rWlJ3SQHcQr<(zj=fu7GktK?vf>*96{|bi~;Y0a&X2(#?|xc+kJ@r<nNfGUza-
z<q(D=y!c`ugiNwG@tf)`V3q>pr3vLrr$LrcCk5H0MoM=YCyOA+0yWeTrKfkM@-$xt
z>Rr=Lafvv`b&Wf7fB%wA`D~ih$O{VozR-j5l!H-C7R<)7vpfwWoNIvY?CBGXLW;G<
z@qDI3Z2|fB`7eC5Tc`gX7+jpTX1a}z9a3;+T8BX6M=fC*+mYQx>yH@MO*JTqRiW~5
zpPNl^+Zr-WhfQWX0FNfpzmRc5#gtuXz^#}J5vb)xz9!n`r^`@%F;a7O{;l+4*eA_A
zP=_*^#Ww^Ps!R49HqL`ZzUyT&rp%7hh*)ZiZzy;9&>J_6zw+GLRBkPu=&hzY2X~1c
z463IBHa6qJJ!5q=pbTQYYnOfuW1zYUV=eh#w0`s3YiZXND12CQOK4Is2es?e%##Of
z7OFA^c$tJYm|$!^GA^HYVYX;ueueCD04YG$zkGvv9q|e97#!lCWBqYMFC9)DQ*DL`
zFlDDdmGVX1_1!B3v}{lsI<P-Wg9z~`fW!Nmb*(&~8#=utlyUrq*kyqi-!2Y`GwI!g
z417X7wir+3-uurS8+o+m12L$*J-_R;dwHR@B;HpPyk6RxtpwXS2n(mWl>bt(O&gdp
zBzr@hq`b}zv7QvW$6Sy*OK>P1YDm4J@)ZRGP*BW7qAp_8x>EWd49q^+`W&NE-6%wD
zIRLhOa0DzaRP3?-5G6+r)%6QcR`(~kjUZ6-Ubn;b&l2B<50XP=0ZLa|2dlBME{CTx
zj|S8pyNTl6Dhm~~pzE^6IJPB<LSu6QWnNG@<a0A@oeoZRM2Qy7zWp_sQPg~^+=cWB
zt2po|R%hEH-fY&ux3Djj(6?#>D=W}#7%iQAIG!C@K-Rj65hQ-sE9>YBbEPGlNm88F
zGSP_#rR{FJA~)ZQ-kvQ8U#9R{l$6I`ton07BCq$ysF$LsJwlfE1kT_;wqFL8qAyQ_
zkZ+|&{;U*TVya>0rbFz{Y9W;UW3=3d(pxaNOx|>r$b=zy_sAQ<4gkUp_7aH|!p>-I
zkSl`6qT1d^J-*Mj%%x~J6sGY7yKsh`mzUzbQ-HO@q6lf?&%a|MhE!Ix5hP@QV?rM!
z>gb^?#o-(#zkuT$?*!JLxzup((IIh02A!lU9?Z<x6c82MVvg_8MajenkGq?L7{m=d
ztQugLG6>-G>c>kwt>l)gw*zZd+((AdqLd$&V=CO^gVpoOI}Ph1iwM2!MZFQ3|DtK;
zYH0fh4aFoJbCn=ju_^$l6bkXtP>N+x%969qqlH2RfkJUeAp*GwkT<du={A!Sl8^aT
zl#jfu7cFa;?OE#~1Je33zlfiZv=>|zTSF^sOLOdBhk3w7W{QkcZr6EUyVuF}vLmRm
z(jxNt8kUs$>|o^L$Fb!4-|Ya^jBCfwNZmdSnM1?G%N1|O)mV~-vUvJ!_7e3Ctfj0=
zo$Z3Xnqc?OIKgoeFpsXH4fpc^m$Bp3WR1TjO&wOT#b4<2?RoftatboWKvU@DFQ3GY
z9^B={Kq>tBMIc^bCVe*6)qX(>IO<+5%Y?Q1qw~{j?GM2WsepxS>*fsy1Q)EGbtrw<
zMlp@9>IgtL8=X)T)~Y?ubxNoedUQwWFkx_X$>v2b#;D9KdSCx!&3-kmQbBmR79l4|
zF;G!c_@qR_B7)M9ls<;t=ymzU`v(~El^(G8=**w%Ym}HoZ`eRd&!Eh28m<(2qoBc`
zc7&)*Bu0rO|D<vh3uWS&#RhMTS0Em&7@f*J55oa=vPxs<lV>oYyoY(F_!EjQK5as*
zT~Vh6nFRT7{7_zk8-YJ&=Q6Fe*!86Hg9fEzjO`LsEXn!hh^Z+B#Wn-LZA>Nqf<K}$
zkMrjmAtj$3c-yjgU*XUq2+j(S(QND(K{OsBUY-X`gHYSTDm{mhlJ!LWj2`NiQ?v2G
z3#flN9oG|82D|%7<H9ZiPNO#~4aL~odfL+#511>RC|Uh+dBc|jw7V&?uNnsaA2+5@
z358hTORDXXt^~g4eWh#=Zo3paD!Mip0Z~MKIKZ<gym4zwWGi}}s;4+^@q|#KO%#Lv
zXM}fyvMNZZLfp%26mCa$Xf|e{R{gqVxAI9gzkx0HE1`)DHBIfBv0&gLH?2JtC0xRf
zVG)|YgMgUSzafT(n~fbdcS_*i2IjNTl{QvY6+gplFUr-aV*uJkoZlbPFsmn3*rb-4
zqiIhKW;^O~zaH5GQA<bEZ22oXw>uQfg|qYQW9$^Np=g!!aqMv0=^kvYXTQhU3oY7R
z3iQ&L1;O2xt2*a$J99p~N8MhFpJXUX>{M_E8cwV3$0n0<vP}#kBkb|SLP;?+iDx)<
z7LqUr9Sdl>#=l4I_eQd}aZHHMnH;wJ3)^pSoDyOITbIp%UKK~azR*o}J;*l{&^?=j
zn??3HN^yoYZ`dkXDdmI<w9T~vhu)s($sZH^2WxNi3Hh@wtt|OR*eHZ43D@giDB|}9
z#PM|BXoCeDt<)Cmh~Ojp%hmZU!>p-sZ<vWUy2HA??Nm_yHzznC;XAeG$pT@}qS%$K
z5(SZze;JzJxL7Cs<Z?8-iOe~R|IH^1fiab>Kcb7qNwzXRV`Z*=RFhHPnIJXoA*8l+
zV>hD!&@PPYiJLhg>jP`FU7iqi70<GVK#YT*t1!6dGJUS4NYR_;Dj<5Sy0^hc%uTF{
z10B~R?%A9(SKyr4S<(3al~YXo;8EH(D&NeWy57n{bdO}Yo=pw=Ea2hD3u8qjO_{04
zp&ut{W3xo(S)QXwEQw;;;|;QgH44Nr2Mn+KdSerm%|tD%I+vn}J!`q-FXYz*Yb8ih
zuCn?S?KfG_=(Fw%*`vxA2{b2-)AzcUzm(dek*d13u>M*G{nr(_*rku}a@4;P__shs
z!mfke1M8Y$fI1igBl)Fze{H$0r6|0-OK->vUWSXDh*5L%9uYIX|C`^$RE<$>VMy&7
zoRq8Fx#p@$B-y`D`j3~hPlqgQXV@jWEwx=6-mulcffx@Cim16(<5t^Bu??<ayNo+y
z7{?>vNK>=Bl?wGBRc;7@5`J%Crw<VlFB)`5pv=cg&L}X~jM@NWK{&<JawEx|GSLH`
z7V-@}A2hwk7+3&AY0Q-}4<3ICUP$69_NMp2R+$_he#bNiZ&khP0<TL|^>4Z#(`kmh
z3UM3O+2j7PBk}umZD3ngz046f_fj~CLIk#e9Q8%x3mMOO`T6PdU^%BkrTFxjrQ~FO
zA0HJAkv_8!^R=#1XTK7tXFpx3#4F0D?I~>lP#A`$V7U#gDr-PczkqlmEL`C?>k=J1
zW@X$>2c)2(;(fWKQ9ucBM<ig8K7!qD<@do$<kYAzzpVQqPJ(+EZ<c7m;sXxt{XZhc
z0;ft31Fae5wplmRvxw@uT@%A$^-<2brXeGD9Kkwdtqp~NdaaTz-AHnEOU8=@S9(Q@
zPgMSIQbyLXp~+I$&(l#UOsSyRYAocfR!Wc-6$HbbQeomjRLNy!wY2d%XLg>kjKs#E
zMNaHv&P;j=w^Z8}qSj5?TJ-1T$CrXsp9#f;K4JFJZ}|D?<I|e$3r_juuOIXR&_?Gr
zEgGCkgCfr8CU?hzxfspeU)9QgO-6sL9ClxY^;M8Zm<+5Yl%Tf4!tQ+qjK7>-BgmnF
z8mcDst<x_&OWo0NUFSAsbCjH+Ht~5qPCLN)W1LKy%vQf~8&iz#zdIkh?@&H*8-7d*
zx&#|+F|6~6rPK4z=}gEKANcoZ*7w+zLge^&jke+!BgwHX#=D57A>Si7;#`w!21&a|
z-U}=gyWmz;WM3;X3nM1G-J06+Y7W9Eaw)MBvPz`@I&V)wu4GWEQqb|OPpCG&vRPyo
zWAwfc<Jf(a^Ca16IXaa{9{nya2}t-pr8L$nIp}7#?#9siS1y7<UX)hZFmwP*ei6}R
zouR4<#8CHosn>_^K0#DLn`(^1*8=uOW<I4ddq;<MKL`4h76_K(Jq$HFF9b`f*Olw@
z<JtN?#0dBbJIQBAw(e>9$q|#W;bP#f79^rcGjPFJ=((1E+AF$l55YNp(b~HcNCdA<
zQG#ocl8`XsNvSEYT!Iz9X`32lbc7bKPszt3eS*Bha@>!u;q9rKVKw9Ol8s3;b)e<$
z`ONRgx*}DAy2FW*%(KIDoWMPN1rI3r|0dp}vjJ)DxVz>tWijMy_iunc3PLnIdk({m
z^*bPnJJQctI8C)nC65!FPuPR{Ex`?Uf;>v+43x~R4t)0xzNvQ-W-JFVV%=DMB?g-W
z&B90in81%BZqI1E&5E&55Tr4?9G(DNhz!VQ`vpOJ6J^p2<-!*hGh^v3qfP%cU{uNK
zT9bij*#grv?WKKh5p+)Iuxm%Qo5~={r9IoV5`v-H&<_~nl9Tt@PnJMaX?8298HEE|
zq_MC!c3JyyU}%LG;Q>aVw1s%jDJZlb$u*~HZfS)<8Ye};LdjEavJrY}Iwr3+DKj&~
ziXP#R0VyAfjGQg?ThwpH_847ADF-esvwo0*HUN9eL5a424VsMJ7iU{*U)}buF$*w+
z_1EYrq=%63iuxq0`cVUtC7EEo?tk>bK9?p)Kp;`oliRXS##3G;1G$`I3l#D{LJsq1
zyS2NI*wzP-fGR1b*xO@|BrBo|9L+L^1IKR=b6^81!74x`nG*?zUQt}Oo9d^CDsSMQ
z?qd7n&)@6imZFW}+VP9wta|nK?r8jTV=O>)r`JQP*?D$IFU)Z(3ax)$3|X&lvFC*?
zlWWCn=b^DzNV`DOK8N0tqi3+?7#))<&$@a(-?tHr<L<Mv(8|6)#_wHU7YoDQs7V}l
z%i!M>+l_!m_&ZwLB$)rNy6`%5KRaSVJA(g08b2vafPT|wjl=BUrTFaEYA&ObSr!*}
zr+5uOY)Fsr&pj@SZV46(KO`nAC<)O)SG=kx(nq}RH`^pNTcuIhH-V|Yp5ef)M{N!9
zxQ~9`-kr~ZlQgzoaKD)Kca=cW`!&_?Ki?LUuO#4!m??tN>406$tP2qP=TChWuTw~n
z?Q_=PPk=b<wVgx16_x}iJ>+)FJDq*)j;U`WXf_Od32XRn5kE<4TnFkTq9VZ%|6nQD
zt&P~M9_Ui$rCu1cfzWR+;Db~V#Y$&<aaA{@zJXFn-PMi8$&t=a>#FuH4=o^0FwSiR
zm?9_aFJ&;K%RFzVM4#_ASHwxuSf?Oe*TH_W>w)ASgZ7E)65TVsrm9({d}*Lb2Vvjo
zbx0KAA0{X#=~E3_Jl>!uTc|JOn(aaU;S0gr%~A{N*f7}B)!mvBaVhU|SCYXv3iwwL
z@IhC9Fl|5NL$F>p!?nONnzmfP4U|)3+d%e2mgH&;)4QQE;2v+UaQ<sOt#-`rI@9?$
zQlJpM!xv9r`Hm2vD>WnSn^v5&9D|qLT<+~~bjB6=$bfc}r4nN1eg<-hC}k75$`B+F
z;)w~(-G-daW`o;NFP?MNP`XeW&Jw)h^o-5W<y*RuEs)YO;mG9p7Y~0izEA!%zw)*s
z^)`(+QKz`L(%wC;BT(!464Vecc>4#Xt{KIXbU<|n4GhthK{O^)BW(&~l>nK6;Ve`f
zAbF!)DeS`I;Ccy}H&zK>P^hU31bYR;wpi0EfzuK=Na51$m*=Zsl^v&u<1atv{<0rh
zc2L!Jl|c{~O2ERhT==(Ee>UraiK7MgYAVIb$@Qj#MD&3FUzf|g$na=WkvkJ(BT6Vw
zTZxPB))`+rRkXNN_YNFiGPS48Hm2gjzS8$WH|0q@8|{^_RghI_gBy@0JbnbgG3`7Z
zZT8A<{{jBix95r9QAmG2emTi$BvNo3j`iZsF}D|v15@HO=inG?V8s~$&E_m0HTgj`
z7+VGk4g?VC!$vQ|vurIEI%{d>y=Z&@C8|}H05_Zqv)Vq#r+?9zkI9rhjTu}Bm(42H
z#+@}|rB<Gn0=CPp*L;ChukzJ^7j&G`@qMPy_5wint<Gme-83k;i3r;<Q=J)!!Z7sU
z@<wB#*dw+$Yfp2ar1=6*Fc5=+2Oieegqkl8ELtcp6c-y0TA|)(f?dUu)n{Se07|mV
z3UP$FI&Hv2EZJb0x_EO}v@X<2Rc74J_g1)GJ^w$Idb4>Y*2Hru9|oGZ;;aTQ9}v&w
zyZ+*Y5T`smq;zl_`}^0iHmedDyfnowEsg*u+N;EbiI75F)5p6{(qHNNQu6ln!|NJ$
z$;NXF!#l;A&chkNHKWlb%Cup;Udl01^Hp*jl_{WDO|}Sf{4EAeBWX%{rr{%jFjfkC
z`n(E6d>NYI4lp7ww;D-e+d0(tw4j5+&vyzQ`T>RmYY9^pz22)pDd8;0z>x{3krgk>
z<lOWYX(HPyp~GW{40JMW^Xley@zFf+lll`Tuz=2Sm?XyEUP*sDgNeCz!7EZXgZEEX
z&#iuS92(Y2US|a6oTi$sJ#%?}!`$bz0|*|Ma>&C$DXAw{4HyJJ6)TeNUUZ{&-eWTC
zG;!EI6zF*-Bkd+|P!5k0cp9OZ5%jnS<MmjpRlc2~t~_^%3Ud0@ZPb%G6%7y->s?hL
z^g`&DOFl-sobgx(PZ@8r`_A@>^r_y}eQ0EHWaFEh;n4nA@50yf_+jT|v1@ZsaA7$I
zq4*{douLP*{@D<XKv~0wpf>^sRo4@3H)-rTXOVk8rRxHahoA}t-s0ypyJcNiQz1kh
zDaQwZujx3)np{p%;F4@WA)3mfNESQr3h@4dovx9+A<$J|%lcpOEcKrmD)0PP6}7Rj
zP=1UnoBAbhj&&m)=Bv{z?yqI0wPKoYyxB>@aE22>pMRk9GbKh3RV}s(>iNsgDMVid
zXcXJy+i=;clAy9XagPLg0EWPMUb#`F^99^!hUL~M8Y=hTJ#)qjN|?S!wOsO?2Gt9T
zW5aBHB(9wQqi;um@-vSms)C}ZyJiEpw5MxvlS|3&Gk}Dw#Kd;TUPM&3!KZ6e`y9Ia
zGYfes(^eE%(cefLk>K-UeM#FVQiyr(zyAs<w)$^GPyC|wTLonaumigdT0@)RzGU1%
z`KDTJ17fD)#b-uDPGD>ds<oyuFU-fA{tMmE>U>Un>h#rem+t+N)yF2+nrN?s2cn<B
z`q-NlTp%c49Mi{lP_~RwBPg_;e>%icD3bOJqy7eF2VK0^11STvqG>bz1lp{4Yqnf@
z5iw^vNoj8-8FP2eDLtGE?@I$I=FPq+z_-$^u3PR$U!sA|keW05#H_C-<D;N14iS%y
zvIR)`<@KZ_5RNXZzH_HY20_vd0J`4v%7JfxR{$lhPP}LSYeGzNa>*!h5@%b-?IJFl
zHw-eQFJ6}5^U~;%1Yv+s`@Ap&FOd9kd#is+Xh{lTi@+AYib%swcr0?@j4EJW+d<+@
ziL4+FYRXO`C{kR4U6s}->s)!bWWlI?n?4h;{{J>AxE0Ve_Qu$A(08xQ+&yTYGq7Ly
zE+60YZ<+^hAT?dz;|w@&LYH>WA2C}`UIjbkkTp!m7uF*ecY5nxisN0a+(yO%Jxy;~
zw9i$w0Szk~rBC|aVA#0CF@kd%K1f$1MJLKIHhVg{cxrqe$fOpefvXqYMam3HBz}FV
z{Yp_TP??2U47117i!LC4?-h=1^&<%<I!+363VaGlFVm$|-WDQnU9?mfDh+Y%E^<@B
z1e>9SV#vz$?k=HI@@*!Byv}v)XpjbJU8FbzMo5Uj9;jfTu<MHw*H;)&Joj7EjpsL;
z9wvD;K;TdDeU2Yezgl0~N7c$XdlnVm$NtGhxaVi@VZiK^&Up+l00Vv#+gHJ(4s`hk
z{!R5ams`6G0tNznKLa2~P&vfva0)*jaz7Uix<SZk_|rU%kH4FNtx$1wPi%o06E-wr
zNrT2wwkk`!fhht0tTPmVFDH>+08iShd)UXz)6<U1Qg)LDlDS3AhXthqW0*u>J9`{9
z&oH+(j4RA4t~tbcgw)aaBj6jluLWRIFz}*MjX=HK$MqDp`|4#WUqfzP8|^47x-&JL
ztj~eYcAtrsQcHsti3zUgPVUpy@Nz{w{A3v%00})4X=yNk?MQGzP?RV>*fs}jmUw;y
z8ju(i4+J{ut&vcj8kNpq$3_K_S^KY`rK$|2>utVF&Tvb~27@mFw!J%!Bb3|Uy*f_%
zk`;T(PEGIjK<2&X1RtcC&(!m|0t0Sed~S579QhD$p(KS>9Tycm;-ZEW>ribKSU!dr
zo%qG_>{1h%VGEy0pkHd=qtbmS)VTf0?$iYniGoZ`dQADoTAzt@=??*0WgW+xkfi?#
zSfEm?@P1*Ow($VD#hT~Ml@T@6&z}?hVpJTKS2qRujBM3!pr@w54|VnC*PO-eHgn}~
z6fqN45vK&;-TPzi)F!p@0EWK*F#f3EUj@Z;meV8%D~y%l>QU|<`<e~dCKlCGTGixC
zk;{%i^>g5C`W4YVgEwaqS@Y`*NORGz4{{IdZz<dhmsR)MJ@R1%CnLrnLyOB25F!!%
zF;O`7Pgj!B^j&4<Ij68)llny>GAV^5PTV&W|3=VETfTdNcslLjAU85~7)pT?(Qn#V
z>s)IBW_r&$Z0xT~Bm9K}t&p=<JOUcgH}hMdbGHf-KlQUYxXj>XXpT{Gd<E_gb?0VM
zSu}5gkHZmor20e4fFvx&CMT7DIK$w&fR>Fckkc<iw65(0G0A2T-vXI`8N(w>*+`fI
zWwXM_q+7d%1BiLe08mOi<I7**)_cm$lN8P^a{!#ddMyoRyjjvO0#!f^jJh?sZ5q-E
zYwE(2&%AkAVR}cKr6y5T%C>GRiCRqdk=~SA?-QM^1)AAH{l{QIcZ?jM5nz=AVJ7ie
zla8)UV&Z^()^Rdsy9t|l*_R~HvKJ6B!g{2Gvyy2uV(mj}(2%+b#qcsZGfIP|HQPzy
zrnEcp&mC2KwTe!_SQjnc7b|hR6r)lmYYyl6`+NOREErVdRN{n(aN@XzTMD_P&}G1n
z5p;18$zW@<wIlkh$S1~8`)Vn})=aHB(~7sC=**FawtPs@$VQ?p)4a{#i1s-U^V`#o
zg>GQ$`+4RVrDI~nLKqjvCj^j%g2)UGg{K@OLh8;S$Rp_JsMWIw(B{T%AxvCzh3-rs
z1$aqLjC2Siv$cVZQ&NvT)o=r8Ta{#cu!T$Y0?j_Xv8+-KYG|7I)wvd_=?=)aUOiCE
zD7u%ACH5%g)e85`Lw7ZKs35!|lhgN0xse|ZJ5-;|3SQdBwH{Inx6JzRW4%v|3)whp
zDP~*f7tcVyT!6F-_EkJu-p^874sN#xN66X{^?HrO2L9JE8>PY&GwB@;(D`*vcP!Lh
zMxA~6C*<xck$BA!_Gz+UDh}<!yucYfT9*Pp=!ZYr1YYd}GeN4}o1DS)`4vfh6v8z7
z%L$xSi3O=$Ae-z7F-mf|H-yN_KPB9NFHDo0019wnXecUHoF+_wcF`KYAORTm8Ep2z
z21gql$ck6$F~<?Av6_J@BzQ(N{8Jd*%~%4n6lbvg#3cYbEXhEz?aYd;PPu$jkwQQx
z*jP)29E4+5)|NV@M}=g6zQbmCcE!)jM-{GAF<{Ey?MTj?70%8Wmv#nG43)KY?6<U6
zz_Cn4WT)B&Q6ei4P#P54Nayx`0E`miR>+hI4H!gbpTxo1z;x=*FSdC?U(d?HS=mWr
z*j^3LhHNu*dv9F3baG1CUI+V2{4PmCb|x;=0|ord)&?nOd82w$0@jYMRq$<OfD%SG
zQ@UJTL7!1MZ@fCP(8GnBZdBonu~eeSO9(6<T*n<M5g+r&ZJ+3F?JBQ`!;A?Jc4E0R
zm|ca7?ujD|jDkE}lhDK;VDMsJDG{TNhmPuHEX=Zxpw1p+zK6?J@7x{_+9A#p%3PA%
zb7?!`u?WmOEqp<wvs6aBzuL4Tp;v<dg`O26%v-d32waSTOlZr+Vr>;or9Em=uJ)mu
z!y+aK83~uHug<nsACiAHtH?eK+Fjw=q9t_fA#enBQ2}40-{<GcO_R=+?Cd9jt3*s4
z8!r@BEL8jyb8M@R(pCD4PDI%wL0So~p0#z39-~$HaL{B61sQHyUg4j6entwkrKH4S
z@}wWJCA5PY5l6u^;&eupYJf!$+1mc34Q+g9)l>r;0&NW1Ojk=KNz)b1`M)z{8en)$
zAZXm2q~X@7buN%|XOdm3R?QxlyfRx(YUXU3F*11)h3*YgW+Y8X<39oxFStveGD2@f
z$@~Lud)`F)pD_*>-0o~|94NAMCDcd<Q6SQW$49DJuoGxVGNwzx7fWnTsp53i^^cfE
znWzKgYwQ$~C`uKs;N<J}-%D$(dha8i7D3m{eiD<Jf(#OcQRvH>u{y^`B0pEVa=Il5
z>O)Ia!k3v?Hu75n*pDKU4qCU`s6qRKoQ%pnpr*9`DK;l~!I+1<5Lx4TQ|w=!`IX6r
zUs}|jLaI}kM!Jz0J1M#M7+mycH9jY7jJuWA-X~AkY7q&1pC?rjkCcvs)i9XDtv`$a
z*JJ=uq3L-SBuOkwlZk_6bn4?!W{JTMK^D9{?Lt>}6;_}=q#r-GGMe?a+fcu7SZd0o
z&qs5YJA&G-$sxh^w8&-kq-!^k2E2;4a%e+;ApMSY?uEkViK~g{a*zd{)f12?K656(
zWPTk13<Ufd)-x+e{pJU|yLt5XUjJU+G9aP#1gmlU#qqMfHv5OD0cMLx%1gajg~c6q
zINN{K<CZsDJSZ0H-yF!F@T)QjTF(*S51)`=XfjMb@j&tY`9_m7I9wZ0-sq;j=-VSb
zY*su3^bSO$Vrj;RKkM3@rWh)OzD7-CuExL$MF#e%<P{e09fpN}Hkzyji*H?@^{cn=
zpt{{5ihssetrIvZ&#!LX&tzRAjkKdi=8gg_@J1u`g?8}R<_A7Ay#x{Km81b#&Pldm
z(%<A&0-8fK6Gj+laMdJ`3+anJ!4r$hfvBSmQZz<Eg#06kB=<wU^-Dg;E6FVvXuwH6
zw_E<;$Xr{!QQJyp5GGHqMbtE11nr`nI^dn|wqY4(UafXcjcu`T2P{7San(ak#Eb=u
zR2jgoJKQ=lBg^GF+yruIdY|C9pEH6`O9)c)Q^&{Ynt4Jyz>B2{KAUq?Qp2}+mkX?~
zYK?+qD%fS(QyRE|w{48q<L060xzHhD0SY8O!9oQGxRtqh^-1vA$-jSr(|)x7VYHQh
z=$U^1<Air$RWf*Tl+MB0^Ck?AVS3Ss$)zyK3>lBV89?6Vs@{zB#IZM6^t^PyY4F~o
z-$7vza_6$XoeM@ubapadSQROvy(95;edYDhU_&UN3^B`Bt`~tmX!>?R=HWM~s`^$%
zo{_6+;e^Jw7GGq2OW;WRjO;T+ixPLI6o*hv?;DG8pPMX@D2L2oOKaiZ1&m}tt>Pf$
z@=5Qcr^VAqn7){O*!|DMj`F9S7pzm+6&VRSgBnMXKW5jV9+K{mLWlwrH5zA_!(4Gl
zodmv@YK;m%&rS)(W6wquS97~KZT-%2#WnvfkA1!<E0wt~!?1PRYP1(p*`90!C)}lr
zI9VCUfxR#y^^aY7sAnex6!3c{+)*@MVj*}q;v?o1Kl6~k?21B9fR1_~Od-<MigvDy
z3_#peAY~6<H%$TBGX-$=B4+E?IcB1Dl=&G1VP{3x3(l;cZ>6>NK6dcviJu*#p$$DV
z)3M?BLydOQB}yhTVpC*yOM6$gG<E;iGs8(|n=tQ6iLh<hpJKG+u_QNb(&sP@R<PGV
z;Ke_cCx}j6k+Oa7S-x`{QlwJ<{L6neEu2MWk9JR{KKJaD9o}DVoF3hSXej*ZOgzbm
zjgyBPTD9&H{4AsD9*+#N>03CQZkCY$jW6rxYVeO%Wo;t`EQWg@;`GWhBm2<@o~AQW
zHNCg$V%^^a@J=92F(2cXSqM3OwS#pTL(<Ovc$B6Oo88#lvIVo&hgw+dK{e8`G|#2?
z4Yqo+#nb1T)n4*>%8nmabs4-e(j!NJl0@q>`1-gFEuoVvD-yMs6X;dc-5iim)EzAJ
zz;>An)$YnPX%0ALQ**;uf**Ab0C)_|!=KmSv7_i=wR#bE$!1<Yk?jRnCPX_}jONcb
z5pNBwI6}&4fD6a~EhJ8>P~Jy+92j6aag4u^^aThk($sH~E}Sk8#r+QHT)R7hItiLe
zcTiqs-HSncHZ=d3d+a~Cz}9G(Y%_^=wE`I!%^Df}z7np6)M}cg01mq&5GYP}*xUt6
zV!K|`1`xwHUyg0Mk2(nv>qvvKW%sKi#Fc1yz2Vb(KB+~h6O+uuodDkJR-(7+npsvf
zBLOe^DV%+rZ2Di&IL2$}(BsqyI6RwdMA3FiP@cl7)<2Oq7O;{zlS8pK2!Ory<V$R(
z5B$a+bJ~=is4iwewV9-1JGrZ4WWs}avf-nlMwW^YP3STDiGw^4J=PGX&2be;k4{_|
z`)d1UJNxO@s8LwE!m5c{bj?<!N374jA}?N%I20nhJdYA}ki7H&EU>S-k-9B`Q8G2t
z%@|LOY#Yjt9SSf?(6z^fMCL>=eE5l~|LG*RNVwK@jlt~rN4e_6Jee80g5z3dTFtYI
z;hc)bDBAY>%2Gvphc_ieEhs^E0-~!h05S89<izp%I3fiHVpC1;H%VcSvD?PZz+AzA
zM7wBEvHu8b5^n*1L0ydV(X7Ud_d$ic!=t-FlNtL$WyO+gcU5?{R>#2ljb~obWrJZj
zUlPM?9V8VPmhH*PqM2Eoz&}vXOdHMfTh2414*}dkd7FPJxzfipen;!nITod4!V62?
zXfj~x@83s1^8Rd~=*Ij`VZ1h&hmmK9H@o3Jf%v193D(+Z(uHoV=c3@rCS&LS8VoBq
zPHSjz)|01rcbgS;zm25l3W$m3<$L1#SR}nyn*O`y1zA<jDp~{d@}YK%d4-!~_rP-{
zXR1L9+C_&Y3{*l$anqkgh^%)a#Mxi5=e(HFDYeyEK-?E8{!pp>AdP97!1GktQ=yMN
z56g0*#>2U(3f$3wYhGdS_J#T+|E`%O=}$4ub`u<zGV==x#<h~fxnlBeQEY1{vKGl7
z1cC9f1R4vzd0=`aUt(-`35?Amhb3FBuYBqzl>NJ-11PH~QmK&YwnaY{%wjUrM7)zT
z8<m>F+2EG#5-Q7UZ{B!FJn4j~-~t^eRL-%};MdAp$8kUnnu6)J(!XajoVFYGgBf(Y
zJasZ!7_`8s7EqkqyBwjkU?q=lro5+Fwo!+~ZWQ{<GY^jynJ?h?@RPg%9#oPIo4{Dv
zsG0@Mz_FLax`&MSNCxP~ELpAY-ufAC-9d@dAJx&2l`gNroiq8Kuq;Y*7LuHnfS7a^
z;N}S#yLj}EL}JP4TKGO&DeVga=15F`CmmE0qa)lk=JvC;nbkPMV(YgRE}*sjK7cu6
z!kYvCN6p-u?z}A{mBu+`RtiLrA$dG-M4G+o4&Vzj2q|>U{A0f@GW7KdDMY1<%bX9`
zzJf~}WF3eqh0M&$nz&$<CVj*deB89F*1g@*1Gr`6JSuF%n)ZKQPKd%uu-JAp6x4L=
z#j~O>rpl{o1B`LfBqymO!Fgq@n=Rz{drO!`r@<q)!BgC=_^W3lfqd;{H~Qpp-yg8I
z|0)8pxs7kWdAHp%X3_qmb05K~m|F$w{NQfM@v=Tn*;C6k;(_wO?x5ogwec6O`d>7|
z^(H%4GIM}F$lWoM?f}?dJC6vTgCHYl{UqD=&n=Jk5K{#D%(f`hMi5Hqh7Vc9Y)=Cc
zMfzIVXMmHk)y2~03%mP>r$rk+dc|kP65pN$i%qTxxS0Jnas=jLA(VflFD5hgkZf6^
zr`{T5qCS#am1bQhXq4V2foToPdsXGJ^`jU<{}g~){;0@`wxP=3i^ihqaU#N;7=Qf{
zbs}Z#lD9<6Z3uX5n!XX=C~vX9PzxU$iL7@}eX~1TK*8S8_a7}z{0bWk9(5?d(&ZuB
z)*=H)YG>V$6Tg!=zaR{~==a%Sccphy7sl9J7LOPoWcj@thlE6p_nD1+(M`+j{H#6L
zW|g&ncn@M2DI)wpnN~wbp<OpDV)K!sX^bF8N<J=Z(|=IU%8Yaysf#~Dd}pt6==~10
zr?})Qzr=md$+&Cwo84_>V?aLy5U;_;$E|RsU44{j?{}4tmYWp4^3VDYD(O7sBeMa2
zkpaRPSG^#A-$5vJE%|XIdbhA;KXEnhaf(2{GMrYfr&mwi)3?#i?@vn`3g`<LvUFQT
z8_Ad;xtBbYNl6$;gWDYvrc8)@PJY<tAngQ1$6A8dH&u#R?fM&vjP+5!<T*TgM&J<*
z#55_WN&}Ej>cU-}^ZY#`4C8f0*)~3;BhYTLM%hVOvlx)6)D!*!SsesAROquG5aSG4
z(}A3y5XOjvJ`!af)qgGcSc_9(UD4T=0%2kaZ|OgIlF<8_?brf7r&ALXfB~{*=_sAu
zvK-#a)#y{-b<{_#7e8Vzm1b5&fHGE8fltc(avM2G%Kblz4Wxa*J@=2yO&2hKq~Mc1
zkl?2r*i<|c$<oSbUXCkx!N|4|+?`WbDG51F(K*vrs)Fqp8+I|#JKy2u)}n#*`TksU
zkRyv#7muy6xiHxzfbDYMNndF5%|DfNC(qGO;wfY8{>)vOB}{z}JZ#Cd2dA&0!AE*Q
z%q<tBz#K$E7}L-eb`I0?SO-^o3~7A0G&u~?@TNv)6p0``6?hPNHkHW1Itd%q=mqme
z+f5L+Uxp?_cHk@Lm-{{pW;`4X2mdh`ISfc_1ZFyN^X(N=SWN(LxLFH16X^+lb*B!R
zJNvB5$v_ws4R1Gfxnw8T%5soNsY4WM^)}D(Ca|?F=5OIjgpptpd8AD|CuT2Yxf7%Q
zDRE7jfoD9CsVj2g=`qvM&p&rqlZdo3*?@pr5n787@K)w!+8uzcdiXuWkxTHts`Vs~
ziGMm?ozGbCvwKSUqvh8Nv4vnxVTolF?L?!%B8^1c4yCLJJ3Bylxk=QZnviOp>h+_8
zDuvHN!vR_W-!`lHu973Cpa$b3bV{|!aUjSNY{9DIP*z694Ed(1=0UXXO7*@{Af>|?
zJnva{brumg<{+>KMrGV0(zt_oyW3DY)TS&BkPRp^;$OB~KH$FsAu=~wic>X@j25NM
zgjtY=)2--E-38|ufK@@rm0a9R5M66lwA#US0EwBvABjbO4m8Z9D>Q9|i`UyzY$qLb
z9yHXYMh+JI6q|j3i0L>PJjDC~4DfPu7;#j5XKbhzaQi8?7ReN1(XlmiHHS@)^<i%u
zY*enVCDOUNL<w?vxiP@)_&2VAFC2nAyX^;4fi#NpWo(1$RNca)>6TWM@c+)q?e#^z
zT;_<8AF+m>wZ%*?Txx@&xleTl=<Pri7>lDCaKIi<&)k(NkcT6jNh*x}GT{0tN^{rc
z=gD;v-3e65W_({C)mntt*5gQpsXsLuW!rvsS8sZm`2(fJ5Wv~Q%74sjG3M8r*d4~v
z%fij=G=T&4r)?~%+~9;raSie^Vzc7^$DP~o!B}y)I&JQRH*9#f@W(T`C(S&Ymx$K&
zJN74%aD9yG^f0c~#6Gz1kdtZf^+RKnHn915IjFF0ZDkE&H%bfub2~}^I|^gHAD9r*
z88c)_3_%e;&ex53%s~{<zg3@8k5NjWUF_C+qca_Yiy`=2@b=#*FgbwytfPCMy6dw6
z-educ-&_RG+f3{;znVDcNG@v{U!FI7SP8_9Ra%5&BANdY>30*%3_Y@Np+GJEB_mLl
zPc09Yb@ak2-EqE)6<nTj0CjZ8HI>nOx<<8m$$W5>UiIU*S!i?$wR1;ANCqCE-Y`=-
zdNm=`On$DK_um#NR2QFBc2XywXsQFU2Pe6WY~wEQPeL`7ht0XydkOV#^}mbW$rI`J
z22Cc>FUG&Wz1SHS2ts94>KON0ws!24tdc3V6kjcZKjPP9yEBnNLvu7OKjbJ{S$P+s
zcLnY`zyBO9F^_cw;sp=KUrGAv50K&)&@mW=RNEDyiOqfC!qEz_>)k(}6$*EOccdC-
zHSWja;e|?u=WmW79vW#lrZRnR<3~WSE+F$pq<!AIR%52NjjM{5YJwmkZcgm2P_V`+
zKHOZTHDM_XDUnv%Xq7;*!Wb!EzX;*md8&CuyM;}{%S`9prbWECzBW@Ky=6DDu9DeE
zpZrOT-55L6{1z`Iw=5&~cnppQs`s)Y328nI0RI^?ASdPLYRa47PPU!;U?Me;B%yt!
z;#R{&b!VskI+ZPRIBICpW#U-2n&;@iSn2UhVOuI{81>VQ_NzY$lVz#_Tt;aB8Q|!%
zWK=^=qNB941mi$*T+Tt~(fP9MVN40Yc=A>Q$!=26^4ylEL+JZ50tL8c<29Jfxqsqa
z=$rq&$xp_(vMTV!KTz)5XSQYKb#xblL&pwt<9|q7rgrhC3#-rq>}jXUa%8M32@Ilv
zT1|C^mjeD&Vc??K2@`5{J43>>ix>gFlHKslNFi9#=aI7a+tDNsmq#i*&$AD7M^1br
z%ji`g0YI7tW!c_eqY{j&lhdjP7+0rmQz4-OLq@4c&RvxR&b8xlx^+>(bpR}*VAP;3
zAC4woBcAXMp2^QjZ*=dJN|T*{p*PgufUQ1_&%Dd<nzsGq;_MYTauAJ|(BU^+yPJ!D
z#Sl`^H;bCEyuPqf_V0^90{8KD0Koy0oA^~b%Gxb0&ZRM2v~#Vmgsw&7gkxN-J%UZ*
zX$z;tfO`f}|5#`f8e!Huc2B`u>`1?f3)1|T;@KosNVHY&hhMLK0g94^1uGesiXj6u
zz7>Jr?O{hs`3xZ)*F$=<!6}p3=7*9LDf{Bwk(5U;ESzWCA=k7Y`VMddTlZ>fZT9sO
zb<dR)5;!M5%7K=@@b2HC`tok_`psi%HfGt;t<-AzL7$qi2XbcO-Q~1UR5n$B;j5YM
zI=>MRk`(|+ByzEnN{%1B7DcV7*vNoKO-odxqKwJi_!-A(_ITt}8xno^-zefz()<Zv
z)t0QlN9qqaDe$0`#p{|F$A6sscfg}1o&knA9iwhXK|^C~=MI3#@Q!@?Ri$Vtk%(Za
z)h8(r3zS5WqSYvl-_YLcqp{N>ey$kfGMz8vn!_6GoThQ&>#Tcpx`M-6$iL|UhLsSO
zqA&<8CT^*^P=AN8h<FfbirqWorum~^<<=EKIIOwy);TjNcysdfL0CRx4(5+Js_X2S
zNvjsv!?r%dO$u5Njn0SN6R9WhxcZ^Q!mqVQ6Se~5aU-sc2B$jT8rT4cRdwe4K#EIk
zZG~dFVhhu{)|KceCom4~H7eEE&1<o-5vq?#g)*9DM9WN&1M&U|3MGzm-thCVzhXZq
zOWRWl$E<BW&SFBzV4~>{%SJGM^O9>>pD|^bluf3kOra#ZwMcZTocn1;gImUEozoV|
z8#ks0o6F8KvyD(hyDR)hQhBA+O72#a)V5k==Vg#z#lEM*DnseP5QwQsWuw)l)ze<%
zLZba&z$-qx(H#<Q$!}5A;Y)^UKVn6{iv&=~vsK+0v$6MNZJ&xP4jCLw*GKqu(V5mM
zd6=hLD25U4|7H44z8q`GZ3|<wbcWW2Wuc#osmXg>Aa>ZAj(jt-B7ZKCpe1)neQvlE
zl^Z*SZ9**#QylhEQX^`NIK?Q06hX&Y7`|>#iq5Vzj@{mvdtWpw_0L?J;LrP@k~W-o
zn>P*IaadFS2jlbPSHsNFZ{MGRf-C@0ovQM?T+A4i?`8yhI49eB6en9nqM@)*?;Zn(
zRo_=~#Ri||2mNU&1mxp1dDsmC0vau+rU(B?hxnH!ZDw^W#T5|@n@mK(a@v>XH3=CW
ze%C1-L+o^edFp#!OgZq|&c{oNj`7Wfv&^yv-zY&v;U{0FBeC8sg^?i&-S<mt)?RDe
z*R&_s*#BK)06{1Hev*5RJ=es6@@r^|-nq>r66@RpT)YRrx4*B&34)dLe4o1^$aSnB
z()$rw|1<ed1-dA)xAfHE^Rmf%Ga&O*VH*F4i+(y3&Wt9f4!jrTY!v$U4m`*4nE;H7
zoA|?;@_M(@Qwi_Ow$}-x?TcK8yO6lf#YO>XNt2(DOzKO3Q!2kv-iU-XbbA4YhV_n+
zJbcowW>4N0$1~#Bj^S9oqzQtsfH<{!ePVU%DArVr7J6>$9-j>Mu5<}3x{JT_56|^l
znwMR@XDG}r6n3p-Ssenfoy_WDPcUwea#q_c!TcFZv<;KN&E!%}Xzak_Iso#V9wZ^L
zS8(~}^cRaOxFH&8j_+Yu;?H?F!TGAtUenow`m6|_o}=I%G_;V7^l*!JvUUc%ir{a`
z9>4TV2~UYeTTPA6%n&$>#KC1lRbax8ihEO{09ujkQML~?C{E0=K>Gum&<E2xui`mm
zA67pAOEG{;8ZdN|M1y%7Qj{OSu}SRe5jZy;L(A9QK1^VNyH5z7s!}%bf5M@RdK+2s
zfLB|zztQ2>RYC|L_U2dnJ&tb<EBLPW(xO}AoaLbV^LF$bH11TG(J%|0?Or3;S)th*
zO9MQudUh`o8zwX>()P{q#^NSRzV1f*LHb{oEcX_#<j=F+4sR@Mt563kJ6&L06<H?Y
ztUU@>lat4iseEb$<WdTz!pbN6u+{2%&B1z8A~q-v$~5=`v*+qhC}Q`KaG3bLXxA~E
z4kZPA^CNT{Wf;kd$oGSSWJ1`M?*EWD)3Kv<CsZ1f(=O<Znl->7(1QJ*QxR>fCa6}$
zL!+=wrn#9IUcnx_SFZNV)o7|-8fdJ-S*(P4Q$B+4B4FFD%5pi$l=MS0!gM>K&W)|}
z$YLl?)fPM%?utxpC2j}Zr0<?GAH4P}e};^!3LpUe1G-^MdT?(&b$bvRu?>P00CoG#
zb#@|SmG$I?e;Jv3(Uq0;pV(5MW(+MVq{_UGuT=GxFgc6jTq*)@Pa+)*={m&9Ulz%o
zAEEyK)>Fw`E~6cI{%q7mfLeoX5?>vThaFgEra?0M2jKd4N-nIH7V#G8K0D)NwvQYu
zPHG<om_$F?*>r!UC11tsR2Z72VXC^p^^J#Av$@{`C(z3#y1j;`T9X3q>&)L5+R%pm
z={sHDdd9SR;5LboL_L2Ou(08X)FMU#{@P1*wM?XrlWGvQg63jygkyiju(MuY!hh~C
zK0Dy<*o(h-akGt<qz_|iXg(V(m{*S<@{sTSTM@;x)FQL!yaP?s`pQA6qD3NV3Wm4Y
z2Z}M=t^fdi^|o2kU2F0)cruTI?CWdXUwA7cAg-=Qij<d%PL9J=rhWY#9CeP*M_RLE
z4xnJhO-2beAma7BfJkqtdAOgE-jJ|g;=|6x@`JSwuk$1D_b)ZH(5_A8uv(Bk`Vlb|
z9S;{BK0OX!X4tPZC~e%P3DrZ>Zp1zv-B46LXv{+A`h&a1>Co<~+VmIA{|l_y|FMl7
zqbDk3eW8jI71g`WIlN!Oo<$c&ZGh7GOF`IG+G7Klg^l+Cn1{jbu9NTN#s)!zIp!Vi
z+8C4d=3g!!^Sbl%YHqjlH<!@~vuN@I2VRl<K=z2c>zm&?V)K!oVBAk)VOs^ACW!U+
zFG97wzhy9QfVKt?C^EoEm?CzU(nH2|XXw!7!N)I0qa2&21O=w;sf)8B3>`30x~YrG
zFl9o;=+Tdn>LBp(4+{?m`rt@}a-uMqc!6%h8m7Bpu$a$R*`Ix6GKD*)+to7BTGq2?
zlA9AgE}16*PO*XHMt7Si><!Z0=vy@&>3#zC6hC@E@Igh5@_}Zs&X*!SU0NRRwb{7o
z3v+~HNCfhx;(8@<!<S$s8x8bYz-O{5_j~t2#cR{Es%fpM*FZoqQ7tL+yqB0M)6CtB
z8!81fr#+W^y~3c?`b5%K94_Z(kAd$nWRXkP6)5)Alr^ow0G>k#$=pC5$dJ0!$W2`s
zTbaTm5}e1l4^1y4^{DlBlO}2mg|E>ansFTnEO)3AQNVF?oDvqQT<tZWF}Md7sO{|5
z5~ge7?8>_h=Xl1(0Pua2cPZ+6A*AF3;Z7;hGX;>$2HzQIPDGo;Yv$8nPA8cD5N8wG
z2Nqt_*SoYr{DZFn3K-`{V$A`_qoOph%S^hTs<11^$96kF65El{6o0Dr*SUJs=c2;m
z@cm*=qiy=hB?8ukBB)+li5!G+1_#LwZNhv~mRd~Vgdf}8F``9_5MqzgmOb#+a;;<K
znV2>1uSnoQ!?E%n>YQqI_R~)Au_~xUx~l51*kL(il83YWl{Cg3w5Il;V`v>OQFg!^
zN^b1&d%?Ho_n!+}@cOwiKH>kx00DuM(hO<iacFV^Wesyt`w1$rQtgsc=_~$uV<t?b
zL!d^s*4fSGW6K=h_jZ-v_|t(GT*1b0n1uD%<q?4gC5I_tD%*D*y<y-*?8*yBcsw6(
zn02*`m_^w7!doP^h=<@z{x5k`2QtntsZn1HXUV)cTd&)l*I1_45T#rnY~jOir9Nwy
z@qTfSE0pvlNTc*5nNmJ$R`Mi$-wOtjKWR__&QCPbTWe}LXy1|WArZZ`@9jY)$*xot
z-)84JE8+A42Sn?F!oefJ&Rg9B<HYGE!{U#>4#KJ}?E&oMnxDSlZ8vm~VO5qu(D6nt
zajflVqU0v)Hj$-zff<mR2BHkNW<t3-#t?kbM}-RREzw-M&m?&JT{y#`2&zYU5qE<W
z^KYZ@H}lfVRc%2s4JyVU>P;bO(Me+ga>2F|g-(-38*YAnn8=y$+hTban^fK;$CZOW
z2yVVD_2AI|y3dnq>&#njV?=fhhaYnAHIQ%IXH&W>;_I^*MPAXhix1hUa5Af+8qH36
zbH_e12l!`2a1sBVkm?NOrA&Q9BVP7k-^pA?6;X!R;IMn=N@u3-K)dD~)iC-;ugOiw
zy&#Ti$joClH#oYwR~ZuI0u@XRpQ7a70za%v;hjBl`CF^%S$BJ3!6XCeTq7p5wiz-`
zHPTL3LO+@KBRpa~Pw<Rq_TMk;n`5E40zKgQ$qlGrJUZmtE5gk<`cN?DvIgby42=;}
zxRjHjT@X?jLw%8iYnbiJ4(%Nq8GsNeyv88nzFv}=5{B5>=ONLvdzDnw+)s}*GW%H8
zy;;Od@sYo9WH5gZ@xy#*4$a`@Ij3MB<B3;(P%1b`AyE6Gb#>gmxWKfmP~a_%(#R;p
zd+l+<7{Z2&Mw<HFVY;jnJe3@<;%@X86zzZ!5xis8jE}AAgKPKR(s^OCw4L;Q?SR^T
zuc=A%*sMCt^6pOfJ5>9qb$pgSv(sCfaS&d&NDkao%u&xH3L`_dM_IrKPmxQ*RF;6y
z?_72W+x$BSoil_UYOcx-pHx)t=MGBD9Ek*P%ThNac7X+W`{R*$=Ij?hRqrI$@ltME
zogZn*lwv7;dp?+PK%_Yf5X!mxr*i9JF!)6|MQ+nDg0x-+>7IWQSypQ^tBoea_SdR+
zF0tchd_kc*Srw|Ta*1&Qc|8D7>jCH3co@p(FZ93S9@O>4&_PnDVL+sG#G(*^YAjD_
zz`*SSyoK2HdlE`tClAxBv#y%9Cm@d&bDz)n-T#M^Lhpqt_^mMJuKcToxXm|in0vyE
zb^b-;TS71Ys>|Z)r>wR(WSs!Gz_>yT+X$@_3hY4n95Z#6Lv@m{)ibP)B6<g6Zd(c3
zF_>Iktv4D{_{LuN_O0?zyi=PPCv#X=VrJnT?P@BDi-F}@n7S*Cr=QxAulc&`kTVDZ
zy*W3aTwQ+E(27ZA&FQ{sh@hBqQe`AhHAP$2>+c4c*D&nxm{R5QH-VH1>dOxfgzD)B
zI*l>&ecH7orA{Z#_dzcx|NbgfOh|%uV6(*=5F-=7u_5Wa@%w<Wh{3)Q5My_Dybc}X
zTAe?XSo3kke28=GPJjh>3V~5bNrT-EZlVQ8hX7wnmmTaB9+WZ6%pUg)XWNq~y{ogB
z@CfevZg?c0K8DTGoj$2mirL1ckCDczpY7_y6$t<AE}y}(9p~|9dL*`qJFLXuzWB<f
ziBr3o@&HGgKWUTVT(c8>&7DFG5R0))bxK6<@Nq}&TrSc>6O&MSi$iEaa=qu_HEzNP
z!+T^IgJ|mvzP~dAKWFxZWsiB|e=bbiUBAZMmdpt##LfzeWy)7RJiC*+)Q%fQvc|(K
z7s^+{@JZS0Q^C~-ps4a?T%v}X^-q64x*5tX(qJ9&Y5*&FD-PLSTUiw@xobB`C?UxM
z#6S=LKR_x$e7;+Y)a$*!y=qH{fNBk)!JsUNq#2%RLsy=ScM|5;w<yz*v;1I#{u$K}
zT)GS$eDdfOqh6+D_{7+pE<GV3`+@<2JblA|igg!}3T1-|6!x9C;G<?*6>4Cr&;lMJ
zpwd-z0w%OO$i-*V@Yk+$^Jjp)-W_<E>sd<m=lih`Elru2>zVE+>q$H7+C*^h&iZ4q
zin@|)vI7IPlH&UgKb3}D%j#=Gfa2t`HaBX)tjXqkFU16BR6e@K&>`&qy>i5l;M^2E
zm`q2%-JKYRlYfG{S#r1+<3#BYncKi{)OuS06h6%J+mN!0EI{(TW3)G!NwJ6zG_d~R
z#MQ54k69S`C8ewbULYr$Z|p^UiS!xEhtxU~h*yw{>iZU<+>g5>E^N*i#~(7PE+3b)
za^`e?b>oLT_r!C@+*f08b!>uEhl(CIVLQ>xnB;ZANvxO5WvM)FiZF}e;dMa??Wx;k
zOGoKb&RcW8;uym0A<fXcNU9`}#nP?P8o2O0HOCRN4v_UV3yrjKI#@HLQd0vscW@aB
zQ%@H|1c;6J-fmKUmP00^j>i^#yE+<)d=%}x$UuSeACMG!jKeefq&@(xTHZ)Ey@5?%
z^u&P7)M-O+dZ2@lS|V7FL%&Mel~O>1o*egCti*LkvonM?i=Jf~WJQdmbsOb*&D_MZ
zo}k-q_b*DQX-i*-lA{0~p@M8Bi3R5~8>s^|dZTIW#}9bDF&a^5I09nTSV@)Q<<?4A
zCbnZ22qQ&XH_Y&T%SMW02s0QIx{|&EZ>QK)n{(^N%;rBzw{V?AXP&4u*9*zkFZl6M
zL-fZ@zNMnt`X<l|ixpA642XMa5++@EzkCrWQMsCQggrJIT6Rs~)-$j`J4`fxQ$VOv
zWW5zu^a`q^F&z{k*PuR`(Y)VykOos1BGZDhvzAVKckYeDZn+*FM!zU%SV}oNgf%Uz
z<IU4%Y9r7E@wBT>2Z9H{i2OxM2!L?rD!Qz486y8v$^a52MG{M_qw;6hH_xG(ws8Aw
z8dG$)C##L8!4-`KinlA!VleIPkU<M4J*r%VzIQ)usf8X4kdu(c_5L`}Db&PI?8I3a
zBY7|UPdckHA8gD1YwHCGLQ6yr?sg)0xvmhL3Y-YkRx<Tn_=YWs4x03qKh33{ml4Jo
z3`DyiwZnr^MFYh6cC1dSiz)B8V8`L(nPc_z)5JWU92m=p>T$B(t&T!Q1`Jy|)RHVv
zN~KuVBOJT~o;o$1T!93-A(gM)pEQblo&ZFIZGb|?WvWPh3-2MJ+0RJH_lJx6i3pq^
zPd>28(RTN}EZHzCn2^%2MhYK?l->)i)Hgtq_pVMbs8%r5y2Z|ue3myj!2jT)qT{$!
zUReB)i>wl>^D=~iHN9dv`*&Eb8;qZY7Jr+Ml0x>ta}s-Auz`d8z5fSVCd;rcC(aIU
zEZn)$0y9-)?Xbm9ty=J877<CnT&fgD<t@cy!qpDK0TQ$-v_UrMS8zbk*I~=S%U4d=
zSpYs%m(Be^BgFK&F_6L<AqNzU`porgUM>OVW1}d>fS^_tX$H(%?P5O<=9*@^J$DVN
zRaZb-HoKT@C>nh;Ni1Gt+jG}}=jw0P*IK1S?R$@FN4S_NZ?bsgXnWSfu~japK=423
z-CBiU_T-ONo_S)aO&TphqPXdQ@|N(*KHgX8V=suWje2T=UjY`P58An;#)ClHv9Z<R
zi<*B7h9~C6W4_ff6P5rTj!9V5lNWJfvQer-Nu7PKy!LX$J94V3a=8Tl`9ii)awwT@
zTn4V>)+I@f>{a``%ta1V_H^Xc&y|9VDGvmmq5x@>o<lskYA?Wi!wFjV)g9t4#D6KG
zr9^0rSxG8o9QDGAxu>;k?5inM5912enygJz9?rf5Bm#gCD-6k-uFK`16P+IQ%Q)x<
zAfz^^`Hs#q98%|@Pm?>M6}2{fVw&JhtYz#$j#RCNFoIbo+ln~D&{fh@yNR6rP41xJ
zx)T7sk70@M1TF|%7CGi4wA3+?-B~MS*9hc4XR?+<M7ScPeugk<Uh~#-u9{bCnZZeA
z5>nwdi}puct_4T36jHI;LmwQwfVK`LCu}j)(u&);74mOeI{N!u_a^nSVQpY?nJQh<
z1LZ~z2)!<jmN2#QwFw|la~Vd~KoR%u-6vuobJ?zWxGcSITT<ruf?J<f#9A_3KeBb*
zef2Bz4xuvwZy=QV4nt*eUZa{??;P^k#UuL!92ge2H?(5G1eZ})dfxn8Nj*$LWO=C^
zx^cR6-fT_98X-BvX9ezNG}57_zrb38wmqt(8)5w%U(^IW6i_l?BVzAmb-puMM#?PV
zXQg8f6*+3-SCy7hnk~4TC;QaxjIsoQQGsgO7-Pc?2f<8()0MgVWF-UHFSu2vfH*%*
z-K}$u2V}0EDr}kGOhR}s-ZS<lrUT4QhJmsZ-Fj{2BHED`VnTFBf(&4fufI(HN+B2v
z79ni~{~{9YI87bs7W9q_NNv@4R%>W0gNLY)E;BNhqPpGrmskek)@MoSLbCER@eIL5
zDfNaUE$2Lrz_V1(rM$gBL;Ly&J4&_mbkV6x^O=tswrl_cZjl||d<S6M$ghBT6T3yq
zD+!(6=-LeBhKN{T{>V<4soFt7=Y>2Yu^e7ezKfgNkd#BFZsUB6wNO`WDagV17{lht
z5ae^4g;Jr=EU8?g$px6XH;ZX&7E10b2l*w!2OU(zE|~Nj0DYr~QTed?s9V_g)>qOD
zw^oH;5mAJEXGR|^Wi$7AH6tnxh0>Bt_&uL|CCVGS^woMudTFt>Mc?XhHU&d#Om+rA
z4=xvo2h;`LS1E^`knnCcUWG*G=+6WQWGL50UiLvYW)vp+&wqMmhOa)x@SIg*HWl$+
z_D)VFwJSb=E==4R+4#&pm*m4m=eI2JN{}5wf=KLmgck`rK`sY!#O2B@8(<W>+{z73
zH)QP+ITp$j7_tmK@I&E+SnMS=aRK`a`H6B@l}qqz1ONOj2JKI<_a#;o#5T^H#6-jn
zWVgd3bq0(pQ4)36bj8;*Z(9cT^UtQO*30xYuwRU-)i3NxKL^W+aCHrkCtoeirjI@7
zt-Z`Uk%s}y(u+lobr}85H<b4vov`ARZvAhBYu=%E(i1)cUS8z|oB*vQusaEK`k@J1
z_%yMtO_*^4Mb-pP>YoANUZi-lG+fut<YhqK;SjDJHGfHt!vsk4g@9#-6#tR&^NbP~
zBbZKJ!$ox>f@|&ti|hzK&bq!PJ)K4#H`S^4IG55)3Qg@zZS2@Ol)G~Yk6O{S`Y#r4
z8s?&EM?8&96+F6bFc&?By?!Qmy1Q<ZyF2Y6h+<%-;=F*wlnU_aBN&t<7VD5g%5<W7
zM0?JP%??~mEbAQj4Cepmj6P(E>#7|^OweXi@G%0p9#Se0=$?bmC@kpA&vb;we+kdF
z->UCLdbJ55SHj!EO}!sz`>}h=tk5Q2+T12?G<ii_1c-9?EuZlaj@3rKRr2kj0WtK)
z!Fmiz3*>ugz*6KKjXPovxv$(GnL^WT9_)+_m9+aIu|VQAO!P#BH2>2mC!S6o73`M`
zCidJP*gG`#?xP#YJ$?j(?fM6S+WlYU#P;dc)jFX;5JQnMu#Euuj3taR%!aAfuoDi7
zSgvxAZ9gl4Z5=;|<E=oj_>RK*=vUe&&eW&*W9TSul1JboXdXVBsV#frmTZ?9HMyp8
z@QGKSAa97w=X>X3N>K!(0jM#v8Z>%b;z^&UxDs!l0xRl_K%GtXC`v;KjOd=GUitRa
z>}3lI=rqnA7yH7U5LufWwb0)AZkpk7?AepV=*WPc6D`LZQu#yN=<VfL?KtkD^Lw@s
zq65nkK_z=;zXyMxj7qI{dmo*dFu@m62GShZhwlPkAmwo^!7L`yT6G*X2rf6sz`|x<
z&{(>iUp@XGT*FY-SVo?cfop&28oXPFBSm?Mf<2VTtiM4DF)YPlS@gc=^Ehe?$pwBw
zSY$O}jwz~f5TqgBuC!dvqRW>21Utn7!-K<d4s$DGW1CO~2y6;eP7RLKan0hK`wn!Z
z15&bWZY4B}q;#jr3K#YXhNlu$mPa?@Pp22wo~)R8mMrzMmO(J~=DoTEbSUY0xQ0<N
z@#TF?vqYsg`K_;ZsDDR_CS_i!el6(_e4|l|-w_`gR4|%$pC<&^D#DCEi{>q~|K?;!
zk*DGist_n}s4*|0it+{+W#)O&4hM2t(Ju{=am6$ZE@P8omk-@L^7J@8&396|IpJwt
zOSN?f%W@|?39a{bVNcAvh%e2G4Y<;wI84pcbM__quXIzkkCh*)0w<UHbA2WmkUVul
z73Wq|hUvqcTd;j;Lu%8a5;3fo)FI0V=C}~4ZlY(80Z99ExpKQMP;hRQ+6FHJx6l|~
zGOTwN;Li+i+>T)*w}i*w7L$F20<sG^&Hkmlm_)M|JJsyLNSgUXyDEjw+AT=HmW!|>
z%+XGyXM`x*q0CDj032&zontQdmtyI~F8*jeOcwu}2&m{hZ&nTEky9HKMX-TU%+~2l
zyYVOJiP=WFbkxF(k>X>eMGGvP^)LQBl{iWP1cls>9iI+`3)cQ!g?$M9THea)1WUjx
zJCi|I;<m(B-NQ0|a~8*toq@aPDOWCk=}}R#c&DJArgcFmJzRh^4Ai;p*@#_bM(r~h
z2$BXz3`!I+K~%lJhlE9TZR=@++`TlXVhYoPm|jjmfLCd)04?*C_!0bPyxIhaB=lAn
zHD+rVTqMf)A2>5BF;DX7QvpwjndEHdoUURyi(wikzybd<l^NOoZ5Hl0cYY<*v^lF&
zt>ad@UYq~M8nLgD9MYH(CwLGu2gh>?CjN`g*6GXPMJ>}<$Ht>cZr}vjJNJhP^Ky+h
zD$(HD!aQ`S1d3EHrr+JB#bJAJq)Pn_X-Q`%mOI<DWmVn}nw@BMFn!gWO|(fjxZ(?m
zdvQ=j1kZ1a+w8MKi*ML1*kzA1S&dULVmTPTY6T$s3Jsd~Hn{YLFkP8)`D@88jno*A
zTile`nCKBAO%+Q|Bry{q$OMjr9-rh2efaGgWYIm(xs|;}=dv51s7SgjgSJfCye)Tb
zd2KZSVCDRJ#O9mm&_VXeYgIuitgQ)9%;=oqhI|z+Mvm#7zn(b52>w~1&3v=B-!C$9
zQD^CG^P3y^NJ!fa&j<`AVbPttNkxVOpRB;z`2RorV{tHUg%?i2uJsm=jrF&|QCn<y
zImuW^9iI9XQ+1hYd@wOjF-?zo)=icN=0^!@ltfmxb~e*SjQ#_sy#^E<3#oE9-DoUR
zF<ph$p0dxmT32zw><yh;dJ8()b0whr_11%qF<M*dd#}ClASIe0nQyr7+V)MZa>dV+
z?vD9jh3IyYySkbWGTu8Z<6Wc8QQ~wG2Ay}F0TsZ~zreW4vFBAA*u(&RC+Vd>^`Ait
z(=jo`eiPVa#5L*GII6Ukw}kiH%E}cC1)XkP?sMinADc1$)R?{j?;xRDyB=zdbRmYq
z=}lgTtJ+C?=QL;W(ojxAHjsh~#J(4&5CY-m9P6nAld#Mh0jSW_VDs`Y0=CWbV@ey*
z19SVLVki)B!4Qv5=k&HKU9FpOG$eKnZa@;H&Qx*A`debz|DXqHHp}r=75HVhoMk`k
z*vnYWsR(-!>*yt<xrJx;qvMuW+0sXb!9Zq8iuv5Unl{n+H%sVXyK>gU-O3I#Z3=%4
z&0XR2p*PKx?{ugt0S-t2SAo~v*A!y%Q|PNJUc{WrXa5S5kj}=$fc>BVJGCe$c9f<2
zM0SNZY!c$$^Kf3LU$x*-e{C6{-875U`toGX6E^-**>zIN>jE>ECg?SndYPIp#sE5O
zBJV(Y9HM4=Nw&_M*`tcW$7eOp*qaV<-AJTr-G)a_Lro8}0t`uy))2^kz8nT_&ViYW
zDQ7`MvT8U4@`kB*=+j}nu=JcvdVWxOBx~+nLX3$Xd0t>=2M;U%&JlE>oTquIggjL&
zR)$KpMG)#`<GKw257!QRtP%%ZvTK~D#eiaN6K)!l;E=h*oG-8gw<vRsrvoT1+<B6>
z<u`h~G#O*E%m)cX)GkhkIptE=PNQ7%lQ<3@99dl4T+75mezPlfsJ-tgmC09p3-XZD
ziDR*BtO^(k{w%C!713XRKRHp#s%uA5(l<4&3>}j)wywlO$*V*qbUiw8mip;;s8j@4
z!O_9=cw>b4_}${v4p<JVbni^VG>%evV`D3}AR$)LT8pYQtP^@Iak@r+f+635DQj7^
zWiAe+iK$@^^4q>y?#~&sstIqEM0s3=4=j-?(#V0WVekq%o=llinXi*PNPGo68wORF
zIO_6A=tW1Zx;8$}l07+llU;^LR6Wg#8BBijy*>VM7VDO;^VW}*%CNE6$gGR*Low3e
z#ogAJ2$XCMbARZV&88)1KIo6131~}%1@XFv!~&|jXvG=IU`LEOFi6OP&$Jzw`jet8
zB@BRR#*a&8@xLW-in{+`K-SfkKx39=2UFWfh3kaTj@?({Ap@{*BS&;*uaE~qKD513
zRJ*S=-ny$=+K3l_PVVKaFPUOxa8yiyJ(WF#rHKeSd<wu_Te%N4+Wp<{2!oB|p(w01
zE4j^Hyh-z)R{SDz&(pNe4Q?ZGS|Eo;hKLW%`_o}J#Ab<D5^gYfPAkPBjqMJDyE(`>
zN}eO>Wx$&QF^a#+MMem6P^*FlF^O0*92Q#gwc>mtu@Qb?eEJWF^leR&YZj)4Z*XDH
z^=7;XE_0`<1AjaFf@~O505PHIg2g<?Y?jY}k#LKXBQn`5>hR;f+jdErYS1nbz@;II
z%du+_@(ZvT7w<R7=k~CLIsSIv*vs<Zmh{*Mk^T|#ZuKxZFogxxi_NiEx&QYAn(V*F
zRAC~<6)`*vXpGi_fJ90Ov@vuVypYIb-LDA&4HwR!)5Z2MqHG3S=K{TQ1^GxRe1sTi
z-qzkr`%wI}wql7Ny8-Apn$os}nqPYYBBBTF0gcRIprlPk=b!107)~j$ZA`j#iofp`
z;MWukgs<cB3_wKlBAZmY=9!F#g_Or!SI$OwYKF?_{rp%<YS)F_Ra{=ak3=_mRXjmT
zlY}pDN?`RmW}pv%V340{U<yO@T6Nl)R7bZ`xO$8Ql~@9^^!~f?%-q!8dO89o?}N-(
zum78fh%ZY<#?BwsR0(!ZSgk#*d72JH7&kis=$8{vL$YLx+w8a)_ooY+`#?|4_AFd-
zK$z8N4|Uk*HP*6Y!gNr|r-x8qZY_M>zk}V*o{pQTUmNB~F$ejwqdSMYw;G|=YR*rv
zAUXaQyOfVU*5E`617S3J!~V6w_R>eiAmO%mGS4uY6zzCaO1HO5DAop$SZ-sDLHJip
zpMg?tl8zS<vWql7X)qeNp`$+Y>F3t_A&|#*z%+3xAs588--~Q}z+6k++z1fQUpjwe
zhuDn2&9Xn73sAaQ9^6Q^!P^=aaV!REgnu}RJ>`_rvtr-|H4IacVW4A$OR>VM9z2GC
z(Q`_Uf>%~p*r!?j)WEJ!-K|y75B{<x`^@F8GM9qSttDIt<hRu%4AHP78)%MO09a{=
zKgA$TqBoeG9;`E+Vm4=$^F=;IzAIavWIyoeVB5SFf4=$N>Dtkz!-E!JzpyLzJZ_J8
zT>4dcUnoK#tw^*ipkZ2Xs12gZH*ojLpxXH$b-%|~6(#u`iK!LVg^urY4(d)x?fRbU
zCShNln(A2C>^uFP`aR`@qHs0cR^s<Tw$5XsEkR48N`5#j2MGP$^Q*eY(zaR*es~CU
zacX2uV{?YSIDjh+hKY5BXG@Sg$zN%*jVdDNCdcvJ-sWTQ^ye5Y*{zSp-W=ms@x<q-
zRuT7zc?#{vyub*3hU}KU)ulex(gg1^#f!|2%5>CUhJaG2vGsk0P}Qi=Kjz^PW<Sf@
z-TC;hxN#U!Nop5Rn^)~(<KKCZNj1S=HWIIq*Sl4t;xw#pmi{muF|2a%oL>zHh}fLq
z98-z$U8;OZ3{fT)j?&<p7_<@lO<Dbi(2KsoZfQz-|27{VBhUHnCz36N)~*r9z7n)h
zFzb7eCzw1#(64~)Kvtye(i}GX#F+_>C`W&`!KTW|;MSEytg+Lv=I{~@aqpl*!0|9N
zOw~8r@vhp>?EEf@3E{D2ejR<&Wz^f>^arp-kl(n6m;s08?-dA2Lh4<`qGkhVZdw~-
zQ%U*{9uP66Zu!4z;=vQsDdtKz?O+=X2HfAq!`qbeRe!={Ws3R2HLRhb>B2LNKg;8u
z*6l6WIFw1}VQG+qJ)*MiqgfCf1)8l34qU1`w=#~xFNFzH488l7eAVJI*TTrJ%vxJ}
z+^=r1U2XVnYq^I4ercJOf(i0Y%q~2@?h_nfNfS_t1!gDYxVK}L_#w_z0S_P~?K)TO
z<n=S;UJ5wk`sIwaA<7~#AAFAj>0;?Sv$pT`=5uC^#WpENDkK7#!0apNK0#&ZZj}Ab
zL&2fCgMFy7rge~6)j||4S5E^GsO31SW0{<GRt4<0mu=P@3+bKPF=G}*(vO2-=Sy3X
zIH&y-%!22>W*-c0Ix|-x=MdsZ^puTm4nj^0t7KT`{nDAuLtIYi%6wjS#<p#ryFm}R
zrI`!TyVYR1ihBi=oW888lB2ELz2X9rmYVhqVBIVn-}6!JhV)JjrM$@yZr6B6^Atxu
z_|MdEER{>3=<Rty6RE>8X5_85cR4@2f!*jUnFsTlaO*wOA6-zeyMBrkABLn|?`)J&
zeJ&}Vt3hAm=mtkENKlXy68eKMx&#ADiF-jFlC_qAYf1-1VqFJzU9^}-yKDu$$9Dnp
zp7#@xxA<CdM!lM3iG|>w=y6a{g`-;9A?JVIV@MkRg_<hLFzjNes)iOfzVr1o9>wT0
zA^3Gb7CMcjhE~i))7DAWXeoJ^R{ptTkG6W*aW?MR-}S5}wWS(0PEUXAT27)~VD&L{
zmeh`eaUX@SPF0ue^W-H^mSGB<JL5l2?%dvJK+I{#3wkcHs(?mHOu;XVe>(-k)Q$F@
zllL)0-QFwD^F4HBT;eJ|(Q|1I-VNkw7EC5quODsKV^8exXy6M9NeEmh0TF*QP++5{
zfIWT=%K5v{=Lz5oc9f}4X<3SA@QE@Vbr~Y*J)``I`4tN$E#sPm!hy{I+B1HbFe-N9
z<N~Z6+!A`gx0m`2wH;5RU~q}9Tg#bHxVqIbLspoKU&mffbu%*rO|6?p$aY}OOmUPO
zgs^hCn5ya0sL0Pe`G5mGRljHW2dsuLN<;I*b>^#{hHYr(7Sr1Oj&6vA27+1U%j+*Z
z3lPP%HT(urWj2tgv}5IsuLpb-gEJvLnWrpU7_rXYgr?2Vvz?0PLDYaqMs}~XuN^y?
z`|0~&pb;u3V9K10ju+Sdmu_d6!kBzyw-Bh;`M6$r!)a$4+Z_^ZHLuUfk)Y*^1U@he
zKg0%@nsH9&&9ZzR8=MlA6VZUO4X8xT{4$`GcFkgYkW*><9InoWIbdr3LOyO=z#uQR
zUJ@VglZx;;t#qy{rI0Z=2&ko~1tzDq7op}mAJG6`4Z$C&<j-vG_UQeuhPB=SDEO{#
zQR+_A7Y}X?lx~uvjxG#UexSW5nYeQUHw2igmKVlf?^@-+(nb!rlO1<7iZ26Se+#xZ
zW#+B3JH5b+T*E*SagJ6kY@a`y5yx_6WA1dT<0>I66c>STn+U^x^HPr=me3@Hdik5t
z$^7OY0|j%%LCGk0aQ+05&LO9y_~~)xw%_ZjS6P!#M2Y#e2sPrF7V^uA*wR%I1XRf`
zz)pM!6?RFeoppc}JW~gBo;5NtOI6pLf)$6oLetF>Fbw%$?39{t_q9hvm1Fpp_(<j3
zTOaf-)D=IWqnL_t!Z9g?h@#7Fh#<!h=2X2%AOCIP<i^RO-U8)O-`qgwnZxsvL*mj_
zbWwak`c{mYS{ifV)<TsM#gW4;#Ro4jS$auQBWR<$14nj7sDYN2*QIgvTIWZ+0<tL{
z3#MA`7U1dj{0FtKBA)1?bN{cdgS=J7b3e6ym16yc<$JRZ8KhVftx&^v>)`ywsPiCU
z1>QrapRhKHp4y8)qqnt6RdW-X@P+;j(gwnA+$=c-JgGinmC!>q&;B1eFY85`JeZYj
z3M|xZG|<>YrRLvxQ*j>O=Pch^+8}OVX|PzASl;8q6`^b2@d9#Ewp0?lm6`Mg+@u#5
zxyT~pi+^T)2C$wHbTp&&p3pIdVi(}QQmWG(E+r8dM62v9pWnHdy-$kJQiSLbMb7X$
zZ|P={wUQUoY@<4_@sif#L{9pzdsoOSA`E!<bQpy5zKQ`C_oVmuJp9-)LtrPHb(0eD
z()0>~52ckkauIIQ)Hj1csj-ffxpEAhP#u?foVesVMx!yc1lg=LB_})<7alkV`YVH(
zpm|+)OK|azkp?y+{BR|>-wOhMn~o;l52Z|||F>4@6YpU-xbh)G;f$0F9!~S!NJ-5j
zUpBNK&3sT_vAEO5)HxQS<^G%Nr^Eg{y@~iky~+3%G9PTjl(__Lcy#s>lWz;9F$a2i
z5!A~cyA-h=FzfGZK)^e6@0oac_b{vS5I8}J#+);FI7k<0!NR~s1EmDnw7SOZhEsEj
ztgqM_f+_SNA^=dtc#n~er3wAVAN4#y%`sYfIQi<9A?E`j>zPh|LgE!5Yt4Tr!;}zw
zG^xo~Sf7ZTD<FXFR7CVE0NlSE0@5WR`G8yMM!tRDkJ)^_1dCou6-K9b8c!IDecZza
z+%3;~CN=1{cVoUMkzEK6KUE*+Iuv7qY$=WvBD8LJ492t(gwOORVsYDaugz*M$l>aM
z{@x&&p_zak4c5l(LH`m4Khq;Z3n;R0%f@EX={MA5Z6Q>OJzzs(#eI}H(^`p>*j3?N
zF`Yh%8txNEDj4kC`tHoJn;Fa|$Sc%2h-X2g1VYlVaFu>Q_vgZu{Z)v0X?4hM`$i#O
z-=+2$cgs8IZ33tE0`6ka(M^F~M*MI26|{Ti&9;wjF+1M2IPua@(eK|=u5pz9Lqo<=
zJU^8_yCEzA%?TjraPZ!tEVhD#cDNtFz$!L5RP~D#DRW|RnD~xs_fpSdT=>_M$#^Y5
z&<L#<+teMF4`u2>HN$5g&LQ=c6wPiq^LvCI2dD0pKg<I)PE|(P0%A>U2*a@R=V?^I
z9s1JU50-nZ_~$nP&aAzeUp{Wog;a9CAN5dvLh!&3*59=VeoymqiQsG*;umY$jW`cZ
zM@ovpz&BQ?^g|hPiSBfv{Zq<xQ(s%CAlLz#dy*stq~hB-@ljj8Dq{<ISo*0q!|U=I
z@2bCn>@0@#Mcsv!Xp_<k2NHsK;9%P`Xl(d&Vv395t9@Q_XnJcRI<w5Oi4Tx(wWA_Y
zF{#3YgfT^JLf8mO(nd`nyE=~)7So&-4KSBC)<g4JtsY%lCm1UK1luZWya94>q=L|z
zv?qBG01Yi?Mg2X#=p|`{tZG<H$MiTj*%Kn;KJcM67WM)cTgi`;v{SrwQ^Jl~^4~6B
z?<|oJVS4|<op?dh!B<B!&kWndJ52PuZQ0YTr6D(tFmBnQ@NBdbQc1>;+63x!c+wMB
z2r37skVt<nd!3_Sw^QDC8a`%C+6iGP)@99UMyZ!etz6@6Di+Ng>{##8-WqC{<@Mhe
z(nlGbu}o~~7!A;*Y8#(@C%ag_k4~N1BIeAYAsA-4f$C^HG5Z^Sj5D%!cmF6s%p?Vn
zNH5#?^@!(|y9nMIL5ILDnK1{9x?+Po5;nU_twI`8$;T?-k4OE=FP)q7Vc{%MR~4Nk
z{Wx^k4sRlnvIno$sl|Wjl16&+8&Q&_;4;Q;7(&;LE60WYFwL*4BniyejSE}_f8yhA
zxd`(v{N6rQ(yf9`{su)qOH8>zSy7Rh(7hTiqarwm<<nNQU^e;QbTTD{l$m-&{Mk?N
z&e0V;!alDT;;}=|B3fx?nK(e|Kg+QDr-aeZp2+W0Eiy2J4e`x;&B4uyw`FhS*-pxF
zD=^ck<pEjb0{(G1n<p-bM>fv4Kd3z;PCw(*KNXn}<w2FoJ8#&L_x$K{cfjOPmnJ^-
zFeTS2Elz(c>|gKcj=L%zHuY6nDve2blv#rldTM+49hZQe^&{KYbh`>Ecb}X%Q45Jx
zJHoe(B@U<%qaAkYyHNGI-&9E1IK9j{Gfke97AM-H;yoqqoE89PU+$@(D{=FVR)D3Z
zv$*)Kbum0!0GD;^g3m&@dKod*YLvuBs9fA->^PC@a!{_E)*aQd8bY6`-Lwj!YLImt
z1Xdb>1+Ae3OyasE1JrDJZWR1#MvO##E<D9TB|$;PvGUvmyslad)O@p5IOM$|I|gf6
zp~_VNt3|ZxZJRu=FTPe43FB*kD(DL33zL%F6Y~K*)&JOLI_i;r8kE^ub4rC3#&d(C
zp%PJy@#uhf7Y5nuT}``1qSL;l&~nKn*!LeiIlo~7FrP}Vz!A35f**hXRY(tlAqG!Q
z3&Mm0_QG-E!EZMnu55Bvn8wb%<dJk#d|&@JfTq|iZh%t@M`yC~uaS0E7knHO?Y=iz
z;;nKi?j+0M*TAyeHAo!R0T$sFSk=voc;<WarLJ+(p!dK(M|zO*DLgE7o?uS{&t`eD
zTv_)MQnlMq!9>vVAVioaDg!v$0$_k@J#eUrPax&ux9!AnB9_g>k?q55MYd^p9o|%O
ziRQc!qUdWPB(nbWC8N{iAA#HFz|d*%*jgh%W1I77Hi^<XYs5%4m6s~!i$o3R-|%|Z
z79R)RMhB2M(CmfdG4bnn(u2&tr|52B2_#wD{)We;<c<!+v2l+q1>anlBh&mjVi<dR
z$#w(@6|DcVYR4!IAiz`l1igI`K)r&1GfyM`Eo`VjByT;t2$)i&$bVkLGSI@3MPGem
z($pYc^z4M~t3H{xpUcJz-+a-^4@PXGiQ(n$a{czh&)DpI+5fhTBsEhn7=_w=%V)iW
zJh=Hx`Z(mdH_$#IQpmv_Z`2wEJ=A}MZubvq<p~5~j<cUp6V!`^{kk4U6g9Q~_fK9@
zRLktXl>B)`1gT_kU)YqWy+S%`%#eY`0oKZbaKP<3c^R2*)(|6GA#QUmfz2iW?UAgk
zwqTM&krVYuo@1=`OBF08hHnH*;rS!K0^(q-a`4wd7cwl4$~yuGZNS6Z3q0vm+=_Sc
zw#vr!vMa>$oj+DQT6-qzuMyB)$4>+Bf@k)PqyKJ|dd>%-Y^F=!jl5>IV4E7}+SF@J
zjf<jFpDf3F681`(!eoC&J4kY_tA&T6T3p_>GJ%5#+e?8CC(7rCD|YM7I#aMj@~%R%
zSr2seOv+dKZ1illw&N^TippmpbSU#cYUz+K4l-B3#CyF0nNAdgsp75emcxr;ZtfEL
zYf#l$$*){3rr72;Eq5Ih!WvF>Hu@xo?DQ-<J$ZFch1GeiTrjkzc#pP2-DV0vo_F!h
zZVm8$<NAdhcu9ZJJS?_v)oAs(ar}(VqNjPaeWo<Gp4Q`2C|n(eS)%^a$A<idxM#17
zuuMd!vc?FtZ>RXvu|3yYFx{o>4EI)#s0eUh`Li$hWR@nt_4M-hYW)>`0ojBOcCEhc
z6Eaas>XsYq3N;VX%aJ{I1vJc@qViYYc|j4@Mo^tB<?h1fdV-_M6}q1D@bw!mstC+c
zMcWD0{t{Ri%GKJIwU{mqg;5$MaKBih-An%TI}UemP)~vH{W(jTak#eT63CFp&7nEG
zql4-J(u6x`H^C{u&mnZ%0HIs-LwZzZSN9df7k=GXYc_34@&DdlaMG*gu$NT*1=eGI
zxs=V;{iP3%L%AJ@9C=Pf@RoK_FvD=d=xzHuv*LZ#20q?Z@8anDT!0_P51UZbvl9sQ
z+<>lN0FwVpb9Zv^ht%nFRh*rYRrCq$M4J-!?HC_wXDr2+jv<=uxG$kG2roV2zAwb&
zS@b<*CcDhb#x9ky%Uu8Wi1FLJL9xB+uK_Rsv%{ok)wt#3tJ_Fet20sUDP*Vo*nEhN
zp+(bT`FAHapr;|3@z;%qO+7JXh$mZRL%)jg5A$LqdE6o0|DmTsVJMK0B5(eUWo$;r
z<`I}+ue;KUB9CkO;@e6NcF#^hi`UYa9iD>3iFgfjIB#be*>|$`F~=oaI&S>}PbrVE
zP4#s~_LK1wBct$%n?4g)FNAPEvRVH|N7fL6#C}>nj~(stWjIJN+jL{%e1Mb6t?*ds
zN(s`Y6Nn_3+BH!hds^k0;+)(?it`n8&f=C?Co`P#vPT{96t~4N#_P566`S6$LqUOE
zoh5-wcf2Iw^L0;3C%6kUJ2?DBm;?9v@&N&eZ<xYKd}Po4f$Hhrtm}yMD31ma_6^OM
z9q3}t5?oRPyqS+Kq`jMY*PF~P;>Yx^c0{6QPKVwrb5ytN@Wo=hKyPZQChZecYa=bu
zcGDf6@X|1bYug90#dKT+a5z1PL<K^?6g2M3FMd9)Eq<Y0v??`sdA2uoA6GPp`=DOC
zg}I|s&)5>gyLP~TgyieBJp5*6+~A<Y+r)qW_GsLG`PW;Pk$JsJlDdJhC~?Q#(-AGZ
z3IIim7E+VQKc)&TRAL(L)C-qtL}ZfD{C_PM$~ElABa4(|eb#;*v4B4p6(9a3wIqU0
zBrTZ5q$0kt4Tu7spD)82PqzevAOytIMmVMolTIHCQ18w^IG9^c%W5=ef#ZliOoS_>
zkYbkFSLc$?VfjCOHbMz$zmv`Vw)XL{1(9yF?_-ipU6kF_To*iG`32NC#gZh6lYPLd
z8D}b*PD7g|9kjr;;$8d@Ii(kBwYK+UDJVMJZKY}|m4^Tm=lv^XD9hjqg;@Qk8Xznj
z?2eQc){u}i0q!A;uy4(yb&h(68`cnw@hh@UB1Rf`^MaYLk3*{U9l^-WTKSRykGy)&
zByg_rf4RHUP=SJ1Wu*BcJEWe_`PXbQWH%|&%@raxcur1ECeoC%r!?@xTeT|rHvo@E
z{r}jPp;-QVn`LPyV1!oS1w**L!k*tsod$L-$>w$8FJFeCFy@^sx|GJcv=~Q)LB#mI
zkG4KvSQKW)qmk%nM9IPy+_0robca!>8sMQx#5;-q1Ho%FfVn92N)9C=2?}3%d^J18
z7UHHtzPXUoI~f~}opOzE#0;_!a@~$7Au8ky`F$e-#l7V<O|RC|GUG__{p-hQNL5Pz
z*c*@2?feqHA!5vh!Z;W#`pn?75}d`^I%{od=K}w-!)#iIrge$M&_RA$r1YAY+lxy=
z{z2O^U35lP0+_?>u7~l*^HblE3D02Y38M@v*GV*C9iGHGEFw4^@Z`D$$L++ZPbdh3
z1_?l5WP+vye+HsS6C8*xr1Z|-4vix@4HH8R0ygOmwtGX#VFabXiG23H%a#~0n>1>+
z)XxKC=dG)bO`x_u7!>nYJ-MPtf~3pvtNAH42!m}OwO!E>UF^rTCd(x`qvXCzgnez6
z;?So-PHZB+)8onr!q37^oU2XxzY6ww{5AnF!`O3zRm`>3e?AELA{}U<Y~r~8>sy1Z
zb#vW{D7CR}mc4>!N#|a!znvMFh)EJ`TdgR5USB39DRrIDuI)+##qoc^S2IKgHKU$R
za}1>!)ma%l`4$wnXP2_Y_MGR6CVt^gWsvuMxY1H2u+KitQlljbdBDe7e^})BGhBZT
zA$LcZ_;$On&a=W3CHwJ%?N{YAGeoR&ekVZwKxweIL=dNBL}f0H1RZ@En5d5~>7tJ(
z!nHFLsVxcdFDTR`4Zttj&s&{Uvg|lXu7mV9HZWJNbGOqOB%LnoxWef0pxPkC8k^gt
z9v{HbVETBU7Q9qv^{IinsPV0S#{T3l1zvY+9k0ISZx?qkKmeAozfJr~)*}rI3P9&n
z-E7Txn1i0?)9bEf8`Z~?<MFdql`fiz)&TbuB<CJkd^`0r>=<9uXWyWs{`OMOUUJT@
z<bI&$s;@m=DE(&BfHw2WpMaiiIRP1`CT_JC#)Zs27aA7Q7dqyQS(5a!SrDMVobHXN
zCx_*RFfvlOBz`I~97zp;a!ky>2qeA^whCfX_EW2`ZDOMr(u*yiInI#u6^|y~M|9r$
zR_DemZx9%$alitBV98SFECpv+u%Q+1H+~;IqcX10X46$Q>jeuz%bd=)=PElr#QEuP
zzryp<!AX(`@CiYK<(a7^SeQCjAyQ~>`}wxfMO>nmVFh-jDg2Oxaw1#zS}^=X^xw;l
zt>;a~TFp#a=_D80%=cmMR>lNS68BkZT(T@aHI)88ts0d^bcI=T&;kIU#l)DZ>9VKr
zmG8}LiyJ18(dq<fTX-Qp4si@Ukj;GAphx2XbQd_6xeDo!T#fQ05#V;Y(dyjWG8#dK
zg(uLhJ1gEsP30aiUu0uH%AYbV8%;zkMZU7=XTzgCYIBVSUIH8D)zOq-f?z87GV$X&
z*l5R^Syq4s66$7>)xUUp{8td8lm~MnhbK*yWZrl1hNHNVerqPnSas#d!9F+P(jhJP
zY{p<qmYMLPjO4}WnK$u9fB@=R#^P%++Z4Gy7ou_$VWF;}ms<~J^W-s_E2)`0keQ5#
zC#2_NGTY^S#*h3g`n_?2Ak<=}8=2@t8hNr4MP)sL^f7D9KnDOZuh~DbF0JsmeK5n<
z0Fbt$1d5Pm5$q=DBwapCBFH{Pl~f*wi9jTfX$KgqU}f|kM4iFo`J7B4y|hLhWd}lI
z1(In_S&X<e9nN(af1DqLl-cPl4TGDQ<>8_(0j8y`ZQGfJ-g%4f>Nf~&9NLvE!Igr3
zj^(j0|CWwqIS6uVu4L~^2ab8_RPFa;P5HvAE`r@F5%wct+^k6Qn;Z3ffQC^FNLEH(
z9`Cg*7m5t{#_Ee_E88p&pXly!c7bM?_pc3VxFiG~cd7L^@jPKn02Ip=af{HZyB}%P
z|BybQl&@M<a!T)2c5<e@1NC^Lg7$}@Jb}c?EyrMdT5A8iAM9t%ggIek-U}$A03;;h
z)CA7Ck>M_meH5()9Sa8jf_C4$z&$^SZmV3){*Tu+Yh0k7Xht6gsCsAgyO#JIf6<JW
zN_wT`9s^Q|%H93e#YBVxpnvF&^{3{uF<@r$Xu>#%CBk^|?(d%WJffFxgw}F@mG&?)
zr&^uGk&G#+bMvy5&qLLrixnkd0C<T!I%Gy<B!Z4_sCk@#L`fYabmZOq;Nf`7z_9F~
zLsZ`;eZ_bdflm2@I#1#6<1%w*pi&1MTrZwDFRuU$&KX+Rl^W-|Tg$pXIv3Tr6dHOl
zv~t!+MUFuG)o7rG#oi)rkhBLue1kloRnOASAFK#65Fx0*#SEIfbhqpCbjWJZen}JR
zVU&cH?#q;NDF8?U!9LfUN|Lpu|498>0CUrNqv%@wm7pSVm8^S2%a1_WSZ69z(4Akf
z2_`fGalP|gPJ5rmk0Jh(3(-{m1zF&V$Ztbohc~{!Ki4mlu{A3}agsJu!$ylDLXj9*
za<SZL0v@-ogJHiVmyD9HvQiO|EA?nLQg26KzeoIXo_J~ch07P`zyZR~g4j>sK#db~
z!U$vo7&wS}ux>CMUICX~*$tJ>$7vpMnYG}<H*xjj6d-WuT&~WXpW?ay!cSO$OWpun
z#37|c<5yaEZF2icLz3bC06A$r!86u*n?hC`<crE>94JIhoK@4dfcp)aO7dre$#LTX
z9U0)a>a-q-;I?>*%SIM+G0pg^HPBa1>#hSRpTm0RC>!dUTDqQDn#JO+&J){aRz(UR
zkDlXv2)ox!9CnSi)=!2NQt7@g5>16si2?1TTv|r7C{?RLRn4~iR*r|Cs%in>#-dmF
z4f|qDZ6C>jJ>w1%LwHya3qJgCv5Xi3i5=C+#qLG`I|cX(8ZqS_fq}s)MqCAKv{DAa
zkTxy4I1`vNksGqx5sC0b;u)a_?Ok0TG`J89+%3vswg8niK^`i8TvQY{N?t|QXv$M&
z^d)^An;^>n>1#_JB6_HZvTBjj_8%?g*9wR&rUhgDhxIBh<hRkX!oD6i=f@3e-LZz4
zkesCuEF%C+44XrZ@H3@Kv8+4t6<CshGbQlc$!fcBv%{%bUvPUp%B|%nvbH7Q4y2_Y
zco|(%*t#OaG-0kMI;5M{qyzxocbn5o_ga#0Fwa?sTz|>+f$O<Wf2PGih!jL)am{pm
ziiSwy=;c<gmtL10Wk5NZ6UJVE86v6$AE@U*WNcdcTqy9-T<r`YSK&0OEU%|)9|#E_
znWvZsMU|0Nt>J5hzYuYlyJ_deBfA{hvFOuUhraZig`NYSPGBbEHA08Ix(WA|m7XYW
z@@>@w_!2gmSf1fgjszjaLhWIPPcMd0>t)DbVX`Q?CB?qynezD$Y*lD2C-ix!P(!!^
z?xI1F6?z^P+qNJHF`#tqTmsJLTMh=LPNW_@>mhSKO@rW}{X#%M%2+!Yn25b4PuMFT
zPNL?^_H^{3ZdyzIkqhqBA-F_BPVB>TyA){IPcs&qy`)cRJ!qtXdFh>{PJlr+3N8dZ
z_HMR<zAVLLK3rpCLHLFvr6Za;;UGjQc!9_eMzsaMk8&id<RseY00r<!&z|x|hpPuI
zxvHIOlRydW9H$m7mpLld0ip-&S$&iD3GvAnwU7YfiA(t=4sj4f_%2kYs1P4RxZ>gK
z7Ob%3JIT=!7DW=VJhm-w03MuHvNp203V$0So{MP^BZmFC)BB;cWvi27F+wD`5baiJ
zsJN#9+TYtVg0~R&J^lM<y`<v%B7zK8I&O07;@jA-@>*_wyZa;WjGu@p#}~T!BKObx
zu1cHx3y(x^H^cSZ%Kc!2!(mne+hp`mXII|-G~H~a_R(5#a!hIohf;(Z$NT@|7K=$~
zu1Ru2Yvdu`$$hI4V9KGf=mffKr{*!U6!?eV%z<BItE`s4!70><6|CRawdB#=!LIdp
zewV$WBtPu*mnS)Xxt&v5!!3eJ1feO+gB_#<I1V2AM)E#-_oHX?)T*UkN=T=f=gg`h
z753fYviNhPGouYZ9&WmqI*R#@erAF8Mx=^VfmUvxn7$VoffN^V^!dAGq8dUC6);KJ
zu-ff4bchr2Gk=mk^&#54kPM*5-U7y(U3oF=Da*u@B$Ff}oCfyrj%sU+s3O8YcpN*$
zEz0i(+)v^7braNw2su6(sIa8wnq#$4qnsv#K(<RjLRL)1?YzWX_YhWv?DnH_$D)U7
zauOX*auTmuAlI^k^5So;L*x`#pYR^i<0;X985K4#5IF7#&Z;AvcXq5;`9}WoX;`+D
z2pleXw42Sp81U6YTpS>KV&yA+gFx>DIc`z}ka<x?k$0MM<{%iskW}SNrdRI(5ue|#
z<0K}`G=bvsl6D>yJ0H7AhjE0m2ZoBY_rwUS2chrUx95u31O577V&B1MJ<pyHcdGx?
zo05lxUtVA@?hri*jUy0SL*vRbQ~R>9%Dk@>gBt{Xq3i*HpDFE^op9L8KCd{bA~>_s
z=yz?oEjZ#{!6U-HCA$Dm4e|UZ>ZON0CXkvoeOM23)TFA1^Z1Gr4O9gaIO#Q10RT@j
z&~hdXi(E@_l-2Y>`a#kh20Z*rS>(na$oM2A3sA9j;)c4?bmr=zP-hOCJDz}bXtR?B
z`zo6mjS)1PCwv^*6hwqq^d0Wwyc8c&z77VrF>-tB6$$yaE#`cY4^2uWG8R_vR+%)6
zW+$fR9AjtE@|lQsCmHp4zLic8EpRp>W>4UXho7KVJrl_vHH*9jW{-p$7WK|>QFXPF
znB=`|X|bZsrF5d9G~VrZ7}!`YUze#$2S?eT<~A4bYaGJK5KjIzVmyBO%Zzgz!Gl1j
zTYhnq1Z11qJO2@R7qHPVrWii%o3_?>vl6*Mg2NSh0yR5WNhfO9+xFs*0&}{HMvLeY
znX7aiC<L2fh393B)#h#~y$O`-q)nImrCl{V5+7B<GB2|PXHE>5MX^nF>LXEf4GoLU
zaIn$ZS1AKtVQ^agB|leud3G)q3X)B!-+p(7Lq+Gnb%UF8zMD>BGyR6&=l_WdWzrHR
z?<{MhH7pih?TpV6l6s-0_*P3_oIefsPW&YQqwcUWLy)-PeL1BeLs2@6w()gi476Rh
z9QhMFutGi$PG62bX93`6<fEU<#P&P~cXFy9-Rpp*maY>7RcTv6g|jj?J@b#MbTHUL
z=WqxFzb<Y(va!^NYbuofwhW!(6;vZD(fp(ENF_iP?VYGYjW|pu(1io7Z-2Z_XAy80
zJ{&P}wb;_PjL<v!mh1RHAELw#EOB{C?x3IwQxz4)g*uq&bSZZRW7(iTCQ-dDAmlcl
zJaZnN6T&z0v@<Rx@JHCgxG#eRx8*z9szkgpOgz}x!m-j(-Ho$#Il0g|KtpupvvOrW
zfFmp%QpzeLr@6VVn5DN3)6^qU$#kCT^c(>-3~6G>m>AvjLy6V{Onj|uAogD?EA_>7
zZK9m$*WRo5J5FLWFE|1pWs`r4*P%U1T1?`_gUjIhMQH%J;}0n9wV_E?KR){V&f+(E
z`lN+wvT+yhb8-J)h{`sQz9*TN_XG=<DyXtxDmLo;hd4zQtrF4|x5)w5&2ULmjY<ut
zVl!YZu;SsZ>C9Rs1fZNvYjiIdW_=MUmfDgy2TV?GC6LY#MG^T=p~V{95%CDHJU$hB
Y6eEWalpqDE7H@a-*udD>oFzU4!>>p8-v9sr

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo_10_100_1000.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_w_fifo_10_100_1000.v
new file mode 100644
index 0000000000000000000000000000000000000000..c6ca8744cccb820829963c16eff671b8dd900bca
GIT binary patch
literal 45560
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}V7RBIr)D;U`h
ztg9e^n|+03mZ_fP`~>!ep^MG^2l=(N6dj)2RNT?>=8UoZo^Q(WwnnwTRMkddU!3kH
zFCO#7T&y&$(3(r6^)aZEh)k(<7q%^@UNyTOQlJ>Yv1zXnDSAN%s{#HxqC}SuFR9J=
zSna7r8zqy)Ohi_vlhP_Rr?Fhu<Gkt$F?SAk+)fHX&K%BD8cc3h_g!u*u@u!MOvFPO
z`^WPh_*{jfdJU`_bD`m-xe&5>ostM`bz;}Zw2h8MVzm~VI}*Z}-eFG5zp#~VCQx@;
zS<aOFulgHN?SlJ6hSvz9Wf%XI-ORju*$(VYRM%@CdA*ZvVH)=$ewpjp#3kT5(qK55
zXveczDl|xQ8$A60?0_$p{#K|PA11P2pW}aYH`zZ+aV+{evaJ$+%an=b$#_qjmF<1U
z1Vv8Zp;pe^PWLyKf4=9E1-s8&X2ZM~0<wZ!3~Z@yibdJa@R|Gr!Bsk(M1L9>hJ^m8
z0S05i?<cA@m;YUBL8L2!mpY`TcCg&kq?&eCw0-X%Hwvv~HGI?A1jt`wv(lRl>FzUu
zw9DQaJdZslzX6~k!W@>zJuN6;_ja#G@?1~_vO$V<O!hS?-+dc;Y%^WKigf~}h?wIj
zEYK`Ar$yw0OL9=o;wyX+_c(>5xVz43wX}*^$j<jwph<&$4s(DbarZ2gByF((<6C7$
zu53&ShtlLJbic636yqSYaRkq7eUS>tDW`GBv?@f4Gbt5Q8Z1Wx?x4wwpjI?a8a!hj
z{=rY(7EP_&`@NlAwt6?*P=74>N$}U?gs)Tpq{iIfk-+F6zq=)2me+Dq@4s=Fn;N6p
zt+AZwUURm(5J2cL!h}p#F>hE=fb)?epzKFnx8eL!fxe9L<=$hdNl%Eo5+$~+A&^9!
zSh`mqX>eI|jc1o!rs0Spy3~POs9gIDzwQizgtsj+sqVgo%n7T`K6D%!W1{*GDst%_
zmuB-;w&~r&(`2vVw<?BCaZc$>(2Pvnfebwl9#?0f^QyK15;KeG@T7T=p#Xj7cXEJq
zVo^6ulvgf*OeD>kNNrTLs>?0+&Le#H-<nn~En!<9!Oo~yHj<I;2dJJd+l05)NMV32
zrM9P-v2Inci8zvK2Bv=fo~4cMZPec(8Q<Xm?LgKdw1cQFBdiIKKvJswdyF756|W!0
zq8C`{=K><n5&eZ#_$@U;e%fdP+<#wi6k%jnmjn$e?=fo%g<op0{1ykvzz@dfN}Y+-
z#7xYx1pw2u9fnenVT?RB9xf|rp@s`qH%GVlXXkZVv0kvS@A2>yDf}=>jM1HrVyNBY
zkiEAF7kRP)rxPX$GikpBf_qm6wqt(@rA-|>)y$qrEP$to=??ZINvJ)GWq!gDOjcTJ
zqLt>qK#Zqcr@>b+2-#r-D+^POhXBI^TJWiqNp*!Mocv{<N*BiKe(GBs0NaGPFeH{g
zeT=q{K6&~ZR_lWKB;ZtXJz}j1<7gT@MaHpIz*?KVtx1=7VlOT!J<(qfFSj6#B{6X+
z3xvf=56BxAE7OxD+l-d+j=BOiU(YT6$+Lat4yz!KqY^h6mSx-Uj)WCr?+b0S)WJPm
zUJjFl<@l#Y9LHJAgN;dZOg^<__dS5sk;9Lq31>g0B2C=*QRwCA+pID0*`$x{Zn(0r
z#@X=)l+RLl@rqnZR&*0>v<~iJSo<C7-Y@NpUhB}76I&vMZy-v4E1DjcvZPvt-dfc)
zK!GXt0lNs;bl_XV?c<8BoK#rQDlDrTI_q1c%bAE1AywI4WwQU}%;oNByQFF!lL1vP
z5U?4%?over(ymNyehR}8U1R*GzdhTH=lV6zNc3?#FSc(DAuUCHd?KKZri%Wulm>sx
zvE2li92$1KbkzL)yl2S!;;`mMDGoR#>*mc>f8gMPHZ^c!ysqB*+}pmTtaBYv7?H(9
zh{2}KNnOUvh^=IUZ*e$8Q~SmHg}tam(LLAuY^NmJRCKG!c$qjawAO*ghQ=qtVu6>o
zMo%pyx2jVO+yg2f;H>Ygm#ft*5U~OwGUEv>J@nvLumKs>W|;LxltW2eqzt%mm<X^@
zF|-7&qaE+D2N`TmjVi1&pd2KWQB>@sS+N>I^EIj*cn%*HK{oFYOI|HV>hcaYO5wXt
z8|)H2i(9TE_#)Z2>BrE9G`PFzch@qVX22sNtjuJ>Vj2hUy4q{TnQAf=jwVlae}>(_
z8=Bo^RBljb1Y9O=<kYwEx>z^l$*+`e9)fdWf1$VV$T}XF{fDGppVWc;zRs)KsMQF=
z!vQz&E>p(*94U8@rX|sGEN%4(DyjIFxsOw{)C6)h!-b<mA=)6yWk}CI4Ulcqgq2E8
z+*lMlxo>`xDYv3SEACBh0+mB$`x~+o*u5Is8C;az(O^;j)hMWOLxT+50sXUE5#uOt
zI*3K=)X*1cK0?2~rn9OtHqW{s@S=j>FNQ0NEKH#ysGNE$mQVmki`odyLbJFb(Vz*n
z>0@I>-VJS}%V<uGy-GmS#L{sT{=L|{dJ7jyLB8}K4}?zTSE)-@!<X3PEg81(l(rMg
zLQczHG9RIJ>Z!LV?N2lx=?vEWV-JPTxUvl9fzLtT?o#@Nh1wEXa6w9D-B(`HRf1%9
zAS?-%{g}=sVSm5bL(|5}D+V|l1WyGBcEUsEfN(HLcFS?Xv_OR%O2wja;F(ODuQYB5
z`uBHFMPII!Y#u0-T=^DC31DBNk~eQGKqU2c3q47%0rK39cT|x;Md4YC*mzwdkJTY$
zuFu@W@OVP(=L~;SyT%dh(xx^>ig3N7bg%_0<*v=qHbp(3kRl@+WeaU^Td}uh|F&Nw
zP_QtApiE21zq*AL<6yT<Pho-xTFa`r_Le%0&tS(Zbk%9uV7q!2)sx=DtP~D24W%^y
zgVr0p#xR!LF~%1{OEP+!yGcR$fV;|}6zw0ve%DnMC)W6Bq!?p&)s-@=Cl}q_{!0os
zT5D%J97rKGzy%n8Hw!Q>Wo_@S6sQZ!(b9?R;0aTeRSfYVUHAJUr>?z8ek$L0i}97I
z%Vexr@RmX21J;Ge$z{#-ktS2%(nW022&XZ873!yR(OC_wxR+fhXc8pQTmm;KVk)?{
z-c*yntlLD+qnCO{sw*Bq;SLuO=_hdD-L2-OJ4@=fR(9*(!EWo#Ur_VSy<j{FD!URU
zxo|a~gcME2MJd(lreRkTxB>7;7OMkg%U1!c*R-|5F0J>u5%MF6_K<_JlGVUun6e1)
z!<|(aS`Ok~gvd2)?gDx15&9H!nZD&7XR;l28j=t_6&?tgNa8HmK?U#s(L`w$^4f{X
zNS1meo4p_O-|rhe5zcfjqm&%xRQu~coKgWY4qQ>IZHx{$gMmTGY}OhKe^+k$NgH`Z
zC6e^kk;fc;+IQE{aqQMshlm~wO=XJ@3K?BB_qrS_z=W{QEJD5#ie$^%R;x2SK}c`L
z2G$RZ<qC*lE(WG>tAK&BLgFt!U67D@G*4g7Y;6~OPA)}yE-D*un=#O)SrKG_dTm4!
z1(~w-tn=Cnz<x#3crJLYa{-<+?(WlGztG8c?8r;&jZxgzHj16Z@T3k(nxUqd*i<RZ
zS=2lg^cG4@;0@=fGDq_sxCb{Hk`|rZ(HMe`@-3787~;rWJ%#PajEsB1HiPA??7)>p
zdtjMvgOmrWg_3r;^Kd+*lVKQ6e<%$Uh;9h6e@W6Pq&Y!5QmaKIxu@0(%toUg%Gi|d
z5C`cX&Ak4h1X6|UjHHDvkdZh=t<d#r@pB0U6@UPjy5;0L5q4<<8!Npa&<ySJZ%6`n
z0%{s{vaIWGtzYzJA)wT%tn{{&veKK4_3yA0j8spoX7R30u(6R^^mQ+@)EfD&DMVCA
zq@kBG*qC<18&B=;#U-z6=_YNJa1`h=J(-ZKq}x}+J1*G;Rk_~;FM@@xP`SuNE0(%X
zb2i#gF3YJ-@KbGY-Web}wn1O@JH}vRi!aj9Bi3$C!BWyDVLeF(W9%jO+^(jOHE-a`
z23V}%PlC9yv$FE9ojPPXOm_Q!Ucc?5aI^P7=sdMHS^<w;RzP)A4#3m;66v?LrUptw
zoU|CjIy_Au87|}w^!-=DJjv~-IEpQ{-7Q1h$5;Wn!UhRM8_@Yg9%#<Qb%;JgQq0BL
zmqUkc+3vHH8YFA$M$X+4whmV8z;8_!Wl+Ir3-}7_hc4q(%v=gChHH-vD3?w#gpX@^
zctrvO#?27CHaLP(XrS<d`7Xh9d(CAeP2GisNa`*<DQ^|f_@MNOkewzzeRJ1m7XD*K
zWb3hLHw_gR<+Cg0o$sO8gMszSXj8(*sZESCXJ5WQlacA`x&cVz%?9|(CT1_llGin8
z;^Nkbe>IpOmnwXbVPHo9MOSP!OmrfG4bzD0O@T!37S3WK8IOje{-3vMfAD^&ysVp#
zJBgDl-&gs&6iX@0h=@eP^#L>{WhB?pK5*duxExaTTLu;ijA&hh{sd5?Cat=PIR`cZ
z2&h4)34Xi9#@Ah}ZB^p97TGvSKHyAK@7iDx6nvJG-UGO?Em!!tXtVw*7ea(Nza8W%
zsEpLS*tmabHO3|<eVjd~@Dp=~XhBcCslJZ&9yS#&GYVIy9KoXFq!7U+Fzr=mtdLt_
z;|QV_lt&za?rMNX^+FS`PxD0YWrvMVZ@qi*&TsJP^+z3bGVbFeFb>bd>ai)&Ti3}i
z4kC~-R%w!hf#eIqI@M9rmJyweN?qyNTJuz(sXyp<4TjDzKml=GFh^3S9L1}Q%qfI*
z;?{a#S%SUE#32+vaH#*9w)DWeV8!N2jtDAK1rlp1zstPC(3pNMxU};uB=rBCh9Qd7
z6HkW`uN3kUbB0PKv6(8MG=O`~om-wIF)+nDH=AqJjZE=hh+~y5Ey;c~ja3ZYwy<Fi
zF~K$hAH`!{9g0~f>@`~IQ)A$kdxrT|h(qi-V=}uv!t21LW`{df_jvuf(VTLAMO2Lg
zYsiJc6sTL!7%o^M{$9-6bZ8Hl+%CIz1gZ0X?HzV?8HNcpp-OwR$vA`hi#p*kPD&pW
zlRZ${A4hkU^%_7c%R_FBTsF|*22%@Xq6)<NGeK&z`m|`{GaqFj_yTKtBb#tB?GxKl
z5|P8pNRO{kL?Gr!-U?Ao+4`*Y-}m2q)k)6YDjb{UV;?UI-h4PQ0^964+%FI#2I6OH
zpHOrlGs_5VFhjV8Q}^r}QgfQmi6zgqk;7rbW0V;fs_y;~3k=4;%z_?h&p@_Vgm~Mz
z^Z{Bk%&SAJtk}uF!VI03pwT4KdZ?|h;upo;6YBr<@+O|h!?|LD+m_nz65QRp;f2Ki
zeyJLtVAW-#cN||7zv%-ZpPd|TM-ond`2jG{3%pI1bCB?Hr(cN^f4y2@UY(zgWvR*6
zSr-)VGi>!L-+65IsyC8n*f2PjI^K;~ttc}QKHRg7tEL2Fa8{s}5RrEhcfqB3bVfK<
zON(qFDRfWjs8cZYIG-6HRj4yio!k8^s5jvaPnP&5^PQl}96GDj$unP_-7ei^W|U;F
zr{XM+E%cPW*oJdN%U{U=pXdP1U#;JLNOs66;Mpk~-&!r~Fr-r6;cQ-YX%h=l01dR&
z2Jtkt=^%j6(0Xo%a034-czvsJ=-_sRjKz)eZ+SNVK}$pfCI~7W&g9en4CM}%VF@N-
zj5l)@g7{<KSrXGXkwJZ~vgVrTAEk$p<9n|KcMB|fFH9-kNK0^V^-l5j*|5ITE_9<4
zGFKaVjA48J7yp)9#><k&@{aq9)?R3JVy8f>o*j;3IGTUu;V~JY+G28!0OW){49FG$
zc`m2M;N&Im*hsq!Vbv@myUHLjaX6c)miK8g4wNGSQ6oyPhIAaylJUl1Oy{6;w-SdJ
zJ&}(P0?Cy+I?XpqKU%<+3@`*b^_j9?Y=v1E^gPC_(IxfI{&@*j5keARL?*fQgrA~~
zUnY>bvaeGG{<U8MbvJF4zF0@u)QtONa}xS8zO49+b(}g1gDvfx<mezMMM&4nq_C``
zL!!4FX0M?<I`ndR1_!;94jjcM1=8{wunBHR&gu0+Vfs!=zLOqDjK<<aJP9(@9@WFY
z+~&J(YieG4eF)WQp=PPe_c#X#RK>5P(vHC7aU-j0=e3f4&Z<k${hzHpRi|(LC}6b6
zG?OJXS3GB$`CNceMeuxD_#-~e6<<PXQ3v89Uo<2;zfV)1Br_0{N3o}Z%X5nA=fA}n
zxRHPp#KuI*e2iFFVEflP-C*qfe@IT%qMyWcheuis5ftCJqYieNW$b{W>u4^oUg$PS
zrE5pCDGgU%YV$L*e-)k_oOj{0@^r%Fz#!iV36g%VaB*t=%r8k3y^5_!=uKeP^9##t
z!?M?(Sl%6s1jv{TtI=p|bfL@xpC@5nCL=_-x3WMPyZ}t84hG4}{9YNfR!Y*6-BnyB
zJ*|S@+lU-eZI~V+pg-rhB}=v;8J1n8yp=Ik?1{b}0I|^H5a?p&MsJDFAn>yyD9-fC
z#9c^nU*3X34sfHyA`fBnvze3zPP=V_KyWHr9??2#-wLcX*9u=hs)!L`lcss1h)avM
z|A`FbhBv?#rs^UsiS{H+fu^+#rhVXx_xs^)2cwq8RQ1M_gi;7pA?WZF2^2;ne5+;~
zc#t>jcKFz`GSlSw1KhhNzlb05+IR^~)B_9iyAPh;Y+dbf{}hl|&Mb=_WzjuV_kwVn
zw?^Q?85nDqca!O=e<>%){w(j?zqCHpW0T;vZ^LibTz`=u5XQ4<6=n#c-)^OgGDx*a
z+0Uj5P749--^g@r$GG7JGG-}hBDw(-gDIiu(&$-{d=Lr?HziIi!=Fj+|KsT5=a0RR
zFV}W!X+{EYRjFKGX2oL?SJ@<$N~^dshZoT*rnLmNR_Q+XpZen=X&>M<ReW$6`b8{6
zR|eg<>?ovQYTnu!)hDW&n3S89LA$LF!e-pv<`s9<?GMHjl9NN{{M6W19~!BBgngZ|
z4~qkeq-2j`Bnwc-j*m{L%*MD0zm+G<WZQ2R<0&D3D56GtoOjQwAN1rTb)TH0wbh-2
zUr7bcmQHFAp(8yj_g7sFqSSz1K@|b4yn>xtw9IHg(x7chNp9DG9iWNorcwp87`&8i
zFJD118DXC}C0AQcLm1eCZts*q;eB<1;}7{CCE)umPnVl+e)`zangrN*o8Mq8RlhOX
zBymmZ^%)-36TEPpNeyYZ11y@2Y4bS+lL1{XP)JX5zA6gn>^|Q$NJE{%;Gq)!6oV$h
zO9&G%VSEWI)W?M<EHpi@#^7m2=#~xlJT4EtkIO>cd4g}RU)9q6z^4}PvU<Jlc68!o
zO&1r#y4!{qTtoMTgn{5balJgi%(Y}7g67<!039z0h{PGC=5*1ozDY!wjiuq>S6-d)
zOf*3PZTey2**1=T=7VKd8Bzyoo+}YU6Refep#8kIPhQ{1v$pfi>oI6(a@L)?sQ+SM
zZqQPIUZNNG(7Nfj?=pSe_XW;xHx)Z?u8&p0l99KzNfH3OTgZBJ-|V74f)$Ela)i)L
zW<fSy_cLQYz*$dyY0XwgHSDcSxHB%k7Yt0uGdpkq1&bQz6x2D~n2qoKsne&CD%Hn-
zAs~vEHuQXHfACNVVw}ri9W5HW`7)|88<NYc9RztZ&BWJtS~G`pSa{|w!^-fp`#Z}a
z1-gRRc4~KyBvm0W0cpPEpe%Q~KYE1XPg`ls;jQ1VN83Q$<A0u--xc?O`-*_{_6^LQ
zgA5Eec7QjIVxMlEkyd?+(+l!@ccWm$%PS7z>M@}60^>~6T-!u&$l87-h@rZCe~X*9
zn60U^A$?*nVM%W!a4Bi|%U+snz_3Bt00Ymi*u&-;gbYi-1*S;|p;%s1TcU%$)YsdS
zZB~Zh9@T>kCzUgcmqlFKbz%c#hhBMqfHj_S1uK~Y{F%XB?+im<cVxyBht`H3Bbd07
zM@CXwYJ@}I_;bY&Y-V`jpN}TpXk?*`Ue!y*qY?s!38Bq^6XS!s!B(1Rcr!W{cdCoI
zM)jUzk>=?RkcVQdS$O$EyGanf8oV&C1}36Rv8x(kY6><-Jt&~Nfl}MB%!9+EMGYZA
zTeGEDqUcYQbssfQ8IE=c1R9;w;h}?pCc3KIv%3jr?f#!_1rU~Yvi@5f*3xt6*6kWY
zAQN$Xaiut-GFSc%jonVA@V0{A#L9HvE+?dIA<914bN3^fxp!H3QYD!Cw`6`}OGL+|
zGpDaD%PkFtsY20hRF1;_L))UOhG5I_)ELlQRpd$1jB!4bL<|X>*X7D#g?w*sNPkU%
z-tJOmT-fWk_2$u<)u}|^L3`T>kgS+|RL4sR{7^w)KK7Yi=+FSt@Lf}1NT^&_ePoXb
zYqe^Cuzz;%rVvX!kCE6OpPHo6m&lfGh6&!MLddS&<AyC)e;mJLo0oPcwXrvWJl(d2
zGog(hVup(>-P{w;L2D#2jueMrj5ah|u~C{*Gd4$vJfG}QRdUfjfzHnQG!)SAyIZk<
z!C{Y8rmAcN@xp$KBO-ws(-1ExM?9%Z<eEr3Ud84wP9O?q%iV&reF6{Nre;&MExgqJ
zfyU+waGA~7K(fL*F`j<VZCWz^%HpwCtg{~7;@ZW|;DSV|9@~I(#<43R6(|g_sB-kH
zww!}JeRIfY@8m29{hRsujyQrt?Q}669${hD#OJI*wn-TI)Y;H~VR6qYqcvsL|0S~7
z@)<S*sAl1=evyK9Cm!fB3z%pQ^SSx^Q<8t&qVdNjjL7Vz5Um4sbqF%R@Nvi)ge)vN
zG#aY{bN+5fEYre4(8aC7SV-O;A(4jNTZwc+1~$vHeyOoug0fo*SeSynl|%A9?!I7b
zvIzTpc4#edxT@2z`;?wOTn)&h+(LYv*BVWTA%xPp@PXovV)#bJ<Y$PfSg(_~Hc>v2
z>j*tMK0?fMOCa+at564IkBZ%F#b)}j$K@rSBOz@9%LVsu)OVT)`b%R$-)jiK)CAya
z`g-m8;!vR&CBi0K)BuTXtD44o?wpa5d_Qq-r6ba=-f@20ZFM~6Zv-85|857!s&kj)
z0)U_eDsAg6qENwsqU*!ZuY{-oLMKt>WmNj))vzuORw+^?@zyr%Qv5{O=jTT}d1|~v
z4GyW}QHW|*IkK#C&VN;oEk<_j^<+0G4rOw&1*Th@2P5`o`o1a&rz=05uyjhcny~d|
z9}Z;uxk6psZ&?}ZGaEtE)KG60A<krW=fF;f;<kw{{HmqUC#&I6a*J(<0YzL^UR<7J
z<16sn8xmc0L61?~+<aFp62!O<I14ba$#8{pEf8m%&jMRcFuo_&w>XXqZjKbjpy-z(
zavvljMuTE^0dmP2QfwSVgvnmw&~}y~MeoCy{PL3&OY@GHVM-(DM&e9{cXBB6o26{E
zEI8^uB|ju6%n>FAgIjb-al?-Vs+;Sty{LPs>OaU>j3QTpk=`%{(grGQD=6AGKO5O~
z#HPb`MDx1yX};Kjdhy*S4b8~|ctB9-LCodj`2&KN9oYz6hY$A3yWZ<-=prn`RWTSv
zg=&aj1fLdnB`Fj?gPQ0DnFdm^zvr?@J73dXtkB$x1H8eDRUJWNB6Md^r&^3k>t-^F
zRCv^GofyG?Z{+-M?bF=shC5(qB|Va8BYr{qtSf;<*#FA6m9YwrQL&1(%zXivvc|{r
z@1ouAQKXcr9s$G%YpPMpM_N3A2&e@Djq({aA=G<Kouh?&a^;bndxTV2dZP$_KPC|Q
z&W5rm;)kb%kSnnra?XKkR5rvP1#&fFQUI;q{nv%L5k7;Kd<hE81Y?vz2N*|r&J>;o
z{$vybq*#dc@wjX&MZ1KZ+R7{PPe}1}aw4z;B0M{GB#rV-saV`rkq~sr?SwS5*9!$D
z^Y6CqbtcK{Bx&HIi3ct^{NIw1hD&POsfOBhAgm0swhXAU-S`&Vl|=S{xJBA}DKesG
zN71;2Zvh-BRuOyZZSNqcbb6&aq!BWt0bp~UKx)YNsLQO*t(4{(y}Bcs#fnbv3eSKe
z+nS+!G00w4@2$~CW$tu(PM4Jx4dYv0-=3^%2anD!<;l$guz<hM&D5}7TPocZ>_pUw
zc%v#Z380P$lTm_e3%ouN+asUgD9_{dYhbBp71r+Kw&BMB1uN&6if+t|b%xu)K5so!
zJbz7Eb|`(yBWc5}n~@Mr$J#sr^y&IjYXJmQRPrPkqLU^EmD$UMOQ2RNT?XLTohnHr
zbY%aUA$-f(H#8L7o%{eOts;geM27o0Om!n~ndX?4rq?4C&84{gj)8wBj$WS!i`>X(
zlaT5m6`gNQaKM}P4)5*oRjyBR^kJcb(F4^z5;akc5oljc%4U*}Ez>0l$6MKY8S2o6
zg|H;^z62m6R7JkMvE;^AUO<G@p<P?fJpsb05=fJ6Q35@r2KxZB^bJpZS=fA(GIn<&
zV+r}w3$@%&tG4|HRiD1cA)2Uo00>wMWvWu%khgQ6Ho}?w0hI_yrlN;JyCn@dr7V5b
z`W6ei9nH0%A!L?GM&4Bmw~`ox33oFS^jv*}rGc)RE)Bn0x2eieMve$!Imh>5d$_zL
zL;yDhA~ELzr?V*of<Aw*G+5Lkh!~m1q^SqaauAS`kfubU`)Noh7=B=LX|O%YLM2Y2
zsGxhIj2s+45V_c*Sz}$$$c+XXmb3|Gr5xi67)6qEUqrViPVf=e8un!M+_MvC2d&*Z
zJN|3_I(&fH0;U6Zf^EI|L#bkjDw*S);d<*uancJI3J6Yk*xvv&DG6DWSLeEFv3M{X
z26Z5gjZ)oF_WACby$*s+iQ#J3(DGgha?g9MtjY1(lk&T&XXzgUD*&PDb&e350tFcP
z&mNE3S2w`MW;DD<S>&U%#Vyse{EkjYMjY1bP@xc2q?Pn$+6a2UJ2py}!-jh=No7<B
zPfx3%p{?2V6-Teic*Z?P_XMpUVu8ppV^uTqau*PP%NK{eYAZY{mx5or9o$Hhg3X8~
z(l!nLJLwUEDDER9@8yB^(5}bY)}p5m^^6E-pcxzx8;;iT@i*d{Q60aV&q6Vw6ng+)
zA{#8`SzE25t{8X9yp)7lsS=`d2%r}wJDNOTJ47Y8eYyiQvl#A$sqy_?v5n;ByHcXl
zd>&gKjpkE1bBO|gv&VJFLYX?%)Wyp@zMwgQ2RGl{e+GR~Jys@1CAVzowYK7jRqnhd
zH)!5v55oeisoy2vBV<H3jACVETpQ6szRls@=9v%Gtcrdj&KPOXr!)3l)h@DY1IGBf
zkF(DaNUUcQYvMNZemmEz6+qZ>f7LYh`R7?}C5kXUy>w8egk8$`BBjgblkNr%e(y4e
z9{{D1!7Huf%<DLXS0C+$E=BPl7|79xH_va|&)-Cf4?#f+N+-_mqvLj0uf<@LQj<wS
zf$F%xojc*PS2;OtvcC#lEug}_lOUo0PXq#BFB5=NPriYwUeo7vD+Ad!9<{UJt)p`n
z+wzNbX)mQNX#AV)nu+PrO+}i@w$38BV29znxHII+7l<6lu}|^H+FqTp0cU{@yhW*U
z*tOt{YeWG&;$o1H!d>G$Vkr(#e+_c99=?xCkZJV`ODhHJ^^I01^7)POysgdETEjya
zJxy1_M}0$G)J~hPA(5#$pkuWCWfFa?UJ%#&DQh}G_#vBhix|#{e=~C;59}nA8a+fB
zyeem!OOC~7y?8c{<iKD8BnI?^$Na?4Pr^uN{QUO;DHW*nvF*{ij{|Rvjlz`Tn}@&+
zVvF1$s_~lda?+*1j#=l`5sFQ;kEgzbmxEJ}?6_fmn1V{L^<MTGp}!aF#$5}<`k0~J
ztOSK_dMYi?E}UzT@~=E>%^WqtILxSmGUd{lHCD3V)nzh??&!^KQnUby_lH_U^dvzc
zsJVNaWXOMcd*kd|imx+>T_adU;aE=|xVjD%z{wz8s%u8V!EEK^z72<t*^;u*5fiN4
z4io%8%5@5<S~`5x%Gzll`Ji@DHDNFZ>&Jjo0W*A7@zkADRzHFsoyv)FWrRV}7VlW_
zLV`H7@S2r)DOBbLu|&DZesO7sHH}_WQHtDg{C=bC?CSL}IQmX56j~T3*fZ;Gb4?UM
zYgyBY_K_=)CGd~A!B2?KHuf1yj((bb$yK9-f~4(xH*Y9s<`g{Y{==L|mJF$Gu{M5N
z%?iQY_M)57%?@Y|NFV^(`3_F$6=r-hOw4w?%G}m#C`%tQa}SLHyM0G?;aCGd-2nrm
zV4>Iq{v`5kSp*&QN8|<4=jILwUSROszAE|D#fF)i3?!N7*7c;eq=!vzq%7rEQFMpq
zU5SuwY~0gD>Q~Nz--N%|-%f2Ow{+8HAt<}iC{pPTqqV2TvglhHYes{#B0K@#WSP3Q
zP1Jvn$WBytWPcBIOT$8Jci1?QneYdcjHqHQ68f|f(_tL#>59Lml8jGUr*L&%5>=it
zm-Ir2+Z49JLRMmp!|{`d^lEiKy2^Z1wyku+&Sa}3zdTbxJZ!YSP{=iHib4>4!3kJS
z<^xT@;=R>Iaf16C@g&y~)w{WkWd?h&fUH=VL$AXq<a_Tn^><j1)1;>U;~rH@j?U$J
zEc|}_iEGLUiFztpbNjE$NaLuSyB9b33uRYX!?SCdc3=MjOLUcwFOgB->dsoK)Y!h6
zXc%D&9FkW5#$Hf)9on;R2Rh9I%CBmO%4nShqIfoqXRZF<^FJeeOdz7fT3sM8MG@!q
zB-J1>AhWP_G=`5wk&3jA@DExr^;bv3hGlb4&tl2!Ev2*W*H}N(EaQ6^<lGkb2G)6@
zORJOeoe-8PQ;ji<>e+{R2FP>SlBUrHCOfMgS6v>4rFZuOfT{8E6n8bM#aq^udJy=m
zKqQt*$gjBU?B0Qd>wn+Nj|QM%V2{FOrFmYPM;cd)S!`E^l6S+IJsk#^gxXhuDCHA$
z>4VWL7O+Jck+qw4RbKbo4s&5tP-!^oF7;bTg4Re@)lQ;^fB@%@rZuq0Xi~c$SDp;e
zZbPYxd`|-De<IX~Z`Mq3pD(cn7^yIs%tN52vY-l+$w9bnWcWG2%sK);c=h7Nfs#s(
zKA5|^1!0&40e(IgqzDs~lH$ThutdpHWE)<V1k#rSz2kK`TBjK0w_7lSYBub5(5u7-
zwb{#m7$TY8LHKiAK3ZgbMCzY3b>`&+FU-mggcv8HjKMdWE|})spa#a5+%_L#!@@n{
zG%(c{V$<K|vC}r=KFZ_7cxVbn4x?Q8YXh@~Moo5~W{3*jIaA!tf5RY-4r$}O24XZ%
z9IX|?pbXNR`Uxjg+5}Ve{wu7#98NY-4)bd#V2)A|N0Te7ZX)K7@DFS|sxV07XrY-i
z^@Wpz)y_?srs!jjwD&ZN_;6gy8us+(kD{K7@I^s&op@8|Ooc3<y1s<+Y%1`k6n}5K
zPvW%;miJ22IGES&=0>j#nz_zdcB0sp@A5e^fJzAOHvXy2AD-P4Z$kC(l$Jth6d!^c
zVo|CvKQI9rwHf~qdoOBjH|~YE;Akpni-C(@BS<PFWql&yUN+Fn--gYIhJCu}Wc*ob
zq=HRw+Xf2uiZWb90O-cCB6+)ml+zW-2-Uynl}SNrvlv!zV$M3Kyk%>{Z<Jwg+Pid9
zCPUBeKA7Fzm(Rc+I4sHR3i=M;%Afe}YWTAryR@hbHFGU~boW}Z_;|IqYTw`&n(e7c
z&(4zr+I_-WIGwJn<wZWPA!!2;Ny2#UCym{JFuUMiJs18_*~O)qHX^$YH)cKj8f8_M
zIu-B_TY)r3FF2;kK2N@w{3bgtN?##p2Za1;2xyou0jHufoH3E|f;c#Lf3vM_d`=xk
zx{+6weJRm$n6Z8dL648^hS(sCAM<3aXy2a-rUXf`YO;Gm63XW+U%>I2Ar2H32w#X}
z7llN%fF@9FD^!n@X&HIc{axp2qX1mki$24XLnkfSm1${Z6`+I%QZ-WCk@6stP4nGY
z3qe6<<G{WM8;()hG3MfWCi4&_ZGr|aM2G2D+qysrpW&;A9R#96Q9YPy)gFLT+bZ-~
zfC)7z(!_Dc%kQS0O>d-`6wxYUYqfTn|7QwRVi#0*1SvWtE~0P`B8>y<RpZXWU~CP5
zXf}5?ZcfA*(vJvJx$w*nHOY63`>kp#yzDz!5)T@mZdfr47Tj}ww6^f<{OGFsEeVo8
zxTE@xF@@Q%YqNah$H4i<6tRrBx}@>tMK}hmt~O)LrE9Q`Pjny26V|jG0y|FEOshQ}
zVPkG;r^}?_uOnkvRccfVBqA{p*_z!@OsvaU6#7~nHBT;%UX{l3uGrD(6_x~B#)qso
zABvJyrUWQV<+VQ;cd8NQE?00mW9ygEocdSip}w!YcJwTl<Kf5vXd!ZDkNzIwQUHZ9
z;KCY0qRor??dYtjEMF>oW~;v#s0O0mE2*bshcU7No`fHGeQk(<vb_|$38K}S{@W7X
z+?kH;6Eb+Zyf29SkXM)r1l-Yg)hpI1!qvFpE%=b55E_q^+d!Dy4AF`#(@N{VF1vO<
z1xFiP?Y@SF9rs`8ia&mentdCh%>Qjv&6^{S6%SU%vCp&qPl$rxD><K&2y7XKfZmNB
z-2~k>k!O@U?6<%12dZvhpkvq@wESG2<k-pZYKh&{&uxMB3REDC7vnH_{c8I)NF(rm
zNj-u^|AWYW)Y(h9GqY$7j0LfJd4<k@#Zk=#Xr-%0nmuT4&KOKSB$YCBY?_PfERDVs
zugX7`vfilotIj=)?3mHSa+V6N^jKXVkQY%rKV36WQ|#`&9%hf2yNO@iDZc`CQt}Uu
z!MK1>^cmquS#gQ&0V<~I13PYO%$-JR9U{q9VPBSpgGu9nDNw-0H<<@OBzH|021dVD
zthTJrBt1eMP~E%wmtQH39ldct*tqv!QuX43Ykfk?9*~?4C|V#=54F9_98}lY1mJ7S
zWTV*F7nem;k4Ni5C`nIoN(uAgL6u`j$n5YX%5HY*s>2=FRPM%=jgR>3*(o-Roklnj
z>knZVSnY_;+#f=WnX*k~_TvL~`+1rO`-c81Eu@Wb*>9&SouKg+YW&#97N6USR4C@D
zkJJt4RBFq;@_pG~4C~yXI)K`zX(|$xIYi-Bvy(7OU9PY7sGo3Dxcyi>16bh@aqEQ)
z$s-rQ>^R!caIh(XoYw!g2_<E60tEsO$b_@}cTY6nc$Wa0PWXuocZCal3Q>U?Ray}L
zVO!<LC%`&g^BImJqyl|B1-M+w9aBEPE6iEhF@K)-V6^|HAR+?+&KtI6V2YK4mx?JV
zH6;3KBuyCr0QS6#u$?MKW8}M8AiowryQW$fO6fje`m{~KLf2~MCdrtFWN#0f1#CI|
zyD-i*x1y0tqM6VyJ|Qwcdu?=9jC;+5(mmQG{Lqvovia`jS^X&TKGTk?ytt#RBk9z5
z-R0{xEgiSY++nJ{DCPdP+rf&S`3;$c3%Zp{@*2-iC=hK$idjzniA>toYW;Bb-C|v+
zp#lDZGaTD4w$zLo*q{u%iiGRhD;`=5uk&|=59M%9)~IX@R6D7T87vJ^0rLfFi<YD2
z^GD!d*FPI7uczw-pnCJQ`7@>QaW|pKc)E7vm^*FbaPjF>CD0k*Rs&`2B=X#p0AdD6
z64Wu~uw-AytMy8gq197Mz3wkMSvF)IawIG7VIqp-keIvpzM<EAm$3A^+QkI)c~k9k
zV3XuhwrtFE0~|FwhLPi1omg^Vr*V)D`$X)srT-N4H+rL8N1#7V#8B$F_4T6jl+8Z^
zI*EvAS_-PqXXUG|FJUr$okJFEEP=s-x(1GakS`sRVZ2x(Dn_<RPyax)V|OGIeN`!>
zy2k-#mvOh{fj{^iyp8wx>L9xW{R#$2bOIugyrF%8?;W&+d@DPyoimNsdysAhwmnx6
zOkp=*MwosUTLA}65ri^g_S8X^;YjMQx<f9+4(Oi{K|C|+k-YG9WjSSIoth+sF~iWQ
zmGzMRz8R2e+PhB`Ay1*Z>P!#&`?*fGmisV{(fGm*Y|?cU%-hx*{#O2y;b7z8(F?K|
zL5iW@mgYhx$Z?=nQ^{vq^2DpGYPVbXhWuzc?+2;0M+f1X=<tjndI$|q{vrn&RvWlP
z_lBXcAT16<x_dhW7hn_sXg%9=1I<BGdw3I59)kr!|IdA;`(nYr=kfr9f!m7vCSfGQ
z>6}n5We7i-8Ic4T^wGELbz|7~s1Cb66(Q)7S)$62aM!6Psz?m$`Hj`5j5l`OZIL)N
z(_6{f7fbv9w2E-X1OsDf4l1b4C00TLeUPouc9P!5Ge1uYgl8F>7rgq&-)GIGRq6gs
zO-wEs2D(ZKJ_WKvg!5svdKY6ji+G=+Oeg3#$q)j0E~kO#Uk`}=@3{9rIo@tH7;q_y
zNyu$};Nq8Y_mr(D9BgE7dk}EY=H-38o|*u(fnQU5hEsK#>*kY@t!)9%n=A+*VoQQc
zi=PGGF)Ns2ii;o(+%EgTHs7M=`D(Qa)kjC938bPHNWtpML5MCA*bggwP3UP?;~j>w
zL{gq~VUP%a3wQ2+`_z(hAQz~8k&jW+th*<!!o!8|flcW<h9s7@v6fpxcq+&#Num<l
ztvhl>6zS%78WcSFvsNg#;HQkGw5%IAV|OG8?R2-MD=Vz^l1h?m4%o~**Ug~nb7}?h
z!MtnFwSXx<37wE7So1GLI7mv*Fy8GoVSyPY-1SNQbKJO`VHHg`i@RFM)Yub5;33xJ
z_pb}PEnS3#1~?Y#{1%8c2mh_OJdPZnOzK-H%@(imq`J3=5aJHpN*Eolynn4ot<*|2
z!_rSaYm++0<q9qVYJsF*bLsf?NwrpZEHJ7-bNDt9=!xQcCZq$nlZg3oopO^w&$RU~
z;O>OKAc$5l<dMcyUVRd^%r|xorP!6w<8>2Q6ne6wddxkN1rIfxI)i(peiU%Q3zODd
zE3unBGmJ^4bR*HJ7x=ujGnF$<N`75`d>DdmbKmd~(r#NkYJ7RwIDl2LGp65B^h!yO
zd@Cxl?qrVVkig6Y(B&OHZsQryRNa~o3<f9=^m;R`i4K#13X<MD1N{H54LG=YgZd%S
ze(HHp=YNKz5AA=;_l$*z+U6MHGr&$7B8Mg}1)SmYSPO;bHlo|U7p*--K%p#a7}g6X
z-*6m4>KoXR1j5X36NA_ms%;|~7lp6w?hBsW8J-6s3IfK`8p20{bRbF_8bKO>pi5ba
z9h=WCQML%Avv$tIhx-RnY3|K{DkGC?(&Z+Mze2$JFH+m|o|a_CCMy|R=(r-Fcjf4;
zP~8N%?(94(tCmb_set7q+oiAl0Yxv2h`9+-N@a^w8hdD-n-U2LmJH%pSqg)UXJ&6D
z4$ps0JFV%L!a<+xU8U&d?%zM)nSTRvl{rqCUC2FDiRv+LYl#1lMRTZOF#&kMVS0aQ
zh;w#tH^`Epeb?$=d001#QIdUeD*WU#lEi#YdOb&)v^a$zIxG&g&bNLI`CVDv&&#-o
zrWXff`#8_K(m_pL%JpKQ33csi4xgYk=pAg)!!!*w>OJXKFP4Da9^f$Vev^R~>(R&M
zm6!nQ<CE&bXg5ygz~X|^zbXPte%{Xl+&w&3+rQv*lAw5siA_1vltSnQK#Ir;hI`5Y
zD>!pX?$Ea<dD%CK037?9WxMSa-e7M&P=;`Qxs=pTDKp>gDj4@5i0nz*Ah=LTod39w
zeU0N;jl&1T=oLEZIbkhGZL_I8l)O7NO4z4V7Ku_n+>>_chJTup{(30ESVI35KyrSD
z+1IJGruiSubEYp(INH?5HF!Bp9vMq=Js)k$_9u7<3;vwNU^5V0McQ&MGXP>4Qi~wi
z<k@_zV#mjY;btzf&AF)I2k?HQv<XNd(nKTQVWgoeOvuyFl^^A$WsNVB5#2mP)h7#g
znqvs!2E?eIyO6rL8MX6$)-991lCpP@KyQ!c^mFf(BJgPE)HZ2e-+I2DEEWwXw7hbk
zbHr98sRR93NP0V(t|5pLd!v_i;Bn8(yhLir2)aNI#Qb#lPHW%01Te4|{&y5KU5Irf
zu>S=;`{NMk8n1I*(p<Yuw~|_PkjMj^K-s+mLYS+^XYaUEn;|~%T7KX|Z&ZIbd*=S%
zD@(jcYZcanWbeRVc^|wZr}Gs(1fipNBK_JQp}2|;e=5_4i`AU~9ItPzXA3T<#ySA(
z91TEmwq!>?gTB$QcnE0F2vI|+q#1s=TvfhrFVEg3(K%<t+9JV~_t!ek`ipc5kO!e8
zKw4~3fVAoe_XuG+JJOapYsed4>?e(ltYj?B*1#>7$#7V!sKWOKv%@>8I(98(el7kG
zka^2$I&>1y(j;*nB9PNA%*qf%IGw5QXe)h|O6VB+!GyZ}lYfFyNOG@War)XdQ}%b?
z?K!CP)wYGZ2*%fiZ{&?K%1X1SVMTutA6w`uMrHya^^!NXbRftM%HoSMEuW@X<s0zc
zAPK+1@)-jQb-EnAnj+yn5zQcKbZ4E^1b{A0*gr4{e~0;6{a|(C-IHc~89vqb4=O@V
z8U}oPiF%eGf%vy|SODzM^CaTWeS+xf5e!5Ssf9oc)S+E6Su>R|nyeXx0(aAK+wzF`
zm>{R;|CqWs?L`c*l8qabm&t$EfOL-x<VUoG#YXCw3Ym+50DWg;xz8T@mX}yjb)yLt
z^5R1>g;5^brV?qhxU+!BYHWqlT$YchX`RLQw`XQLKn3ek<|lv#&S}Z;g^U6IkYL;(
z*p3kIVRY;K5ksbnWp|W=N`W*hcEPMd0GBF;8_gVEz)@?XOjUX7x85jIaY<@egwjq8
z{5T{*FR{gT{9Q3aGRvwztoI>W@ceATD;^c4$b~`zT3q1`SX_kQ<;+RY-MahbI}u)-
z1Z@;+|9WBH;>8GGe;U(P)k$IV67%q8B}}HyGnb1g?xNHrAFlQjcb2;>$kqZNns@<M
zA=^DzG?~{i!tYC0JJQ;*Zxxd6BgAaB^{PPfDRRD9pIZLa-Sv2*cvRv$Htn#}cbY;;
z+(FnT?V)r6fSo22w!?o34MnQr&j){hRz(UG<}FE2DpZ!SHXB<0Kx~zI#O@4!5f!lF
z_0b+H0#;bK?Snev47#DJF}dh`kqzu@79Cv0^U(_2Pi{1F<}}|yi;e&Zs7c^ZP9kKe
z)~c(S3doA+@(M|Fh??MgG~{QPaShSYZ?I{3M@Ghxd8=%QCexPXPzka5sTk&pxm{Ur
zXAuOWUkPR?A`yI3c&oB@#B5S2y@V9!#z^-{5#+r<xzjL~l1i9(Ri2v4lcys$R~Hsk
ztpOqn9Y@LNP?N&cVJ9;!u1mNe{1-XA75x)<o!cEFKVv{!w?|!eg{|#$<|+1Mt=5oX
zz!M5O!O3)!&oEw)fYb={Pi##Aq!>^Qf}nAst!xShfIKK2F)4&X>NmDz`VlI*fsXVT
zu8hz%Bjub0v>4!B>TCy5T5%+4<6vrNSsm+Rk3+8%605e6TnXb!SY^}CK8c|!ZtfD;
zrUicB=TAd5j%c%j_O$ixAW+K;i@NifbO@fxcbI;A0Pf(6B3A6PnP_QUJ?Jru)H9Z-
ztf_O9IbMqPzL(e1ssU*~g;CpExkc2^SGBxgB#}R7!pmT<I!<ezgw0J(sn6f;M=(`l
z5z~C3bVTkXQ1B%(kdeHL-3=-7Z$+P(ep@AQ#Vb}jM|65t-*j(FT?orkHPTxM{1#RE
zk<+wr)4PKBN>o(1;q}Mc!R1OLZmFv1<j|k7E1Bmt5};G0tMcf<7_Vl`w*N&md_)Ps
z#T2Z`$r!je9n9SK*yS{&d+z8YRSL)Pr<G!O{;z$4?r&Eg$79dR>KuQ2K8t+}X4VDM
zbpv9FBedZ;!Rnz<>QJ$%B>;iJ4o@Ph+=h!A1DSqSb0U_IKG~sV!=gSe-=-{=_u>vD
zdKrrW;~-s!-}K2levz-fI7ol9Z9a-F56cCT?b=VwwrXdS8=#}uV9a1a<I|%EcvXEh
z+Wn^uxGcJ=W_SW^$vhF<dfk9x2sH;JX<~YEoQ-qF<KY-t*8C*Wky(urtDwKZK=-SI
z_0$LnPAMuH=ydUT>~xqSWY`<S_qNZeUw>Pj0hg=e!^#IWl8nnx$(>fiC{rGn4ju!v
zW^+g2K}$3db*Ff#*0gF`8WwM2k?2cD?T#ZA)ek7-rXU`)2Io5hx`sH`5hFyP=^A&{
z7I-&3v(S#`+oysYygFb3(y=bZ1D@(;5`Q)8?i9Tl=rv!eP1e8T0(YmYw$}O&?A>67
zaPpsEY4<}*i$g!Gy1q;Yz;+q5QIW-(ana4*&Dw<`j4tPo0@Zp-R}w@7$NT2$h2DSq
zOw&GCtw+2ER>Wqme;m(vHrc2VDD7set~#-u1)PlSw@lqkc*9RVQ55-(v3>UCN6<4V
z0>ni@3;Azoh0j+@TkNT+Sg2cC^^E{sa!hK?k3c0wax7iMC6#o&3#y^vL)?vyr7!Ke
ziYKzmUUH1{ecDyx$sUi`0?07|hf`XsUTUX<0i#!)ncga@0V5<@5PkRsa`Se^BddF*
z^kV2Yf5_QLy8Bo<IAD`dK2b5EndLy|d@!W^@_^NKke1GDOY7zLldA0pHgv~iwwyGC
z@r9Z!H=|G)BJ@)ViVH52MWDe`)i8Kfp~}JTp3!@FN=3|Wpv>TwQAAAE030emvSAnu
zMq|q)$Y|^{D?LK1(hUJq{cA$85vqHV<3AY=-f)FOGXB}?m$q516v*Sn)9QOCmvL0H
z9~-w?C{r+gkWOy(Mi-{bE9N$Xo>S<aDja-Ze#iXm5{HR9Lx4p#Z{yyP7xa6j)a(Or
z!~%)Ur__Jl2z%lhmp;HB$gIH`V*af_VDUsj77sbpGKd#)r~id?hI_n}yyG6O^bx|P
zmB(gd#6S6%4`U`tZ1oOU7th(X$Q#JK7-GYInXD#wC^}(#4aJKUX=@jiS(gnIUFH(_
z(@@fJKG)V!`AN1}iEOV>@jQI{SDTC9#qc}JWh56wB>4;IO?8b*sHp4q@b5<4<lZ~i
zul`{{OrST#(4#umIvWn3SQJnpr}oz|=lKv~V#yg1n5r1lg)p?GF9w!<-bRP!OY{ze
z%OT-bfcn;{F5T<sT8p%4z++UOmORJ(aTyYS*SrlCKrK~e;loy0m-E#tX(AE)L)3A|
zOjN0G*_;I#4n7^U&Km3y$TOYKihJHo(Ms!5i#@tHL<;sG=sU=s2XC4hRtK?E`c!vh
z0tCvx-iMa}>!#0o#!id6iAv1G-Y&2P>skOaK+M0I`U&N=l84_k_We`>hJwIIIEoK_
zmEP>6;{tW!nIU%}+auAPTzPT~g;f((V=xA08}>N2y7djF-=lSTlvPTAoZ8V}Q(Wt>
zr+|oh2pj=<qE`JtA#WDCsfz_uzXSk(*)dF}TW<T1wxCHKq_4BLn=E%`EHg0%`J<gL
ziSFxB9+1AfQj)@*mbz*QJkobI>llo*+qMOwg3_k2W|xj%Lgbf2BFb>;DxtDxMHYFH
zznD1NrvS4^Ba}JYHPEm#7N6UE7uD>ukY#n!px(%|om#3*=l^6nM3t~{=KTclzozX~
zV@S5#C+F}D;B;#;augdN%64L-sJ-`CGAxe3mty=JPI;o#beq2U#^Lwxv5{h7)K*x}
z!Fu<4h$J^h62f;rDdyLiAJ~ngB^-E$zfR+cFkMhHri$0~sYuPA6<ZI-^Nc(n`7ke<
zQ&+%tc_x)mepK;8;i4e0KB+@$jwzLsL~#5ola;N5`HsnhNdauQeDK?>=l-Osd!+ac
zR|a`fO_g_r=Wp(&c<zW^vc&^h$)H63k>kit9=9Y;Y&=Xjq1Y{;LLDEJ-2|ZdogPo)
z+Lu3z$%JJv8n@ka*wLouj)@7K1x{MF){|PqZc<#D{69kG&?i|}Q3iJa8zXkGhilIS
zZRoYK0Xn=kN8cue6`o;3(2Vr;$)+z95j|?t4D-sK=m#HM#{<9|#)twzq;$83KR9{8
zy-q>XT&LJ}$~nR>#>UoMu{WG&w%o5)hVbc23-C9~T`uxGNMPL}hbdD?33}vnV2yY@
zMJ3O#>lGQPOHBDc%?eABFcMuzj3cUth`4{j*z@$TNs|MC*W*}r>v|sVU;hp_m7NN$
z4V(1n0q1=mlH0Gc49i+~Z1~gF^js@#JxXf|FrN^hp&;HSZi@63KGBqeE}%pWZu{-N
zuv(0;r)sLkxh`Fw2|l%&AyvAzG(w^Jj{sC9p;$TV7r`2)ql~_a650VR4l@J8XtYH+
ze|*!pIJb@bhvACjx7eK95HU)Mbw7b~8Evh3xg2}FRqzG~e(tpVvWbmu*Z-^Gh1p^5
z1w$&Ui#Je^&v5$f%zCDp@>L+z)R;{E_d6Vof#hVHe_wO82Rwpf7e_E=$#v{flcPy$
z2UxWsI1G{TAR(HDY!UfRqz3ha?=9k<S5?6pgGJ<#s0yuT!Q1E6^4HcEp?p3ryByq$
z1IGS7sH+;5LfL;JjT%KyLR3Fl3%Oe;9m`tY8@yJvMAGAtkyHwYGQ-vOl>aEPTm7ip
z+*3(xxdMMWe2U*#ReA@@5gQu1m^qD@+=ssg_6m!K+c8wQV?pcl3&|gFd@Ta2RQ?JR
zVsq5HEZ`3w(U<&b{<g19>ukkzWv!dB7W|BB0R@`DW=XmE4yU}mD&&&~qi{oMy2IQd
zjuGwV$o>X?(sl0qS9AmtYh+RI;Kt=J{oFHdP|+9}AJ0W5LXrX!<>g5(fVE_@HQyMv
zy(XvXfv9e*$`N%%3cDrgNRfJ}B!z7G)U<f9%gjVxzLRW>aYaq8HMmPVg_A{j4*?w6
z<3Pc?m4h&qjg{ipG{TR$=M$HpL<{;niTg*}$55H^OEgS-Q61m^{px)hPXvk{?2#jY
zFhD0*LeYg!rkQdKe-H`#^F09RaZ5C;g1ppo0v8(PAZA5rd^^c4H<oeEgxRoE0eHJ<
zha+n#ypN2NCX9Gievgnk2(7YAGrn_q1p*fo?grK3M}0LvayhRUp_9w5|ETpH&^uu7
zZyQ3uX>Q0t`D*SEQ&87f9CJ2al;QiQ{7_IrQF*9UA=K=@@jXK5F1OuXBRH<<@6*y`
zx^<@>A~VtF8<@WRwp8`Ycwm@<NX?30;N;9TqD)T>7J!=AIcNtisfRG*`j3_%gH5U1
zXV)>l%C~)|S#Tz^loxA^QlYcjJ7QKiPpHnk;YwjA(bwv>!dpTAtpDs=)JTCnYXy}K
zKQ2kGJ$bHG>)e$<F%^-9cqx888-g!rqcokA5`etOnug0St$RgCcFf}9;7Pg0oeikA
zvP??jo|LO%n%Omkgo73BGWV4JK%Ng~?(J!5(1P{m-?LOIT>v$w@y6*{3!{DQWm2OX
zN`mJbA6h|Lj4z?v_rYop4sP#C;$i9&5Q-AS{q3#7H@1>V=@2v1S7BoM+t=*m??e-J
zqEXH0^8Wp$PZ-(fk}pV(hu<jzAcQZ**4+Xj?PK(a{IvEin$v5JK7X!&)F+R{MK1ES
zH}jQvj8Snwd4S}HFiRLeO%XmxDwCB~D#qNguj)x^!-(D3+nKe?)h5LTHXn$k)g&BZ
z!c?S_sd0t(eN93xqR=oXvj&lD5#LkqI_~(JkBY({*cE4{+wlC+G|8GLu$dTEW9e=?
zRMyjS9oiWeC49^>+-FNsC&|HU7UK$ZE`}7se*bL{4@&Ff5#IH%x_7mCuW3?k$YUHn
zI!yCVgw&@oY=Wb9_!4$~wV#R|4Z=ZTCK$r+jq>50`Za^T0|7oxg0%WJTO*Osd`8jQ
zVhFOuR&g<<x~I_m(N)sI)qfA=3$MJq(>?w87v&^V0b+yXCoCwKip!RDZuyytp0gyi
znWcA=N~#BySYVfW@wPjya$Joe|5pq(`l&FEnLX2?rvc3EY2`k++qPJ60^{$b$Qf5K
zo^dR{f@n5}yXN95UL<$+r(tRasbI-;3uBhu2e&CK<`GNoyrR$^j;`R-z)(Q3bbBdo
zfD}K!oEi^KQQ_nBR<8$(GP&v2iA?;V5ezSb&9aij5y0=D?L_EZ6z7nY7lBO11(*v6
z?7Sd5fvdY+eNGnG*SmJ1d#w`Z0WB{7Wl^~b{LR-F9nPb28@nzE?;~8)M97KvRaEQ|
zER>h$0CVBo25R2s+EX1Tm}g0b1@CLCS}G<siSJXbVBs!1<}(#KzGKRU$$~4Da=ZYm
zyz9lccuQH0rUmiSi#;LJKw-~|<XdQo6#%AxIF@e;2;?Ml%<nh5Lmyq1u-p51`6GCe
zdkF9d)EpQL%mOL0$c)X>Kf1?Q?C+yvx-rhN*zS&Baq>@2IK8j<4AWfHwETC<D^N9~
zk<mDnTCI8QhL9u7|BW&$T6>j|%==>?zHt=CJh`UZhH_^9&dbpc63=x2SC~^+vh%T$
zKxSEls1jC8bek=_&Pp^+)3@o=<4a?z3$IdeL@^HA`+51U^XN<YQ&_2u`-aJ#^C_zK
z&XJ`I14Zc*0I~qd_r__85EV`91iod?{=c5b-AF*E+@(l2VOa{Q_t0A3gzL@N-wD&p
z`>~RIWFr-E1Zlo|*i7<5$F~Vpcxjfa%p_yK@iy_wy^~pCi<{_;ibaLboEJ4{J{=c1
zb4iYdPdYq6ezvqz-Qra_Ux!pR?ua1|bugcAV#u)EJAM8CZ*(nyh8C4VYoz3zej&T=
z>FaK!YW~&!^7bI6S~UViJ<+IpD;>90`Ch8ZMQy&WI0OzxeAi4u)Eh8xE%x<dHjQF!
z>PGMRKnZdqd0B8OOD6?ALO2@rD>b1Ih~L|&Yn4j&kc2sXzLdY5A3<aRQE#_8y_}ny
z&GU|#8Ott9(37b{*yN;e9p;HYcyE8-sKI5szH$3!)uc*=GyXRHYoxgD7&l3bo6i0o
zh`4y6xDJtS6(j=a40}eQRS-V2krar6&+M7TA($+&zg;LrDQwd{g|)RfiTe&HF2p=Q
z{CY~5)J#9P=e)rv!NVBgh~&;!EX~A9lUyb~_z$t|I7=mxkbi01M-${boviQ&i)nfd
z3;(!*Cec;<hBm-ovS^e`1A5M>eFV(8cXcNWMVBDU6a+}f_p-IRYA@)4D84jV<(`c%
zmaCHjDC>F-EDw2KlK<XW7|w%Jb933u&xxW@F^3o(qH>6Y_Gl<QDdV~m-}WtVEFR9N
zc<XlvTwjfO>wlHBm}VCt<U-pLPqTEL3@}0AgPM|>>uftHWu@yakB>FQBXMJQd95I5
zifW`$4?ETxoX7uZ$-+<gO%gK0Z})OrePnJr?O9suyQZ>p!s1a>*LvO~n@Kt{#2{!A
zz^C<=8OP`&_E271)4i$MF<Z-N##&Opb+N#XI7NzEidq|!$|ugy@YBMD_`#g!S9lwC
zWa&4m1#o1~JS=!G%tOc3+bnBCOm7f|Crq(1)Q2ZdkR%cb9<-h_!i>K~dmw5(`Lulb
zHw4?xjm2hd#l@CcDM_glfP3MS>aFI9yaP>fL$0AzPZ5_}9H~(D7j%`OrV*_eiqevs
zaN?oIZO4zMT_sOi-VC9dCS>MyRdK_`Yn)1uS3B|E)1S?J6VMXH1>C(U7I--?a>pfq
z8y@^VK4xE$4V~C~^l=24>h^O62<1i<0p0w)u5k5qp=!wj*#FDX;GK|mC^#<jxGh3;
zpZaRDTziakMUj>No`xKHC7Q8LFAf7RLj^N_-)7UQ%s#lhD8gk{LP6c9)%bXm+@e^q
zt=}NFaTz9?0X~>^UN6_&<M<?X)io5DPelXbtM$u9Z}Gto+3SoxwpbOOgG1Z11mN>}
zC9X@)xJTv#TwyaA{#vWt{P$>gWlh_COf$9$k1>?i>lo3anSL<O>i!m@bqAPKE{UfY
z7MN*&Y*Z1|wqEe>n<uQg`ZYL6c@+-bWA&Ax1|^%wh2SKkZLGU3DyPK_3d=L#!D?hU
zSt>o7CZh-^+TDcbxV2ix0nfR~(-LP={Jqs`sN3R(<zNfw0)H7{q5ZCRw=6!DVYOJC
zt9E^B3ebJlwGze#`L4F-j3g5H)CNF^`+J&~87k*^=U{_^CW$`=>gb{}T61?u9>d?D
z4?v8CBJW(pKrbv8&?v^i`(vrtZdvy2z%!B%mOuWnoI`m0s&bY=sjkpfbX9%CSOZL1
zO(t5-0e1A6`I5-y-_P8fH2O1lJZv?pU`~d86E{k7zE2WzyVv$JO$L6$`)t3AVx^=O
zqHI4c!gvKl!zFI7YH2}XQ&1|CTHiTD$-IC$-vUsiXI}J)AO4I7Wne={gWCaizDX<D
ze%{HQxFLm#$*_Gncyz433%sH6moR5{5t--(S*HRjrn(K=so``eRSg!GK6S%08@LN1
zHMVfPGZE)r*HefNSUx>X(7s!bY<8pg<?k1?{uUBbV&;%%ek4C$f^}_A?O3B16-yN7
zyJ(D9UrR^Uxqf(G>7Ps9hI*qnn<D^T&ZEKIq_G7S_jqm2u7fF3cR_31iDae(#fQG5
zknq(`yXOsba2LzEa^enW8eW|m28&{51ttX8DYE=#NTV@UkF-P>w4_LfSBq~=umAT*
z1>gV-owtih4oO5u{PZBS)FF6tTZcel$-Ph+ifwnxQl@&&Sgqs6^Sc=iUiRsob>*$0
zFp9qBM$M@<v2(D1^{*yM>M1~T`A3p|Jhf)2@4iZHuC*p%^FNxz@HWo()4G?n41>E&
z0O8~N`^n0KglF>!tFgnPdeUB5zn-(uBB=LYc8jCNn909#DC;Ib9)izSNmteLzFDq%
zJfY{K!9PTB99XTy>u0lc9_~sOLS&(|<ODxWw+v~^;fs8rV(+heJ(9gC;|`m_;7LF_
za%jI7y1V(zFi;T66<a|G@Uddq)44!>6FFj4&~*#OD~_cEp;pbu<H|)78--T-UuEOi
zY?%5_?v1S)L706kR&+kDekgUBG)63DvYgr+g&}vBqf)gea$iCv(6;{yY!4)&F7Pdv
zyz7`!OjU9G0*n*|<Wiz%L*e-@GB0e@42_(^Ho-(=|L?WSh%EF&>hNY(i)IJ~L=^;6
zRFE2-dD!1uws}*abaJ@g9Pls41vEf$+u*!Ou%#Ksm`<txonNB`nz2wl3qj04h9BtO
zzE(m9*U(j;PTo}+tH{%3oZ4TlTle{=+2T!iU=n~94NwHV?Jc{uDl4<VKb-c*&}|!#
z=*@z!xZ%dD1tm#3iH+&H&V1nLYk{BV#iQzs*jB?q@kCK(L3b+zsg2IVLvXAhqEGxD
zdX$=eTzFVYl=bQz%(WjwS^?lmO4&z^8#4ScL+-L1i3g&G*|vEhfS&BNqO=|^k*ezE
z$OtTU=Ww;ODPe{*<py_1UP#HVhM~ABCg|e1hTtU~xST&NI-dGM?)_a)4&2$7;KajU
z?gN=-D>1q?F>!kwE$)mzw&ohJzY~n#{*qId^v;Q$NnF~K3d@0Ooos%ZCtr&Rcx{p_
z&>}CjKFspnOq`cU=@^QlO#E%?DsV8k1;@~94Srm=3*$QOEQsKt{2S^`xNdU}?;H|c
zvyidBIXxg0N0psF1))Q_%|zY9d20D#n-=^(=A*5fuJFuEp^(A<I%|%B;pOy6R^L}%
zAOiv0l<nr->|(X^;61e{7mpANSx`4-+O#eF6yOh|Biwl;#O<hAr99}5@DWdxb@F?y
zKbE51%408(Xl;R@rxB=2D%+%WLsxF@t$X>?N{P7UkPXxC3lypwKZ#S%jTH)_DPcGO
z{i3@`SqV6fqi%2rDGIkSAtN|1LJ(^LCj&az39*o;B88C{5%BP}0a@OpJ`GNJWO~SI
zI?9llS`<Va_Z4@i8g3W8KW_o_Bx;a2nVN=!mIFU`rxgiP@LwWAad(SwN|EA|xB;t2
zJDk@`?t6?CVjUf@hHA)^HAp)LhoDy8%l@~7wDw}8NqXY|Y+6NhCN|{O%n{FwHU3(-
z2}{!lD3oOTNrA{g>`iulJ7;X+$@|*!M)jiw=3=E;7f@Lw{|qq<1*EwVvzzfw!k2FY
znOc>P>I|l;jnze6FPfII`&!8F%x7aeI~l8J{h%4if4kZJ(b)8-OcSw92jNF@$d0MG
z`$FVm?CqO;qEsO7IZmHyC2!%I9tWh5_J+no@n)#}rbEp?L-qo`>YGsOr+v6+TD(>y
z67y;)BedGBO5`>H>`#H7RL~#N$BRivW&W0u4;R2qUlQDyS{tq0=?m*zY+<e$D{8)k
zM#2?k`kc@zDo#pM1#PS&(FDgDvY=dZ;=;$S;6k|x+h){qa$<eYdi3T#Au*o%`3n6P
z%Fp#IP4}rhc-hs`AlhFLoT_}0P8RqQX5bQt)SJ{AMh(;gt4#*8d;|+l^(xG&*iLY?
zdraeDW^B!8GN@Xhb41Hje}=&g!$@?{h%*y$MDU<BgW&H6Za>-+`tos@TXMs*)JrR1
zh1<XmD0>YU#fqz|5tZj(Tu-|<*Zl$2LzP%7cfP2ArdW?xW?3W?yh-iZ9{xs{8tA#H
z8O3c+-^3rf-UVAJA#=XvjEio!@w=xyF?g)3`2y7SRIn<;JU>R9?DZoXf=VL4eFT#4
z9pS_0=8_Xq_Lk4q>hYf{@=Ii)0%$T8`)6Z%UNamc#E<&9`7}dG_4`f89HCQQU*xnw
z6X76O$d}KyJsVb23(<8-kM1o5UuQ=#q%FkATE$0)F?Ml|-Go|wA^bJNAxHpUzljRy
z%!SzG_p!IDC6q++92)}FuyNXvB5VU=K@B`CauWLdU5@E>A-xRX)z;pK8e5n7aOBTO
z6vt4R)ZwXrTaPh9X6tYovVLYd%H4FW$t1qxylsC|=5Svqh!IYSGK~;!@9xRWi_*DX
zX^G1b071r3=t!MnYbkQ9!Ng=e+TH|x(qZ<a>W`>{M5k&r(Z>F_Co{YqSSj%GL<6)$
zrMU0-DU23cO0}JurzLG11p~}X8KgWC=L>sg1KlQYp}r~c9TBbk3iv^T2E(+sg($|<
zK#o3)m0?I)Hg11ME^F8zisw%X1ajPuO}j?A$jisRJ`&?NvBL<}35Al?60KsU986<r
zCjW2Bf~S?m(_I#5%xS9(?4pR&Zkl9ACQtAQpepg}PS}NNC;Szc@S!p9A&r&j@xr}Z
zO-#16VTfdPuc%U`yJiUeYz`>ohyslHg?LKZ4p}N&@Y{7ScAGGWvwd?+mdUYM{d%Fa
z=X2{DrUTMA)=2LS7CCWw10~1Xv}=^ez}^81`CIzHl+?ALe@BNxe_cIW9r(<bPDaEz
zGHhld3GcCdj&)8sK9|NTHElI~pGElQi5mlUOo}%KJ9~L%cq?_K8UQ*PcW^FC1CzpO
z5_VHEVX9QB^wmz@xUKQawl|l)hmVgWx%prgF=P|7B-dV+V+3}E4rfO%*KF2j&lJ+h
zJJ{FFuI-Rn$N3rv2mty81@2?J@|aB$L;*TFHwEtv_5RtSC<OQ2024uvYUcRNtdz9+
zp9VQj70GzB^MMOr^lw#-D4B75VLR6Org!{On~>_=f1A%>rY$OF;PNVO<&7LEW_lTV
zjeU+eSshsd>8f{uI57vm0L%Ih>_bu>00mz+OMSo%9`URBcT^<-a8FDAxnXHIqpf{~
zXo}gs{U6w#X3WdKjXgP2pk}=7YbH=(;qbuE@_cD;NR8?7y8<$@GjD@Hs;hGyZDM2w
zgxwBCR=o9W$fTx&ReI2?Vcwi+n(oIU1h^8~ihoW#Z?q%cTTtv7ZaZO&<}BkdoT;(^
z9gUTBLnE)_QpR@$x1%%H&59vC0Iu0af?Xm6JGYIZe4;kvOL3h`z0sLU)pW`;uUlml
zY-fZm1(TO8?1ki*|E>uY6AXw27VT_$f)y%i0RV>nN_Wfp-CDbAjFZhiI@*ag7IiTL
z@7*_oQ*0ajdZCR_c?Ik_`&DorWilN+C>snfd$!vW*1NW5zmEs<KWO;2eT<)Zqp&wf
zJ%Z%Klt=9*J7Q?WQ*#x*j_P?cVxdZ+O0n-^>9?kPf`BlU=>tU=P<iV<f2nN4FQ*Qr
zB(NlZLc21sMqBa%+a5k-ogFsxVYB+o!BsXvbA?noIssEi{FX!=(atz!QV5b;c&X~7
zQv`x}U0OFrx<BtLLB>m^ab7D=GW4U%1fSa;dmh`3J0CS?U#5dw10*`N<jxs=OQ+r=
zjycU@OrSM+3e2%DC>%}PD1DeUEcq^HF;0VUdK&8yE1<GBgJr7%9HS)?n@&JQ++Ig6
z{cukC{B2UYkavWQZ6xwc7{|MSJejm<-!`&aojhx3`5y)1-yKilv-#j2RN1CSWZp9F
zIJH9plLhG;DoluHMot28Ne2|!@=2x|FDrq4S?i8r{j!}t&{2Mz7+!6)-IwCbK+lAN
zxh*F9z(Rnl%ZJGzJ;`*G+GutN@m15N2MHe&=rsQ3kZY3csR&7_B>jC1!~v8{GqR5#
zyawgjp(~uW=pjE6fSm1E)`#eg;ax;L=J^XcMf)U$40Uv^tHLFwf^6IctO{b+x)ZPI
z*Ibhx01mfw*`8KOdC}#`8-}u19VkWYK9Ga#{HxG{rXMS6g)9-pL0=>LOF$9Yys_zl
zQV+ij^utJk9~a+<8>qU<Gc{3~W*B)7h_yCuOmGD3c%_K<F`)wq6lHDx!mnVNh>llq
z&suqg_ZYsLy|X^l$vR*L$6zST)MWh>w^Dg43^PlUcVcJUSwf;;kIh^Xw20=}j9EI+
z@Oyo^dK<bMNp!I%?#lT`@NYDFuaso57OFBfIqlV2qnPT;2OuTHM4{^mGgX-h^iVk8
zBsLHoUZZXx)KV*m)WSOR+5(AtUWM?HN)I7PS*o}wA!Mx+lsIi^D)z1BZ+F<Ixjldb
zImk79QkMRGhA{2;tihd9Go!4kJVamIxq%J>RKK{1_oNQ(5p1>be9F-M!B^vQW&W;X
z7xD+zm{U1WNSG3=Z{PX{lFOtnHBJk0NnJiqZZ@?h8_S#f_361QN?l-Bgh&Y)-sdI&
z3wRQ&CvlhklL<}^=F6LjBM!Fho1!DY1cRftvQ)R=K9(mPOeE;mWn;>e5I2!iYSoGq
z*Dj71a>tO)x9uZTpFP2J%2(LlL<ElcoS#oal%$T-G5Zko<czz;e21(;G`Y6y@$lQT
zy2W3@gRV0A9sx3*>vdPn{p+=oGidqa>2{;gd4&f7&LNe-mc~G>D@n|zG`2@x);gj?
zJ_l}%EI_6>yN9Q1L_niw?zMBt141=O=cYufcLVtT*W8$Rc<eVGU*ST*kPM2`-26`B
zEh%%mZX>g{_uqEZpfb*RCLaL#lxMlN|5~gxts#R0vl;-hj4H~L^A0#!5E-dDU*~{B
z4&acQtG%Yy-Jv9{${2V@e#3V#{0@&`7z-E`%cJyhsGy1SuBKn<f?wUCfko#p>*@A5
zR}cSGJZ(2hW5WjJ6F#iuA`|IskGg4kio^bIW4YO!ItOw5*Cwd<L^X;EE~KV}9y6NM
zOUAe2K-;u(Bf0`<11(B?f$a$n(LLxQu9ilZ6(_)nG!=ILlza;Oah$vPRHQ1YZO3iT
z&=Q|r(6-gJ0Y)N?sr_inK{&aJQL1JInmN_tX-^tMS|;Ux@w7pz-WM<y`rM#>Fvnw1
zkSyTFk7o?-#zB@L+xcJTnCLd<$jdRpb5fB=SZATThstl_T=ky12p@nat4}|1wwqh*
zf&UvbUq265K~gxOi3=uRARgN;l11c$9&h2oDR|?nZsV-T&SNFsn%t!R%c!S#`5=7p
z!=9ES0>WU_3#A^#!-Q+mG(zKzpFV8_E+cMeBwp$7+S1hTBxn>*t(#QIc7LFcWEX38
zjFQc-S7;8cUGSud(;iV1G4Pm{_-61L6+y8jTru(la3>7`fy`fI^Sa!=14z!3P+@fE
zm)@Bq7LXXODTOkKJC;fSLE$8e$K88H20uRTFen|PI0lw4^J;y!`pvh3*V6R`!sA*#
z!%0TpsZZ)`pk@?0YUU6m8TcW`2GDRF@^HNZifqpg(?L4`xO3G!0^Xw6e;L5rT5syl
zpcj`4byR69UHqE$p@)-7W`OU)&o#J<@yrL0mDCF_Bl%oh!{g)y7SVt;8>`Yoix9<=
zJ^Z;57fN2S$&D1{zx#<=ZtYP?W-X_n!oZORmTh$z@z%+wdGtiLVSKHKbj~@~zA9+J
z0TYCM2gXw=P?jlG?kr>d3S(;Qj8sG2+{s_%?9aa=nnXq*0y%};`ahi;umXj9l+kvx
z$xpp@6VXU=o)?o1b=SIo1e(Sy7$^FqbTy&6KzFFPt7203cgY!U6Q4(oFzubQpALD)
z#mDgCpvmpqkzH^M?5#(x02Daqr|~0dOVHYEMRw(aY}J3lUV7rJ<VP}SzdI0MddYTg
zmB4nuIjI0eyVWMDfc0V@f+&g<2H6e<@HBSGMkj%(hC?@p%W%QG>bbw?CTkIJW>qW9
z{HCjYq<V$_ig>O@!()~foJ-otF@yqv1w*HLL@Fl#eQcM4%c=NMhTkmBBaL!i-1K%Y
zHq7Q!9BvlUO{oG2k<~O7-Gp$cz|^sE!O1$C*EjpboUtiHhtV3&^G~6lypchZOmgI!
zBs^I@<SciKryfW0feIo^9yqPF2*;5c(|M#i+UCiX_q*z$0Qvji44%e8#@0N|mQ!?p
z)Y(6pr^;dJR|^aqkuqTOHsfq7Utq+S8D9^6Am9*cRG4}rwykO0&=gJ{j!1gXI1BeX
z3(f_=d9!I^ry~0v*T7LQwY6G<ZqGe>ZIRb>)KJN`m$N<nZwc>JNBm*mGX+}$S_>Z`
zpJ9C2Y*iv9LML`08z2njLBli%T0jJt>%H6N9bw2-n8H&56K9Z@RZMzR=QP)D?2oJ@
zD2e&_`9)3ObKOj(ww6gM7NgY7Gn=odtT>_`qcGq6JooyB4Q0rBwn?qVv*_adX-^aC
zOQ5|%P2i5T;Jpzjm6t-**M0+4YaF45dh4E&Wc6PE{|q~`J&mhGYTBJlLNNSjJ+%VD
zyHmuOlj?k$fg#UiAs^>WL~**{kYno{S0n!~{{7M6398l+uuHCd!#HO|I*B|LM+YMp
z`k$Jl<1=ouT|xcN3Z(`agApd9-5=`OYg{0o7vizt_sQWB2XC`mtj(T!O{Pqd(-G-Y
zq-hWK__t87?vnTpmq!csFeJwif1B?1r+SyKK}ewVwN3N(sM30xudk8{TOr)^^%#cw
z(u83!2ynCKp`e>LsjG?J%_=S@W|40>Q^vP&BFTX$8a%_j;<gP_nsxP^)vM#jpcLFm
z0J{rGSS~s$Q7G1M7=Lpzp0jCD{?%NuZgrN8w0n;&rT&y%LG+P18=G`$$aNgG#DwWE
z@Wk93EDaL-&Lt(;@#a|YMiU}(nNX^hk1@T6LCbNV1Ez+A9<~L>5m$l|+*HBcrCIUl
zob+;7%eZ}_U9>O^DKjZCh3o0D!c?R~X7@95UW(!@iY6EL9=az$L5%UQ08%96mvd3~
z;$Iil>(eue3#GdFH%0ZmcK2i&bI@hz)!(Y@2|$E}X$Tc(Md?$5Iae@P%;>_a?*)C;
zYU2)UN)#t`9;<2m8(fJ~C-NGVuQu_Mmn-L}meDVdh8LqZloTY_q3Jp$E0mJlQT;`1
z$WzTMoFWXSeIXp=8Oylcb%FR{+gMR(U7qcROx%90ZbVXJS*tz_8Y5_nnJP*7`{!Qj
zBZBuy$1p(%L5=rDbgN2;qcp7nxxN4Tt`8q0#MyW(ilyH(O*ZtHv+XAVupZ(I6)^9S
zX`6(x-NJn_EII#a&UF5ki>BuUG26UEV{v8t1h7@Vo0l$2k;5khr+CXBc(QqiGSgVa
zn=a6p2+hDK1-MPp4InO`oM2|-7ylI1>^`g1Ce?1!yrH8<R^DtwVgF^;P^!R$fTPAt
z>Mz9`W2UM1E+m-KKLK76dv^1Uo^lIp2-e9N=$u=S^LB00ZQ`BV#r{WFwR){6rX={c
z3HC0#Voqg@XNq8vwOY%&B4RMnBD^y^`yoR9ic@(c+l<4f2t8TOg4yxs>*i}xFL9>%
zNWFial{Zm*f+2ldv)2-`AvU5Hb6OInU|IfiutinfPBbHWCRu!i2EhY2_LkYMqOHF<
zGx??Oes*6fQ@tgMpa0X{7lr-w5}TDA%ToVpVP%lPcosB&71}Ciw)?<ztl?bZQ|x8c
zf3W5Q>H;|po+uHgCHMXFN_B+*8Gpn8{&q`^^e0_Gpq>|Jc~M23B0~bY71f0bVY|^<
zU2ztoF03qNu!v%Ggzo%Na?S9C5zRU^-$0c>tinx=ZK*I=`?i{-<)K_)_=p#%^Rz$f
zbFe#)H*<FASX68uZK;$i@&>rI=bpxI%hg%;M7cH^0-aPk=^F$&xx}uuCj4{rKZ&z(
zP+FaC2;l@V;d^nB_#U&bOWKx~V^%A_AwmkWuY?1PlO#TtH6iN{VeEl{OPFwi+MB;z
zOd~`^t9WeC8oyeuU><z03&bqiltm3UBeeQx=mCTM3_2tuqeOw*l7v|mpbDBVb|E|?
z4h;tj?<+dkuRT*>u;TH%_;|-nE89|Kj!(7zCFa)vaR`)k+xon{3Nc6;<->}<-oFj8
z<b6_>3ui_g0EEbJ;xO+D@1|>=r@<ti>45LqV9!M^VlWwfE0aT*YZB{zsh6k&^ft_W
z8Ss1BYj2f16?(c`E7u=4FDoF2)Ij3s(**a$M|7h0F9QAFd935JJnV|$hL`-V`0JiI
z;zq@AZg;aZ!uORSvL{Nq`I2eJYNm9qycUR3Al0kwt{lo6zl<V@BAe?-E^KzhkgJ12
z>zTE0Qi`f8bzQu?-j?uW8Hf*$@z2s!BLGJJ9wx-k=nHjyb}NIX$$7PS-JWJ6NRiXi
z<2S@cXUB$Pqd)U5Bhn2g`JQB1rnmRj$zDl@fCgbnK_Z7;ba7)#RJY071NmPTBZttB
zd;HCcXXq$*^S9ZOqjWPkXIQCNjdP-!T_KDpRs2ZmWOX0zg)tY%q0g+ZqiD}B%F{~v
z7f2z;H5H$nGQp;eL1S+0D%t&CHNPrLsu3J37q-T*waiwnVRv7x5(IEj<op0NqeB<e
zx(qgNWyA%C`f)9^Er#!<J$6pSRTu)4p}5LzG6C6`o||IEa(LrM{Sa)M5c{_bi5gmK
z9$q-R=0s<>Xyr812sU<cajeF5UcbsW^2z^z$E_P?s6&Ng#I@@37P49Gx<jFX)l>uT
zoS-m7`Hhh}xlaWT)vHnLPav)N<U>ev;JMXDeJl~4_ghAK{-C9HAb{96w9KJrPGFZQ
zer<AZ7mx;YFF)QL;@LJs^90kj3*Blz?I^lj0;SFqVGSVzi{BA~31~6L)02Y@ZRADD
zMZ|Saczw+eU2^e8n`7Hs`69uJa%H^U4R}!}i}<X|L`iiN3*F?oUcwGNSp7v6;u7@|
zc;x;n9g>*M119~hh}E5bXU#gI9q<6>^bOmA<j(|3>d3PfK*G^erBf$GoPYT(O`0ql
z=PwsnUeY`e{$U^U*Zs8q$SyMwaltMD5n3_yY2}QmWTP?7{cVXcQ8_c>y`%&)U{HiY
zCgZz`Yd(M0KnFc*=ygpJ?L7`eIkwCKlxE1ZaKXG!&VZX-El@oCyDvu{X)AK06|fU4
zOaxE8CW5_0*oQV#F>QaJ`O*`}59puGqP7BuTIAj0*-1@nXAHNPDILcUzX;xd=3dHp
zv4Vvvy+oGw+Qog&)V`EH<7j>SK314$x;0Kg|AiUJs~DPRXx{=YRc}6|)^|$>pxpU$
z!hz=j#pf0BvvEqa7qbwlqJCfG+yPtGG^Yxa=lX^cG4F2p(X9ep7Kf{JkXk|rXl>;{
z-~6^!8G@tGFz5x#|7O+{swIj;)9)he^IjCEHXmsWEsIO3Q&qh<HGeB}`7I9zwY!}{
z=~PIj^tQ6wD!xO7<hGcgYNoGzcgD`gR2nb*ke#c`Wwi*|(9gUkSwR}9PNP#tR^tGf
zfgE%n4_ITNu<8g<Gr#4~75#sf>`4#sOI4#@G>Xj%em>YK2zTl>Fy?4^UTs_uzdYZC
zm=urIPdGot5;~l4&+Dz9FCpOAE3oGD3dtJqK}#(T!pM;vHvPYFT`D-3R)X^K!5U61
zX058OBOZrap(H#=$y~LuF$52}m4%7yK;!jPJ!<eK121E6?MBNWJEeyQ@?oHy!S|18
zwsAP=9UGXCv{h6N%9(~cf%{zwJK3y0uZi!@&jbC?{sW7Y-G&YHk8oYpdzwJy!w1c1
z!H~oK9|03Ogn#zsIe0Qe!wPC$TMo{`58RSK6Mgq@N?9ps+ew;4nJA>pxOxH+)8!Jn
z>ib?nY(66Z=V(*jhB8h9I&20g$P}mnACGW|bLnHht50^oWqA^Y88Sr-wJT##&HO+6
zk}qSbiVbLWGp=s%@{LDX`C5OJQUw!I2WXVZ$$X~$urhgp&i|1#x#?|iy%*{>|Fi_R
zCOKPX@dG!ZUDVskc7_N(gK-po?n29w;AYmpY#PMVhYy-D$<BifC?(aCX!8ieR~DM1
zzbGoOD?|}IEP}JQzrZ+bv#z}6bMNSY{Hyp)?Ol&E-siPd#!+k0WW2-h<01r7UrzXn
zCe1qx&b=Jm0pFsME*v_!kgA&xINuDy1sTj`1n7R0=xzdad28hXb#$$pnbK}+#|CaE
zOX*>H2;5Xhp;>g;An!J_2k&{#LEem)WY_{GOP!DdZm&`R)ZL3FFC3&DA<G}jX8;MT
z2AxAH%6~rLb=g3YR2}HLVGJ8-rUCbFY59SuuS$k<FN@2K3k;V$sxHGN9V)_+6Mm*~
zo$X(&>(Mi^VI+5Q2)pu*Z=fPu7K8-&Kh*E?<<+RGd-t2>WZSp?sKa?+F<MB*qRGMQ
zK+7@vDAP%4y-aDzfiPyQ;SLk|{n_kzn6(9Q<YnP=@HWMc1c^R=aIs=V6C<>Y;sQUJ
zq{-$VY(S^}8OcS-L{cybN+10Xrhg5_pPD!wAYD32%y0dlk1fGDfq*OsghgzAi1<2X
z`$S9FPkn$##R(o@vf`a|?-%_ZyVf&_2|V-fXd`ufYG?kPAD;DCH+dw?U006+cCHdT
z*n2d2v=L|YH0#Q>Tvsdp$h0*xWWdW>PL&jV9g^wo;MdTj2z~Jn%~?M#yBz3vgmE<f
zp>gV<j#LBB6<7yceOmvUI~F2XLT^(DB28#qt<!M>I3Ckm_VH&zsNtPVKvl3`EYnxW
z^?l^Mr{l{<;hrg?u$8NN&R|64ZY@aKK+XR8Y4EInPH*n2*c6#QOocpym>2X`k>5N=
ztce02oF>s=Ja$RWz7=;QpcK<fu`)XauuTU=>-Od;&G@!Y{fI%fQSODQ&{{tUk|=b`
zBamKM0D<&!sF9gwKvN2q4t{w|A!X!0g5((u)t5avL9xy=Ai39husf2|Ozy^k$#lfN
zqoYG7#(kAj)08Iu$x8@2Y<yJNL_>7%+lSnKBvPqL_o}3qLx;k{A_gG0D>+dA=t&S2
zBIB+A&DKW3#<n95bv!dpS?w1#r=MlrL|Pwe{3~O6D7NiR#V3YOdy*vxkktf|kua%L
zkn9)4o~<&k0wEsL?*as?g%HJ|uj}uX8Z>Eb^Q}J{A?T`Y>Ovg2?C_K`{IFTe+*thI
zl#f<|H)5nIA{o5XhT?)LO7*c^qJu@m6a->_BZr?Luzx&x-a5(g1QeF^*f)uwJaw%{
zSo^8c+D2!h3?4*FSc}MZsCv6Sy<5cd1w?1gTX@%&&z|nEoK0g(Yz1u}0>exk%u_J-
zf#zdWL1MQFE?wy*FuLRPA2Xk?-YLc@)%_Ch)m6G%^YsZW#>Rw^=n;Td+;wQrP_yx~
z6PCK{AQQ0g*b%`wF)ItoM_y2ItI*?r-gUdQ76870^zaRmbXR_T2yKe5`t2B=|4c4T
zj`p-t7_cg5s}ZQQyk@721B~gy6?e`SMfmJkX_#4{Uz5!v@fkUQ<LYBe{8tpU82N}7
zhcYt<xQHt8(!dd^?7K?ci|C>p+{@+4u(m#b*RR@?EaWKh)R1=)WiaD!4LOJIM_vmr
z-4oso&vm-oA6mbH5-#n!et5@P5Y+7zO<k82dgBr54YbBxJR=zsulY4s{B0Is^>ny*
zAoYUSY`VF5IZpz2@~ZTZAH9(?;<j8o6>Hh$Rw3E^GdUzAHfi00>xnN%nYWVP1V?mX
zP(XqnKRQfw&?6lfd1s5d@ddI$1x5oH7}9*|rL{k66OLjN(l);(z1ZyNeO*6po2o#`
zKqVI)PHW=ZukTF@URDeGt_Px*<PECm<R4mh2)#lQMQC;a0UQT(*tDPl5C8lvDg<)(
zYZEQRp4MAuCMC7i&kP$7f|a_Xti4guu_yMr!<w7aZ?x#vZfz<GL|<JRI){VqZ3a?g
zB%UGkgSqRJIk)kLOCmFm^0dC@TJ*|*4aj1m665p3Kok+J?7q4)OvGCiH8hs0j3Va1
z?(z@&urug()|P}e&XFLmoqK>BaYy8);T+-vybnPa4r#%=x4K(5mUI(ROiMl<f&Ghe
zS=4B4P*H7ATHoG+eg?sjaEI&>XUMZB{C2(E3~ZRmQr1GClVp>_e@LU9_`@M`NL57=
z$sEVbV9R+=Xw;3fuMghtRbka6du+bkZSGYxSRa)r-Nu+Jg=225^afU%ZRLdJ8a<!w
zg`Z4O(^i%)*KX)y5^7Uu3KQ53l0ftouYi~`fx+%kC%WXg|9;33+^=(KAb%*5lC9+r
z$p9F;8MPzZEg$TvV)-?~A}hjoGfvm&M??e%2EP_~L?>6Xp_VNdq|~x*c6^D~njS$>
zv8Z*da1BLR`UfvOF_ClV{KB9BQ*owQ{*Rbv$*MkoTEC@!oD~mbOHq!AcYBZ+t9<nB
zi%dW0?kk-l9@ti7NE7Ui66@8Y(L>Zc(QHttDLRd+)l%|Z4`2&#P7s>jtN~%I(Jw0s
z03@j}o&jYRUp%ON9L^S^gYAtUzTJI`<T8)^qR}g&1zurnvH^3I)iJT4TLsaUScv!z
z*RKm>QV5!yDL<cDT5)Nx(Rn0$m_cqcOWg>?r5H60q7<>AQx0`Hu@|N{V8sE{pxny%
zAx6LjrxcBnqrN&H+*7#O5%nx6A>bPHXc_@|6WE{kfZ>p>5*OW!Y~y93HD#yto8xJ7
z6!MIoL?pFr?c`L)+6MS6Z6nWoa##~?bP_^G!a#;DXi%*0b)FT8>T6u&T?#IP#w;~`
zLhoU@mAY$jSL-Nfj0o4$oiZk*wk5%xgO@}$&g^Z>qTXZW^%i%)wX_yO)1y>3uwgE+
zFb#dnWlLNqI)*{4)C>l+S4fL3NJy|5n)=|Jd;A{MPl&yzvLBkHIWiQ%KbHq-tEC1I
z+eZ40#njCXH>@Yx70bxVHW;Ke2ju@=j@yj<>H0hyxmymC!HZfHKG5KE7w5`1yA2eh
z!Gfv!cn6U+t_a=NU+HWFHB3xaYg4grGqbGh)4Wn6;}R7yBahzMsn_7nmBD!F!fP9y
z;UW&t*ZucnrTfC!dk<^p-zG#v0kj=fFPe&`dpeC*Zo)|rC7dseGQZn-6`0^MlEerH
z4qSKmcXPYwaW0IRIgzzp^?5RLIEM*y1hOIR+acR`(hz%iH2H9tKZxvx!G{hEUNPtl
z+Y4Gl0cF%sNWhffZt%qviA1qNo1v0!Ef~OI=A7|mM-aA?dpr8#WIw(J&1Cl)^*jYt
zuoR6cO@db;!LyYK9M|?7uP*}r*VK|JvJqnt0*YzFD^G}&7+S9c-C;Z<f{Wf&F{VH!
zPfV#Zli~3Kt({B4&~9)Ba1PxG=W%n3f+Q3E%yMg^Cy8`d>@tT^F~aQIZQqKl_$X8w
zqF3KLQL$i^g+&z3vKD=P14QAaYclO;<qvT0+EFb9!@qNT%Aix?xc=v&({%SW;3c~h
zkuE|oBHA!{0AO)<+1ni;vk#eF(H=6@B>Yq5(vEAO-T&ldSi=pZ4fYXFP{0*vK`ZIF
z;0Dz43!HepM~Ngp{a=9)(J7`*1YBirn%$dTZ(qpJ7VXya$LAtEeF%CZ2#f~+9Qtj=
z8}|eU9z)W1cp8vWl0qetUMT2g$yl~0kYz(FCG`I(G9JbG`C|>hJC&C#^EL5>9}Yv#
zU_h_|F5rBi+R7H@{4{=s{_<P35*MVxGxm{ec%<L~zHJFg<jMQ!QXk7r%RouUpD(I@
z->5gt;qFfif`v=YSnE)@xoZOWPlb<CPi{(d#U*s4u+T&Hj#SI*>@d>9-dx&5R7$g%
z3sv0$%fYh$D=EHgyn0K~Qftl1>z21B-s3%{>G7@PsB4jelka(iDs&%hb1`w5_?hs3
z-x5Xq8(FxwM>wh$KMnu`vt6Fo%KP7-rQ+=ONQR0cJb9KdGb0f6%!tvPt&_;Rd5=bL
zA=e1k_KYTY&CaEY&+0?*S<y033r~v&Nr3GLk_=T}WfyBB8$j^rzqp5otLAV9kCokw
zL#D4&e@H`PXk#UNBxtZy+@Uq}!@1pex@Z#Afm6|d4OrFBMV5+OmtcXzTFxPp?^68n
zx&k>h|3w@lA>q;ba#i=fMFhnb>ziG$1ip+USN*6KqTg^(WIe$vA%(~n6)5psYQ<6e
zk#ye$Ipw!q_q<yS&T#1E!E<kiOn!EQInLwE<g)^LZT}`gSO8iW_fCyP!{&x3`5Hy4
zFg{q*iNDb>%@v2)pHek6$-$t79mdWKCQogap~=5elP*!oPjGuQ%e?rP?wbY-MPPwH
zPwi@`xFV7)htMzF5EETL&`5w=W54jlujF0+O(euDrQ(SDCsX9va;-QM<d%BC8sH1@
z9u@xz)JqajJddwn3H`XB-FYEX+bQ4(Jc6px94&`AW@pf>koRhhHh6u`fB1}J##3#T
z4jfRnHthGw_6$8>kEalL)lj0`q@Rk=kYnV$*p%Otpb!0QxiU7sL_+@DkzsDb75tzT
zrIvb1Ey*&sMGWT3fsorY?PSh`Wmn7{rVMxeF^q6ys(<KZ6Pm@OhoXn%G<$`neGZPu
z(|JQ{qmgCxw}mmeQ27Kplf`bMrZ+m~`b|>aufo^Dp6M+*htPX$nP2af&SW78Y5#Tu
zzc2drqqk~GkQg`en*juu#O?h;_}xCn$fvG8djM!wt7|MTveTC)!dD+!bs1vULi)n0
z(*N2kWx-G9Nlz`<OOa9}Wa#_F1M1>KZ|hVE6i$up=sP6(ArVack$k>HGYHF|Lczu`
z#n~?DIS`Vn1wPxYVJ_B^x@hLrgJytSFniazo=|VtS-%E)cPKz(W}Y96|9;)P4z+Ps
z@Gczq;GbCoE}&Ozq=xFO@XC}FJ+zwaQ4?ER2pt9+`ymR(@h$K_z}gXq9v>-cY?VKJ
zs0b~3k)2+f&L;bqUq10&+AgCfx0JR$TxJ$8)4-vlh!!y`IJ2yaa;US~ZLR|n(_7rN
z0ER<H2Ln+;3s>zKYJ|jl$;i<019h040C)x1|9yoO=Z&D(0p(g$vo7-(5#5k&ppP7X
z%9y4GY?V?+A#7gU7|VRnLjG73YEGhL+}-jjG%j<W>pIT~ji_HG@EILH|4Vd#46X?y
zaUWGT-01s<7g*KA(0}^*RhW=Pu(KxA4?%RE`-}sAy_@+Jj&;9`7NBlM`^WP2!4JPO
zQ`%<UD(zI%<H_HkaW|#|LWl=J!Adfgk$CrSd_*?5c^W97-aO=qpr|;|ERJbq?1IT^
zjUSy6_Us5}9(ar1M?V<Vo8`Tv7dl>4fh%P1vq$z(jprZ9v~w!0V()FeB?f19wxx@5
zue(hYZeIXh+Ap-8Pi{+c3Yy(@Bc>gvg8>k5^XINVMHFYM`2!h|!FZ-wlwV`g?1NXv
zWDSGZpY*u{q#(0aa;2t&ZzLZ+F@@dApxud3=iax%soN<1Tiv$D;H-ACp@3qT7xn&J
zB>H6*hoM86kzTq9z2k3?ho}S+Aafx*{GBkA$8ogi8>;UQ$acF$KYi)CJpVg#`X^S?
zxodo1ViL>V47Ds3rzOl%dSu4I_&=;G0T<V_Pdv9=z#CcB>*FThnpC*ai8U@HwaXNy
zUa1M%zvd_}o{-CzuNn{#k>`mV`TjRv6}??q^ZR~s9Il}SkecSxxy(ZTM+H0l9rcH@
z#Ot@n@jrV$a-*pwLmS#34*~LsILd#`$hi}F#sxnpGbnvgLM&K9ya)Y+R;^+&c)8g~
zC!eJO^74VRMt@pZo^+_WiMUA@X|}sbW=T8l4v*W>d^4>q*E}sOsAO_;cs4(HyTBt{
zBo;X%`dJ7BWo$YoCkgef0&O!^IVrSxk~x?2(<6bR@nze_uchT~h$V3`J)NxCGw044
zEQxHSA8WKpWG6_VDJ)vyvAoaTUihbCxBJ{zn{<9S33{LT#D;IUzI!4_ZcUxgw-SnX
z8j5c;#E*VQTAvUtMM=Q@aqIL%r34Ny(LI%aH&$z<&Hf0&*lB<aDgOx?dAo5~OBW-o
ztM0+#HfG`1_|7R?`l2>Sh-CCrxLtqfVY9Q`7fQ8S%S&BQ=lom;WzYt5sw6igcv)z6
zScgRm2aN-a^i^d+S|&=QyiYCs2iZ}SCelSRuFwlBJGz6+sUtypc$qYRY+_P?FOW|a
zjLMP*VHx8hM{%d<>IH;UOgjW2gM~@@3H^nf7)LI?17Glv502Z{Q9Y+r=%OplpK2(8
zw<RcM(S(>h+|d5V<rLIlaa{42vO78*#bkKqyA^0z&b{vI$N?j8kFy@8jY*3Abt-<C
zI-`Fu3sVke7W&2i48wBg?0*K4(%~Eqo>4O*H0q+X$1?mm<nXrjM_*rwfgAPbu;+GL
z^(S2jO$XMn_~zV5X<zesms0K3DG7W5<^qfP7&xVc%#~_iyu(c&Y1C=N0WjYzgW-gS
zlin&v`bJ;kXJ2px&h^1vF5vf=7N>YK>LQ$=NRB#~*p%Fqryi=WDQ6zFu7vJHv|4C<
zM`5tG`7$hPB@|3QBk}%3TQh*f{cPsK^(&Eh$rKdW228aFoOi<%XII77^Ac2R3DK#=
zun0PLnK}^`ZR%3HmuNoW!$Vd7<1vV&YXofo`Jfl-R?g;&S9uKw6x4TipM@IM|F(?K
zbVw5g_H2@L9Dj8E*njV8KRc0A1I{NHL;FCEjN$EX_@x21F-gu<La2lvCNzK#<7jh5
z`~f<DM-Rm4P8Px&^{EPj3!!~;D}>od-Q^%D{_T6jm|kBZm3hBX*Q*FnSBv@H(wqCh
z=+|^nCE#jqJaSf{1BD!%<n+kLP*hn`*Z}sBSI1h^5VSuzt_aBfp~K<GHFHW#uKImg
z+lo=sNU=l{H>E{6Q8^oChDvPsdN;#~xy&`(nuVkaKvq^igDDL>E;0Pa!9Fq>`}zZ(
z-Voz!E#lQ7k6r(637A608@F(w^{4hA!KyG-d!5QMbgp;<KD!|(F>PoAf<&~j`xjYI
zTuICS+SIFwap=h=fG3Y0vtma*I5&pBVsEbZgQU5&L;g)tF)$sv;D&9ts5{>df{hn}
zI-q9kI8fdp1ke;HCWG#LK+%|jeB_D1W`!JREK05)A84^FPQ?K({CX2K7IUWM{|I0K
zuCKU|ELb<XFA2c`scMHaQ=CKUBt?G=)$yEstMqb<W!0!)@cYN-XenidLR3Gn{8QZk
z{o^W4Et(#Y+HHdTFV6fAmq9jPlsZtYBDn6EO*PTA#Uj5yCeK*od{=Tz?ATqk@w8AD
zBB^BmVB!KPx|9@z2Httg%?q#RWgfsRvl)cHdOwAkh5vK(W>Pt<p<n2pjB{`(TzUq!
zS+L^M0xr0te7~RF$EO~5hxqf$C;fp?iB1WEOu5wt;L$T7R7js$=@MQchMjPHj+_`d
zht$TECohJmFDnP4&joVn+U2`r0-L+|D{>BS+mdd?(+ka3#BdLq)c~fZubco-;o(Nk
zpc4ql4Fs<J((ChMf}F11RzpKg3>s$go<yfoEO)I!XJye?Unvk-ly#3q(Wr#Ef3$Hh
zS=GxZVG*!Cy%N~ZEq(eOZxFo`F}idPt#kl~FPG3t{rQiF?-po$2z=J*t4-do);ReI
zx%#MmD;ds@<SFE|;mWutCISL>Hco$t<FqMB1zx&qdsBWZK%9IC{ovu}K0z41)G0bw
z0Isa*gggyN?sqS5<G+BXUWsa{^V-RgFJ;g~#&qfH*uskQG9#Jukx*qu)?@lR?IaCM
zgChf?i2erWLOKS#GHM<7b7^|H2ya+jCtShGSL~Aoa!-lGtk}u)f_1PRFVf>e@g<w>
zsi;oJe}lp+-TRljUhzl+kCO|?9=MDrIf==Qd~@aQmkfNYgKI%kSgC+hCX!jTiDEPM
z?ZaTY>8kVpP`6|%UPfZVW>K;!0pB2~(=R~ib;q+fTg>YmWy;2~mjbRgOjlmUsUg2h
zNIsJU?9Sn8SAlM|M;~;L5?Nk$abK*${Sin+4Qu944BzQwt#T6kQ{?08&%71HxjLSV
zi+pg9?FB0A6@VMnUw}fVvJw-$ojwX@&cbm%-Ps;oU>3i6-n7$s{-4%|gBnq3R5?TC
z_S-#?h0$R+(ArJ7o-RBeMw-(QxJk&jH*M9Xd@$qN5A1ofDilNBm&DQELo6$otU!*l
z1=OZz8#uOAqNkFGKdV&uS@xk)DC3v+F#wTfnTva{Z)~kYs9K#1LQyL`5bI2D#7TSr
zD*MON(i)yL4q|~#lr;Oex24}Zfv1bO9<+%A%2G((bqCTSl7RQmri1#_g0|69NmD{X
z5eLN-f+->o6m1NR?JYg+gfO_N)}a0ib$MkT!ng|I1~vx31ULbnoUHG}h>@=IF9o<S
z$k$;Yp<xfA^i#A1lkom6rbBDCK@z{}ddMLRK$f_KZ((Qn>%Py~D$zRT?Ac`Pei4aV
z+B*&>l`y@?-I$Dd_!{p<ye^gXQ*@T))b-!tT~!_TuHeq%L&9Szud-!#jN2;Dwx}?X
z+A@xP(Ai(gk17-4^0(%tH(#MJ)h+{kB>P25gQF;YghV>z9)+20BKs3=kOh3hXxgO}
zb2jk!?bZ3$T)9(ca2vmxd|$kOXmLqxJXa}RipHi}axy}gxfUuVPcp}8CD%^3SF4AP
z;I1TBgt|4O?9yMH<NSfq><WRDs0t(zNdm3_HmFKAO<O>mE9)f3>K9o6=U0@Dz|o==
zfiE@quRyZv5ap>3#6ai15q=`I+ZcNo=l{4<{ZSddu%X&sr|BCVi<)kebR<R2et4&F
zMV@gm>l#y~W+>Q%uf4;cALepf8C`cBU~wbYx2nob)dLzctAX|51!t*ZK4uWHXlh`{
zTN?N6HM5*TnE$EGd;1yu7;3hATY=UH$m@jRlnJKb83v;As42pgBu2AKDo@WF4#}~C
zo=UfC*Ak$o=N_}mSLlisQpiwT0uC22N)Sa!$5p_(A=#MO_{}ykF-by_!{vJD+NGe8
zo5eFCM-5HUTbA=Er&wuU;_nN5g@F|#`u4`d3Xkr%(a;Y#b&J*-o)ZhR<z<;|EWtYN
z1A}*VlXvsrI==_nWGqI%Bw;erUpDx`q`9y<)Rt<wYrJVCWD$#8uy#wVWOdvR?4m1G
zqNqQbYjsM_>X44qmMY63`OVCevZF8-*MyjFD}>*%E{GZ^n{D0$hl27FckYrvO`f4e
z8sf@6ClP%je`F(B&C-I0Jlf|ip>N!l{EnQMmtj=<y(X%S-1&aq(G+i6YV)Ew<HD?l
z)%c`EW%^G)#GcKEO(Ale1BuSq7>wyrt0r3qmL54zMHxakhp{-hzVk|m{jT?jejGut
zJbzodD?+8IVunS@JrPR{babn5Lp71QkCRjM66@7oP`M=f11tTD_c7huRlxdyvns|#
zkonk^U_onGvkz!RrLba@k}c<GTQajE5OQk^hcAUdj^xc0dZ1HJE4>(=n3BD0c4Y17
znb;|&qLKPa8!wm(_VEV)3d?$h?WpgQuawuOHo(}$`c0%vq9Bk2h@Y^8c_QE%A~b@{
z1xMwfD7Lk?ss>!FWChL)Wa)wcqg;FW9^RJ<0UD|&BX|2{!7UC9AJ09{Y54$^m^^ML
z78p+it7{@n|7Ey;B13f{o=hy{cLI-w92eguD&rwed~R5!3ZUqc$F5>OYz=V$9#vX(
zzx_2mXD{)4GI*xme3-fvEwoAes2YDDPkZ`T2J2q-OvEP0bP_7fbsIP=k{(cPx%a;=
z(2RXe)&`S;R<d8RbxT~fHvjog$8KS3SAJF6FIM1pVwMG%;ZnW;>|npyfu_Mh$X}HM
zEM3!5-KJ$M(9MC}PMS<7xTYaPdYycu;pY9B3HySoPFWR9_SzAO4R4NCn+1o?@!rG^
zj;A8H&VLKMC5*YH*~#Dy24bxx&7GVqn_sl{*+abC5ERNcZFL@y*Xy!OC?os#Yn61|
zNO#iw>$Ls*zbG7z`wL;lsst#4Va=$Q(g{b7BlQby`v?K>&=?!P!|p<7O@*4%wncxS
z@lzB){jr@soOx_GhK__!rPCjTW&WL-a(t_2Bm=jO4hveIY+xs_&j#4lVlE?>#8P-0
zy)=|90H>b#dAqhYE3Rt{EwCRNHjDKu|J|f~GnFN`9}lYa(@uTHF#Eiyn|cXxsXUg;
zuBm`HohTeupk@cRdg=T9=rXALKVl!1zB_ArBl4%rUdGx73_`^;+)=d)I+OIC3AbzL
zbI{jJsxhWn>72?YuV-P&$d~wGV{JsT#Vv@!I-~X!Qq;Q?c+{5a;{|ChZl(o*9dnBN
zeIBcGMD<DQ<F%vRB<FlHm7dBmyD}7>SV)H-5M;c`@_!Tia?v42CW&;wgSTmYSNh~)
zA<koP6>iY%ltpchR+IAHX64ayd2ck=GJ_?Is)rOl4!KprLN<10g}zd}&?aBv$ZZbP
z*x+s5G_;l?XAfk`9v#;hCXc$qSZ2BChb*hmzQ08*p0f!`w?XR|D;D<Xqvh{Kby(XG
z&p|Y=#kOPLz*s6=-^jO!o%8<MP-c)>pOIqxQAib!1>dDMD?G^|kMuL{n>Am7MgB?}
z_^GWuu$J5Y3haAjVqDf*pA#DL$Jlm6*h$d%v^SMT5qMCLM*xm*ou%jk1i!d=gEp}C
zyhAOz1q!|y;D@z9le=*vsz9mpf^GmdNyeVp1-l=AayK`yMlxW1B`I4=-#sE5E9jg^
z$R=T-+d{-Jp>bh6OPOhe{4wsgbqC35ym_HZ!t)lm8CQ*evWfWDLO526#08Pjq#e?Y
zyi37>6-j2lBzbBN&kDK$$l$#^|7%FQwpc{#a{zQ&g0ULONE$L~5iPeJ{eroSU=8@-
z?j-yY_4A3%gr8^c;GwFK-Niz2@s@iLmL<Y%!A|sTiSbexG~gYOA^l%5D~_!D{mxc=
zR>>9u>2E|ZPW7aoaVE`r<JN^^V(F!_-rT6&FePJ%O+~s8ep=fVrKiF56y(bEIDRlW
z*+PvjLxt`t2}rKDMgf!GY)phS$k~j>rh@eO{h=pHwVOd0(@bY2sna|gZG^~NZfxfG
zUK}R#$H4TyYloA?$Zmeqx6uzQWOilUh2HA0gv>O?h~_H0)u1v_ClTFay;<s>i*mLM
z8lm{(<6vpaQ(ecEyWZ(_sK|28-p1s_+6Ee-PUeBMf$Zpn=cCdD2eP}(t;d_ttIk0V
zej0AB0Yf7u{t$1*+Cz%QKZlfa?L{|OS{;S^KEzrP!{@3!LKd1706%3$djiF+$`f0s
zscL*B|0)J1z$F9AGd!inmgAGhV?B)=>Cj4j8wQeLd}zW3i9C8`M{ds>Tx%Kg-2!{&
zSQLL5*js0=iu%~)lQ#*&np#w7h<hV~n?ygK-T-^_(d{N93+|AX9QF?*<67&@8Qli$
zzbE9j1M}1^f*P!)4X{ysr1v<a4h#Z9RfYe#{+2r6fW$Z-tEAWAX{6rj*t1`bBtt_;
z+}Bry9^}2bA;4Iu?-Em~Rio-bU*GeWH}wxDfjlxcx+}FS$mq<o)n*K2;C2$yCcYij
z1E~0RWq#_(5lbLGA1S}$+~0%AEe?^#UM;da7c?#Fag$-0(fGk)j-$klTno0ZyaaEW
z(kz&HpSgz3&*$(%@=s1ZGE^^P-O)FfAp~hFBQ~6`ffEih!?hyGj+B8LZ`s4Rc5EYC
zqWcq)&N7$;r^HD&C9(^KxL|YnkLcW4$y5OOq&o=YVYlsU)uy-db;GEyL&KZ~<zwI$
zXy4e7w&f#oaS_g;yPzp-e-&k$jVIC!?MkaVeV!gr2V~zH{kCPvu2Q}xU&zLoEVF6*
z=+!`pD_c7iwm`ZYiZfcCMU1V#=i%1lfWfw2wHm<^ymMzJeV^&Sn+`zjW>89ZNe?B|
zo*W=E-J=5sl~g30b?ixUG<25W=v92oM+~Ob1N{vfwuL+Jg!h6r4c#$^M;xu{H9ZyF
z>c2Mz)>EL)Ef#kh64^aA^Pi^snBdg|n2ZvtTZPtPq6g${OkzvCpq5%;A`WYhEEcV0
z<-RS!bMc1d0tmj2!X-?{3Z#-ibn-H2cnlF>|0V<Gbqb6FyH3NYFFlBS0}GAQpa+fI
z`b<c>QHH`LRs$2Qkk0DtQEYjDKVH=&j3t>K5<H-@Q5I)2<YEpNrgoh|8iUU}Gwo!M
zf9@*y^!zJNBa9DMvmODF)`Y@;^-nbLa`KJn1NWyp#Wf}ckzDJ2HAdSFGb-g|S*kZD
z&wgb_Y02v2K>evKE9_%_ii)DpC<YG;vz$y!?0+Rc%R~j3Bj}W%t>WP1=uQru`1Y2G
zKkN#faO4k>|ChZEuy3T_6Mf?^?y)b2Y`Hc3Cqk?AVFppVdFx2}aV;-eMhNL5k*@HN
zAQ$3$FuzP(*s|8HT086-?d{2Z<!R{6?%Zya@7j>IoE58V6#E|cCJnaaTbFkvFxldK
z+&21f*&um)E<ji<Hnqupr(n5$SK<XmOZORA29vCeKDZ&cO&G(pM#ga+^?FKEO;?PJ
zdb$LR+O{sQuScIp94#k}Xt;4S+h}ty9x`6yJuk`N3#g)w&-OrLvf-r-u)yMmYaUP}
z#FmCNhn6uAiWz@9yLk_itNH`J9qY<LZ2HFX_P`iLp2X*v4kHRpNL;ksJ?_F0#{HGK
z)~A|*T{d05Kq+K6NoplKNPHtXJ#eG;yPq05U_SF&_^m*)@eR4M4WlZC!<m`i9b%NY
z#a@L+o3EzBVR(IACW>1QZ0Bb#vCe7YV~*c<q7;g!FX!A62Yy}1R9$rQS3X2?S;jZz
zy+TR#pSS))<qpOkjR}rGFJXjy*63z3gAO->AJSoIwy8QurD{d=dqh+nwE1SSgg49+
z83_NWILG`WwRhAhJf`~Wc%(F8HI?{ZVRqrBOiv2x)7X;Dien|cHy-|yx}4_8NQu?4
zgzcn!A;EJR0$OFaM^cmFEznCA&FOXFgeJ)FiXusOa<_M<20j8+cM-hgkGX;`IAX22
zicA_gGs*5F!O%)VBCgVsA7Y8O{3BR`<6%4X+$v|ayU><uYMmGDW0gz5&3NysSbRoC
zvjgJ7qIk){$Grl!UHwza>z%|e@#~ZzbWnKe$EYdajFW2}mzy&9iT3FGLBj4d*-P)Q
z)CH(@sQE7WIU|=_WlTrxeskqQK~8FoNKJUs5{Fd;VheF``q4f>TIphsyCrVX?O4Ua
z14=H|EVth=&Z`F9sjK^2Febr-BTR#V+a~p=sv*asB{stM<J{|jE-!JOaRMKln*`9{
z0@JZmHIq%}*N&<hlM|4ji)A@%n34I663k`H&K2IwXa}5BXfuYkHjO+I=vh~Uh>*63
zZVkgQwZOSZs;s1dMm&g_l=I>z>wRBOQ5Sp?11m+Kk8M}~O}VeAFsg3Zfa}WoU`IXu
z-)8uZEN-6KU_9KZ(`PvKOo)WDGCGJ><POEaP=>KWR1Sj>%>jU|5&lR6zvYqI%uk^U
zDon$Ww${XbYH<DdK{W5=Zlfw+Z7~zPuYNWWs>x2h`+;d9AigS=@IHZ3uA=zvA2Qo*
z%cO5Liqk~vXQ4;Hi)fPe?J0PRSO(0w#I+$1SJ!6w?p?R_WC+bX&)&fX8sFgNcM38U
zhuSX0ale^2*!7{8Z(zI(%)`hR0`I*dfV-O?YkgR$iJ2K80sRQ&dOj9nX^lg#U6BLW
z1;3HCK4Rfh+5F@X;_W5+FOLULU3-38RmE8@Y-}9dJ=j3OH@P5~t`Mlz>n2)Ly&URP
zTDyIM1BoM)6$R+_za>np?=IE<X~gP16!X7A7fd7aS97^gukHIV^KUWQHC%&&yBIWJ
z?+fdSl?-5RPrr`YaR(0W6&+xzi;bN|ef>tQqDk6jgM9B1rg-6sK=^(WHO1x8LQzc>
zpUW@%KOyPvWqvP+<W(v^4Zb58c3OeML_f75p%|}LLl`lwZN33KtYn_4?&LNmF3U%?
zh>|vA@-D0`bPYj*O(Xi?rUr>M=6l$c^U5_bXMoLW9T+-dv4qvgWby4Fi%#=2&Mi1I
zP5diiIBW5lgj6R+zYwkm{x|(WL{U|mcu4*d`*qek=>zpV$(lz+RJ_IJ`g@RF+7s&i
zcC0j7p*bLTE2!CAn@YzanX`Xb=&)3=y3iUNCL(vt`U)Z}qys|599+-Axjz@BB9vyi
zv@)Qy_n2gBOq55W57G$4^Dj7hmsKKKloqIPv&I+j;cI+{Bfc#$TVuRpJZJb4^IU7f
zb%-UZjne0=a$4>%W#`P_3P7t$?xB<FL5>^&>fECKd%XG?6b$HQlw!QYMiQcNgy0Mj
zhPZboPe>mX!K8MJ3j)-bMhnt<>0)s?inycomBTl3X<Y+0Gl<;tzzhDnglz||As4RK
z7o@_{06FNC7IxfZC-YF0BA*YW0a>IpW)1CvQ5q*zh}qm;0?y9+8@`lt@+sHb5;LNJ
zD%S#9>_<D<qk#ZVV5{n8Gs}CBB7*ms`Ia{?1ioY%z&z6i6Nem$6d5W=<i5P>P3e>E
z%P&0v;y<dAdmibh0Tn6@?Y3kcU1WIqLF@j#zu+q+g{Yl*p5k|dbcEK{Z7Hp$?D0TN
zWyH~5Atgisig|I)fjA1_-i8vCHHS*_q5;#h$d65~6(ZW6NR96WbV>?s6eFs58fSIw
zVxj2CLr02~Cqs9>Y4NztFH3~d55Z+dUj%S^J$5M;5A1!4&C83N`s-Q61r=`Fx;;|J
z(C#qnUeIWOtMTY^>dJ~E-hT7hF{?(0)W(d&0Jvtav*cMPJMVqR12rwi6#6%<VBueN
z@CHpA0MgNo&aKaIQ;X(qMdQ`>?=LreNIwWa$-LAsKs;eV?+G6mQK+1*p$M)2V+fev
zL7?px3DW>F;s~PnyMG^I;ur+gV){)Eyv)H{E4~<4ccARlW50FaRf&5@TeGRBGX+xI
z0<yuL+(qHucLnZe!KgsKF+P<shy_hjz7)cBY{azn%E|B(4%I!8s6&LwEU>sv4An&V
zTlSXzR;={`&8{aRZn-~h%5M_WfbB^C+CfKs-p_GqdvN;N6|an&q|SiUM!4<z2(Nco
zP9I6q(0x1keB2jmfeh1s369uT29`O*QJs+`_N*nYS_iS$_!9I*<tQbhDcN4}X1W|+
z8+-9t`_BLKHH3{{yY6omn+p$Q(1D;}zlz%|%#SS04Q%o}G<Nz=y0fbY>Pbz}02x{s
z*R!vf%k5G(-3(J31Js5x;b)O#WLT@*#dj_$5dfV&GvcNNpM;HoWok&@xgv&oA7%CS
zg}er)P;q8{b7pzq3xTuq#*6%0%2t34y?MNe4FF4t5;RhXPRIl7!ekbEzb^e6zi66k
zZvy6M);T^pF`2(Tj)cKGJ>_R)=zqLwYh#;~Z^v>z*hm(PR2^W=Brc!dodrrFU90t+
zYXspuu-H7jkufZ4O&qW?xvk5NHl?xQ!ss{I@!jk*-!FKSe1y_`1=BS?szO3P*3Rt!
zT~DDzOR2)YvRep$CDYi~E*!Te4b#EugyF%+$lMWUAND<5?vS)lC6$ewu7<)mTJk3%
z8x`i|Y(xE15*iP5HTsRLB|~M>Y^<KZ04x<5a^%1z?@}icpUK@}bZ=sF?-PMECKL%{
zf)KtIz<d)WQWwV>9Pn-X#a$5-^@kpa>>vwzrR2z09NnpPWpITwy&i3Qm}7tg@Qu)7
zxusCr;)0bNZJ8VjP?>i3Xs@*ocDPI7mSHV)v~5`*St;pcf-fujXpV$6&2wDkGQe`}
z49q>w?={nz!!WOZ?i%lr)0~?iJ}|2N@8JmtL+(|aI{Dp`-jm6<iW3rC`M?J2Oka7V
zNx+MWPGzfBkhiHU{YYwYI=WIG%~v|KoW=&v;?U6{A0;TcE)`(6Z*B@O2Gf#O0BX;+
z$>RQ}y{E`8ID6M)riwO%Fp+9tSO~ucam3h6C6d9<-7R&7SCx&zdp6xtj11m$w#c9;
zRure&lCj6~D9e~5uN09uWo_Ck$2mkiMU%mYpY?d&N6gXNwO2U~DXNrfT=IoK4!VRH
z2mCiuU|){m+k>KRH$wnQ4#_Xe=HH;LHuNkKd0f1cf2x9?GeEG_L$6p}UEqg7oAIlx
ze%?l=5wo}5eOe<Y3P*i^x1wz15r!|SPjCl(nI=@p2&?LDBo;fZWr&WVSRs9p#63bj
zy4ve;<5K9u2{D+0|AL>e4?9K%s+Rt1&>X<W#*9H8gLXcysM;7IVSy;L6QbPIav6=p
zc}e6=^r%@2DOG3ab>!em`Zc=Jz~FM;KeXemRqaDcZLWiBa}wgB_0hOpn``1x^tqJG
z{ZTS4{{)_aWSDyRks91Pi=)An&ki*v#RWa|baNuLp7DMEw*TN%-L)?fOz@-1=YGrk
zLgZ`K1VBn~u$H4(NhLDqX0Xp8Vv$GP%Y&R6X7wdo6RWSl_o{F|5R7zZP2rGk?`3+j
zF1<Q_u4zOFOj%8Fau2<!Oip@{=o}zHCF1AG3O=dDfVa|B<-ze5hBGIc*3k*vn4xW(
zp={zrY@DNA(lprQ*H#2DcN03c_99=+bc)PIZr*eD_x;a<T?OqQr{ORMhabKVNM&Y~
zLr>wUIa~0;RXTA6Q=dNb@6>gXsCpWutfBgwnrnuV<;R1*U&a~?zO2=D{)l8w2b~lV
zwM^(gX*h*o0zcm}UQ+kq#J7B-j}4iZib>KPmJ0z8e9&YqE0j!PFoh3-ancW+v_(BB
z$kP}<1T>9qTq;v3k4Zr*p|VaunM{71W7hmFAt`{P&ukQR)!{osj4zKsn~LFq@i9zz
zaY2?@VjI}q@Q?3Q!GhSixA3!(_vNURw_g?H-eysgLq$4qbZNVAhg`k<3t$oS1Ehew
zSx51swtb3jK<Cat{A?%n0&V)Ih>=7dYmg(w<G;{)H`4)W&#&1DXL-ujBT@FR2)evG
zQl=*G+a-lRl?+<on@1$Gv<BqszREtj7wEHdCEZevM+DH^9?~XCoX|EWxB`%(fza_I
z4eX-m8pz$@fW2=-Ya8M~4&kPQK;`CUjlYU$n<SuxKbxq=?upY1XJW2XAH|Q(N2iF{
zU?SPdq1N0^yx>tytK9MO3P(yRd<zE9y?>A@?N>}*R*D-H_-4+(k0>3T!FKEcQ&dXb
zH*UnPk9}Pvph7?$qer2@6C%lo6thVqfB0Io@A`|ReE{XYY#o>{@x6Sl8wp5zxi4|`
zAKq^<wro1bl{l|TqVFi;e3{*<nO-}!!g6Ka)%uH8-|uyGF|pjTQh_Lhzv$Ax&^O1^
zV2w*XC76uAOEa0SZfCZkhsRXDl;!KBUlntDSd~pi%z}8l#ON$w;4FlWRf1o1?}vMT
zDKoI2kcgbZP9o7lg%JTnRB6!WXH^*?Hrzw(NxI61?R+AD*n!Y#pUdE_kPT#{jne~@
zb19Sq@&Ozn#H5};MS_qA;a{%){gKIOXZ&A0y^mf%%+d2JhS|>^Y|ymBZ1>}JcuJf?
zGKo2J`ET*<Q+a%@>$Q~g9}h-J)90oOc8zhXdEx~Bt1N)tkdSc$8UaRSd}O<Gp-VUX
zpbvcs1B{`ZnRcF%4?NPM89^Si`fN6dXn9mG64|?8i!17$RRHNq<%2r!v$=I%ba(bc
zFJoIp2CZW<*-HP1q=~OupYHf8AQkd{r=|eRFPp=O(*O$VVX=^_#=6lt3G=#a9h<j9
zx?mFkS!Z)DD<Bt{mt&JS?=%KtgqbG7$-FCpdQCRpm&x%^e5j-qnDh~>+lXRk)TT%o
z1jqx5ahan|aJ^H03ocY}vI4XFPv5&QEkQz&_oM9xyZ+C&r^<~eD7L}1rbq*4FFW-S
z7b`Wm433KqC6ww#Q`9{m1i=QH6Q3u4S<aVh8|Fimuevs!#oh++7c(=XCo=BFqR(A+
z>EM`2ATK!F^)GX;>M}*sGSi6$Fd~^&2ba*R>6EiU0nUZt1LZo8Pk;VYnI@U_Vc*Cr
zu#w)5rW0)2W`d_fwL-zMovcxLy)Ah|Jn?W<llX}VgU7s62-(Rl{N%4O|5(>BC18S?
z+*?`Vl8rP^;wTy~Uawh9AQ0dm$70tx@v(u3xkbX;1CZsWHG_iZ`=<?<ZxLY5Qlp5o
zi9!^S=x3wBj|#H!-_K6^A`VhGhs$|9`}Fup&33)VZ(*ZfTgdzQyX!)Gg@f|_AzQf(
zHyIrTIy;c)YyHFB7LWsJ+-=(Agt(+12wm~`L~p=iu3Jq4B0;NDE8u03EDbfM@|_uQ
zrmmjBa{|hN`yd}O5hM*3Lo#35T$TV~XsV6oV2R><U7nGqWv9B>P_^Jzb`=vli#)~n
zV;R{wup>*P&6hvK54O`=k%Uc*%jO?ZRluTG$s4xFO(JV8F;d~M4@Yeb6c&xT@&fOq
zW=s0KT(N+FgX^QEk4L0pXdppum32*k<PM2BlW@t}(YnqKIs90mcDMBUx|#ocsuT9A
zwv#LoVJat+9EN5KofnKwZY#mX&{RB<W)8*~0T~3uYnAR}k@)?SQQahm3e;&rf__BA
ze2{zBhVY5K1-w{w>MHErh*Xi|H4jz=Q!P-%NP-Zc9f$mc(QEqKV4W)U@rDA;0{(Y0
zbeivy&K6A;IVoURCVyn>0!WwQ>21Pd0+DUIMxd>?32cJ314x2!L}3N#Z7k{>+zh>a
zqXDz@)(ZI1zil%(JR&CDkA9eUfKvatM^g>vD*bQ+bEf0s@p<-Ou2ENS%G-;GOjq(T
z`Z~2FFFln^<1w;JfWh#Xb=(8!K@W+9T<zGjaPPSXt}&@Mzo>ep%nXO;aJdZ1m@FR^
z?ec>N#V3%1Uqg}__(v4&y4cYrZR)0Z|A1K*&YxXx+`_IuRXCjGNRc~P(Sv0Tx(^?3
zAh&1`i=q<JUwW((8ruxgJWL40a^n*idD<yXGR+cc$C7=CEB>vstX;`9Fj`FPMhMlE
zn2K*5jZtN#=Nd8C{<_nckXICRJ|xhwjkJZz1aBMKlOjU514nf{hbCCOkDB&cxU>RJ
z@ni#ZOoK<c^*$Co<Tw~rOQFVSJ>4V+j6m_T!7ut8V97}%?hP)-ig#qY)DUhvHll4G
zg4fOrL2i`3^bLZS7UEv-#7Rbqwqs$Sbn&%gkvlQ*+jx$9?Fr^8HCB!_Os)n~phfg9
z*nU-BAAq)8EQ`5TN)Dsvj<}3MHa3jnX6?s`lATzUlDA8xkiW#azGJ`hJZH<IxJ(_l
zUh4%0kFD?TAQSi4d-tp_8!qSF5kkw*WV3C{tLi&nSVrT8Ef%xc(NXm1fqm<+;>cKZ
zdXw|q!ANkadLs>!4lNu>6aJ!xR`(g#%Oip=WZ$C+8gg9w4_lo&?4r}qXDI;#&@fOE
zOS8sP6G?7_`fWZ{wBjwk$T1B#mAe(0U?>dJj{Xt?@gHjrwrAS#1N%1og7GK2PK2&b
zS`F|Kg*OE6zFCQlW`&q$3~KWI>yJ9#G^BWorzCv%M1E5XoXJJ%sKuZE3ZbW+Ru?p*
z&uM;JVW%2C_}O2>#NAJVzI}~8rvWsdyHpFQ{e%}k$?U+{&S`Z#tS}N~^bIZ>Rpj!E
z{{}AAlUkzq)(zE<<!ApiMCw8>M~&o91<<KyjL5HbdH$aZbOjnbb!npY^ha66y1d@N
z)Y5LQ!Ne9y5Dm}J)D>NT5+mUQ+jMhOKs{u8V9jzwWX%5Kx=mVsKoT=>D72m6y_Kk?
z=tiga**+O3n>RU>K^K`UkM`-cz2uu`-ud2NnvLL}4I|JxX|Ym<wBl{Aw=ZaDdjU^G
zbI3@h=CHzF3=hN2gCCe$!r}u@bCtl^OER|?OG#0Pb(|Y3x~Kiw$BCR{zc{2gxIVa~
zUB5;0@L@&~uWjA_P7P-JWxf|zKDXq7J|{MKAwiQ*uKZRMXbd@P8t+;U0ZXh9={ylv
zL~`Ci*ETxCG{w)q(vJM(U4~5tJZ!=(JQb_BU0`XGs$Q3ALwLe0AAlO$y;envn?ecB
zN~?RZzWRD6rf6<5ag&@rYocI_jNi22x<;z{x`I(w=?=gvtU#x(_<G4)2gOF1Cf>H*
z{*&>)V35qrGALu-1-WAIl7xR2192*qc0@VzPlaBxBDbeo7y9%4Z<);GTZ}*n<D1|D
zdH@7<#aDSqy&@^PGBP~W`$0fdD1&7D_u$nW)ZQAVNS6Izj{ANyJfNI4J3~gxtIAXp
zFnWtI+tMcskfrXwz`~;1ej^tYGApaaEM!VY%kUve%zAU@)j3cYEFsuDyz9kU_#@mY
zlNooa4uKNcBe}cWmYdNcopMk?CW98`jwcvP0!FnHNw&|7j{%v<v`9TEePit<nPl__
z$S-s+u)O#cc}_AFOnQy!tX_hIbRe%qgJF1*vE9Zj`2gq0@2^`b?b`;op&|aYUidN;
zs&r=EVtxS^&IA;HWKKBy?*T|P^K2-iXi&W)O~lvD$j{A@ok3k)5x^Wm>8!L}5Ubvl
z!S&{@7f)O}L8K%IT&^LutL7jG1INbm{2)nbBL<*oUfdIbWUs6{dQqTeag~jnLc6^H
zrk}zCsSu0~WV_^RxKli@^%%(FVAZy#fLb!XL)`g%uMqPyI_RHu`5YIw=t7^JS98Gp
zd!-Fnd?)=22?9GD!>L~ZHbUY$X}97>8q2hskW!R62~LP05R8jO-R(evIwu^GMCxmS
zGyCWE&)A5@o#DE4IIQpj^Im{i?nu0>JcX2h0`)`=WbxD)sE3p_KpH74#KMCJNT^x=
zZQHZX_Y^YuV0#yi2Co{h*r;q63+dM<1b6WkrLbXOawT=|JP>D7N?%AXJVA7#^18vx
zxGU<1@D9OYT}HS&`DgM4*cygrp-4M7a<3*F`%O8lVe`nImyd714bwLZJj^cu@RR1s
z(G=lL$8M$RAB1(hz!`!@gLXGhZwz+ZIYKb=_-f^6WYTWvl^EL8kS5-t<n5^g7QTFP
z+D568@9B|K-0=E=)lKQLYM(D&URxzUusA_H`l^KuF1!o-+N?xB)Nft{p%~PB9d-1{
zCd~=?2!BNO*GeO1)voasD53O1&jx9|AHtpp=`evj^tAZmcHO&1eMowgq*Pl)&s&||
z`w|6hkrhrU-Xjuvo<!yxQ&aMg<YhqY0s#8@abWP{--YXzX4*VAwBQq9USYNHoi=5^
zL$m|4D+a=tGvM9)wkPPrt2QwBH+SFVS<Q~y???M;#w|RY^T{w^Jltw>)tVGjyq_e{
z$_e3SK!&tbTmgHRvdw&Yw3Tf9FwXom4;+Ky0z^mQ^%`)fw$XhyX5=idY35Dwe8Pz2
zN2bxFdxkrKQ#sxYZ6ZQ9_&OLX%9^)3i&O?;XwahS#vt1?)Ug)dr9=Gy4u)6q2AIO%
zlchImgzbAYa$aVb`xBo%p*tv2apuM@eTZ&QFr{UK!YorPajA5NxBVONDP}z-q%9o(
zPmwFK{lmnCW%*_@lNbP?(X<7?{~ZM5VOgTV!n5V<jg%(ZU2xhb>BVe_ICjFn27zt<
zs;o^quOq!gT{tLnG`TV>n{<wt^qKe9Hhb_TsJJVp&`1uuJ9Al;jHx2<dtZNOA5vs;
z{HJ^P4uTKr7nAXjeZi`=y<a$-;d~3@bl@S>NZ24g`N6@5gnzRZP79-9EbqzJ6Zb-&
z`DQ;(8NpQIX`lW7(hEdE9Ly^KON<Ce9Y8x`XUsDIf9c0V8Crr!v3;W#HBA$TMy5pm
zQ6)vdlMY~lMJuyNq8ILnbr=3~#IX7YU56Hqcpa2<mUtzjGM7Kqp!Ir5bK{Eutx=!E
z>e+SlRz}v{-xlEXQ4dKzW=ul+=Bra)AWoLCA*SKymc^J%v8~uK-aC#mvOv^EAz5r!
zR+O^z;UPG&KZt&bPE{{3UMLA((3mZOhd~WIhOo~)1bw`tFLye5JK8<g%Y5;$X^Mjh
zberlJLsIR#x1wWg=iJGSno{uZ4ec!+mEO$^1U#_!dkr(%RVC-<|2M1wq8teQutbnJ
zEbq#ZL<?@}*rxD|JbRm%n-W;;qrs*NB<=RU&o8eP*-{cw-Xjf8M@8(zCNoJkvS}E0
zxcFu^dU!qE=>P<p^fAL=p?om|wL{91$UC;_#E~d}B_uUvE<%E@Sb9B_%`Xd5<OK}u
z<XunGL(vGn(l6`=qWUiSFyCds35OgsVK27t(es!<NZg`jCnt0A3~UD~BZq{(e;d14
z1&Hq{>g6p!itT=1Dwm-9&+l8nSkitEmwTjGA58*YYGiWB6;}qaLDw`K6vI?6nkmY%
zqKfAl^kx8p4H<K42lrU3RnN$9UZ<>|_JJPV3IRD2tgY?h4`dd|9bn40N_ck3I0Xbj
zaslbJi?q7d=xfB>*jS1zJr<<2;=9?bFIV7U(6c>4EVf{$npOo-!=Zh(C`Ih~$nuIq
zb?`4OSJp&2NOnIUrbnQ#jE9B&OXa?trMK5aJ(%UnAy@4RCEOC<PVtMwINPTS=vndh
z1%zKS@aw+M@jGN7-9BB(5HHHia5{M)1}l^-;mNav^7M!doc&{0D*wx;>Os?ESecxI
zbD)y`%lP4)y(ibNBN`(sQy-C&FfVe-hnS?2N)2a=MZ>Kbxgoiz7m*=1F4QTw!pHXS
zCa#tO+lPj{&eK9^kPHkK)`MkuTk+t|rBojhRF<Lp7VPhWrz#5{nyfI~`P?+s7s|*8
z?-g^p$#I0xvB&zc9+ShUJu9)phjaX;&~eGEpm;KT1c{dUX!1Pb$mGs+-Z~E22Bpru
za<CaH?1VJV!`#rWAAKwX0)w`<CC&^^xYb`TSl=g7y!Hkh%Nlqa*ovRIr9^8X{6^*j
z>WKB9=oj_70)GYdhyOLOIOm~1_%Nx5fp7OZ3d>S`SWzn6F}wn|XeBXHTx+EH-Zg8?
zkh5h7PfuYUQ?HcBqZ>oi39ve7fo#t^ygPG|b-#YOwv8kD))Ueoo*6f(JKcFWGWKS^
zD@g;}rAPmSciOGCZeV>_t3ZTtavq$CExF-R1yvVlB4@>`(-Dl}e9<%$q$csvDXY|}
z;i=cjSevWog{kftx5lxzY08&2?pYGm>{0Kpi)NSnVtw2P#a_c~1lVG(V%A+4!Vu8T
zY>@A%rulBm7?jVXcFlKzmgeWU1>bBl{#K0&JW$eZP;evur(8l4n3n=q8OmEDzJ=>v
zPW-|Y5gdWbP0sH}oJ+*(N_FGz)Uh6WA3yL0n~V*dtgv$hWspmu(`hhsnbO_@%`y}!
zx&Zq}!I!wNQ!fnl&q3n*pjo1hzxLBh7ZC#mq0_r;KWM;@_eH?FqOW4mz?_kO8?E89
z9jXe_XcsG$K&1Zg;ejdUI?<3Ro$=dbyJ2_RmfSQrtWUFoXO#btXo!sA8N!IZnD6*(
zpaViD?)_2%vv^g7`G)jE;L%I?gU?W%f}n#}dHzq<o-hBSt=CU<_8hM^G<w|!%oX~j
zCNfoBGL#u}-~6czH+y->Sk63?X{OJ-Krdd$Jj-e$uNS8J!s0FA{NPb5sx_>}0;vtL
zUAYi&*6Iwbcy6Jkb*W2ip>FZCw-MfWTiuMPIKZxS5JT->0#Ckq=aY{+r@C_3@Xagq
c7VlJtm;jsr#PbX@YU!F6pr=eP01ifuGo|ri7XSbN

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo.v
new file mode 100644
index 0000000000000000000000000000000000000000..4b61b7510a050095d699680404401b063aa75184
GIT binary patch
literal 29792
zcmV(xK<K}V6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}V7RBIr)D;U`>
zCx9jxKgOdVk7w%16N&+N@;5JBvLK<_Xj1#sL*i&vUIzK*$oc5bmlB#rcIeP30`=nK
zz2U>-M>?9&=C(zcX3Sf^Cyg8RLOZq&<ZF{y1@7ArFs8BZUeny+RbI6Q62|m(j<LQk
z`;^3F$_`c5j%2<2?AsMiaxYM@p^GsmZ|^?RA4Q6<y;vRx$PkfUYriz7^@z`-6Cx_}
zg~rYPjNM7VpgxlC^)7oqWr&RYKNFseJM|x5|I#T4@dt;E8q6!9PUfSYftosIB5nmP
zF6_lG-jv8+i?WTu(sO4xA1)v?G*6QAfwDvb2Wj}c3C#ReEN<T#;&7m^Fv9|Q0PL>C
zuX8&d_c1{Cm^cnXua|Z#npBM7sjMcv$tGJ;6~#<If%qoxW*I*vMj?z$TiLa2sGm7O
zqAQE{&*jb)i5PSI(v~ud6;IFm$MHfHI0!-DFH5>qGAMQi&mNfHp%p3Kw8Ue~eSB%L
zYu7<#f6kRbl)kPKhK{1ywS^VK?r?I2;IBq#OMsGsw<QhkBAi33|0k$vcOpM*TLs}v
zgKCh|JNKas&o)3>x5tt^w*76@26^L<M775n8O-msjs+(1!5;f3<Ti<M%7<u#w-iuQ
z))RUgk(6)UTZt#K(wTdk?TuO6euN!hN%En+y>xU=1u?$}9N(;1XhTHZ-YI4DSPgdE
zr_AkY5(#qC;_Sq;``4a>>DHJ<xmFGO=~|B|@P?}ma&tjU+Zj3K?^%I}mIIXyb`$`x
zX600>Q#9SXJ+5di4@M^8%aAo$Gz(!5h}1aW0vlWy@r!cz=ARo2gGz3`Qis>XVoFw6
z!=#8FK)`^Z?Vw0d0!MuZ9oFLxhSQ;dpee0+(E%6pcT<)jH?xf8o)|2$@P|zid{rvL
zI*cQ^sL96PhaKAX6Ntltch#%q3K<9i5Lvu6jC6SRhl1!X2NQ6N|6hCEJ6NhwVD%dY
zO3o9$$CrOPq;fMW<kbk>%K|2Gouq=RsP;V$SZ}7%rmBRV=wO<yhvAVA);`nPcgl}c
z=&t5&>j|lRq_;g49SrCeHbbw&PiIe{gklJo%o%A(>xvp&mb$vBAjYR(|BzcPcJ+V)
zGLxTseORZ9v{jR}L(Vjfs4mBbTleZ!0TG)oFY!~A)-_Ko+n$__xPKN!&}1c-QFGk}
z>tx4ki<W^&C*+4TMC29d-s>S}q=w;0RMy;z_U=gpDU-&;oWGPx_D4%$qL1H<&L8^X
z%*a7fI~h#KZw{msSae4H(oy$nl)Yt9ULkUdZpnl?8jo8!2+q(00$IkjpU6RbUdYj3
zVhfvat|gt&S)QYtcciV>M)BLn@3}FO4|m-?^6QejIv*F<FyjOsih+dx%+A-M$`Q_V
z*<18E6Sy0R+_FhDM`}APA;mz#<;B@!*z~|ulLo>~dZzzNDHX0B2Abc2s74!X9}hbE
z@dj*YXQKd@9C#Z0;_uGskLMAk3>|sv6d86GlkL16LbBArMeEK6eiLqNJtT-P&mkwk
zA(GryPP=#Z+pe&FOmltIKLGF}88V>*+X)@6J3<0d1|w%-0z3cwZpAR^W-K$D4{oCH
zx5wD`m<<NogpepH*hM)-8tGsPH)R*OaQD<(`YT!@$cX70G`w>yD{N8&m@00UJB{zG
zQDPxhnD#FQ)z;z$fb{R=s60zybbn=;o7(iSDo+=HOT(XE-Bs{#bu-FNX<T4X>oK_m
zxj8nR9@`myV|NZRM0i!3UP<6S7ITNoOULh{G`m&6L8zn|44QCQCOe0(uUx6I9A0H5
zc+)<&<m`AzbkBvioEyb*M>2P%|8!54cnhoD<EpnKvQB~u2yfe;HEZ-{XwAQuI2ba;
zGim7bd`%B}tP>1&r6WE^TK!|R22jDOmzOi?FNSgHh%)a6KH!1m%2><}vec?v$r1$b
ztg**=8Q72u#tq6QRHnguL^Zmcl<;-i0Y<a(?#3aHX<2%&g{y@~FO{;m8MlJm_FCG}
zY&PoMAnzh5*%&!5av`%U!FVpyFwP9x8}*2BZDLi=<r#5iLV^V86Vy)Olm@J<C0MdF
z=}pCs`|IJTuiSpqB0O*wXeAL6@$7##p@<pNBxdzO8F7heq+!7D!31yRx%lo-^xB}c
z{|6FIK{tZiD$Pp6NUw1-*F+J%HUfnC!|&IgYOP<NEMvV3SITZ|0Q=VgQ4o8Crf<jg
ziUz<Z;C<j}w1CW4(2>b?d&L$5f1uIwCgBLyv3_32E^_x9LRQhV+^HT~rJ>#}0wD;U
z<o7kdPkG`5;(_bE=Ea*qCl=L+dX7EvLZfG6*S7{HFB*Vq6T=h^D*Ro*p2Wc*B^{Ll
zW7CSb^Jseih+v%V;n~zbcUtj{I9;+p*1c$FKGu?IeqFg7dX=vOd4X=U#CxGgtH0g%
zlI)-IHuG{$Viq{i0YI->o(x}5U>uMNP%w@Z->WSh%POmv29zd*&8u|o0jx5}kUO~)
zoZapHhp@3HbY@>oFz+R!g|zaB>_mGx{i!8x2GGNT6k$AMTJ%eTkV8*f`pG0c1!2YP
ztag{p!=J5~kbEqW!7Dk)QzG$q0#*`yHd-ptrYxO^ou}c1=|?lTu)0Nvz!V__Z>!+P
zo9P>-8WoCfo@&L`(X|E>8>{ASIGX4!dSyx;54pX&-tLc?3BT%`T2kfh%|+7QtqTD$
zR_Xi2x+_8>{&K~nqh+)e67pd?(SViaaxb>A+9s@eRnud~64x3?ep`nR$S9Zft5}C_
zk50FFIDK{~dLI&pyupiy7B*%2wfp`vS*Pw~^dvUD#MANb?DIS^SY98;Qm4Eh@a--_
z_IAmOBAXh{#)nd~XGP9rYZNVcAUJ)GC7eAOVnnrwQ%jOkVCh6bP%KLVy8I*D!NGy#
zfLokk47<0VGn#@S=UU@>Dk3JmRLH<sfkH-r(76_|*+TyC!bQ<iF@Axul}%;rZu(8a
zNSX?rPEMATABYnq(s6>BfdUL9VVUR$R0@AwnAea^uis*eGe#{eR|u`&9?a7PUbUtu
zF(LmQfF<mfh@di4guQ^XmYs3j`=G)gthZcp`|Zjiy#F2Gc6oH|A}S!i`b~4{YA{Jm
z18`3}O7p5e?DaTIaPq*Vy0t=pw>%RlWb!+ozhEftkulgb=HY!%WTPkn75y;tP-;+e
z{BboecOl%Lvk^FwW-fXQJquCL!Z!T#N?Ln)qjnJqoBP1(ZeD$(s!Y|8B;(}?CF18F
zvikM3khH^{HTLe!VTu<ppYVNkfv>n`iRMbZ;U^E4U!4O8-@Qj<l6KbO*tjs-MIBIh
zcMfWKq63F+m|RX~IZ{tI(e31o)SNl_cMtz#x4g}h%z1hCBlYgBu22EgqtCvquy8r}
zRPL9C^jn_S#lWMo#@f!<ygO@ifErZ>UoRj*!paq#Y;!s3Z=Jdt=$*NMeN!d;zHm9Z
z6lWt@v(gF>0ac=EU9N9zDO*r7*~!9O5O}Hu^-p3nZp+uwC-mZ^xGkq)>y0)S2BBQB
zEDW3n2}|2t-8l$AqMim2PT*nAA8-y!<@B)4@!wD}%mbz0bS%5wet}{Ia9Zvs+VHN|
zQSg}*CNNiW8Kpn9Y+(Nne1)0~MO0mqUV186DRaF*lHZ2%w34gUqZwrPpL@v}liP=;
zBrA`qu@;sj`^@!~JQ^)_9x;SmHme-*Be{08hoy7qHbR5K8#u}$o2RUDn~$~5dDVp+
zw*R^n<Whv~X8#c<+Tq8TS{O_j_8ZwW5>bcQc4|v*v`2}@W6=I}=`BkI)NT|MW@!Jg
za|#&z6Sb#qh$aYpBAsX&rm1_3Q@l_L)E2xA0>1%A-si!oPi+%v(1~Q-VgqnsJqQ(_
zaPn0RvC)xD`5~NI<wInr-iwVH@IYk5?@3&xF#${`JTj3oobxhG-uX_=a?@M`B&%e2
zgB39?v?Z60gZAE3>PWYIsV!l!b@d4mI?EY=u3o3V=`7f{Hl)Cv>mgCmCYd@^xFhOk
zGRb_@fD<Z;OY}Pyzn_mPXSr)7?R5R^@fK+t3ee&|h<zw8PRgdS$3>c=X}Wq3##3B_
zr(JBihBshKi5Fv&=1%K!*zdaa5azhEpvyq(w)KD?h@nVfF|wpi5w-3?rCA(}#&lm1
z>vP9~f2EQx2r$K*w~!GVid2EB<}7^DeXEIB7C>)8=R{4MMR~t66{?${nr;9YG0arR
z&OZZ+K@rII3`Y#Kezh#8=}L7|V@pWR%OR=7(n@kLl(nK^{ov%}ko$z-ParqVUr-K2
zn#aX&B)Z2otf<39&ek2igI55ceZlB*xD(p^w;dF3<>ymQn`?+YEol(|vRdXbjiirN
z%-s<0K4T2k)3U<<XfPxHliQT41t}P1|LP{<tJkvgh!9k<b1qZ`ht}2^mN-fsALCLf
zP3bj^k(`8XJed1HJljeCI<snlsGElXdOS5Nbn1?v$%Me020xX<wi5>_V^AL|=x5!H
z(77*kN(D(#p*_E<*XEWN9ppsv!y3~{7yQrfRyGJ^Xq#6gU6E5u>U3$wOuwoz$8TPe
zUAWo7O>~bCIQV|*+?Iba|C9g^LA0{ilvUV9lUgLVvGK&S$GSw6Y|f}k_iptd^qLuG
z7B(BGWu={Q{dZ5e`g+Y^^0dn}PeY^RKu%HuD%Onp_-sE!8Y0_F&MUXJ^1*d}J^Q`m
zQuy2(l|ElFxKBcJ6Qf0AGh!)f8iC!#eOmC4iNjNdgV-_zJWGFSvu3|j?Y$L<{*FU%
zA;i)6tTVQ`@F#vJvk%Y5R!b@ZSAHZi6AP9z00wc`sfk$9fajHan-VyL+!6j^fe?}^
zM~2&CQP(_4Q(=*oT~Wv=2qYWhMVx+wBVW$)`;3^K{a!R2tq(@#(G``IM7J-zgEys^
zZ~vWA8y|!V;c`QE?L)S_*})<OO<M_M-zh6X-Sb2@1qTYSAwAw-s>!>o7rNMH#^{k<
zk|IKpSIG=#2XdIg2Wi_a&aZjcnG>3ElE*m|re4(Lw#btpW0&7p%kQCy&o)Xj-*(-f
z#`E?RFf6e;5~Zw}ayfC$JtYAj$=x`7zC93XjoR0*<5h<7C5|=*iUNg9!%}&XAM78!
z7E<)M&ubekE&tku={p)D#WgY~+~Hpg63)~wk_9?=%bj322qt+US)cZA%orS00_)+f
zP2`&%8YwIa^Kh{6?q5uR{%AFnz$}AA^vl#vrZrqn6QR77Emwv`zt@FE8YMB~6UUZ-
z6%puCEJN3>JpR?->|(B;9fQAZqoS~*RhawLS17b}jSsojl7D+vSdGgPvy5(v;IhOA
zSY?d(jYe24T@zPtI7hlvSK@sZniVcaH;87aZ~!8L3G`wgROT;fBMbw$o&DOmCmHfv
z&JGq@qk_vG^HEvyn!9Zooe!+Pus~TGyr|?eA@<jJk{$#RAN)@#iD4^;h3smzztW|M
z9QxPaHCa7}l@b<c3;*xAsre+<X<Q_YU?oH4<*a(bAXe-4&kH#y0)bH@{w8Lyg;~n*
zVL3Jey!<w338;%3wXzRdfSF)GAvV98#*QuQ<z?#to?G#^HDik434ocr9?h8wR_AUv
zfF_3%UGm>(i!o{Z+zm4)$NQolHr_q-gy)14Ig^SwGIH=H&^!}Fj(J~ZAA{1-m&?W2
z63xk<HIDb)@0|~5v3C#I(7O@ma;|iXOa~kB2Aby(_vr?NAT*d1l@}+ZBcVL=hn={q
z)4V;cS%Q-Q;4(l{hBy}y-o>$U&6q5n$wSn0QBm0geG{PyNT*grRxe?<D>ly4CtA(o
zjownTrV@n32W;DS7QT8KFY@rrq?33yN0VJvD<Gs#Tr%lJkoLn3TDwuD->1s|ih#Yt
zsNeuqeoDgumajN!A69PSDe5cCv>;>CA(^O=l%Y>O90lOUI{*GUsw-dyY=*c8VuDv-
zRy5*nDIZphQWByn;~Ple)_)ezYRV&9_aojIOlWoFfj69M|3kd@SsZu>jV_gp`iAUC
zhFOY<Ar2(DAt*@2?WPVl?57M2mm}6b0tx=;H=kyc$X5wz)3GN~d%iY{FNtZTL@$yn
zA!Qym&nS$O<+$E1%5-XmeKkNmvOCr0+qUUvHdzKKyXr`G{6fa7J#5#0H48*N6;Sdm
zuM1l|hUe1_e7?FpL}4+mX7FMKIJCiuEOUPe*<wn&C)B7#IL<s^LFWmIo`WV{dR=>?
z{n?x26b{DDSoS7_=ybNlMG*n(q<77~F1Xl*XWo5uu(m))Eo%$8i?>3Lxdnwokji@a
z6GcwSw!7zyA1D5xZXuk9SRVY>G<@!*20zPGaK$eO98avl?bXWNM?Yg^erKXc0s;EC
z4W3Nz&Sx|h5%@{;+6a}qqP_#UzZ}c-Df<_%&mzgXkydhLPri4l3fFeTLqc_c<YicC
zCUpOR-o17U{q5eLjt@SQsj&abCW3@7z?j$sDH(-uH<^Xg>?u>+$|ac3|374357(Fc
z!F_RSSp@n##rSp!o37-y(KDBEYfh2`qCN>6lFrWWo)ZS-_;CxbtM{h*kgs<@40gRr
zRN&Z2+HqGZ>wKI`5u%kT$DUsCXuosG#BDZjTCwgq-bCN|L<sk+X49KV<+uaG{1RPO
z@d$IsH!l_cEm>3(TTWKkK<rZ{Db?{#&Hk=Xye;$*-+=iljBT4xFU%uX(G%!$;qA?_
z8?rx~h2KEh5-cAH7p`Z4cXm~v6$jwLN;jvK-6fQw)3E}%Jr9o^x=BjybFr{W#eGd`
zipKc{%)TF@E%K^qrS{H6<zGH2-Wp*gXX+MXpMZ{kO5*k5ScS`=IB1ZYyTex0-TRg@
zJHKAj3j2_*weQ4(!*G@GtdueW#hoMGr-5zaF&z2?phRT{)lehL4H9><ZtPmRe0^TW
z@3iRw<+#RU$$bk}ShFW}vCl7<b|!D5#nh~2HOJDhREhpjruwpBgbsBe&aec;>;zE~
zF59ejIs&Pfn}S9#bS^Nwq0V0(yKq$&n=4G7H{Ux1^DCuKMJduiVVxT;V*#xkiTGn~
z6-JdflYasD+g;zB<4)?0-nr&%z~x;B&91bnNN?mpJq+1OmI4hre1Eu@n||<F_cE<w
z1*mse|GfAK!6D8>YSYdE#cEqltEQ5Up&6X;_p{bb@o?S4VK^c0#C<bH)rcrFdmi!d
zf|!Cwhl+^Pt-aXd_#pxjVsN=VIHgBjo225Y+RuzO-^J{(jGyi)ILRGOFTWZ3^1FA!
zoxAx~hFnj-0I+wYIMNucQ1O_o(HCqpRg=<^33a`tWdV>k&nxTy<(Ry+g;&k}<e053
zI78vsQR_`{eTVeZ>>YIkDth=sz582}iwMj1M}Kl=)BBdbIhiK&&eLpdTLuoR0mBD}
zvK0$;WPL93BMN~7@={^S09Z8~Hc7$}McMOPxJDezl^Y6G?6>R4$KlqBRw@rp%bUTY
zkC@to>h|^3UByII<)hKBaCA9cm~aAVxAt<ygt}4`$WPp0Zm#xqg{?YWNYIC3<8lQZ
zm0YaIJ7Euoal`N4bc&ZQhjHj0iu}0~ynl}KT73j!NE)7M>B1y!YTv3FOs(#|7Ck$9
z)`o$827po=<2&76&et@Xo1M2!>_Up@h;6Qlhq5F15trh4)^qDNA0GqhBh`NMK{I3g
zm(#11K7HVZ<mQEWCB3&)AKm2b9GaH#nq{ZdA|m+$AKPW2@97X<xpYni)KsSA2b$&~
ztWEVOE=BQ`)HANeAQ$J&*b#KZo3%5~Q~+xqJ(5(v!W@RRs>XvR7DA56(*l&ivO=bP
zV)e&;loiRCfijYqVq+FeC75=BBt=6ECW5}k{ANVseH@zaOv@e<paP$EeUnrOgC_R9
z&|Hf<MK>GVb2*6QTu+$<@{aECp(A1EiuGN@4DGMW=M6yV@ziDYQA<jxjF`j@%mBMo
z;I&g=8I#$nQ1O`<${y>`M>-jz_UQWTpACSmR02|hs?HRCLVab=5xM-x%;x+9*C44G
zIkk@)`TiZq3=S+Db4DgHusEz;=4g>$Ss`@rzlD==g~+N}tRLSsa*fz@-vkuX2G+oi
z1M>R_^)Vm^)n}tl!{f2?8d?X?;D3_ZbxYdf<sCa?!VhH+Eel>bYr14kaA+Hi8zndz
zWJcwG-G>Yz3^R+3ueLfJ=~g>vvbo7otVCQvV+3b6p3JzDG7Xm@kzwo4BLNX!1<P5t
zB-LF6sgHT*$872<YV53WeQxTuuYI>XWnMWT=|ctQ9Ef?04_R9o_uu)ew%Aygr}HGv
z&=^yO$n9r6KO2jo7>$;NcgkkUr-?sA)SMaTns4BZyniYQw`C=u2m5=fx>kY|z6w%0
zD*L`%`LPP1M)Mq`)Z}eey@1~j$zGX-?mVk-qCBj%@+?%u<z;)|6If8RiNmEI!&6_J
zLZhJ<c+a%FL!J#y$LModVC>MjW6@A-+nhK<{CCC~RQ0mZkpF~MtjbC0D7CZOm+(`^
z3}LCL#z+j3>Ve-<35tN*vG*dpP1;j@iahC>iT;@1u*){s8o7B>0H9|D0SrhFhl^Y3
zyBp{X?2doFCn6YHLxY_)wxTq_PdqZSx9pzyc?)dk?tQCcHTH)UnFHBJMT!IOJlUA-
zK*r8a@;;hPM}>qblBs8UR;o*|xmdxUEuU9Xs(PGUfPeG!Key75x`o2CQ=aJ146p{^
z{<$X~2XY;Q)B&=dgd#&R5!UkW_AI>V2eEE)%`Ur^Xx>+#m~G--mD2LiSW&^3cl+Ie
zd%Kxn2s5i3l%l)}=Xy~W9pQjMPn1^aYN*Ld=T>J4X#8RiWoHYr$^cvPl>!O&o8XH8
z4cl@+cEBmD<~hYmv1m=kZ2#O8ASx}vUe^meB(RUHy4vs;DyPK~gjJ^3C)SB;w>m~!
zyF-F@!a17B212(w4p7-qlz{99^NufKUDFr!Id0nD$SfX_EXG{>kUH13J(=oCbF{=w
z3|?ok66fS~;mwE`kTNHJOGexzS|@ne&GlSEy@QvyT2I@<8;SxpI@svrhKygvm%sw?
zNC>FuX~2bz5mf2zz!-Sz!`%hE<U8l#y}BjG+rDtcv8Rj?mP2F0o|OvK7B`Oebb%@G
zL==aVBC=+i8#)vgbj_b3x@tB!GyB5S4F&U8_w&Ja-GIzuUxL<&ZIAW-0#3^sq*P_w
zew7BBh$}hk?@JhOO6T;9^`hpcgo0u}P17Ut<>nwFT_7M_LrumIj3I#GO4){=x(|Q}
zb|;_hIHnzBjFzFbv8DvN&bO*B4TaDhdx4EZWp2sh`sl=Iy#>^|?vnTodc855we*RS
zGCM0(FrtV}PAZ}+0nj&k=>D0-vU8M2Q=s0ERWHJ~>>GLsYgg_S0I?tu(Q=b&6~Me{
zny-Vl-SUagoh8Lf#BvtUB_Ruq6j0!e3c9T-rR@iAPfEgz3S5?olD8#EVjC+n&~-_7
zZrsIu0{FvJZ34Jg?yd>*EZm!<4VR+u^4Uhuw^z!)Kv1~1q)4g}<Pgz7YVF;4Zho*b
zGAGLg@*n$xWR%Y`>32=#NC#U>{@2MJT|3k=TNDdz|Kc}0J+{`+3CXbiGwX9C=&XE_
zdpy+5CJpA(A8UB+fZx}n52u1<`{4dOv2g5lhLqHnKI92P0GX=bYuoW`qkrZbkNO7m
z1KCC|J`k*umT^a}-NvrE8H9?z@amt1AgNBKUmm(gY`G*Jf_#8mAH1L`825GmKfwHt
z?SIq=RzSigyj$e{S;DE#j7>!FIAyg)v8n8sDXmU3oKvYpn0?bBAto+OWia-&X7kKG
z9To~?>E&a|I6n*h{}w{`fY&=r^EDLshqFw^1qHsZK0+=|R~?4YBQgiwINe^1^o3UH
zryd*gcU10H>@+su<Y~$r0KZPAuvs(%bntvk>o?I6YiFQf$6I3C0s6@t=M-U$RQaiM
zr-Ufn_p`Ons=z1pnnm!n6CJ%@EfP4H2Y~~ksZ(Ka#L?JEC%Oj!(wqLZrK~f&Lr$`H
za{rz?Q8cgwhEK?J8hTsSj}H(<q6NPboB3vAV?%|jeH?CdFoCo+0D43HYN!ULkTDbP
zIYA!rK&koD3GfIcsc}x5VM}mX&Ft%AJ*KlvHCKt{<VE&OI3XrkXQ~dWYta^{pvZxq
z2>}O%Kb#>DL0xlk(#j#1oirUn5dYw&$?b&0#E*iv6)TFKg#j7ohj#9OA?;A5VIen6
zwgS!Jj3S9GRFz(q-5e}53^d~-ye%HpE17OM67><)i3F{afgjzjbDVLNyOBqCSV($c
zQThtDft%DXM;TM$oHyWX0*4UD0x&H`66JamNUBkd;MQGxPDbYlFsciU_<`JKlqzwR
z;d(o8pP0$5D2)S{bS!JJ<Vx@E6{rXhJvHH#_u>{$Kd&ZMA&k7548UPrQj<K1;WrMg
zdi!8MQZ4l{L8NIdz3c@52aeK)CdnG**?L!Zzt4G&jz4tkQCIQD=ku?3F#HO`YZw8u
z$Kut98~7YlR%ohkcW(|bhx0o(2dl!J1|~n9kt*-_(LRYsK@gXOn)pkSkN5C2lbX?1
zMDydulh0~E8A~N~ewdLyVC-p1dmv-dNeoIJmJ2PBo63SeRT2z(vfIXdtj)tI;<g2W
zHb<<sBR^75_~j~y_#h5iRk?;j%7LaYRm6<G7f|dd&pq9~029xsBn%H&wu#`!2dT$M
z)dQg|W!89zxFkCml6;Ebb*PM`$3tdA>Qy(Ubj;O|njM~`$6l0Kz(dlQszm5qyy8Ta
z%ud6Oas)falbRx-5Kddf>m9KXLWp!#M~9_015?}yd|f#lP(g(e5Ru!=@+lZlV7jP(
ziCnHlgCsok>L5ic%ipg@b4qD-MQ|7L{~KP4%B*D_pP5y|CpK^$S%H`0WQvVuZY7ds
z)`dm55r9BJow@9@kXVE!<qamy#AsVcR(2O;%~A~M%4=Y$TuI6d*RJODK%7pXH|O$F
zd7QzSf2a8bBXB7HT-&_qTK|4lmRw@j(VI5~b5iuaaWSvp)+G4$cMCtN<&>cmx}WD1
z-9<@ydY??EDC$yT8{Ee^`9m9A9^Fo2%7MHuf07B(rO#-Lo*Z<bC53iEfO&FXwSH(z
zgKCmAVPs4r;pOR%qBDPWgvqDrp-`CQt1m7@Y_t)VQ)$lpXG)qGdMBSgp&sTsRt<DH
zG@?YX8?O^BP<fFb2{B6sOJ1gj;X0_>2~4nBFW`V{irJZI_S(TamOv5*Hm{T@M!-k*
zllW?gJ^JH1ko@kkn=pkSq14&NX%6W`V<qk}1tP6PM$Z%aMk)*vMA}`*31g(6a&i!f
zAh=3o|CRepZ&(;Aas=-Ej63CPYZ#lXqa#l|q-oPN1QlA$+-6C^ez|E#%bpX!1k~;n
zE+Unx9&6hxfV)UBd%+piz!a{V4&Z<*-*q{q)4VcyPVa74G?Y~3ewGt7w93^DoDX+9
zo-Q8HCGYb!3l3i4n+m(5B3@qt3>)fDU|#Yg`w5tQLiQm!D78d{Mi64On>HqO`^Fm1
zM>Ds}LiO5HpUdfJB8^SzuYrE<A$}s++g^KQfz=2)evPN1Z1G4@gzM!1m<Y5jJxwhA
zm_!*42O*e?9KB{i5MWsO?l6MXQivk#=0?&)&Y6U5V(Qn9xaQ{9BQ9+shZurIgmU7w
zb3W=81inFi4HSaTZR>6xS~`FBUKEy)r{h%Ax1=gzW*NW+AGBtVNSyWo6l%i9F&hhy
zxo)#4$1bvt%QT44m0;O}g{epo8ZDhtPXovAyD->PtXLsaMf;ZyB9&$1Ykl9)oc`zx
ziKY%xd3GX^^K<oFMlOb9vuY%zdMrJQq8deO5Kl5)pj>IaoC<v;!#P9Rw~=kUBIz%V
zRC`)WGc{G>7P!UmXI+_f_75)x?D@2Mk+y4tZy%~s30rtrBC!AISGS<|q7`Ep>eCx}
zJal$~$*lLph4Lmf8uQEY=8)~}4t77U?Y2BD5{ZFAfPmP?QQD}wDWk5aZiO2EIGPpZ
z%8gJ&voQOJ=ZCmS1T(IBuVKOtMvS@OBwTWH%m7x)-ooCiGG4v~KZ;%<$=yO%AF5A%
z+xXe0ramDC6S?ad@qKitB#ce1p!m)iZG$g7LD(-+f(1h0A(d~eE@J@;TF9csijdrY
z<>*P}d)cb#cm4qiL|FWLF}`jsZK|u*g-XCG6v3{L?07e3JpynP1Lxp%UCZt(<iDug
zdOxMq#JGIO!MbKye4lRDk5!vV>@}-8ImNBP-BA357>8)7#cqp0|4B_Dq5e(k0X0#Z
z8_*35lyr^m+!{Dg)`W2rr`gbSlWWN?4MM{nLyGb8`V?~|5y5Z!EfxK~E}7o-Y#F{W
z<lLd0aQ;qT$b%kuE0%&IPt{L7Z}&%?g0|cwW-lU1xL)b-<1^j{6jb?1z*#2jr|Qti
zYmW*1@!!Ed%jcf0q*OSw27N}B=^Gx0sgQiqG<DZyvmmASR6(|RIy}X7BZ6Y2kc*U)
ziVKHSLhYmAv%XQ`E}#G%;DVNq?e!KGV`JQfDe7^9Gb~}q*AcvZM?&l^qrYDA|9Wt6
z(Y?r(YNDv?L+{6$|5Di)m_F!gb*Osd{^_>Z+;rz0XdGx|P^5yuXlM=U_Ai-F6||a0
zW9vnjR8y-Pph{`>7}8@Y%k^&Q1byqyc)N<e`K(q*u_Y5wv~QkqcY_cRQl-`WL^7|-
zlln_B4U#wfa3Dbc{Dt%AQuSQ7xqZ9w8ss4Ow{N5MQkwoZs{Z^H1(+EsQ~Ub(Wf9Mi
zmy%#F5Yofi*5t97?!ALw^5ng&MM`KHXOyHHLL?QHYMA_HTu^JSIw%GfMQad(1|TAH
z)~fy!U$B!<ANhXsD@vmXrF5cr=+(#bExe+qptU4W&ekKqZ2bW@xYo(TfPvb(=s}ff
z+YJodrg`x~>oUZPrbaDP?!F|<m<eFrLck!+@~0v^%(_M*FjRcy(Z(<rn!wTb77|}H
z+gT~&6x7}emZ8baKXd1?11_;Ob+Q>EAMvpOij@aDRiqp2Ho;J`LfH7iK@~R?0^^{r
zu}5v=z@(v*#TK)PkODnnX@`Gi_Qip@@~dMs!TwGCSk6Tp<V4p_VHvLg{GrmvAvuSF
zU0CzAi9qu$%X|ccYB4eGB9q!WIx0JjMkQe%HR9<OW=#<Il3ZikpF>Uq#M~X8#p^~p
z{lB_f(2=e22-OxIi#sMxe1c;^dQWBXf-j^AIRB6$F#)3Vqw6=TIbF0DvYf0Et<J&|
zy+KDizL=Tx&>t#ZLKeQYJ5lU+>U3y<deCD)G+reve}M&POEyD2j>~U*5l8-k5>ZBs
zAlpR-u(2@}{L2VvEq$aN<{D4&YUquyfV}{|--5afQmiM>eDRHSA;7i8rpsEGLuBa%
z3P@!Le4<?5nA~|b@R;xp?q2fp$s(~$De(xxr9J(8l1Cdu!kjRReA1LNNw^=TrpWKF
z-rvXUB>MSnm;l)UO=|T_3%`5IG*R*PKyrwAFLmFnr6&44saqd!=Il;(76Ph6BxY9o
z*&$jZB_EPo@!*oQaCUG3dXanuVh0$^z3LX$SH*_zSrJM>SfDeTBNY*Qai$azRVom*
z-&86_b9s#G^TE0}A-U!HJo%Qo1ot=ml))SF@Wu$}yDn+=XQf~6F5e2dO7)%RPvxnD
z-*h6K0+ykv#oZ{07?W&`@~%t`p+yp;h&nM0Q#KESKc^)e9e2iyz8DCY6$vsThZFu)
z)B3@|o`CAXdF?X173Lyp<FlG?naRX3FOb^Ue^rNYmlm7;&Am0PV~-pFp=6+04^ivx
z!fGODLgnGFia)1k(ixu3ufU42j+(^K?&c0a#-pZ#6g;~ZuD>S9_=?nfDaYf*U(i2C
zXqfGlW&x;MOcG5JE__1%Zlwm@%bSU*xZexj6tY<jdaneQWnO~G#|sBmO7K>3*A5?V
zrf}}5qk~6SFQ6h?eFMRt0H5P9Ttg}qq`u_Oz+v=2$;CGoxMrUc0#y!nrdf{Lf0awY
z5wZkPgg>WWRclZUqD1LBn+=&o%hp3~G|;|Y`8`}MK(h;2fM_sI-z0c3Kh|(2bg#tn
z5iO8IJtZx-Nm=V(UR!)l+S1OB^!uyHzvxQX{gQeYE)^Y#%0fb|B)kO4g~5uzI&v=Y
z<xVryDBOem;u3fXXgnpM5e0H%|GBOkiQpQLU~I4Kf-bg_N3f)F*N+jF0YRH(&B<<Q
zd^C0SvQB3Z1sDc(AGnBzHWH2;*k#?C^#_eNskMl2m+a!^<Qd7+=BA&qDeJfZpOBly
zLes3AUA*|CBdm|`bS<F+#wW?obaqF17Asx12x1?<kS!D)Sbnbk60V+1N4IgbvgIgx
zeN4)+bqR<=M-eBj_XJYqp{$8_m#}&GyCnbR=XYm~VqPTm*nv=PYi5ASDGf%Z*@WHR
z4=#Gl)?`B^wP~g{Z)9LN<WZIX+ff^7rs4IC0rp~Q&^Hd+2kZPab|;i@bNR#+Rx%ey
zOw!5f!m{GJ_3@-p7j!hES(@325UJ?a&0(Y5DmSvG{j3o}x}6iP3+n3oyX#n*5kntn
zMIhi`(K1B6DXdXModQJbmRugW7HyAxLm3>L^Olb*B6@C1=#i=uA`TsE;}(~%J&<mB
zjcl{c%xjs#E|WqK9z@dEZj(Xh9#MvqmxTd9?k*sZs1BPGJ`Kz>q~ga1`<q{IB(%8#
zy3lLq??WVJg@qAGDvvvQ=u@(IfW78Gh2+IxET{HForAs-Kr0da5c;Z-EofO5s4on5
za;>QE(*uy3qcyVx=m*Am#}T7v=``_ZqikISKorIqCizxF_<DW%E}acy(LF!RybSBr
zHIXtjCE>-INCb%5p9V@{Yz&DLVIlN54Wu&fOftjB<OGE-6P1nhIZO4h{ovFUh88*Q
z^9Ds@P^Qe)EPF*Hlb9Gd0hQ$LWpu!e?QCXJ0#t=eSumX4|N6}_^lRM{;jEB74i=TT
z(YB%XSmOqCNx~#}<LxBJinm*kqZX7TzDx%-0O|MH{~YVg9V=90b~zgQ3{)IecLHPy
zmu#FsNdfQRa;Y$WFlk2CF2HKRyWL-nn=9`RD%Ts7$Fp?;OSRBX0PaYvXDZFb^YDg}
zP2&M91AaDP_ie@i8*5$oP*EmP&W)xva{puFn_lQ1W&Gp((|F9YT4s<S*sB&Wd!EQ}
zHYNslqSD2WdQCKc*Pn4Vf8$tI4_&kYl??7BN{I7FkSfc9C!j#sF`Wdpt<=w{??vR+
z$*xngn^maS?z*m^))4dEU$HvQhnLsAQVFO*n9<sZXNDe|5Fh~+tAR7p4KN%uwL;!^
zuZXBf^2-Wo_YX|84q})w`*4zcs4qQ%4B_XI`A71+dRVA4B-er>n}YE&`j;3b=b!SS
z+EK&8l@qYJtH6zF+Qa<_k(VMY&5yoT=V-Zzn~3mXR|W@E<mhQS0Vz}VFiT!7ny64R
zW!x*DBvYt{Z%ntRO0#(Ob}i$7T`eWy{`iO+4m$xLu12$8;UPE`-;{|`B$Te;v;_l~
z<`jYZwi=4=LIQ<Ip@=FO1&aUYv`U08;X1gu!gZAmu3ie&S)_L!kHYvGCD@$ekcloY
zTSFFBWlHs<tZFOqLefl!b*FI1-<YO{nta5M-91Z$I0Ok}iTgUKT{>Io1yRArKXx1h
zB(%`txYdE%4?JfMY6uEct+k_OEwn#fcjVMge&Lv_5}F0CM>pN0UN^|sTDNP|XSip5
zYFzz~6fCO{5tkG)00W%ifTT@>vh3gXTylGT!N13-qxpVh4|%Y*3|P-$pXYgOS+KLr
zvW?`I>WC~@G)?Lx09s;b^R?>NtDreTQu(i<eY1c?58nPC83rvV`^75-LJ85$_6aL@
zy<Uer@5Cg#n$q?GsK(b}QUY%#wAnr2peAhKvkF)HWoR(E<NqqBz;0P&sCe05o#7Vz
zV?g3JvnO9jE<iD9dTc_=V(i5^6A(7rH>NO?Z=R7a1XLr7mnrODAi4E?II>_aRa{<_
zrHj(UB4h>ob>kN&{rR^2CZ<!vc1^U587OV*$QWrLN>t%vNgT>|V4=JRsW)#SYD=i}
zyl4Kx8M|!s)hVCt6}h@KWhlHw0Gml&Ek9)x&li*CY)ItR=L8Mhn=(DD+8T%vZXqN!
z1snC$hSTcLZp0>p*v#`<>uXBN!7}Gvo`u;=lkHQPi~MoIZA!hvo~PzPdNP>Ybjl}j
z$E{=zFc7kRWz5ZVi6Tni=MMuyCd*dLN@s{uDbde;Q0`+(YfYvpjvGR0{CSVi4LMCQ
zjFLNqHBeM;`i({z5AXlL7U_a$G}M{R%vRf!xpcWd7<iFynnt&BbMr=@je0uEVM{?b
za1oErFSW=Sv-|llR=96TD)0oU)oh`yp*cJHO}9|ywSu;Hkg5IgP684AG?_W*akjJT
z1LrJZJwH@3CT*7W-*O)s<yRA?h;!F(Z>k2b6t`1VUI&*o)Fbq2b3(hGOA&o~v?X$|
z>_DPu&Fr?}dA$ACGAV;Ip9@%91TVEn{H=n3jz^hM5^rPOtt5{;tWl)6V()J9($B-m
zhZ8Tkm74aSM+pk=F6ik)bxqqzwdO-<L{uKSK==F$M#hIm%@QUKQL4;5%}@EA96R}M
zIwEC47!pK81JT>I1Ef{$IOF*zaVCJ^pdZj8Fz!U!RkQ_p-B3y3H3%-ZLn_c%#L=Mr
z7D4td2cZD#>N(d$Qll$G?}9C*v);eZOwH^N`!!F|hLpLh5|w5tihrG2A$xQ89^N<L
z&_1}AVF6+!&>H%#>+|jlKX0tmgYzU@UJ*2BB4j5YZ)zXe-}lfVf;4cjT`tgZfn2_B
z51IK7rBq01LvMRhPs%7(>{WY6k?Zn&LlFhUNjIQB;&Uk+W!S&=bfL!H*0Z$)jM8V{
zZ7kLJd785N(0QIfd$70JIz-Q)jAW&F)uCHxJ`<EuHibWSC6!G}Q{r*Z^)M6uRd3de
z6Yv(9CMAjaA;)YvJAPW~!rnUE#kubqYnpBy#?@~ifCV`r)(8p-@$qZQ@DrWq*5^05
z_c56-txC@Jd<fUWAvv5j@aRS9JN6sVpB2mo-iXmlvExj!CAo;<$mV+?Fl&EoA{yvd
zXn%uZ7(xJZgo)VB+xA78VV)CFLo*UT>;GFU9l$duGN{b%R;VDqD)LHeLV*6Rj6rln
zm{~|#zx1ow3pN>dIu`)hB20R&cNXNqURmH7$vc8Gd<TclBJC<7-=M~Z(Mw1bkK`>A
z5W#F^9G@)IHcL6;E_xovkb9f$2qW`f6YB2*!gdcM{-uF;ZA}rdErp>0?VA8Dz%vmk
zUG&&!@fwfE!Ffu{UjefKd3?fiMWe3q7t3ifB3N|`-b{2z6Z&pEQApt&PyUOp<v|M(
zG_`;d_G1$%+iEVwBruie%jd$^geF2SK)<~(%iD%{4&D@iP6FnA+T{lDt#pmfY}{S>
z2lBXZ2X{Lv0u*{s=uIIwoEFHWFwJTL$s`jEkef8<ID1IcVU#L`^&@$_H1ae`lG?`q
zMGX;@*94^qx_EJq%ld-wiV?(!_29Ldg`L@P9RWQTH{uUz5rLicV7uGKl8_bps&jTE
zNe{$z8vw;~{6kEkh6<f{y5@Y43BqB0Uf1%VslFZpzt|{&LO(MK_Wzk*BGcxY`3#2k
zS3$%)wj|_f`yfCqGmd|<=6zO>>A9B^O&(&Cp-mZ?nrpM5TZC?v^K((=AZMLykLQ<|
zlHpXu{aG<XqlSYs8|TMOXPNJvH`AvKe1B(W*~=2`G+_PHQQ%yBnE%o@+9VrlGkh0e
zQdWlSB9#ol6H)ISAi>bU+FLNi6e@ha%B%1xWc6!>BwbLJ`KV9&6rB(rv1ZAl{Q_R}
z!iI99=Uu|-F^~Y_@+%ZXDR9UyRV-;7Ax4*<Kw7!SvB<o=#R2`u9C-YvMP`HT*?d9?
zAM{8aFE;6k73}Sxi(f`?T<({2XoZ85%NGaaWmz!wa&Z_(J4xG?-4Aj2cRR!*k2_1e
z4@cRGc@;=m9`BdM#`RpNbWAaplbvD%FSx3_)8?9{t_0}Smk7V?JfRhmS26MJsKWRI
zPZ>MJ)htrQ#4#UA>p-KJhXexi_8hGZ8I^F>BA(B;wE2-(j0;o_`KJmiR5GVEHcR^^
zX1Jhx+vMy0$>fmjxml7v1J@<|e{0`2uM5zKzydEzMR01>O)GzuhY8w(9T^w}7>gtj
z4hC7=%^FuL3V-+9aij36*^5R~_z<Tw$V^unVs8f(Bhw-zB`Sf)4?L@M9Ef^>uyrNY
zybIcZSbt|#b!L5hlAL%J1ag#O<~o)8#9m@BeYl3v+t(hu=OY%=D>?7}i2OLhM5{sK
z{Edn2=05UFEMGYw>n|h`i5v~FB0dpS2lbXP%Lgw+^QNNRdsr40s}X#XAnBI;t-BIG
z&WBl^TW?SIN4eFN|8{tkq&Q7lTA%E2>NVG&3DDgkF1%zV?jrK&A^Qmd?@0Ok+c;e^
zC#_)pIYl^f{s!@6QX!wbdZkBeJ%)`?WHU35c`fY0@k@=_Iy!+_c;k^4R4N0ZkVA3^
zC`fVRw`fT@S0RcZv|iDzOOM;H_P48Nock^~+_<;FVtZzxRFrrvyl};kE_fuhqwhPO
z><>J|d3sh7&&Rb_2De*w6>jk##_0U{yP64>T_JP07eYzDD^Ct7%tDoYG?9&Y0()+S
zY!3Z5RlZbHtaWAWGQr`pHu3yJ8x;m&^MKl(cwNDM_RkLW{~B`X-^^SS0ooOAQBHkD
z{ml|p!dsBvDAWQ5L)7{+4dP2W3sl1nUbu087njV%FwgC2{JSmB+lWuyZ!-Hvc)oew
zzs<5a6(ggc-W=J>Rt)@Y8nE0a_ivV8(Ao=EZ<_x0fID9s3i7|@-?HTU^zu0i{_W$J
zg*hgU;MjkNjTo{bdy9$VaA$PHqZAFc)F7XZW%6><7>usR*R{q*rrq+yDl%QYiM2Fk
zB|6Q3(EQ7OX6BvWWMma~x8g4Z3o5mlKBI8D9==Zrrf4~vH6{-8nx{y^pgOFuqda`_
zJJ>E86;`nm!ir538?(^kw^~Ag85@hR(@)R;9$YKh$X==z2oWHj!qox9hzVPRVV5NV
zA4r=$tSUW92G8bVp}*6Ln?f<(NWlGSA(6)Zs!gJr!NnKF6%4KHc;OAAPt0j55E!xH
zx*#2u@IJY23FY^U-7MJi8Nf*rqRVifJ@~fo??QLW5z@<K<AS$vK)9_&ge6@2MmHcA
zvz7zg^}UvTib~L$5HHyr$Mw<H2t_Uk0WgBwOODso|C+?Z;^*75fy=S8EeKLxqigTm
zf0$HJ%|7ExHwEeMD%(Uc*xTm5k8Q<wx4l6AbtB4OMD}{QM7@v=V#F;Y-sTmdTeqsP
zY-bZnKEBieb`2j!0cr{e)PcWB`9194mlh8!wWgkXf^3m|^K9E0zb&Cm)qq&~DKq=X
zf#d>q){p%pBN)Cc5N6UxW67(!V+8mF?=!^g0Y#0{5BK`Y;PYn#I5<@?xNPgyR?*=u
z2g`Oo^V*IOz?w*gIr(`zlJCl9pvWSAc~nV?-Eh@Ria3fFZSC@Fr{U(OK-((Vb<F5R
zEhwRsFI}WX)a{&LTzfFvho;Lel#lt228%Ei^vkkU1tkUfl>6^d8-*^esJK?gtL{G|
zjuRjWQ7m&w`}j9i-6hX9@fZSop;YDld??F+DBI-;tpWK2k2`%OCUfy<pN}|zN%Rj)
z5bDEstQqEY@QE)8`cF-6%bG;s5_8DA>28cd)nC|Aq;3;#G&(r!9U(-;0mH$heUqfr
zGLnCLj6#2E{C=MP9#!YZ)ntL&-Puwl!;&m*3H#^xI}g#=PnwI>7Gy@U^oixj!+2au
z)wGXHMR90h7RXkH$HfFU-;N4=$7j4y>?RyQ4@0Y#5-S?ifi5KitowhPT%YFrn6sl<
zrh(usid9G?!QIt)c80UZIuH`YlH8m0uipO>xnxw@1?d9CKiYompt8h;mrTjLek0Wr
zQ-WRkF9|YK<*u0LU70~|;<NYU?WAb0R^GuJ^EWof@YW%O`#6+jl$o2t&w+7|3U_F;
zyod%=a+T$0cqeJH!0%RH7_<j?fuVm^<Jq)XQl$r-2pczwu}dl|Fbzk!G7#8IBTT*n
z+=x7Oy3j6#gFad2d6xs~_GgWcc)19D;#V8nL%t6VV9t^g2A?{;kGrGvdQmLp7k&OL
z7lC9Tsauq*6rD4>AE3>svW}m5!HY?D(6+AOL|11kP6X*`hFP_{uAZ2;>6c-zbuG;S
z$YyK&=*?&QEAEcpOhBnrnPji7sprhD1)|Q7I5GnJyG4VJrSXd(!@Cotz<rj0+scOo
zsBZ>FOU+vo<IlZAwl}&?T&E4UHT<rt`OQVeo`8<LS?<?LI;1YbrCqxARVmiK_TgaJ
zFy9G2nK?sYCpnOk-klN4#w~{v#=qImffx}vT)>1}3`xZO4Rj9__~=eAKv;(}zfU^b
zw1ILp2gin~yXOBX#>R9a4)WxsEhS4StbTr*wsz6**%*7T>lPG6cJfduL?2r#>D^=(
zGqxZ`7dwzk1@7kR)B>2yBKBpNTVG!CR2kC4x1a2zCcLG+CgG?py|wEsSLq!Utf_$^
z!fe>Dg+)07sLZ`NB#XE8jAzivS)V&Sd$$>SMK3d*kAI?=NmZSw&Ta?A^972Db+)t_
z<@e54+z`GGH1~HI!FEa9Ob7qB02?oEN+!h)*(J|iRX4b`#fhe@7MVivQ6*ub`fC~$
zVA|xuc!r|FwdDEBLtg&{YPX;zNDxqW&O|Y4B~+&nVrNw9$2?5OJ2X`o@8vw$gw`@I
z%a||{>o*8U5i$Krq4<ZKtHAm+JSdVJ;hL<QdU?~8e*<<hi;_5Oa}$YVwfK62Apku(
zC~#eT__dq(i7OD6{23*eA;(^b@!8sOYJWv;+Q%|WhXRtGx#rk}7r$nv$N9Iric$`b
z$Gy&kr+8y+2(#@mRUzjfH}2H)Wq>%AMeTSChxXM$FY=54V~5)~9(YE2%DL=!*I$WU
z7uyBm`R#I$Mgdi5TI6F4_q7B-l{ZfvHoA#HF^islz_EAo1-rw>Nfi~CX4C<v*Sk!0
zIf{O$y6nw3o~jj_O94FNvi8LZ@bgbhW^SzU6<(W7QY~in8!={PG@{=}Z;Q8P7X{vY
zUKQ=d{RHM9s4_U8$~-A1+I^;Xd??c``lCXJ1ClYw-FX2?G`7oXsy7KTRBZ*^g>rL9
z`VuJToGH&N_Aa0FaE?A@rW{(247yPYG>a}bH~H|2Sbi_}Zq9$pqKO_`>q`l5`U`t%
zVy5cooIMid+M~3wgedvRoBvheh^@zDDjym-$&?vw`wP^=TQ-b+#Dg1jEZb{U?=MKL
zny2o2FlX#oQf#Hk4JIu>*bI%U!^7Uz*8P~awx7-3f#a$Ja^4<xhxas@9^Gk)C1rkS
ztV&skE8GsCq59Jr%MJp<ILC}`htxfhV)Yw@&!<u#a1NF`UPmPY=Wl1&3b1bON-*Um
zPq}i!;ha2GAaBIkCSYySyNO@Z=nE(^F_D=KoFtd=WsdJZ;=u`V-@E=uGiN(vY}Iq`
z7V4~LUCYfW6##`>A1|pG>_uk=q|(De74U4lgM@hu`R|S-2FFn53Yjm@MHH|7I`Pe&
zAnXrOw*3IuAjR9a4?)~%(Bt#?G|bkVAw(yaAwd{I$!kRh#iF9)gM$?u9yczwm+dtS
zoU$wVhsDCh(M4{5{zslQNd%v6e5e#@iIX*)sD#I+Xx<F?4JR&d5@0YG*~M3gF(C~c
zX4EV+r9q7{N-&!f5+Gw}<h{h}*0dw_8$lcR;1#i|QJ|AZJJGs0)$E)5sEPHKRY0|(
z{9#iv#^4<C1o{Psx%-+8Q0i*|A_O8}6?m@F2U=Z4vF=m<24kQ7f!tiF_H4NozF{I|
zD2P3axh#0XI_GcChZd+h@A#a;Gk>$~rot%lL#v~`+1@n1*Hnw<fr17NlF$TL@uo1f
zSOzZ&JuYjw9V?jgz|s6OCe_3kIUrr9BlCg}B#Qku^x!bFv>RIL1?lHATrIAl4mqn!
zILfwEO~f15Z1K5aKm*Vx2{(NRqqXbhOPQ2$g@vaFD(`y~M#mjEzeL!E;MU~m?fZoW
z@2X%%u#H})wI^#ErK-RO#pDVpr8B$SSXTkn@E+KiPT8Hvj8aeLDRRq(DUpkNv7E-J
z-a&rAe3x^I=osKl@@tkcKtYOtbk@hy4*8Ug2yL@o{mAO=;b~CJ)Z9mXxhO`>U>BDS
zyWNioV@AUX5q>h+n*jo8;JQ)d7A`Z%A<bFYH7yXnFZI}w4D95TI92|vcv8Hk>_3Wm
zAWTbGZV=He$DC<%5R~e-R>oad{EeZ>q}Bqen3D%Y1pQ>fF{dRK#{Q%+B}CC;gq$DV
z9@j6**aW}$m!A3nX6Pw8Rj14)oz+Qc-8uyw<Le@PRyUCxTLZEj<1F3OiN|$;9LFl^
z0QSCub1E{1oQN1aws8e-RNBUI<VbAd;TYrM7mpnj3pY6BR*F)9N^p!)d?@`2e3i-x
zLLOA2`Dn1%Wu2f{2X0A&qSzR9OeRnIt3mL~mX7!nf@u97gIBXG(WVfM=3l9eN#2hW
z{KwnDF?l0VC2;3klx2LGQtdUVb>iUyjlrBR3{UkcxT0R8!cCz@F>DdCa{@&O66HUj
zln^fiO_Q1haC}fsv8@>*%)PmtU>1R|Ok}@R1Mq&W&z|3ltx$S&T#|nO5h#2ei4cI3
zyBLk7CVGk~OIi3QApx9?1)vvX{<JNH=FG-4r8Licir34i%fTzxXfj65ueqf!l2YkC
zCB5gA`n7Yep6Yw%;eymvpHV-?|G24>6Rs~c<2Q7tILW<>?(J;L=H*@Qoip-@5Ltem
zRy{?NwUj#1{&}Pfr(YI&hP@PK43(-lk7(v5-GDVD;KqW<;(^ad<Zivg89vsB*-C=D
zxOAMGsLh4Pziu`9gR`&_AD|dF5`vAB3d317xM=!K9=orBYXp_&v3GL8ecb5n-YZ0V
z&AXq%gE6Ly$$YN^k7$o>4obr_umu1r0S)!5ql#U!#lSv1eX^v63QZG^YYp4p`itl#
zhvF83<u*&O&<&Da^-ace84AEQInc_*5w6&3^q9#~_440^J_NBFN0TLTSccDf%=T3J
zI<m$1C2d+RHC+)iK#xV%shgJ#d|k#~Vs||)b22KtLDxDmg}b%LV>+5gn=>TTAvfaU
z@i;y5XsP)Nd$6}r|A<IyOAe9k2=Cbfn^m_<dKA09sARkArzRcPRy#rAe3X%XJG{%S
zf65^9k1FXkXA5}6C2MNaJ>dwP*?-4t5AR5e^pYG4@rtgOE`47uy%748(?0TZXnK!A
z+9-RK_PcPOng8BfR#9sZcpxMqG<FwAc~~y;mM){M03uX4GE5#gB^2#*<-*<3<Nx!@
zZSEolI$MQN!hpcMINZgN-mKdKuD<E}FLb%+MBXu46Pk%_qFpLH7ql5E*C%o35zJHT
z8Sv<Wk&@UTZ^<{A!v6Fobz=-E#l4@5JSW#}v`A%y9h)I2G={^ab!x+gfWOqKG@-NY
z37BZ>(kSl>O!G-W-dvdM_=i3lAE5dCXD%?+cnlOypz!arhko71uP?KvJ5i-<Mt1rW
zcm!rqW1tlgm`CuJTcTw)2jAfOIdJ1;PLj<nJp#EvzFv34A8~JE12&Xo?FVsy+L++I
zMj~VxR@!%hZ5h#e)wy7!1eP5Dg+Ew;VaW}!X-pQhlyBkLdH;X62<ow^ntS-%UOnl7
zI?$0Ye=#E2V&X4r#)4sp-N+IBp*5UW5vV$nUhEkhQ%BK<b3j^m&N{~BIq86bQovxU
zrnhA{7s<bk+=4p^m>NYytWb0LNPrk{aJP<_H9<zv`z`*vRFGSR&2$Xf1SQ?_Rc(UT
z#qk-k`9P)+gga5uaL+Jn?r(X56Ne{BQcI4`7Z&6DQ!RfTtf=D?vh8UhPz<=1tX+Mu
z3#Pcjh}c3S7F!--0Nn4WV&A4lWS7|s!(VUOTblI+I+zZ^WN}uNK;*7V2w)@h0SP1b
zTd|r|m#x4}BfE3*??OR(Z-LG}Rj8OmyOa(FJA@}?8Uh}%ayqLxhe|APrc{A#nKlD(
zJG9k3r>g%*40Y((-CgG%;TX(r)%%xnC$-?cys$@s|D#rK5v?_hAczJsAlOBQo@Y1<
z1)kP!LU`F#moVhZ4QE3SwBRw;iegkCCBjEaLmyZCu^dJUyj60d0H66=26m#VuP;pT
z@0S#_DUirDt}=(zqa5vdF3Burx{J7?n`{Zz$cvh@qgHgTC^3T+eb2~CwnF^?GE^Mw
z=hCtnn(%<-?9w3lX{5Shh)nVb<v6t{n_Iv7tamFY9?Gn9RQ}x8(loIRF=7hhE3C9H
zf=*H;1sgcfov;!rkS=R!T~`BO<&EgB6{1CNAc5d{-pzzw7}Fw6SwiO+{;<+X(~%BX
z3H`lU^uA-1a`o6z!8f89zFTjlo``<&E%`3RwM#)0#j)+Bl+$(x{W}f*QW(W99yWKt
z{UNoau;}(z#*Wx^ym7Z9FOJuw&jMBZQrK6xc_ap+IT5>BIjC-E18CcB_W0`_Rd9%n
zzIS;^wYVMb?-}6oK#S4Q(8wb9WNEp<8D1VubjG_?hbJTn7(b;ev@W$2y5Ll80rT*2
zz`^~kA*bhOlh#T7<Mds;W($PtmDEs0b=inc&@QCj&Wj(cF<cK8gEv1inb3Zii`&8b
zN3HyyXph4yo?eSlE18cAR4AwO9$tD$fF>H69_}J~N9ZGj9{(ca8JSJBzM}H(tN8hm
zDfl*-BRdvaYqy!7-;=+asSpIGS4#eV;<tRL14NzT*ED(gIC#|{{x4M@4o#)9QtFk6
zGhn>27+^em<gv=W$o5e<7jAwd^(aZFq-%KdTgj|eK*k7VYAeS>4ek*aQO=GtV0+gn
zKs3XQ?iB8#K2!3koA@HmNl}rQ)4Ta>O`^_3)D#Vv3bNjw?a!u!7TL5R|FPvKEFz7L
z?E@MqNFm-L7}A=c0Gs{pTJ#@q`>M)ymLk+#462%oN64tFqoW)pRbU8h{ZW|Dydi4b
zKdzI6&B||Mm!~>ocX`OE(<=2TGZcAcrEIL{(H3P@mo9B2<%r4jd|6G@Cdz0BLtX#u
zfaar7sgr_jgog+N=^5@jvi6$`+6g)`$}8nHSb@VJ3OVEH6Mb0zMVyS*4WV48Yo*z$
z`!QtEsC)-2Quw@|k9HxSjNoJkeBcm4EG4{rMsv3xBn^sEG$fvL?SaF4cPW|xfplyF
zJ0!IAHE?)MaUcj?&4u|@h#HXurP?Qzqf4qte8Q@226YrC*<Us<pR0f=Y)lwY$gs(|
zevWWMhY$wzP8A;oJS2h~+&D@A5rD7o`op<@mxP5@*?&D#ASC=+!>;BXLzmRj<_Z;g
zrB7{{Kf?E-Ggv~N5Cx7b@Yh*X7p`?sPKb$~L75)9reZTB0P{7hc$JjF2S@dR?z0Hf
z4&PC3ik1!~Ij9W&%#mCis}5E0(4S%02DzgcUjm0n2Jya8-lyNA$)xy#(RLEK$rjUn
zoljBtOTQF;xjrSYE#E#%P><t9=cV{e@piZr!eg~=BU7{qsR*uR>iCJ?BDM*l=cf0^
z=}}OlV*wBg7!V$ym8+kf9%u(sE*HCDUs9>BgTQm+LP6CSZ@LUA#6h|or&kWZHPGu)
zkO_incpy?A7uqh>aTB=S8+%X=I7C>@7{B<S+tH(B=uxU_%*I`m<v}$$L|YL@USFYi
zo|`tTU@H-L`!$=?xs+t|(^$~|9_?2j9s?C~ByH(YtDK0E&Ss1zRH6&V;1ovO*|@p>
zU#389&ypWGepM6E*UUkZ<!2&aM>Uv>14xoueO=icTCBa<7?W)5?a$wWWm7TQZ*_mW
zh?#6k$ked3VZBIQ!mBl0H6ikGm~ck9(U|nz<i+XX^S2R(Uqg8${SV$pRP39=p^UWC
ztYZ;4Bw7Ny=psrZoG@>j6ZxY-k2OtpVjv<<laP0|5vGlU72$u57j5_8=ZDJaC&M~o
z&!t!>(Byjoh6QY4+K`v0+O-Aw_jT?7J|N3!?KiIAi$tmFU@An~;-KDQFdm;_34PjI
z!}{ry@9JF_0FWgc(!l!R1oytgel5oD(={CjMLUFFJr%<cPoVLNk*KuGKNrx7jD#no
z_nb}^9?J9)t<G?GUcVxbWfbjGRX<9V=7Ob>-Fq~C(F6m&CJPn$Sb41Wn=?E|iI>f2
zB+Re#_7<hTWa1i6#H0>-NYbMR$&8Ob#kp^F#YQk9H>P(r5cMfwtYD+KE@`87LvFSn
zf3$}yCbRVH^nLHH?+q?_DU;P*1hW?|vy_b;9@g*WV4*L-b#s!AHu;3<ZH9fyKs95W
zk<l=*;7)2kAssIntD_@{B*biU9_MY*!mUab^PA|~9e3l9n!4Dd^zqJqRVHctB$E+U
z?0rv3*1D{ruw8xPGBa6IUFcrt>;)C7XY)_0>2zN$xd;}fZyNR8^v)rIL05v|s27%&
zf=*jm8JVmy{|$IErh+a(4%f&<(ZtBKPKd|eCqY0ZNIN;YGM?x0H|c8HOmWe}C0MrH
zSOq&htb;@rabCwAx(#CgOp-iK%t`WjozN<-U8{s^X2KR`t))xvz=Z9q_;WNN;mG3!
z6h|e|Wt*8fZ_)FrGX`IGAKww3od-0tpB^vw@>_ZSk+d|k6sVvx<FhybR~*qO9}=4p
z{M6YM#HHp^O&g*kfTPq4hLnE@6r8j}?bTImB9J5YAgH}EX|Y9Qcb`g;SCpqzF|{1y
zxe5!_m#uK~R?fWZABw)^APdK+iCIo@BMO=8K*iBdEDfl{WADLn7lpyXRy=b%^dL90
zv9NwWa00F}k={E*m9ZSdhBD}}xV6YWFdE@0c_mq|2Qb%oOdz$hruN4W>;Rq=y^gC|
z79P@=l0~&l0v1cHVEzwdR_m3t#lBcpQZZfF*QZ(oxzn_DpL|hJQa=g`jtL|B<IgVK
ztVFj=^NB~~5Y0~$`uuXrY7uxSh3yxcG9fv?(Dr!<<_O6w_-LJ#Bxg&=?CFZ8j{4Mg
zzQJ)scei(@zZzOlTGA~na};ykpvBjrq+KtiJ{T~>s(j~nVl68=&s!#J{D{o-rqt-6
zfzt_o5Ta~uQ0yugaf4j`UpK_9yC;U^M%xn{qNraFMV)?5#s4WWM_wAUR!IkpA+fMJ
z1$?~Rd}XT9fmTq!0FVOxsPukbV<B#3j<)@Et~$AkeFH3anT|iyZn*g>4}I3vSQ@80
zHLHFR4JH9kDjX}7T{=e{*IY7~3*TXeVLd+PEfflx1aVMSvZF)E0=u%fr`yAy1waOz
z^(=+mHAXLiZHs&hrXfv%G%Q&31rOy|Vv0AQvZZ*InXs$d6~*Q$xe``u0w@}KQ0~8c
z42TD?T&L06LFBV;)SwvLbGu|Lyg4@k89-o2uQ^erT;DqQy0mxIHL`)CNH*+)tQFoF
zc>2^Oz*t<NZ6U>Ev{j||NG{ygW;EXa@{TfMbpi}RtOiAW?L>Gt>L@ykq5(qK0wn^x
zf+<o6_ZR>R_Y}mLr~y+cp{*$xe@`S9Er2mdV!PLNGSVC~gOYZ%arpNNTJ>R;>V$ob
zAV^SLeVnr3ay95R7EsNNq@f>jxnBvTK>LVYu^)X&w~tJ23Fb|3-8Z)p5Tc83U0z!_
z9fcKa#RS2!(5}Vzg=+!YeD0$&NlfLv^XKiek?+R`wp^N_`<y-o7do03UoW9Ne9uwL
z#nltsCVlssfPY<^b$3uG3!4m!5c=3Xrgn~?vZAfixdbWoiAgW74Lf+@<HO2wjXm~*
z9pRNZN}XQ4B;%+x4KkoE_MZq#3OvU%x79}hrlbHrdvlNvG>UW}C<d3b{_L7VTLbzK
zXoqQ!9GNV1BmyW_a#Ndn?y99k6??~N3XK5YBy|6c2MxBPGi$u7F)*@X;P&7RWIL@s
zeNhYCyNu<>!BvFrN^tWzeQ?G7=dP@Whriu;&3ShPNLz-s?8NVl-7S6|lWO2DVE5A&
zI{1bof6O$YRdehPr(w4Ua6^Sf?a<|+mj3e5br|i)`4Vnj8@YDIn__C5hxMv@9mr#%
z+v0mA2R)18AN$R-JCAnN1VwrPJiIxt0V7Dg$c19U#B<Nf)+xn2jr1*z!vH%O#CBWJ
zhV!D2qp$`?8h#`i>@5XU{;<rth?y$J@q7zhQ{2>h05VW?p)%F_U;w=nY8b)I+7$dz
ztalta_J4KF9zbK2NRn~Qs=QxBVK3mc?Ign%39lj{w3f-;f6iAr|0-Wxtl3W<d{VWc
zw7v$w6vtjQ;y(-MCX717Co1lhvH2tD;WiFJ#At7XBZ2|kVz>|7qc&Amn1mxl0P?CD
z$b0Z^g3~dSHL7{w2(7b-sjxPNQ4U7H0V2Y=yWREuO?CimE*PQU7An`DPgG)`><sq$
z&y;$`?u2-{<p+O_X}gOSXyblp;~O(Ds|gv^;{0humcOJ-B0;T#R|BixQ!A@KP{10E
zSCDeKUsRLb!EIkT!wbsD0GOP9+_R~?FDv!Y`E<qV<cG8f_zs;Uj9KD$Pi-ztz|4QS
z0d=sNG6XWT*y<O8ptz<HoSLobC)e#vsh7A@>O)2C>W1X0!1BoO?@21f>Cb<{?bkTv
zz$->xJ?E~G_*T$*%eA{G;cpPNN-hfL8w`*Vn?Tk!szk@;1@qgM0&)1e*Eoi;rC}X2
z9J);&3nENbu*dEiF*p$VbjmoPrwKN+yGESzhW;0^X#B6Rxd>$oV3LDf*lIZj;-qSS
z+Z`bNPe&&Es4!N9^}bjMTF4D)jfm1RYpA$ho1p?e62b+LMBg^mx(xQPJ&c(jW4|2?
zKdzcsZ?AY$Q8-dvE+gTl_Ix-PB@5biLh?S$Q3oiD#BEhdA|qKo$K>264QxzFetf1X
znasYtCYyx%W*iiyzqE^LP{3QUMET!6ke`7=d$)ua>PMK<!voKa%wc$&@99Vhze&zx
zPM5M<z1q2OEIT3lr#+^NQ^VZujS(@HU5>qE9QLOBZ;B-{kx=RA!{CbDMsK8z^ly6|
z-?Zr<k&u^+LX?GJM?p?LHV*nB|K(hCn$~^G>I}V@L{~?>B-4dSQ0-c1O3HM&Pd8L-
zQ8D1kYWyUWzx<(ZkTwG>9a+ia4pMyJ^w1MNdv<E|^=C(#4r7WR#GRHiC8L5P&rEFI
znw)2Z<STSVd&|!C{Ny^X-+syFlK?a+-yF#W2z>r<sb_f)E<&?yAkIAkQpa_&0c*%i
zm{V*%DCk2<Q?>VnTw={P+G0~J4Ft$3Hg`e`o~0799+7F26H-Km{DG;uC<#<ADFT}w
zYWnK4u!g~%c7_2-%PS1yZ$_aKmr+!kUmt5Ihe}>;KI=+3W@!9yZ6q`8In%9HG1G(+
zm=W1<HhdXUYh}FWMYT#LKP_5LI9aZ@yC!j<Z@qobZLAiz8SRf@9&(De5N6#(#Qus(
zTWE6_FWI`G@BZ+{ju(LPGQ*<@7G9)-1R{Tkh7RP(;~#kx9j15;2xDpNy8rQ&$54Je
z=+FJUl~k>T1&$NKQrsRp!`$}=8;8B|b~^PvX8*~3Fa|ymB7Zp==<g;3RER?G2;ZJf
z1l4~`RknQdLg`71+T<8%C9m(ER|CBRII>-ocEmcjLRULC_AWrU#H(uU7+cU#kTRGF
zF7z|(VNYSN7H!RExB??&TaK3gZW5An!q(2j9|_EWxg4xKxYY-@s9hB;sQYoWlE+vB
zTm)9=Xn`iZzpu=(G9wQ&Ehl~Tb#wR|NuHKXD-^t@n|jxRbWPJP&HD(0_qVd=5FurE
zR7kACNddG#=)4t5DpK|}tmK}90X267yiv!il`bdvFo`*6djL$Vl@o!72u`}K+nQz9
zwU7IC(F8^Y56d-g{vkQS%<+(UdE5yly$*2ow)F8f*xCgFois>zD2ZjOA!s%AXGn;4
z*{Qgj$0-K(>*Ifq&#zE__^{2K@@Oi9%Hk%B)#-xByyu(cD6MbQI2GR7s0@{;aqWD3
zx>U_0VMQvzX`-R2vE4L@su8EEV*#hft^jVHk(>Zj`7(qCjOvxqJKdq1H+n!<bN|f#
zEQ?K;<OR|XeNW+b>s`ob5fks?+Xi-3+Lh#Kt6Kc|w}J}Flay98-*uPNW~4ipERfe?
zGpc5z1TuLy=*lg`nFKk5&c?m`to$tdDt~{zE1SZ}Z0}k!iaMWlp4zWlMMPJJYmvNC
zFD%_*)xX<Bzg?2(-`fdSj{4LjE97rU0WS<`F_XacSw^GY#*vhC=)^oJ!ws2yyFrRq
zAyHg$qE=~L2fLQ!*%37n7sYspnhr4IfxUSsYxkD{fOa((s&c~UlYcaUIQE2qR2}r`
z0sO9UH;vtz)@O(q@c;g+K<&?+Cv6(FlEcnHCIO2o^WB977xySiiUO<THX##xc4P8E
zaPg9H>v(WF6i)Bb3`+Fo_AZbID>h=6lO*-@b%OIE-r*I4KgR~jF80d(e^y~kf0WV?
zYt($LeouAGbbEMyorq?%7WT2q7`kriglij;a8<OxR&;|b9&AMh<nuQ6RqFi%U0cZO
z*-O7ovvAR4MBOrT2mbXYDg6Sl2?lFa;O+4Vi_RzYQaCx{|1hJj<qjGn6Vl*-%I{}W
zt^l}Vv{6DyABT4%?=O8rTK(2=-GWQtsa^2A3D=ZX0Wz`T22LYlU$o{CyZ<EDeP2~&
z#5Kzs_+&L;2!!|F4{zVa51(;>#Iwb{1Us$*+yiqrvltohafE9%$ZMGb{z3<rZ20Lu
z3#qeLDMmE~_WoLxvr81}$6d7nRG!z1Ut}}OQ~7OG4{Od{Te@n&R~If}{or>n(@V~+
zmCs%t4eEKu{DhYvg|1&_NSF`XK}0#(z4fvJ%p`uZF>DpfPdOYZcBli`LOzYvhOE~`
zuk>K|+6!6CZ5JJjJKVh9u9j%M{Z-7>Lq0QM63!_yG4gyYN;cLBoJ^8f@~Fv6A-)Kk
zR#p5pxb@qMd=bCq*wl0J`jl&y6JIebvf@e}G=(|VExjP@roQSlUKPl=O;L(Z3w1im
zVLQ{IMV|H2nNOezW4b1RW-9@|6F7V1D}oK=O51W+aPDxsO$@pXp~@6=i_apjr>!dl
z^|YXDy&#`F<SC>FCZ#*mOcd>~F3KS5b?fvRE0MF?x2aHhMeN00o2ZP$G){hYAVt#a
zMRV*53`g!Udfm)HW}WR4X8q*;2c}<TG<!5#`x5$BO}b5}@4MJMElZ`9%y7(t7}-#@
zI%Ip{B@T8lpz$#tMZyo&$0m+MKZFTY*@6!|cx)Nl+%r2W4HOJ|*oe}wO{+4@AecTm
z)@iB8Df+ZPz**?|{_vQcIu^f+X<JF6I*n@qWS&~FNb3IggMhdcQ{Y^nt~0Jnz5xEr
zZEQN=cNE=g9rcbvMl)x}kKf8(yO8Ug=_kd#P7RFLRnL7x?^&0E*90_Es~KCKn$nq;
zSFM8a>fv|?B!y$a71H=8eNgi*Gm-gOE(sm^j4wDug+qBoS!+GnjuI}}{4!iT?Jkqt
zAIdf67ztr;BpTvq=z=9j^HG&m<YD2%&YUvPS|)S%SpIp0jpec;NA7%~r8FzNrh+`3
z%P<Rfat9TMCl2tJTppB0f<@HN(r%C-SfBC-KRHDe%r;u@`g63xj9M?ycV>CSKUal5
zsElLk-y#XrG;<g{qj!@^3mL>QE&X~U#ic6kqjLfFai<<7@b*KD06(HJLSc>Gb%To^
z;#8Z6gDl5b1NP;uE~MdR*^lqzf+5F7h-}cU;dK=oEk{TI`iN{Xaq#{HknW-kil1}R
zZGG6k=JUM2?n|5x$3mFx5U&C`t4jc|q~qaS;La0^Et)HgqMe;ZeA+29E%IfzPPrgo
z1@4}cj#3Nf_1ba8FG4{G<wsB9CY^o`DpYP;YPW651f!{Rf(cpDO&pXP;&VUhkP%_~
zT~%O89t@@tLSc$Z<8oIMN)SdAz_b8-g1BB2WKv@YB;Mm-n_mJD&-`oTKQFwATUq*l
zIgHydYm}4{VZXHI9nkKhBIjS8$+w2w7-AG>M7h^pqiX1wUs;);F4E`7b}qQQE+(*4
z63%$ritCTHuAMG<<iyGRn7>9LVx!qhYRn+Jzmm#Gf{QeKVTU3*&d@e*Nm^ar7>Pd?
zT|f{X-VN?=gp}#+;AlEq6Yc@9RjV0Ub<z$;5Pj}J|M0um>q4Aoc5Haa(A&L(v`3rv
z+p|}WaFcINP&tqT7ef{K&dx+)pP(<upNoss9@>K<&c3-Mu|sMOeS7e0+gQc+;)o9m
z&@fFQd6|ZZM^y1=hTy2=)1W7EH^_-nDLFGQzHFc3npf85oZu#NZUwjRA#5>YE0mJV
zNY&*08fj;Qy`2x({iyW{jj6}b7(eevi1ONRNK2inhrRGaek!6j45#rOYcce5#z@+k
zmx4!OXt9h{)?H)H$qtKHH1QbXs!7QL$HU*+m)~I*==w3g;jpm8`5~OTZS+AYY)eqG
z;%xOrFg%Q`u1%SX-R&$OeaQylk<h5La<%4<;~J5-nW%Dg!!>g~*K+%h=D}O*5$8c4
z##TI%A<9k+U`>~w{41Nc2^~m=T(nh!h$&=>WsivV8}}>D72osl`32i-*Xg*hKzI;T
z8z*}d9<Z<rA$7`Gy#2{!H*fikNQFi1rEHFvsX9hK-94CUBr<sEQSc~~ABi&5YjSy!
zkvqr6MuDf5D=6&X<Q+~Sx_(;?B(G#pA0ucuyN1XxSt1JHqpW`QjDQG$#OQg7PmHmh
zxHpomvlWSz5~>%%K2VOAm-C*@u_%}X3~*Rs;wfNmpa6$`G$t*h@p2hBZB~qCT^)>{
zK1O4lA+V7prb$qc9l}C0*Q$j<70no>y6ra+w`wicgf>o-l%#iH#fpaas`I{*J&tu)
zouX%s4i24=bMLV(pw}#ydxkj^#){K6gkj6!!tl&t^U(tID={n`y|5r#NahqDHLUn?
zeE~6GlQfxHVs}YD5%-TQbQu7SHD?^DszR#l*YH7@X&r=4NtjF^CCvA+2^bsq2(tgu
zp5bCmbcA3urrB=(Yl?u8z{Rj%mRzU@J*8(@b3Qe07~sS=X_;z+Ir?WeX$2Jn597&m
zgEE5R!XMIMB?L^6=_oF)#8ND1VfSbi`Mq<!brEV-{Qw1BII{I;J^b1SapR;%;Rqy@
zazJR^kKjR$Gd9qRlpYv0aLr?I0ob7pCYr9)BqbPZIN31cc%O3o!ss+mVKIB9`dv))
zB`8oA^BuX?hF#!x)pg|*AFQ*barfhy>L-01tJ&(Z`M=?cY<$9C72+#VXfy*(g0QkY
zbw;j~Q>6>=2m~|5u4jd&)lkI?D)AH>GXWvczq=kBEvUVp^Gv{v)jfj<Vv1Nuqbsq<
z2qlAXA8M@!e!V<%5AY7fA>n~4@2rX>t=csAyKF>kYU#*XpHU-l7M4`g5VQm$FwR(v
z$7Z%hm-WG`M!B@d3ASChfc8@?O-H~J>00A|6OI-6!;DP~LS!4RxMjGuf52+Vf-MwG
z1&m2Y-+!j<^CJ)F1lxcu=Moq-1)BVWh|{>O6;oWTq~HINo?%cKBKxV=MXFK)INzUg
zF!=yfSXJ{M;Y@xM&jTl-I!hnH*P)-{{STM54vPyfA!$0{->t8R8t|#cLF#fAfzHpO
zpM6PV{bVShGxPY3j0p_yr$WlH9mdE;=M&NFV~ujR5Jwf`I<29h)a+0@h@pwSe!w#o
z4Jyeko1^p8a_rESZPrVFWU6?r-Ij3}7EzSZP}7X1z?-ab2Ztt^*hM<PL0ziyiPkKt
z=eM*;7kJl=Q7vrnaGl=V)Ft+O!VP8(e}Q+BF|rWYc{J>y-I{+YoE<3;D^=u2K_FKm
z942X{IE-|~dOYOL3K&x5s37J0l*KvE<-GEU9Pe#E1-Ud!oXw?CrVn^u<f%ede&TOd
z<+|u!M6+10K&S_R&HVFe<P>pv<Zf=s5;nc^QuO+;5P)f9TrmKq<cUrO>Gf^lZw_1A
zGs%4JCo*>$WFWqjU=-GV8$l$JK>I*J)(0S+2_A-CVs3N=Bcb>7Q-p1|Vjg3I03(Zq
zGSA#sh`=truvl`CZXf|ZTYw}mzt;UnigJQ740q1JA;s70qwjuc*(c3W=4H~LV*3;J
zE<sg?*N}T7P{8Hsk_g>VNB%5liDpH)uTt9c)tE@7FHak`)3PeGbyE<|FM=Ux?qc@#
zQCM-<O@(yV?9zPD#C>Y@Jl{E!Qx<bzt+hq_Y{*F|yK_%!G3V=N2h4MH5}L1Mzcm2|
z8OWf@Rtw!c8}0%dZ5?5*O1i-@00M8IF6@-uq@Sxg@iC00u@aMOeG$jRWkAz-=Zav}
zT4C!VZjvJ>YorHVVVd-VVwyF<dcHU>Z5yFOUIBAb-{7|b<ms^Y8Cc!JfEw!`h;f@&
z10oa~?>`fh$ITe@8C&RC4}QB*=0hjiPAdeUnegIyL?la&NKtpTd89plWF4auZYEXd
z@P;|C4`5A3c>T;)qfC1`?o#*}C<6q!VE=e%^}`!#ZZst7`ko#?&S{R6YFmOlG6a~*
zwiSKjR$xQqL=?5h68O((-%>+|^MT?x?{EU~jW`AWiG|F_(U1EAd{^=4`5%4B9#fB5
z+0=8!tX*qb8QY^Y>&{VD)=9<BBUy=mo*ss%Klih6C^0JM_>BTJpfO#sUqe|Ns5530
z613=$>+Si_nSd$Z>MiBc<9~T^lO)EbFCj>Smy~#pJT18XLy_LFl|-T%{7GjVq0Jg~
zs~E(EpwG>@eB0l6AI>dj+besK@AfUjn$6VG56lMa{NbEX^KX?9ZIw?oU*;`G<0e>h
z595Qe<}pr4SP*#p@Khfi#}1*nJ1{KP0!A?CPjJ<ieQPj>sAsv&P-?q4b%5k2v(Ux<
zP6!l`D$C;_K-6nC&^iQ11#4oPu2I_EwK9!lqnxRGfB?1H$g^V)1Yh3!V~6vs_Tdip
zCj-@UZlh-mZ%+R@BK>8<M1Yi?UHz()5@fB3K1UV{hgEt))Lfc`o8*_0g}(b&a!^Jf
z2ZTDF;cm>@@|r`k+Y0Xp--TpXh$Vw+(pH=jjC8{@Wta@uCG-44raH=tF$v2tYj0ep
zS(%!WA!{^ifADZCPxlTG-&$!oO3`j4VnuiDlm0VaM}^ig9J^wWTu6L(32O-lhP5(3
zK3PmElpb<}%t9%JjA?xjzI|&^@sSgJwt{N>fwYZ+pQ;GWct53^Yt<eFbf47Ut&Lg>
z63k&1Pm=(gh=<pNsU3}7YZ?f$=8+WE+;n_rF31OQS=p7xrjv|0=3r^@YD3$mJ?cO_
zwTr*^wl%F==yD5^_>B63W!EG$ZNPdv%PHm*NsAy!lG%VM_0(;~2R414xoR4NT>|aY
zt7@(io}th9d=ExH@Dh4AG(h)A6h%leyYf;Zbc%h6{Bm5LnyQgy+KQZKzwP#c+x*M3
ziB{R%kIE8@C)g`}ES<#Rb9$vVKAy8&;bxP>juZ)a1-?_J7S#Fg1z`qH<bwsSksSEl
zk9^SKd*|yQxeD`?EDuxhl9ZlEG{b)TFpHt7w@NC01oG@wz?RlkcQ*r3LR?}Wd;IiF
zr)d|*Rm$LAS17M9IrKmC>+5>_iXd^Ik&?rrva<4?HDSrBk19;^jvLZcLKK~1pFQd@
z3PfB$@>>w{NL%OkQ_p9_mQ=(qTh@@KVvE(q+JbwQ%xl!S-om)VXIZtD)TZvS`>}kK
z&O3X%tHwOgsPr-LgrMctfvbtL;f*wB0@=<w=elVMZ|2jSm@j=O_(LLdHPWl+vb-IM
ztn|m~Q53z88+9_at|u#RlIHQI<bc~9Cu3)8*YpP5=$9&vX&MJEO3i6f=q}~qT4-1#
zbBS!)Kj;%-+~Y1->s5dU1!=8-%S-@-9b=}pWZ~DFI<DSI0>&Jch@VypTuy_65cG`=
zJAkYzwm8{a@+6u)8`IRznvR!<L-A!*9P+?{3$K7;=s@9ApC_Z|n3k^PyVUVq<X?IE
zv{=O==eN<Ge;7%P4>EN3MysSj>GPid`8d(lDLq)V3}a0n{NiED*=&2lCnt6PCf%OW
z)Y3ZF7l3^O1`RiK;$Q*&nhzzuZ%Nn^*W;geEUqR*KbgTjxVS5jzwtl{J<*m(@$q6W
zv%t_}I&0`1a7=!2h#gAcM7~!LVQwDNNj7`Try<SiT*}P(moSdwF#!0>RY~ZPlf=4b
zJF3vE;m0`$y*`}e{y7LHUu;_HAK^@^_5!xi7_3dY#yb=7PBLF<pH+TuXcZKLLb5f;
zMoF5-Y5Q1C&x4zUBsX6|?dQV!U^ftl*{C{9$S(PbN+Qy}Bb8s_pMwS>k^%lS^1jct
zV+u(Kje`EfX*{nFN0;qEQD;R;T;i=?GOjzS85hIo`wq`VNmi9fE^IH8>B$r#+sZ53
z%jgEoIU+|Keu-Dbvx5jq)Sy-hnL$0I6cyIrw#MaS%23ZMOzl<{_mx1oYpPpa!gkmz
zZYWeDFQb7m(o&jeNtmtszP^`@sAw*hKO4uym5yqh(3X?3G)WZ`g5tl2m}gtlgzb9P
zuo*5+p%Q23<%$}DS(~VZGMZ&7+Af3D(P~$MS|9}`*sb<*b7d|%izf!HTj$rB+j3iL
zjE<gB31s-`&qJJkqYw$EkS^!s(ZS9&W=|JZR?&yB0}LmP4gjOtnx;&DcS~9LT-_xQ
z)tmNX3V%;{#49wP{V|MsAIEaC=>_suhu2`VsD3~XKqP~#iU+_m2;sL}wp?gpfgc)7
zPx>AeU-3WmT!pA<3+gjkX;6v&Zw;T$@_yasI3ifxEdsf7{3W8FLRSz6|Ii6oWbuX^
za#Z3aA4liDZvH+=gS~?RZ6u2#vE0ECO0zDaPP`%f1}X=Gt06~gi4YZ-rc;JIG^D@m
zqXI^<t{Yjrj+{c^Aem^p;R+I`FwV6nf`gdqk%FsqCr&3sX!=aU2mA-u)`MB{y`SUx
zr(LBx>q{%l*bKyHTRmh&2-a*6_!WcRRX>wLj}rJu$*C9kaUsPQ)v~#(&GH6#vioZ$
zyN=Ns?OVuwJm$2#Hg*uF)1#-=FAjK>KQVv>gTcmxzy2sI!{Abo^vR|^V_|AV@5z%I
z4YO1zI9lcxL^7r|`<fz*BtiJ`wwl^p#Ua`~L6ZG3=V5qmqaPssfkeCG@^FWTfpI!N
zYOA`^Z>bICgy`BY6fjksnoc8qZN^qrR4{jNrb_OxRSI*%HU#tUVe=%I#Y8=OY^}xG
z*C<UDN*X<;k|(%s#rL_0b%{rgHE20M5j%i#I(^Kt83bAkVW3`2|0+2|nA9q?yk^gn
zV-iX4r$+czgaWDrOnP&c6)Zy?y7a8QA3e7QM)*-HDGjFqAP=(-aXW(W>dWO#!pZ91
zjg5AfotfKufOr$g8ReO)MCfp9F((EWhx1<o)^)&!f~`2CmMdI{)Wk5EcqVBQXYnmJ
zU9UniyLYPNX#17^#*0k<c$sK&9Zk6Zgsc)EAFbiZ9DZk<sHho19dDG7$Eo+%cgL$e
z%VrX>7&&qF5O9s-<2Kc+M>NJOQVc#nI_&KF1}yan>`5ne9C^iw`PK|jkO*L0Qi^2&
z(}}t3;T$BGOrbB(vlkgrzLS7#30TuA=lEy$hjEAan-AcIw=j2t(gM^ZEp}<4N3m=o
z!S(mx*%$*HQHT@UBCVjpEV;AVK#?)+s*<E3*{W3mAk%eSHI!Xt7;@!h`>RFC9Y7XX
z@hTk!;z)PI`Y?7Wi6LtPN-Od7YoB8kwve}%45$Hh4(8R`PNO5SEtA&>{-)}cX`Y=>
z9QSYD>-hH(?Ex*QJaR*G_zkF7BE?DA8ZTy&iII1JHQ}r%kC*Z?`2YZF?bbUVX@>(l
zv&tl@{oIt{`CJaDs@X1ZX?Tkm^@&#Xooam&(FX|A0Y$ru`=y&{uUpF%*iFD?dB~AH
z$F3j)Yjd<|3ER<z`<PJGAN|Ure{JH8^TV9ETcZcif-x(OHL<!I9a5ID&K!nd+=i(+
z&V0$;`oF=3*vz~c@cmwih>X6|G@%komwUPEbvPbvtsU`i-YZfY!9B(&ztG|dco~!5
zs-2oq6fQwPlwvwxl5D<1m;Km4sA;V%S{%VPU5>n8C%h@Mjxi{;s~5k$-W!@x{Tca4
z=&Qp5gmAq3Q=uA596^%=>_=p~5LdR>Ph2HPY4IL}%qcAFx(jsYXX|EcXr3Ar#lrS^
z3F`tt+Tt;IEIUcQ`7Q5c{Y~l@i`n^mot6k$+$_PG?!E*6L4q`KLa}60@rRY4$AoX{
zW*1(JCp8=j>sQCVamC}Vm#hAh70L_@LYSF@YQF_fz(!`+0Exw=22IKoMHx(4HP`K~
z6czKbHuQpImY{cuZ1{gTkcnn1T9uSB1UJX2{)3oEG40<cikeovP)LjwyAd6GbfxRE
zkfwnA%dALDp`HXxn<D-q2V0$r?c!iGb;@Phy5QF5qKk%c=t9<5et@&9V10848X(o0
z(iH<25y-=!aYS46e58r3mAR2Fxbv`8v@f6@Mvo7?8%emxjN>E3X_JhGSV3a|Q~W|g
z5WqjEkY5=5K)a=;8gY8rmwH6{47jql=R=P_HC5wlx_0>|9hb>>Zz2_L>#~k)KPqwm
zHLdyx&&5<%5ZC(>e(MLQN=4Krs8W?hl{5!|@bB)keZGUwCzqh1(8O(?uEs6pLA*%s
zl%0(jE#j~hcS94KVfsI!VCE4K0@^N2&6Nk?(Wy#A5Q_Gs3Cv0`Y9}>6I`H*WvA@X=
zpKvj;Q?RkXveK<nx(PzKg?ypX#h4N<z+8F;7wP?j+`cE(CDJV3r0=2iR-jT=Eqx2<
zB3rZ0LZ9=yJg<o^WaIW_kXq4Hc=0NqoY(PIvcqZuMdSBERR=2Xp^xgqL3}n`S)|U4
LPe9#vRsnUFIbM&l

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo_10_100_1000.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_top_wo_fifo_10_100_1000.v
new file mode 100644
index 0000000000000000000000000000000000000000..015ab5bf201e76ede3d2a8ffc35907545d50dfe7
GIT binary patch
literal 39344
zcmV(xK<K}V6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}V7RBIr)D;U`h
ztg9e^n|+03mZ_fP`~>!ep^MG^2l=(N6dj)2RNT?>=8UoZo^Q(WwnnwTRMkddU!3kH
zFCO#7T&y&$(3(r6^)aZEh)k(<7q%^@UNyTOQlJ>Yv1zXnDSAN%s{#HxqC}SuFR9J=
zSna7r8zqy)Ohi_vlhP_Rr?Fhu<Gkt$F?SAk+)fHX&K%BD8cc3h_g!u*u@u!MOvFPO
z`^WPh_*{jfdJU`_bD`m-xe&5>ostM`bz;}Zw2h8MVzm~VI}*Z}-eFG5zp#~VCQx@;
zS<aOFulgHN?SlJ6hSvz9Wf%XI-ORju*$(VYRM%@CdA*ZvVH)=$ewpjp#3kT5(qK55
zXveczDl|xQ8$A60?0_$p{#K|PA11P2pW}aYH`zZ+aV+{evaJ$+%an=b$#_qjmF<1U
z1Vv8Zp;pe^PWLyKf4=9E1-s8&X2ZM~0<wZ!3~Z@yibdJa@R|Gr!Bsk(M1L9>hJ^m8
z0S05i?<cA@m;YUBL8L2!mpY`TcCg&kq?&eCw0-X%Hwvv~HGI?A1jt`wv(lRl>FzUu
zw9DQaJdZslzX6~k!W@>zJuN6;_ja#G@?1~_vO$V<O!hS?-+dc;Y%^WKigf~}h?wIj
zEYK`Ar$yw0OL9=o;wyX+_c(>5xVz43wX}*^$j<jwph<&$4s(DbarZ2gByF((<6C7$
zu53&ShtlLJbic636yqSYaRkq7eUS>tDW`GBv?@f4Gbt5Q8Z1Wx?x4wwpjI?a8a!hj
z{=rY(7EP_&`@NlAwt6?*P=74>N$}U?gs)Tpq{iIfk-+F6zq=)2me+Dq@4s=Fn;N6p
zt+AZwUURm(5J2cL!h}p#F>hE=fb)?epzKFnx8eL!fxe9L<=$hdNl%Eo5+$~+A&^9!
zSh`mqX>eI|jc1qExQcN3N8hn&Qg~#ku5t+0B_7l~gT_FDY6nCPjCQN+;UiVcwB!D%
z!Le@{)l7TDl0=6=urfeUbitNO*eo}3C4hnoy}s7+JM?{98&Ou*@7qcL8<^5X@cmC+
zKme@B?t*(&rM-Gn1_zwVz$9dZJ7Z&96M8mRfwafs|EgY8JoG?(mdL#G&8T{1u;#W>
z80`$}m3%Ztiv8|Ck<t0@4vCH4FwXR$Th=s#dDgS`+c+LVHrADleCQwScWSBfm0J&z
z_}}|k5MN`2QlEoyLKq}1xfu%^x-q}2%rWM+FtuQlHdb?3Qgw9lKWD+ta`?Yp!P~;m
z223WWWk)ch!%Yk%BxVsnc+=TFX>gt?JVj=Eyf^W-1&3Gd9)aw|oU4T2B~EI<!<b=k
zf$P&J$sB%o)d<rX(d}L6#OQ*=ukMCQ&#gZ7!{WM4WQG6XqpAt)j9ROjJ-qIN@ZJ#l
zVB!NOgMyf$mij36f?V-U!qeu387b0!!HU{_sr3qkpPYSQ82nVwf3INSE=vGE3OBvL
zx(MI>qy;6N+aw9@ao4xKktNrFakLXiv|(?hd%L&t$oJ#SH?s^_;u_b)`(OtO5V&9s
z!Hq^sz&+CyyiF+YOon^}9W7-~Vq3gHVknlmE3ubNZ6faM_B)uC!A=62ng}GIDx^yv
zZ9O~_*F?_1QSWeKS+A;E(`o?`6;=^J&^_E1-#6xM@ujrW9;hL@OBw>{vG1IqE~rKe
zRL)!QC-o^o1y2(v-v|d5whZun6Ax7|Mo-7gS$_u3R}<dFbN%bM(+PlRkK#=-gK6j`
zc?ZOdLZ-MP8Y11f__e|raRTETFM>LbuJ?dW9GY6gsxn;w#2zu_pV-}@UqXVH@ROc|
zo=;suX|Z%N0Z#^xuy$BLctv_pw5}n5C+MeeMMB=fFjar%&SG=m+&|kut?Cc@LBpY<
zA^4(;n+c=WaNc$Y_r2;;ywNpv=>Q{M1F-hwE=Qc^wTasQ9lbMYwU#O`;rU2lWh_Xy
zr{i(uLkkr#-T#o=GmR{kW4{{shiZ5j*wy0-S1CXQxX9YGgv})iDtK=ByL;w2{>nlq
zV?Q}XmsJho^lVvYIJ4kiU@4J<oa<<ddFEelTEAkb8OEDV>9?Oq5{$Yw*A#1aPnnkn
zCf2&CQs~?D5&#>l`}vXvYA(Bu{d(KfXFP_VMO0nI$tZv-W~1zI{0aHm==uhg9wu;1
z1@2Nv5TYECV-Wrk4R{Mmx_Le`t}g@r&6DuPggdyks`*@KwD9AoD>T36hxlb2yY~mv
zpFca*Xzl4J^wj(zUvw!{(jeh#!JGDFpyCTUfN1KmC0I`)Dq=y4#v--^E_T{lkU=@J
zPWC#0f@M4*b*B0&4vz2fWdj~=_M>6#^)?mm2oHqoOa4OXmK{aPPbB0WB^YOJ{CBPg
zs$7oiovR_z*IqVRopEnEVF0wq^1Cg&Eu0UGPG5#HnJ&B>|B8!L1qjSOpfJ!R7D<d0
zk9bKTOd};)As0R9x-6yR4Gzvm57%5)bc!Cb$g{BJjE~+y*Do(qg=V22AR98ekSkon
zWmqwj&tG!O+Gq(m56I`|)%0a6_W&#>m7Fl3Vc1_Z6Wvq$@u>48WyUTFSwMkWR3U+*
zDS?2KfGjbj1M_E-Y$2c0kOcbcfW!@Pg#!^J5AIMilBTJ&Y|2x=2TwYmiq8WvPc=2i
z4n+IAbF(kDk9~?*);smPgLh^AU71XNo@MayA&DAlK}5dafSvlqjQY2icRiBTs+B9U
znm(Yn@h|=3$y=>Zy?P&T1I*jJ0;!CAM9|Ni5B4wx0oK((8Jd3EMT(yzGBBOP@Wffo
zZyRm88O*@VOJy+^)GwjI#&6b=d5dwf50t4$!lJ+~i+(qqNQQjqVO?){Up+<W<RKDe
zXGh6eW>dFeul#wp><ZgOZX`hXXmp=mM6S7Pq&gfKqbE?7*A6M#h1qDF5$iN^cYJC7
z+B4DJ1iu~yTF}g6g`@1`elGtiK|cLhUC&ETF>+)c{2M_1yhBL2mux4jjc$2>(VWbJ
zlH-Heq1s|_v7Ai^S}V(^ZC)DhFZ|-@rQg!{S-*hRz!rumuFHs9eHZTR<YJ5Ca{md3
z55`_N$ZY84cohtWo8G4Xn&z*uFG`uSU+?JnB7P+*OmU;8rv}lQ3zQ0c+f^^*8JYts
z(|3^2f<9DKzdVmD*5t$(prBH!WZ1^ti^N|_S$E<bR;-$2%*S0yJ%PXiof>g&Wu@=D
z7l&f1jzrT;`Cj^tKbE~5cL;Pp1o^vA;^3Y*kqcN}g7x}luFe`n0|#*fcHgeT1?7Af
zYw?&XqYlV*rU{099l=VNnTc02P7)4%{4?r_w^u-EJ|vk6InU4|fuhpCL;IL8-IM0i
z5Mp<5JUe(E=Vax<Xb5^h%<AQ;!21q*$|VEp+|F8r88O(sqxW-JdTIgG!8;*-E2@;z
zwLUNC=b|Yl9SkVk%Yi?4WL^#`bo=&)qnI*(=zbMj<6GuL#KR!>T-817yiD{qYEv}o
z649%!m`<dGuK-zaAwG?+#FGa9WpCef%t1!(kf#`T7cg6vAqZ@kPar4`x=K7<pCzOi
zy6wi#zl(5&hrF?0(n`yWj~pB+&tBmlAEz4eh!DN5J>}~)J(=Fpju(Tr9oql+8e;J-
zgQ|KC;Z1g9Y~MTCNq=}GKg7=X)y!6CM;o(Nd^!9Aold)B8Hc%#!*>Iqtj{C_*-PE`
zs=2jt7~zZ&M327c04L@vecj4ZQv0{ecHMRCx)S5F-s6ecs%}!7Wc_r+n=>>Kc2BRA
z+rqDZbi->}G4v@v29boDnFHUjlp<Y6_>iJ9(U6ysBDnK<tqml=<+)51v(+akgErV+
zeP|Yk9ti}mUhOD1z~1-dmsx$fxRI{9F$0LfaP+@%?eQ55#D||A(FXI#?uzcfL;Uly
zFQaK1z9{BC&3kr6sLmYjDj8qN7lFye%JZ^j2Ae{<=UtNx1-Yk!p)cYH=5-!g{BDJ>
z@WZtES_~^UL97XKHo_Q0JF`lq+M`17)5gh8l_&W?iWePljd<F?G6rd1z)S~Gl=b}}
zkE!9G$#9A;Af*u6EmuO6ql`GAoz(fkf@uDX8my)+2kcX8NuzOEAB5<0=i}G2;!CV2
zR@OxZuLMj-ZB=#2OhN8Dqqtl)^C!o<W%&Nk1-n-Aisujv6R*yghxG;AVvfDA&Ld%v
zqDxhI^?7Gp$bY!A49hoBVR=1YdG?$ED_Pv%a}2SnTL7f_?XeV<OM|}E2Z4A?k36)Y
zRhOW4AU;oHe^iVolJojJF`=-EQ?@Z03y157GXj%e>#L2*GcxFuu!_s`kkf*ERj#bM
z2(&!C8E+9fny1;aULMF$ki!kuX{=;WinmS&$#MK|c6R~s_Ca{gj89`LSYmV_Z0`(|
zh7ytS);lXOtT5gv97W_x<7%+rw?|HHJk1vgg%Qubo5$zs-!|DN=q#X&oc#51=j;Dm
zzD`6wBz|?mkY0oo-X()CAS4gOTWXO9<Bg%#_d7X7l?CB_b~1N;_B*}(LQJ_LaRr>&
zK=h?0FZ@y(9U32kJ=>P`Ky06LhxZzfu%P>M>4k+ln+9@%SW{8VZ%47Emp#cIHH=O8
zhco}r5;X3hd=?YYT5>2C?^{Y7p>bF$^qyGRW^%~HIgCjbC|I*&oxFs-G8po2tFSa*
zqy3iIX3jJK_~$85U`XVYlFk>%>;LU3=)4R+TMG{{Fc=rljL+5W<3r@vdzsIfQC%Q!
z#rtDg2lWV1hOs@VrrY({+dq`S7o(#5K{X+;lh!Q|(CvnH3*>O79+or*1=vF;2Wa}H
zr#ljLG%<3P|M&B;`W2#@EfBK{YP3>`rptN1@ZJ&3qAgxaI7tXkQs?i+tk}~g7h}Cg
z>MMyNH#@Y8)7x`d%5?%~r`suco_5+Ct}rVM=w~z17mRmlo5b1a2igSdPv=H34N1vX
zE4Ew8^Q;+UK?=?jsp@McU;2mP=-jy!sAX+R%P4h`SlPl&p~ZmCx(Xg7N*S{!U<qYy
z#!0P56)(i!euP{CK3U9RK=-QY(WePXoUjs*v!@9-UQ4q!+UK}Am>B=a2_!x7#Np%D
z^wlf;Ojqc6{4_K?F`-`hjP3|Wq`cs`huRMTLo1d$$`Jb@FQvZ9Q;TIoh#VK2hgDJ&
z7>ii8G-)>CVF>EcNWshWyrO2y?NFZ42#!Bdnp<EkVMFjWpsB_BrE@72Q6E@d11>ir
zWaw<Xhe}U@29I8Nkl(;3XLdHdhMNSI@W>q>!5(xLg+HJ15R%wjET4hyw=fBFM}Eo`
z#(H}-+LxA5Ew-3}DF8v|HRLioxCQMI@R^jy^eUJ<yby=J{?Apwk<60j?#3pH2J7%0
zsK+Phr+ZB1WXr}jJ)n_DNt=Q`0xymKhjt(9dY}kH0r<B2oVZP{6Hd?GmQmDw)B=A4
zsVes|Sq;X;jbxTRPrPfC5G0^WBs?3p*4|~)4Vrbmi!tykInSKC!c;u;d9s2eu5iFR
zGQT88`eV~dj(Cwy?UXGl`jNyBNslA^Uho7UE2;j&sZ8a(_;xKXi|W0Anx;WvmLh$R
z&r`&HF|YkoO65BiCF-2ots5}wmaH#DSwQu>rz68N2<@QMM5zWKFS^P@33Ww0TC364
zZdzBt(wr?6PkkbhSSgqkQeZ*^9~0`i&7Ev@)_O{$@{LK@vib!?l_P=Z7FOqn&54kI
z?lyvb-q}25f!<{`<CH0jyh2yHux0ha_3CHf2PYGw;<S<4To5FeKgy1TFHpL<n!lD-
zMg}|zawZ8^@bdE4T2*i)7@z`Ar4bYxA;%sJ;yMRtc`?pHA-4mT)2P!8*@b_Hy9JUj
zT9%z4OJ<kf2b$d8`5^4E=y8~YE1R)t6e3+a0|0JY@u3W|uq4dyS#eeSjum(Skl@(1
zVUI>CQn(GdGURrZiGgD!K`|Eq3)g%Ay=JRtDS2PT2CRROBKoRq@qOq5j(cqEb-_ta
zWjW*_pjBKV#ojz;o=i!x|A4~%bJ~|iwtU#7a7gcRsL)6`fQLv`cI){UFNC`0N#-vB
zxtddVd&kW<CArU=;MJ0Je$YG2bkOA?L>@J7s^kDHr7R~4$u#T8L5NG<*f}bHtH_z5
z7M$-}xvEj}xB6u4AkkV8Z?_q<(m*m771tZGHhks7SgY6)a#wFgfeWQ~tm-V1(hxNM
zDGgZ=IIeuWCiVx>FGg=wz%ji>m)8_@$!rsc)+aORMzjN!3+o#4)&_ni6D*j`oC!IR
zLrUbEV0a+A?XVW+Pec3GUzSibNdVzW_8@<?69F&Sq5dqud}!b!Xx-U&UO1ft&l>4)
zro4eDL+w0+_W432zZ9spI^?0-oOoaW)k0dlT`5e&+rz$UMDt!Vk?OUanjJ<G8!8nV
z^ga-{_uA|QoUCsN|6ExiP~ffaiskJ~-l=mP&|i#Ys)z#^rX|Ct1490%^>C$Jn`x5}
zH#(W2P)f`)100!N|NY%uD`(7INlb%*5s<4u8H81mZT7#rkzU+=sk~}X&Lv0_IOj@W
zH<*0`AJ5p<yjEy5+Mxg+&TJgck%GjWgX|8w9Br!6k{>+VfCw1gZOb-QY(qgf?S~xd
z&B=f}6Z*c|hoa;s`rvtnq`RjLbvNWDX>k3&BLlOAXQ5T<aZb|f(a*C9la*$~0uUfp
z@c4Lyu!pM!x741AiyL_%<L{6X8YO?thO8vAUeD$5c_6UD`)&Y_j5-VX58Q3QptXFV
z)T710o7&2j5Z%kX;m>uxh}k|tu)gxw8Tk~mHc4hp=LxeD);4LKIOSe_myR$jQXIlV
z#fOCYwdr3!H%YRr3yf1BR!m8<Mu2URca9PThY6AL-!KG98UR-4JBACMZx~zfoBC_Z
zQcN;L&oN%(?c5Vz*uoUH)@ySjk&ONZZFS=jnsS)2YNCxY4kKebqY?wY`4pqyPlse8
ztLSmaZU}UAe>>_2+vEgO0=}We>rM8!SNK-{zGo-{@ZOISi5!%<*eku}Bx0XrFPgb6
zAhyb_+<~bp8xXM9RRcACbO_>@f+q1kS0Kq;A#>KtUr5%6Hve>IF^DU(X8Z<3tOvcr
zsy5sj!%nXcZL2)4Em2T)epamS(|8AIF4&<F`+7)B0*(w<A~So>MdH+WElq9XdlvNJ
z@l5I93>p7bgQ~&|0nt&<N2(7j2|c^1w&ihRrT+87w76*0wQL(DryBnJuB|9F^uL~f
zYn`4t?#u#3+5l1nt+on^`IBqGQ3Lm-_c1`xiDVKwT$t84if{t!sImUVbdUY(JKHor
z&6E3r*%{Z~27<JbkvLngSIH7JcdM4S7P|rarw-bbVEUzSB&Owv&>(JIRSCAI<NiId
z30CkWmys)Cm+z>uv%{BYAX6Y4d-Fal9fzi5lc7)FD2hfTO3KyX;y}CNkMv>r&?7H`
zcJ~kT`a{XnHheNJlY{Z&kv6^WMbEIv%{2&_nL#zZ)liABLuT$+0}am$(VFX4jr=n6
zY)350ojn6ViF0CW0p&F4eGjlV`{Gyu=pq-7R*k<rdU1Ez?b@<CoX%_|){oTjAFzoK
zyn{fb;v{jk#V@O8V}rBd{}y6L+3|XM!tv%jpB-olakVt@kl2+Q4=1}oUm7!`ID>If
zY_OpSHL^grZ;eKWkS$T(hGihQ+#msE4_5wL{%M&X(VOG4!xrUBk~|MKd4R?<e_2-V
z*~NiUmI_ds2ZIkQc4YF$Fu~!EJ#1BlHVf{R`r;S-F6=~<x1kFYU>~Qc_8U@<5#v2R
zk&lzHY}VhtMoQxN1PFL&{Bh(bk~x@FN0|<&aQ2n?gUMak5&rq>N*F0KqssO)47g~v
z_iu?Znfh^calkSkzHXkO0pi*E#?g8+fU5npgJZGS$8GbOH`L9pnBsNWF(X%WeW^pi
z2i{ooFlxP$VJ<Qsq!mj!8ZrU<qHRLXu!-!L2~YJf-MU!i%J~Hc&^4p4jg_kcay%mH
zX;VZORO?614YUUH4)^TQ#~#2e`PvsqbBg$|u6Pv57u=%{Fd(eZU_vXo1;7P~G{aPb
z?D>yDF$0<Wmw(g=4Yhj8)RMdDr4Y2Cnk5PGqW<#fG(u!~CSfaGoYs5?oPIXcJEA3d
zSp{UB<_Sz;Ev7pFA>1SQLfP;p6GCHLiXV5bMrDKaqe<3qrQhrBn6$vGZF-mjJ)}?K
zRlsOc*KrKnBKW9zW@F(AUtlLrWV*KWvwtYC!47r4iCKnpV})WtZ;PMeEBABhJ?D}m
z8Rn37rTfp%Ztews(#`__S!S4O0XAL@0;)o7G)pEUSDj6g)O-$laJ_YwA<Hk*C$pys
zuC`yvrzNn@EoeR`D+m{8hsR$nUHU#Ksl1DI%h>>;{Dk*nknq`}*SL-qYdlX?U3(WY
zxI{(BbBG&-DG~^U_!W^Ms};G*fr^-YOspO32d9Wt+dErshxrW&M8!NXM~_9a73xhm
zqKIQ2AYXK|R&{t96>{3t{{`(O`(d^pzVo50qNpARIY7lG%k{U1FXuYI$nz3B8XdP&
z5-s=q)Obeb?=mU8Q-#92NE_IH?t!c|vHs%U1a2@|*nMjD1tLO~N72dB-OOk<&096O
z3SDG|Uy1B=;CyCxYFbdt<O_zAE_-o{Jn&oDpXj_*Ckx8@2rgWn*Jgltpb_$s76bws
zTkeX40!$0M?mJNBk|swyCM^<eO~NCdB{b}W1wCkc85G-8>66XqJ~H+(IVF#4DQv7R
z5=dV6%G`8u2hYrfq-N08GxoTJ(GZ>&OdSX-h?PCU`GYa&_xC&*DddA;=e>A$KK(d?
z&B4lMY{=YCRn-K5IZ$l+R2g7;RqETnmXf0DAhn51FpU{?{Q{#Itogr8;pLvfk+XI!
zRV#NgbpxfdC_c1YU36R3DmcMH1VIBpd7p^Fv8++A%FzSBIuoYRH?BE<S^>Un7H_9h
z(9&MuGC0_VD*yZonnIvL#k!nK?$jooz)9?(<`YR{;HwW2b`F<Ub;5#{WXWQK84PmK
z%{4s-bL1(Q2LmD7dq?%>$Ptq<7jEls`2jHesi)KUD8Xm%r!a*WH`50JJ`h`nA3k7#
z0!xE(0Z47;?uC^hL_3)p%YaFYayH9qx?4ZOu@tdh1*gVHdT-|7Ti`=lXsG|0W*4zj
z-KYpR6s$Qsd~U9POWx)n>+ZSVVpDmDx}5P9_6O$L2pVqO6G>ln{px+o%77V|YGIBi
zD2<`mpRShD)WvokAg~MP;`jBv1~cyH2KD4neOOC@*HpX4ofx*rL=7*!gtLZR)G@18
zXYA;2SvymC2Ge%d=oL;S<%bWz@|a6csL9EqScJHqOAHpAKJSv{;atbI4_y0?2v>~2
z!VtNV6rwZ5w(;EWBjP$|BH^Xl-Oc4@zsEUcU~B~Elf40STRW4zENktAEb)ZyQz1VY
z5};{2o+^gkN2qAihm#vIn3FnoGLTJ=awAMD>eBQs8F?6H>p=e%Iy#UWQN?0&=3yYy
zCU{d=J`E2ii*<P=nT=yELZ}Q?r)2|Gsc^HMovIQfFfE-yDDm;c#)MU1$i7yWUd{7B
z)9*r6zAi?us8S@wJGsLkt9dAV^Rd18FH<-WguwfrbLhgg0aZ|hR>H-r=XC*NiJ^b5
z%q=TD7fIBZfzJ%`)hQ1Tdx%H#`)c03RB}1mrZHIe{5QVix3=-)S88Em?eZp)T%EyN
z$74k6aZ^l8Z8L7Pth$aypTkWBKdh4rj-e!c?(b&wRSGcp6mYhTS-~^m93^#w93N7z
zVN!Xo>y?&A0t9xba4i*{;2i}Omq;W*0^Nx37eQmK@SGVnQ2qV3Aoi-5QDKKh2t_YM
zO~U?<hSD_A<II!l0^y6{49A1s04ZOc301-yA!fm?EtFUS>p7D;aE>RxLaPEx8}U;<
z&j<3GEe1lt=}@4^A9~ZxTcCLQMv;D9ZSNNaIIR)Hk-#a!%`;t8m!#LrTkpIej&0WR
z1+APTZi6#ke`%HK8NX%SrkC(J#fNAx`&Sflw%dC8*z))Oh)iz6!O^EN`x}=v21Ii}
z<D`BAw(;j$x?uN}aoe8AL}4&}Aib~MtprGnsp1_3BRHcHbu@SDWIY<AShzVe2}&}o
z!zAm7vuWM_y=jIh!S{gyO!V?PYKS;{=HDb7u%w3Ir?huwmc@B-2Y-<FjzuL!uN2QI
zJ6-t=#Uzt(awH2996g{!#b|@nRt0+~lZ2cP%|!R2Ct1(*{nIML;iN16I#$F}9RXmB
zAk@D>>W$G`cuDObz>%_n{Tyq#Lh<4iCwj?9Qg6CPo`Ic*Xd`9Cq>XiUtt2iMZqsv0
z(iJ7ol+L=}r=cP^qMSG_ui`9>piV6;JJXXN?<qxrJd7`*4zh`|(2J?hk?;_>kbCQ!
z{5~R<tts6AeQk?Mm$750>b7*19BU!?IuZ1)h*9*mO1KR2y2%m7(!i?W(QLiQWw@3e
zDWX|m0QIbVUO6RT=tlOf>I*BaaIXbh@wRZgf+f?QkU!ou4Z8J5S34h;E-7ORm9{<u
zOS~Za^pL*%*}q)3rikcVs0RQ<$Xr&c-t}rth`{9<p!@+r&=2nUA3@-@9n*Pax-d>k
zqZA&h9T-4Lt2UC<f5Ht6bKs0{gLdt0^mk=fC2iad*Zqa&V#_<4Pf1REcH)&aM{UTm
zb`jh)kcDAZ^)de+<t$f0!5@B5Q|4NYvs%@Rc6O?Og%vhPR#k=ZrsC70M_tD^bta_X
z9%nK)Q*`SZvnrxSZH?&H`#6*laikc5te9yoYw?aya2g#(w=p~Stii5I3iGN?;m(b~
z#1~GZKZKuMPu>$iRwJ-meqj{xEr;V31?;EEbvYbHWLqqqxRh7<4L#p-(MTzMtCuz!
zKiJc90HIKNxFRP@0aqE6M^K2~iSWkcbI@Lw9GKa**Dy9g6YS$(9lB#sALZm2Bw?uC
zj(Xoi0R8uhmCr_)y?InwylGW{qiGmgXhWYOAA8N*>M`hpL-h(saN*B}J9YqK67fvi
zZM?_&qX4bE*~@oHvr$Q`d6%+fk55dqY)QCtxT~Cls~xr4kao%c8%vV$r|kr7mK}4F
zgA(RKk?GPE7$4Ip<yK+u4jPTSm_hsLab=cr58w_J(M-+1Dz4ASY&VViEy-+wctOTf
z^~6KqSD6y%?|&5iMf{urEAB!}?yj3Ea|e?bO!L941P!hdk3Y}_8@boiX(^lu>sgHg
z0$Zx)cMM3vbOQZzEP3UW<4OwFGV>*nyf4@;XS$=@@1Lpnn)N5?U6TNJ^t_`cvlj4a
zilrh{YJ;-p15GsIow8N3#+8BAlzGdI6N+`$_{Xfio8@+e!Wl3$8K+a0D}wZ_>Oa9Y
zHyBisQgGQQn-x-G{*epuzh6xPr!oHUJ?5VaysZKDB3D4^O~(i)<hrHRqZ?1=X-v<5
zT)+9@|E8h;Tnl-!2r3TgLlN=EPcP%$24RV7%u<AmMTVyy%>XZn-yJxHNhEiRaM%SX
z5M?yh>{~Wv+%Ds&1TkWJm=&G3PrL*UTydNnMw*<#ROE$`@dR99;)osx7%uyq#cuvF
zg;UD29xmFcI?QR#s+oQO*-+5R@^VjU%`X*Pa$2CMxmA(*V@st_O*24FLhtJ)2!*iW
zB7$Ufxjrf1-NUT}HbnM!a8sfU=QYT;VS|6GfJN4sq8QdI-O_$OILUcmZtTzMj$?+<
zZqCd4PF2SehIs+R*pZ_)I-g_;uewLs7PU=kg<(4?H>+<Pr=K0BBoIH5(GoXo6J7KA
zjq&8rrcDj}CrQx4Rs5Sk-jLo|xv}r)_k`l-^+u!lHtv?Mv=I($=J#xhR|zz~z_se!
zPWTtRn2b%`^dLdOUdawk^(vyy1i4q5_SL=SvPkTTGjYG*WBMQ7vr%q?LQr0)y?5#>
zxGaMly-|VkEf8GG!uIIwITw=<qpY@MC_J2b(%y)j9bP;)fJdcw_HbfK!*g+f%~cif
zq25jPq^BFNWn?7VW`-!Noqn*5h%q+jJpPo5Ez)cT#G{Vp9_oA`2AOQX1WjLTz3Hh_
zyGV>USzF37<Ci)(H-~`+fy*X=-|tX`aev*gyd}#%&?zW__#aYF6z95WbusL*>;T0E
z(~8ubXJcLuHU*;*Py<1V{bIbBpHlzO7+i+SRVr=)WpLQOFs^6HFH1p!O6{-jubD?u
zshU+Ey6gX!U<h+ht=#lM;>b1uMSt*aa+gQaH2~O%<H4mos#r#`0O+~AA@{kb(vwY+
z?=pD~L(csc5CY*NX-0W}YTV^D=c5?J+SSLLTF`b4&2hH2HkCmI5Cr}nXB!{u$QYP$
zna7rWN_pvrG@ZkW-ui6=G~hn#;zDL$u9Mu|yZHR5d)W&yLBr`Z!TpeeWq9>y*j5OT
zuY}WfV_EuyVxizi{r9Tqk9Zce`BW<0)%ttl5#b><*si*I&PBV(l&>!H24*#*h?GQc
zhJeN8>1Qnj5xg=8txhtFY5g64XWjN6gy(s8)0?=F$Fx?dV$J{<-(+l0=zNHS<L{X-
z^?ZJX*d}19x!KLZG#FHCy^3MQAP+^SaRUrAZ0{IAZhjU$sPd?;`8TF4ebno0&GOfD
zb|R^hovAs7=89v-((Mi6N8tKZzAy8nST5<QvGwH_;g9DiWZO2jvMjx(%%vgrzyv`l
zM6f}xdFT#cuve%dt2%U)k#)znylU_IwZHS*GR_;eu$DKbAW=@tkn5J7(78CIS3(*h
zyL8pXY?2Nr|2Y*uJc3>LqEwS=EH(6<P0gJ7q*aJlJdqeRd$?c!;fA4|@0`oW8T;>0
z-z2jMCYOz=eBHWj2a`n&qg#SJY!IncsN26F^9>-$jW%8!gJwx`t2Rh=jigb8C%~ef
za5`M;^^nNAP(22_1U#@u4C;e>1m*)^_tn&3CK@#fkgK}4Nja&s%7<HJ11y-dhZ{ID
zoQ@6D_TfYZc379$B`SdVY#n7$6D6{oJ=IQh+K83r#X(@e3wjNa!H?v^5q0R>z}j8t
z>467g4MS|CIX6V^vt%ElWld|~9MY;AR9`vqNovd0Z^!26t80MwARgo$-{K}^3J{9U
zZ(27kTF{ieq^}>%*%&PpEdJ@GYyMMbTocqIMjq6mRUD4Ma&=Ftp|f$dr-Fpx*D=uQ
znEo)ZxUgl{i0VYKbc4kc`9tWf=aF?&w8<9x)8TghT&M-A-$}D2zpzV8S)9GWz`lbg
z-)KD`K$vxImCH&z38s(5g!;d|gWMJgI&m?|^VE?LV|#P4IJ#u^o5Kip{t8Y)R&i_w
zFzciNlmg_Zg>Z%p^ybI|X(*KdF<|b)wI<ci>7!Amlw2@ug@8X4nh!DP^|eJt{ttsB
zz5X-k#|S)Co{Y2#^oD;pu>8tWbI3n(K3h@=#Q&@<{Y?!2Rg&YuM3iSIb5Y^h_iM03
z;kqMLyfaDWDJusSU>}S(eUa5~j%1801+7;rzuP#iqgl3Zx|ioCvmP4cvrhtcZV@(R
zTBO+84=_{Ihe-Lat!B=JW+WRlf{Fbo4%&|#S4%Y{sm#E!!wn)fnZHs{vk&&|H0>Fz
z{iEgLPL3URt!@s_<Q1h1q>!LwpfB<nM{3J_7hTI+yfj%ACIHe<l-GoMjwrDqE*dva
z=UeZr5#6bahs?gETIFIze|;=evldI1j{eO@bh$Qn5C74ZQW2NTPniriR6tyqw?jbh
zr}uyX0z)g)s3pj$tj~d4W0i{rlJ2^0sH-~f_K3c#z4TYG)}bO?R4-X&=u)Jw&~0b<
zh?~O=Q~_i?oEG@kwT)!Ym}?jER}fFj6I|xgikE=SS}ru@dF~AMEu4_+rpf*>VgR}+
zwz1|P6-T?orK23eT!7?o<M;pf%8d1w&Cm1lV7-4fwIRRbotA=kS2{OU*b@^5zYR+)
zpzk^BHgBMBOBQ@HN2mDin*Fwg8~&IYE}ZDKv4Q6+qH9YCK3_rFws9F9@*JAJ9h?tA
zc8Cjy%`<!NY*I_fqv}|tEeP-v3r=@pC!Y?o+Le-$U~k?23mj8^0`TvM6Hg9HGKLL_
zblXw;TjnLr*p>>}wkDw2P~`kahu-~)Dlsil5h#Pl+eYMZV1DM1DKMW(dT7Vv5Q>10
zX;Q92n1uP4iZhB{)9(kmU@%;luo%C%hm0k|I>NpT^mqY^WU_I%k~Lc8v5ylF@1b;;
zN(Y@5#l!i1CL>Pe)fd-8Q4wK3MFR~4Vea6!R6T>D0KWfm`MmuZ|C=a8i#BNqtkT}k
zmPiae4Sgy4a2fmM2`8(%fcjfIgQmr0@}N$)=Rwqd@LMOKfh14Y*m_1be>@np0=WfI
z`L@Ewrp3V?ThXZ^IMieiK4S(3iwX1GJ!`KX5LkEJoGycE>0JnIEphS48XA?L+vY?s
zvi^ONh}eg9JBRpyHO3Ysr{GqI=o3W*5B0i`XK8I#C|54biXn@VBB7&gsyadrwfbuo
zvO(%MNTkT_#3P`gA^*LdV;i+W|39a~teG`E2ErDKG3Zv|(%F4{IpMzB&Kfu@my3%4
z83P>6hp7Fx&&JmLtn4$)B*RSaYEW7G_dc<Pk%LcR$R=rhoCqqV0;R{NlcSTv)n(;7
zc%d|*)Df}|kv%&2z3U)d^mup!tuMZeFHG$s*Cn}oH-(-I_3mP=JXPbKeC78e3~;-F
zohX!!ZSf+am9C)Z_I1HO38jl$3&k#`@CD;s;Jt(dXQ!#zMAYzf%`e#aM_2#?cuaEo
zw|g~<02n+b|2&maUW3it7SNFIuI(+Y$jeW81nG`5rbEHmA0C#%h=-OvD30tyf)^x)
zTGN`nHYlTp)_JL6hHR~cmn)QDHo=PV%=Rq-o)Gvb{~R3TaH^Ttl(T1az}R|8gAinz
zq#b-Ak|)R(vhJV8d8fG7ak1Q$?kiV>=Q{K>?m!;Z{-Zlst<s#g0up0qfA=fcnpI-+
z06R5@uKi;OLsvu$XJBK9dn$vAwIaMqkbO)WH;!5iGY=3&egvUTG)<vfZ<(GtEc*Q}
zCao%EO~)=zjU<#{x+E~L^MZHbnfH8J++-F@vh^>%4MJZVeU0nMiD6SZ?`_M30od7#
z?zSSuMeg7<plv)k=dZN57_Ky9wGtm#Ioch-pKw}U3l#0AzqCNZ4f`^7zF6+D-IX(7
z!rPg{Y{x9l>2{pAVD|4v8{&>)XJEMy%E~b-QNod|-=bI!7_OV&V-hgNxKHj3_2GVx
z|5Q$nNhv&KLR!I9?=fhisKW(rKp^OAc-)?CwBCvYY@rZ84p84N?cy4~HSQMq>Y5bZ
z01H5U4N&h>k-hMjv`#>@`X?(g%BUMAe9GQE+11G-|47rK(C6uS`*!6pm6ikRXB8o}
zj>zVXWOJ*{DIWh9*4VJ>?I=*F<~#y>wQ)#twu;oo_sF`AC3(GOusWhPO{-|0$x8Dj
z8beh23To{4aL0tiok?0VfrrU@3YtJ=oEg5>nAaC81l>F}iW_e@P)b1W<9Q5S-~>MR
z_pp$XaNa%~kV$4}83vj#7h87aor(00OgAJxwG9@~H*2wVrLZJ*Bp92qxF)MI`p9F)
zQ$-z(mhEvd$G{-zlwa_IX2(2}J60g#NTYB+ATT1n*;sEf=nA1Y(qG+BTpP3`Tfb~-
zhjro{fuI5<_Jl>GtLD<YY0bcCAQzlU&{Mzn@tJwfCkNg7%6Uoak!L~@C^&*&w|v=a
zVI1(0hUA^vMr>KC0q#-6r5=88G-X5(Rp5s`upmgA%EBw5^7k|^Ph=X3J!L>=UxKUU
zsLPcc9B-9p3T^O0O<wi2iq9GOt8PMmn!J{dmlq{Abo+U8Y=#zLlwKi(oLb;A6}O+H
z;$jBy`U}s6S+MDu?Fk&UddmT3FbSuCxX&b~^UbMPXozGo=f27U(J;7OSm26S=T%A=
zu~E|Oe6jj@mXu1%X+|GZnJAhI(j~#EmIEGu=CF1ILZktGMkMiLi&&PBIYgs7iN2{N
z_flkz;~Q@tHXnZmBMvpZrqkq{b?FV|JA|Mp2-1DXm=YG`BaCNrvU1hSe~qFE4yh3_
zP-gLe2C3{5rQ>O-0>WvIJ4hupY>T{!P+)Ze$v@k@oz)Tb-*JPmZ*B-VHkTCcSSqY=
z&6W2l3>&8ees!*L?+}wDbuiKGn2v1la$Gg~Db_-9x92V?Le7E*G65{eSo5P_(d*lH
zhjn;oJdtGG6AzaWuB#@GwO@kT)&}nlQI&^%4xY3=1~?<x0ai5m-Cp!rE@?J8(d2O8
z?uk#je3D2;Upm3)>>MG%E(52J5oq%$#>2cj)6Jlgjq@YYwP~sT;acQ|4(*aloIY^Q
zxq^bPrKOeaGtrVh+9fwz+zYGmaVk@S@H7jv>D|4I7aiXvqjlb!hE^m!FaDG+7Wxh8
zER3a{+di#5`_p~5#Y{>iXDjvLSqJp#Do4_0IDzzyKtdG>w^<U_8dKKQzeXvyCgp$8
z?ZtL|Gn<@L8w+BJB&NikYcnUhJga=;sLQV{s*ubcw$hf!5=AUNCtu^fvfY@L?IhUK
zj8s+u!^6%n|KzC^x7Yktej@yC1g<Qsmf2e0`gRDrT{5;FL2Ei^KhkMZOX^B1g6N;Q
ztUmbJ*22elNn)s$+DIq}hGf#i(=Gm$Jr>ApB1l4Jo|>v2v>C|E;<^S&kZy5n+i2qv
zAr)MdZ~aN>1F>gZ`tKe8UrHl9pldk^X^K5;zoZ6%yTi^#`9UC81#_lAjE!}&Q7ci|
zR4B{^t>fv~5Eu<9C4=t5She9^mVB$^eb>cg@qQ68BItuDuf<?>FG#JVIu;dGIQSO1
z&gWMK&W>N@Jp;BEy+a7d-P9dEUYnW5U|a%VDRPs7qR8L{KZd$?qh!V>!uIETY}LND
z0<9t$L=nP-D}as8ANl^X#d#;3&V8AD1X!A6=tH-5>!Fjc$;q>G`%6hUR7v;&B~f7g
zptB6)^k`Ea)1h%y<NwUma}PceNksp(p0Csr_?CM`A|%1!o4^f+bwltRk>TZ+OtNal
z!orSccBKi|BCv4z9Avk@jA38VB)Oc%tx-b7A)RUK0<vSo@6Jb-!&<UlZ}4a%$dFC6
zAt&qT-g*pJ)IVo!Kp-WKNsbHho7&$jL2bn3q><3$R2E<^JVZSRhACcmo4n1~B+T!A
z6OXnXlelOvizNAQQ$FA1h>kG#AdatuTWT9~8|U7VWCcFRE59Wfx>@8sse+6#(z#d<
z3|!`zes3ziI>;rkzV%%FO_#R-0g2xh!-dQ7{S%sKaG8~PN{6HjSdu%{zMm%WHh)zj
zVh~L)x7fMB=<=p$jyo2%Ji6CGRwTJIdny34lrO8t+PSfG5cv~@dU0>LQE?%;7<*YV
z@We4dIWGh`<CZ~u?m-+V(2N05>2L_nCdd;peO_~qpu!bKL!ciXG-R%zF~pW;Cp6oJ
zXLOitS*d6?W)FM$y?0oikW*G)fO137DZEnIMc&4%9FG}0-m3mK4)&fN1>u*N-XrwT
zd`N<N_&Iu*fCJD$^wIjM{oQrWk0(p%Vg+&=$Ek{cG#xqnvslH&fXa~)bkmf$)a#IP
zbiTCcCW|%QcPQkWiq<w}a653+Uk<l-{*@XpWJl})k=sS4xJ@7&g{{N}=aoj}E2613
zDWs2_@FL08j~3dQ^i8tchqQ6qyXYlKUDkCt*-rfitj^V`+u0~E0uTe-$rfGMMsUoK
zU=Rw`J^C=41+86LD~DyuDT8IqjoazdSg!&<W<U}+iCTxPr`pYoRPS5o{G`QH*jxO{
zb9K(n5g;#rO1Q$S@wN48d&v1@^itSLMM{=zk>H4&&-eoSuOx@MNd&G7YJRvxBfjY_
z4S{9+jpNzLt^4iw5Zy3mgniFc>hda`$@|C~L2+!mBzo)ds9QY3vjaAAM`4H?(D=e7
z%a)al-lLKFPbU&Z15PP}x$2L{M>1=p`J7@lMp{>Wmu!aeJ>r9JNS-t*YTTM3keykH
z=Yvi|8R%*<8hrz!^wLb<{y<9o?ToG7jUZ1W_g!ECIsEsSXpcTXr9#&G8YV;vzeYLJ
zq~7P+)(cD}?dPml)hq6g<6}H@o!<=i02XWEw`{fOM6)S=M{WYHrez#H^C_PF`_e9t
z8>jy`mo{SM@uv1I=_gK3_>M-aun@9eMMNNRVHk4%;R$yN^fFD|sw^lsb*r)O<7+w%
zmiXvJf6&9P7bLW7kz65G<e-?l*<S$6P&*@syf~N+`2EBDg{lVWkZ5$)WB*Tk#elZa
zPlSnR4FU1^Tt4Q%eDvumS->+RLvhb-xW+kQRjo%eK@*iWbvk_^qEDSrT|Gr{3hd7*
zNBGVo9swQMFQ{bTi%N6(8S=U=k;D=43SA{5XLm}bHdGJ6-{ax%_$u3WG3hu@A$4?>
zT8ZusZN7(9S{gb0Y9q)xh*h%98p}%lz#l&AIeO=aYj!{~dYJQSo{|X^*HGa^gmSFK
z(2`io;ZVysgK*PYOKH>Dy;4|Pb2{f)kBY~GM>sAGITpO_7fbT7cz1j^<h4>1jP9O7
ziX0)P;d+w=Qj{8hW(iq|`62C|1Har3x~%F&Cml9Y2wrA57<4_{FWE~TWtDYm5mWDN
z17YO|?!I<Dc57lPkn|~oEbhEV1j&!{cDL_|J|j9OKBHnSK6-wd;>>Y?XkJVrrn}}Q
zGc&sn6Yol599U@3q^O9JT&mM0JpPOA`$$kQUHR&x8xA-7qQyVXwHI&tLpO`nDw^=j
zW}`e$<P#Oq(B*`v^WC-AUwLbf9^3lGrbVeoG%dCLEQoV>KC>e!4FAF}$1Y)6mQ9B7
zjkVhUlp1)S$xE%u<AVYNfYZ7Y6S8bumoOpp{<7Q7n?qj@Dk*R+$+TBK0lC-9_3t5)
zX6||jVOwkn6X#7+(|;lXj^wcS+t``aGw(`Bj?D(Pl2jeUd^LHwgVQ?vdqf-eA~3=p
z=iHwKDSUX>wYr3kRyqB)7G=*{%}^u#%x$Od;v~hwrgOFGeGDd14p|-A+~dEdWZBnq
zP6VAwb~71q%GY_0xRg-(2hJ(IQcYsWFWbZ#P$LpM$z4<8kT$`@uQj@B=8DhpFH^<)
z-og&;u9<|lU1Kw{@TQaxM3$PX!(Xd;e^-gusSM5N7XmZ7j2gf>?9gDl5UQtMpcGg`
z8Fu28&j!4Sw(uRoba1S`wuH15yU(@<Uc-0Wq#CNy?PRFF$<Hc;%;H4t_^hp19@BB)
zTKoM|a%g$olagr|p*<s0%cwwDL16Rkknx{P7^<ua@8WWnEZ;>&uB-|=z0CJY89luj
zpZuxS=+OP&Tc&AFxXLne_60YmT=c*cf9(O?0m-iTQ9feO+bQzb_cXCV!%~6rT;Vro
z_gmZL{qA97Ce9>CK;a`jFS^w$a3d=#3K3&R14*^3NE%5;_(Mp{lA$cQC1?oqc!5Il
zMtea>!mTftK{whE__*9$ff#8|AJcSJi0~4w<xo7;*y>ZfDU9S#DWy6jhcYp^-Nt%#
z+V|C2T-(Sa0Od4M0b*ajW@!<23`f5UvMP4rT9(3_4lBIkC5k}TF2_QU9P&+>|A$?w
z&f1raxg)WGZ9SpVMgFyF-pj9wpoyg8^)pb1pNLxLH;G*P$Wr{e%LGMg6!pU12>Y#a
zRR$JPr&`)dnV_hS=1FECIzme`NATRWW7b_k%1t}XK-|o)uKS$YYZwF}>n$T)FHn|0
z*^f8uc_)cR<KXT!%O!pTc#3CqL+%zUvVa|=GCwTCo!X6DtRrWx-fgK^9f#|Vr9@!W
zjA(WY&?8*506}ZU&a1fE896`u>w7i^RaHRdOI6MxafgNYB(`>#ruIm(JsCK&cbvQ}
za4Vd&mkrruY|b<W1V~a>*tdyHs(Nme`#Rsl>nG^x5jl2<Et4}XjX>vac;n4>tAv1#
z9_$jB{+1fE``~t6nnKx)FP~FG(oJTy6>6`E@n8vM{~iM@N_9YFe*FotAXY_r2hhhV
zL#Tz3ze6OaEU;F)P%>2YPEfppdTw9vRih4-<p0aWeOX4IsXO)E_zV5CZ0c@l;Q*ue
zZXKK&ne04`j+1hnM4<SxhYT?5SO!XE_$M#!?Zy%k?N<v|q}b|cE(Af8QZYsB4Opmv
zHGG98J|3G7luP35yzA5Snw>=mS-4-~)snBp;iR+8=VZDJH3+z1!-&v?Oi`hGM-$F0
zk`w~yiBZm`PuKa>(ffSY-98OI*jo~uN)UmG71fLHdNxHU@8G?)W&ee$B>mu4MGi&J
z(J%NZp{_A>n?DD_ZLg`0%3T)?Vvsu;#CBhM=fFTFSzeKbFqXGSL0zwUuW!y9dxn18
zf1~nC1k|uWBE`LGN+tv2cktsj4dg_Pgv?Ra)~HIEOpEA2`h)vi#xHO~k@io<%mz7j
z4PpGHDkncDym)tVi=+|J7X_b*2frZYM`ussz`XrewDN<z4t_qm;u89zY%U{;4t52~
zpWcW*<!5K9J2}Mm_%c-RzU)oWAxz|FtD-u!M~Du0cTHuS(73*A`xazl0Z@A66yFFe
zR-78dua`f{l2=HVsYVkL++jtDVLd-DX_Nl4FU!udsrdHm%~9PqjtK2mt7xW|+RT%>
z_&Z<EOUJjiii>Wn9W{Xl?hK+K;R$=8v+7Lh%Wxe>!IZ2lKYyD3g>-1v(Yub~Z~fUl
zP^@Yk7_+&ujE8J@ZzY6bD_a^dt0>=Ji<+#-dqU5_!!iEC{dG4xW3|f%O*kai^k}c!
zDKhwGMl+m4mH9W~)2PzMNu9om^O>9JQE2GZOQvP5OM=yNem9}*_NEJD3IGvbVjpz$
zl+!Y>3s#KFu)EtBO56^0)qeJ@td)@74zi7DtA3Rv4!|l<ur8#utv*ult0cL^BZ&HL
z!7hd)ErkRUz0oi>7arMC*mBl9E6_KYNmdeOE33Ec5*S7&L6$+OyJS#eJhEHB!VE2+
z3WZ!Xx&;EOcPG*cXK5Dgp@MWZnHDLcDL}9X=;Qs_=QG#ScuX__3<a%alkPfA54nEU
z{b<Hlo3UeP=Z{H5%{^f`0m6tatdI40Vw?&|&RJ<jW-+8h3*|tJCtxOa6xwI0_m@__
zk1iNLEOrrCbknUGSPN=DC7yn=Jk)!3N?(!?MX&@imDL}d7r!wQR+fF<E$?nvFL-CR
zdb)(qi=zpMYAVoh@V0vbz7-?8Iwe}&GP*kvL`k}cc(|V3Dx3A0J~Z~ni(g^t$^sXW
zZn?;mTP0qyEPc%dGd+SBTE$|KuNxRV@<pZ@o3wRCO*sH5K-Ry567b+@M3w7;7N!po
z13di{U(sJ$kHF)Frm)Ml>I&%dY#zIBJwT^dhNs_ocIl<=AFmqW!a$5lx^&O`T#i+b
z84+*!cohwkB?h7=_2sR!D$pdov7J47P8kW)p;rXnMPGZtNc(ar->=OvWjwbZ&UY^6
z-P}ifa)~R?s{GM;1qjanlopi<MYMfbe>xDw#WzmINixO=Igbg~A*|I5)I)ie2Isex
zFKaf|3rbW9;rg}k>Vp_XUZEs#;+wBiNa|xg`cO8@DQx_09QM<N__u|1&1_=L9sOsy
zxG)YF1WR?{Q~<LlXpIJ~xgZ&J$*?IFdt;mX#_FXHp(9`a2^67Kn4w_1cwU~Rd!nhq
z)~(fY4kT|naUL5<*~9P-5Cs!McLXulTmlmkBNbr;RY|{`KEzd~B>!<q;SYYzO2<Ue
zh!duG$kn@VN=m&fWCvmI{c#(``JeKg<52EK%Z1<R@9s?}kGvtIgPJz0HAB*}cDusk
z6t_Rs)P7P*zdKsAMUZ<qH>Fo?CER6YcK}k;jh-yEy{)R5bhJ9*Or2`zK8~gfzC&s<
zTMV~+&&a5)v4GMpx6;<Xdf&pI;9?$A)Uwh~BR&!*NZ0Z!cWtte2~xbKD-L)tPnZ7*
z6ymP~E7xu9ro493Y~Td`;^pd5rGr^n<=^Xi`>vlxF3vU9RhX5qbj9C8H@Yl=L>0K@
zoG@-G<~<hkv3@Ufg{heKJ`&zr0IZgd*b~wW`55n402J<9yTF1<Ua*PYTYut1XEhC0
z15!aC=8u&A5>V_^J|0q1QRZ4{r@8x8E|&=#kbbdo%P(Lt9HMFrm7FgNo|sgymMu2y
zw|IHog1%nz&}USi8N$i5ZEoc>t>Pl|9l>(isVt%B+u(e9K7X9sG0rHmJ^F_ym9Zy9
zF>AWw!FAD)F`^$tqb;=9b1toi#UbjytR)(#>xbUe&C+gH5-Yg2-n&n5^2}qJ=%C%|
z{0a11bxa#Zv#3L*0G~ICzTDqH<9)#<>wx!I8VDURUm)?nmHwFL0aFE(kzj9=#aq!m
z!}+|4@8iN_^y8V`l8O4X)>nvI1tQz`*_dDuTIl0FmoTpBj9W)-Q&oI#Q;*ixNew2R
zr(`a-lHiyP_|@o<xVoh$d;y-6!ZoHIB&)(Y)BwuRQ}kdW(p%g2e*q2pY<yiJOzS2<
zbI`M2=`->$&~}P<bLNtKwN=QLaozL<abO1zS96WLG5#@<kIBgJP5msVtuU}Xs?_Bg
ze)|;`e$tN+9pvL!0@^F|?_e!^$k#$Vw6$Ss-(V$2hScPlkuJe!K4xPL8JW04xW#eF
zC)uZIDLg>;;2`)*NxNn9EuLA5xfLY^z6|4L&}*ULuqyZY4dIs-nK=upD3A^hu2ZgV
zY09qL{=2+f&b)BxE)4U}J~K+2lRDV`n9NBwU_%JiB~pJ_EO>>gknLMWDAN+4I;Eqg
zTyn;$%!<5BWg3(kJ+w&Spe!aw2UJ#KB-dprJEP=qqsbJC{&2ETcy_XM1U=0A{lR{F
zGI(c(gf1|lVt~pI*LE=50D%~TAVQ>!84nbB50gdDg}*XL&BCB^&qs+&|B<%~z&ihR
zQ6>wEoS)vWT#>TLr~~(PC!_z%v_iD_kK6|3MV{kgh`?!1?>>5RYIF0cb(R|-7F0eB
z9ml?-V?&sQJLUceq5E-O#&tVXTcy*iW9+kC`jN2W6r|;~!fYUUmi&{#ecY);1Vu>a
z-cjtSfVv<Cw_@OD{fHY=%&VS2xmgJTLZ*ppt)b>3>7GIuN>^ZJYZY!jQe+$T3wY45
z^5i|{Mrw0Uq56b(0BV2I=-F|S+g7GZex*JM1_Mn*Y<Y?>xMv6~UUM;qax%Ts=I$7<
zD|wTPhcJM_d(-R4;hQOSx8ef?t!<^CX&9Zl7YOovFaQp`+Ez?$AiwLOcEZ7_5ki)~
z>`zQ#<l&UqhT?hoGye`?sYJn*{#?9p@t7^7LFp<_UX)<?K>^qUE8WG<OpSbXEh6kA
z8SapMZXqA@uXjRbcL4w`;_6!^<2PnL5rBHI)sdiK9Lqk1Qt_wHDM$zy^KWXV#S+k0
zraqm%x;gEIlbtvOO*_qMTJ*dhMLoYP3Ebq0DmMYdR6lV){H`+<oqAoxFQ+OY-7h0F
z!bXa$sadXY-pQ)mcm+}*8F`56+!^4PyIzEyKoTTp6}<l8-wARj59$vmiM_dsHH_ir
zQUl>eBV-bVoFXa%2Zut!ep`JoLKBr*dZ2l(U*=&|6Y8TDxRG?4Ntygx1C*{HoVWy3
zG|eA-J6&L|#2P<bspSb@PG_67IrsYh%xmo8hkxT9-}ur?q8I#6@R~FJB{5|gO!Ofp
z`J1Jug><1$9K0riTC(Trt|V^WSOjTA4d>00=mb^8%mFnvmlaKWS~g%>I_MvJYT79j
z^oY?iFcuR{qvpKKn+-8%B`e<P8qh|Sr71$|Kten(Sk+1v`RfkQ{-o&!yaCuwj~`yt
zpW?YVlC!j(uV00<X7V~@@q@{e-F~I(DZOTui%mk{VZq>?cUrsDB$v)F<0u3PmW^D8
z=#-7`4L4pb%b)?jzL|XXsM_WR#DUH8J3hMG`kbt3Yx9=Qk=>egtIGaymn!FCZ1w9N
z0hvg!Ar2+;<#QG7y{=~nSp@u{N_A2fal%_lt+tbo<=mc8{JRy6k2D>i0?sK$QbjBt
z9b7Q%L|I~Tlv|%(Dr=pm(}W$Rp)Co4V;{{w_wH<hKQ+qzv;i1|IB6<X!Z<;!uX@7Q
ztl#`~FpWFlYhA8ESMJu(=t*1o6Izod*33c5WX655*k5!l$_IU<`l+GjMVrAOqXKx*
zZmHr)%L&<MWrboq__0rF(jnVq8hW`wWO_P`;T7g;<C?ws70s@(E&Z$o!4T+ccsS!Z
zmKBa*<P^Z|%82uqHWMS6Vu<#aFkRjQ=9ak5oi*$CwJ$8wWUlLiskRetAWE+SlQM=k
zw-ajsU@Pt0!@=R6?r;Bszyadcf&^|&?O#w1A-hxn_QUqEiJ9kj54~2W2HQF+fkTyz
z(AX<nKW)=RCHITGyD>f)7D^*0tH>Ny(y=YdHL_OCra^u`A~tzHOOl_>=4I7FP<mWy
zU8vYBL+0lukIQ9L<1i%FgwUAcNLlwf3UC9|{xJOKjw+cXu+6l(1)f{UxMH9b=-(+?
zx3r0QpCRn@-c-aQQ#sR|^i71ts($IIYQI8FJIw@V0}2y-^rj0%eBV|(gDRcdhb=g3
zw-cN41P@DP1#ul@Sj^8XHtZs_KUztwfhIR2p_9o;AP18^D`926;SBWvpdagl2HrzQ
z`*aJ6Uu$gnb{^R+>Dz1J>ifDrfGU3<PV+R(Efc#Y%8hb?Jc-8@R~;pL#IBhJg9N|B
z8ykuja8yD=F<MYm{Ajy%Mu{nGTjnuF8;-Ka2rXISNFc*EW4%6|ER~JFmS`(62!!rl
zzb>+yy_P+j;JW{388o<J|5n>jfsmZ45b9h6w2e@bO80JA(;plqFTKwSLG{&*d#O3c
zxp3m1xaPPs8&Sh`{uTW?Q(#prUqj9CVu|aFF&d$_^pMfVu@tA5xsujN;KPKqV$&-q
zkaFDMMA)kr&rI$not##ilB5rNM;)U<jHN#EQ0HX;5W1RsxmKz4HmLem&>|?9GLwpa
zS@fyaR<3J2G_fU3yoWr{#ZosG;;e>l4sSWm;l0J4^;EXzRwn3q2Q!g6*G1--L|GO4
z9swk=Diyhq7z2c^_g95wbp~c%go3kD$&F7y)V#TPS5w}#xV4jsiVzofLJpH3pYtSW
z?mDS>W+ctGMSpOHYyr7D?plpfxb~CmlO^9_QhjkhrsUVs&@sw^o<rv>c53#esSr6@
z`kQ-e#M<Tz8sOMA&FtZ(nIK;)j&^x6y16D(7xaW^QlJzYk!#&sxDCnOVIL^<A8L|*
zA4tq<J{f>I9?18U3M!BA0UMEkO#>8gR9!L=s$b=q21J!5HquO^eJEK}UL}8xhb1B8
z=5C>FbH;xMT*KngBzJ#I6dO{Fkl@}wjnB$F;F7zmbU^>_#mDDzwZ;v`;NX`xf#Dwg
zHAFaY3Y0JI`nbtnO}Whhk`Yp5lRw%DvNQuZh8sw$Sw9k2MR=?iCkZ`_79pJBqjd~V
zt9mGRe2hdDo${Y1LB?>fbZFmS7_-|t&jFF>11BJn|By=Yc^lYu_ARmtBfeU`^#C}P
zTOz}Ax|Ty14l9egT6OmJ007to7vInRrQJU^;odVJHb&qZ5gp?GvFgLOqI2jg#L=ke
zbNow2v;_Q<mid|GEL*ZQpbrotKvognSryFBFYe1(G5G&I2YxO>nM~)DV{Tw8gn#VW
zrhP;H%Bit2)WH2Mb06VJPcvxphbyVQv*gigpN=8KG6NhRXY{F&kTo--F3foml`3qc
z0D+4%UiP*7-=D%CZ0iV4)ktxIJJcrJHa?RNWsK$S(K6<rz@*O$$`k!qS#R1`>chge
z&7A5@HtRee&i0(`U4{Y-L(dmrha3#t*})6KO~Ec8CtwM(Ha_EN0;W~*14OH0rK^{{
z+4QLZ^s!DYa{DRrCYN?@jIvl`HL}7x%!lA15ww!po2DmI7eWJjU3{pIz`4}P7Qe(^
z^I_BBB~c`qsqz|HoHrl^p2$f#1fXgEUMrrdtNv1Z!lL#wqC`O`AfL9GbOb>~eN}j{
zYBgkRAKpPbu{g*32a?^0I)!kc&IL#iNJrNoV5nW-x-3BO4yV2fNSh!OFI)Of80~*t
zA$1w}^n3vIPsikrjVxG_WL{=JDl-zN7(=LlfQ?+UNL~why=@>abXgh!qi?(kQR{uH
ztmCAxS>Nc0g>#uE<ZS$6mUu8*op2fr&pg|YLV!&xzY{_88)x|zS-1=w8a(M-n3Hd5
zBZ8BJvBO9hT<lrMBP7j5PZ+?Thl--B<;O+p;4@KUPULu12L(j9J@}h)foQ6vUev^x
z^TV@}+@oG{wHDI!qh%uT<&C!nGm=eZWPCxYN0YXON~B_D3x-$o7|pfUT$cVb>${5L
z|CLmw_Dwdum7te$SNI(K?aT^a;~L#+F!MwZJmA$R`^bOmpnZHS3F2RMV9&I7((_d8
zRW!@;J?gWkv`f46$geF2VlABfVqM3ZyS5<c&c|<zQ%>0TkJk0!j)b*QIy(Ad*qr_(
z`hSc6$P-*JMkJOsQ3MXkpG=4o3xcTCyx4BU<1fU1!<;yx0{cL$KRx&-tgS4;>GIoK
z#N4bQTNA8e35QBXbKI(cIep~)Z8o+)v5!{+!gtb7&CidgYMx=OubwTKN7Q=Y<Cx<6
z*@M1d4hjbMy9yoy=mVlq`b`MU>g4o%v2+2gF2Q(z+w%D&7PGeyFVL*$53>#YY5U9G
zC!@gb=v&fIJtP&km%yx<Bb$Gc#OhSK3nntwhLOy-iliSqg08#CEl5A49^D<qFy5~}
zSTHbqU;#&Po`B;TcA`RUPMN}SE$sQ_PTCErv_1G+d2bITHAttbyutVnsaMUT<_8BM
zjW6a)tHkFt0-K5JBhx1{CCHPfJl{KqHTy{;$r1*%^hn=GmFaA$Yw1#EjoOFPoCpoU
zFW9upEfKWrQ|hU*Axg@K+h1a)(!d`qgEb`p7@9&5%^Hw`g!G3{ZG{7z2MR->9gNZ>
zf6{)Z7!uWBSqY30?p%rPHo3mHcAGz2qklpC$>*65z=G}QYf79uf)u&N69RtgiZ6T+
zL<1pa7aWwl^dfY~O{L>WSpM3H7<Z2^RQAn(Nr|3JB$`l7F|ctx>B{WP%bMu+HIgVn
z{5Y3BNB4dDm3y`N$%v-7Q=zv`JKK14<fQ7UvO8Dxx)u?A)kx(spwMuIe4Aqgiqr_;
zklOy#D4Wp6wf-XaVVAsB=tb>_ePZyeY32c?nZpjuDLvRPJvbid6ViFPfn_q|?#_oL
zqoj;8HA~R)f~P}uPSQUJrTGaYVJ4gep?mOwdO1lOPiDd-xqa&b1Xml<*2cHF1=i;7
z)wJWH_@9rKB-5#(w~28j7E|KAf+!u)+Hjh3ZP5~@sLl;2^(SaI!fLj-fc7Iz!?R{#
z@xmLI8Ow+WFmPKlpb*Ny&Az<XK+sbAZBx`t_CJp>)Ah>~@c?h9esd~+#|+lhj$BZg
zS2tm}^JvA#!P{*xLGP0koy#-I@<o%*J3dVbVC~q_VBXgl6{Rijl_XEuX9%$gHJOgq
z|7fnY5%w9xf64AO3Xvy9<c_MdBl3!jv_KQt)TM8uVG6hXIM)itH^jioAcieWUC&jo
zRO&QU06Zlr`XjKP9gtbTwj1<eh&-nY74YZFPYDhT=Pz?*=Ua(ExdXt$cv^o@?$$if
zP|^Q7lYyl$P~uyzdED7M2PA8wX~<wmPfLliB{1&lXq~pI$0LLAIR|^ov*d{X-Fz0N
zo9?W?3gNUH@gFcTS5jM`W-@^qPp^+GNoWY>P%hnxVf_Q_2VCRt^KJEHQp$GC*-BHp
z7001?LHKpb`r+HS8=U8M>XKfR74prX-QlC3+oo5c9{<eqVc0X6*Uo_f;u#H^oQNX;
zh^IPV@UTuQLNfMsND7Q$2mpx{4<O?uOH%bzk;LaS#6)m3HZqY(6|zlRuFpx_C2zla
z1Sr-!bo!JfoxF8A!}d3h91v?PLEHh0Waj?_ps_ZzVas>qYL4U)#1-?{>+3j?G%)I#
zZ*;6CxJTMayxUkFr<~(|gmz75%ql}WISc`P1bLAb_a1G>u$tr-wE8&p=A=B~rGc{b
z&2&)bCOQ;ugLaQ$J1H?Q`Mj5^FL7;<$$Qeo%bMgj(_l!MBHnTNVHu_faw6i#Gu)UX
z58peui(gJX%??c1#{AOXNhC_<L^1eza-DnXKFhcbolZ11i*xqvyUgIPEFshikPddx
zQF@|Nj$G>GcojW(7SuorO1?O%C@lfnc|_UZlj%S>q2(0wyd2bv-dIYhpGg{tSsRxN
z>*6iL>H03U+(>$|^>hBj6za!v^$bDacAw@u;W;wy@}TiZYs$Cr1#FcyHC4z>4-!YZ
zca!#G)UpBCVHz^C<ftnoRiY&vNaEg}uW_a)kt%Am#E>Yg-K(`53)D4g)tYoeP%ZM*
zw-Xi!)d|^;iWon)=7N^q?y602cv#yyp8-Yz^{kQOe=>C~d;U1p<<|`3j~c7~z|1{;
zTfvq>H3Zw?A!f(Qi33~5+*9M5BUo1}^jySnNO54~+jZ>2f2fAnMxG+06;5F?n~{@6
z#rk^&TB5Ef8YFhyES)TGoKOR9tzII)X<IV@u0Lw1OQHy182H*^u4+-%LgY<pNNRDr
zzn4Axh95u}0Q8mtFT&qTrCeZU3|P&V4m1_wX-!QHM_)^a`P{4cFUJDwW@P)mYfd!{
zA|undJR_2`RjXk<fua(W$VbE0f^7MuujoP^dsHfJB1G6jhsb5W77i^`FM$O;HI05<
zFDj>*<YK!sRO0tJBKlYiHY80X_s0#5Av~j0O^Z#{dxR~>{-&S%FV$Y0B5mY%kw(mC
z1=iX8vX(uMs_u#VHTM#k*r2Pn?0d^p6bzn)Bh~_5+QXJWqI`~9T^E3^I36a8Rlk84
zu4p1w6cT1A@<fQ3s)waju!&ta8ECTv<o{w4twxA7J-#Oa^H7lQV8yk72n7|lU;0>0
zrijVd@jTQE3bU-tIxoMpX+^9@OfX^fql3t6y;UW7J>26}$&r@$O@j+cityx=yr~f2
zRCvJ*Yw>&^&1KfEhvY038s#Z>)+o<M1HKwmip2_$<)>FoMZ)eqlAKz0GmEwFZuyO5
zQ%XQgVJqj)ERoW+Frdxx2kCnmJS{7d8XrpJNj7LQB2pTpP<JJg9>1r72J#ZSYA8ip
zEaN^vxKt0G^FK=RIiUHCSNNwW085{ab$H`Rn);cIg0h}|vW6|dEJ}zAE2%b(QbKdq
z-%b#0Nh@J*UGqSBiUyv79j)GVl*WH+D~i<Olajr6`#4WHarF0d@ebYQ0K(>vOy!0?
z?uCQ<fQh?8Qhlb;kzP5?HVLd!iJPFJ=WsI3p0-UW^WOeVp?jIl4K?*nDW%R$6H@*S
z_jj2J2ZiTixdtj&aAfZioBBqb1-BsSjrbP<7FowbeF6V<U+j&5=IxJSmC12Wr@cym
zAHZA5<%3Wc4S=*sBz%D?nCIQkvuoaSjBEN#%0JQ6_E39A`TDa&Q#>-mT3Jv!?^Tff
zVt&yn8U<cf@;|+p+65Muf1iq{)LRz*qJsiy!P8z({;p;?W6>g|y}vbPRqxyOLFOSL
zNh76GAQ?n{d^vlT`AzP6iRD55#VBX2@27E=yqO7pjH7{+-9$&-<Ny?r!nD|B-<Ip4
zB(bakJw~;kZ$H+dLFo_N3c^z}hXZT+kiYD9eLoMRL$-OpkjSDEXTsWd3$s0?wN=bL
zZabW@NnvbIf!QLxmvyBwF-NOQN6Z*R5|G=MKrmm;QLl_frs-s0c87n|^Z8X<`IXx}
z>?hoMa3BU`l-e=eH3Wi7d-*+WtTMb%dRyn>xevL&DFUS6t~7oE{j}dme|q!Sr6GJ^
zJy{LUYWo~K5}9|&5zn!O2ZFW`$xF9Lns2jbq|g~3{_X0EwiOOfIEn}^MWz$jpQUmV
zDgVZlHitZSnW8FEMz~P9g`4O4bO)AuLghrh?~~FGM3AxcQ3(IzEN+){V!k9;=nAv7
zU@D3BLU7XtAKv<k%hptHwATDgVuC!Ml>{k&Pps7K?%y8k`7NI9dp(3)<lss?j7o}0
zD-{qU>vB3nR-fcu%(a(J<NC5^-Wmlh3A_wDU$)hB?N>iQRB0yo4XGn~Rh~OeS4o1{
zo(os_q@OeI=36FR8+p|^N_br?L4A)*6+r`XRSOPMdm=T`MzGzm@i%F}@;3kuhn?i1
z{T^ipTN?vmFPYpB|6|z1e=2IXW-Hr1o1BSWPBJLCmMu+*^e%7yr0EJ=-&h)WGcq)b
z2ah+_VH-Yx0AD$`QX@7#{l63rZ6aWSNOoIiiJ2$76#G&SeUCPLVw7W{a5u4>)kdx`
zUEFacVT7o5d+a#RS&;L>UPv0hQ#K!au(mwtBw4yXr!#lJ!NcY}s|c?g_1cvt;Ldau
z8%x9?&^JdFQj-mfaRxu(4SPk@YNAQV^<<XWwRs**WJypd!hq@C99HyeJ}Y8L7_;Ip
zqwqwvClSIxy!FmQWa2MJ5B@)tvVDoq!8vQ*n@oAGBAQ5BrbK{kuyYPXNV<oDwk1(C
z*WqAnYK$#NS7i2p+sSt=_5;}avXAZua!c3#IG#$-YUg#`H^dC~PN2H^KGNK9sea=J
zV_<k;K3s}fBOH}%ka*#haZ%J?MetS&XE)W86j$$@!6}NmY?lmv^|B&#i?+BK{3CQJ
z-y?l~eorS`nd-_=E7cu_>VK<4&wpcC|K%lTOITT@aA^mpM83{491TWkfXewzWrq>&
zi$pZ;qg)u+^4++DKpDbuOh9Hx=TO6(&t+qF5_03kjBi~?3X4L*G>5X&g;`>m_1ojU
z@5tAgv{<n@t^9*4`fI&<OBlr>;*U-P##sT;t900fg(U&t9SGO-0~Ady7xq8^d<aP2
z{^rqw!Wkohm7qf(Y%JDbTt9e>GMFwEe*%PD7PLkn&8ax=AyM$9=BwLBkF$THr>)aJ
zfWGGKD|B8{iXe0Mb<?ye^@Q5oOUTRd@U7Yf44Y|}=4}-H@gmr1{GJ`$jcG}#=^H&?
z<~IySzjpq01dkRTWl0MWkC4($5=vTkSUlW`jcsM1N66uO{=`}ksdA0#PYH8<Zlry@
zmD&q;Jv*OI;csWQ4!wvx(KaBWl^`9hL*LdR983!)n<-BTTZhn)`|nZ{c{om%1YTPt
z+sv{1qmN75b{9wIuCoF=Tb+Cu;Z3HOMsp-%RGQMb;F7;G<5Is>U1zIjs6X@ahy5*M
zZ1b|wj53!lh9?B5I-`M%(06pz4h(ok8|e;rb<ok`BO%-~dsKbUbt^Cd$Xjwuu+rji
zYj_+vTmd~{LCK+#^+pNHF5a|FG@~mgG_(A20WPONYv~y2yR(6@7#?ZPVsQ6VMvX)F
z^TC=mMdLo_(}9Uk$C+q13Rng4*&4Pa%lrFBqwEY&N@_#$#3@v2!{$RU+&6BBE!t_k
zfWLppL{BD{TnBlucRDKwE!N@sJaLgC#_y`s`MmX2(A&A=Sw!Lr30ecY^5PfEY5bEx
zA)|H{Zgu8n?#Z3lHPRzTf?@5<HPV(3oK4_8mJdIP!GB3?Q?RW81d*xD(KHtmLuHOE
zGZ#|NWOgA&3A4}TkX$oIqi=kU6K2>%H<<cUZdj}Z__(=6pHw)SIe8{!Q@~#B{py0l
z3epb@VkEQV>!$>Sua03*rWbg(E&lewFV6(UfU28tX9A%lE@=(3Ilh8Qh@Z56u!7Y3
zEEM}+8wYtF9R;ly$6rT~`0wqEMIDT>k|c2?miF+ykgXp1<Q0*&%A<T~2A>J^JQWVR
zIcAeMqonxXO)>}6Z5UnMO1g{3w|G5oRbZ4s*=>SP&U~B!INq-8sci?A;Zo;MxSj#8
zMaH({-s&y86mT!#F`Ig^E*tHF%tI_P7qOg;v8B3rW7C5(@NIIFZJOWfC-x8LpEjOI
ziJUY9st{BI-3oI*Bpu+x@+v_OvIc=I4wI6yb}!@GOtoI4CH7E{W>3{lrV#o97bzR5
zCK<Z*v-X#}Vz>xpgay0B>H6L^oHKIR<u(`2jB$lRT7>h8lvJ$V@!8(|%SKEN{<)da
z7oWUMPzlM)^S3B{g^D()4iq&GPYm}Fg+%(37E^+&QfAoH$G^H-ver9f#~U4t<0Km%
zbGxhl5e&VR+Wm2nPg|Z(k%F<9=zU%431cBO8zR^46VA`2hc;!+XR1u^;$H@dEP)f7
zx`y(U2L>uAG-+6b*<J<X&(d3dHow(mf&WnSd49+C(~)qOGg-HQ++0m4L94rc%qQ!a
zgej*yz@UbEi4W3+KGW&0Sr&k6zPx*#qQ!+dJ#~Q>QZ-Um$+^TnNQT9SgwQij5wsj_
z7ql0uD7Z~gCmq~STG)y0r7{8;sn=h--nYn3j}h$e9VJXGKb&A7*EMt53Wx8%S^~jn
z^D=p}<`cWUfJJWmgaRK>gu&oGo(j|p7`unCg|5v%8Kfetch=HqG)#CXZw^RZ#v1?g
z2Y+hjr@>m2xR4P;?^Km@ldh&hhvu2F^eMk7Fa<IG(+irBjY@YXtbdMs#sH8oFj(CP
zF>haX%Fq%%1wC`>JlXRJPjG{bk7nZ+)?HTVWrUbB5kjewsE+z$IlxM4;umQ^os%@K
ze2B(M7rZ}rlw!mqV%~Y$BNX}Fvq-)m15jx)-@6><2S1qiaKns!bs0Xtqh+Q^;vy>z
zV39eD#WObFNZq0Ilglb9+69hJz)@{e+4P+AfYb75L4ABGl1I9RcpoY&XPDwdss=P?
z)q;0qHtZ~8tix90T?7qo+!&pce?!C`pV8HEz9xAyaurJr_f`<l8~nUAraQ8RCvjOk
zNJ1j5?4gqRi?*?ax3?xJ_JIghlnG9fmN9%o09_ar@N<4AuYGNUfRs*leyBxZHj4k=
z!}2b3mJf1mD_Dl_uHoV%sL?2a;Uy25TCHAGs^rdNx4g8*C)6wTTOr-xv}<&@W>2qH
z?NXxFsrbCT5VzOK68IUPX<Z{z5nA(K`+E4JbkHJhiuN$X>Zp(;^n_`FoOx_!=fO?j
z@!HXY7v0>^cz@ccRd?R7n9$>RwjYJ8y&8NsF5B&*vu54^U~Pzy^-taq(3br8Gs@%^
zB^(U|6LV_^?Vy~DU!HDpM)q&>jSkjRj8S)<dO;k4I}W@A(?)t>@Gvr%<iU#BVr%aX
z(Yfck%UE19v9}`&?oUq|Bm)Et%fn9!+~fs#lvNlCDUbI>&kcCb9WxUOHeXN&91s+1
zHJWlezDa*6pp2*|xnEcF?brUkI@93B-uj)OzdbRN#o$rv#tew=o<3g3U9^~{`gHUg
zj?(Ztd_9}k!aR?tw3ZQsWL8B}CzTbqNr=VDgq&#s#g`Yo<JVsj*2e#)k7Qj(6qkVE
z<zE`WYR7&`7qI!n<TfL!F3V`)pVRhjoCY=8j7wg|xZu-0X*h0xD0fZfGOL;)Yo0a&
zx1$5&AA1D>5HQ~J5XuL(8FFY<V51=xZCkHd7Z;Hh!tu`hn(QCnAn6=Jb=}&U0=}zD
z%wi1i7n7qX6Z?1;W<Y<NXhy#;+Vy|=Trdko&*S9+AL7F*^16ylrhCr4j?h?Y5t7l9
zEgdO%fWfy-<8%W9n4hq$4?|a{-r>!Fre11%Q(^V#N{ZPtG0^?K)?45qRz%yUUY>I4
zd$MU&01adV(Z$Nw<~vnJZ@c|y2q?S;HQg!6Ut6gk)p3$ZUB+BqGKi;ZbEn6wNGFdW
zKj6xurBaeu$yIeTaCG9;&gsrxGwF7n>({a8exKK0Ax92Q)Jh07)$&NFM|H3n7!P4p
zqJ2_2%ujtKRyt1KEr|T}0A+z3<n=T-dSgEZBrl4u^AoAYVguQ&*60A$_-0{ow83OK
zhHH~7W2C&RQ{_qwj@kq1o`5t3l#~wADRS*f0;%n*KgJX#cv>jsbT}-_19F(IHwplJ
zhA;+wV|oLAV~r-9^Z_y6R6_Tav36l*$D=A2cTUJE>}6MvqWm+V0JNRL)F=v{^JBZl
z%EPC{v?kb!ZlI?*Quf>;L~t_*h5;sC><+@AehxM+HXQ05NtsVMRD1VRSC~}G&Vlc+
zW@c6E<@2v_$x@s^2ow<uJXK!saD##B>98i<R9`g9c6e8%)lL|uxCOLB78uapLu|A-
zQVt{rq&M-2_6aqB;R`uOI>B+T@Gn;AOoJ6}TK$w)51BR9k6f6C-Pf7(ea-a}7nT8H
z3?wHn&nA;2;{h|_FsvwChQx%@fCUDQ>B_~_hO8|uW(RsCdy(>ZP%h+CjL@%ZXm2AS
z@6rZ3yg@GaEvSn95|43@Lo<ZA?4MIh<8EB?Z>LlJx5<N>LxVJDr)fl}&$9hs>-WGA
zq#NSWeoGe#o|Zx=S73cfYJTg4yWNw&0ioSr3?b+0X#rnPxTd1-!y3>MFg+b-r!Je?
z5xhkfe}%L@T^#!At@xY~YJBs02+C)=G|m3LLLY;KEo}_V`GG1khRiu5???ss&p|is
zFzgvLOa{7Nh*w<#6Q-5p5|jIcMUPJ){3S_(>+QhYfkkH%+KdWC)abhvGCkC%@-Wtd
ztY8!7s)4ilw9AHz!V_*}R0D{uZzvLAz^JaW6Ty~X^rfh#NFaw9w(MNgONxtxq>SpI
z5b53Ifc3RRX1MPIZEj^2HU_)2b|=BD$U`rZP>C#?^Bu&sb-!Kk1>fv|SJ4*Wq_^d!
z0p^k(Iy`s)wpSCmi*HEZeX;QH-f4wz(%reabLW``32e!>joZyPh>QI)e`0#$JLOq|
z(HM9Td<8L40JQZaYU)m9{*dFY;(N_!^PkfwD29CB=imu~Dyi-C6$BxpSfqUFccLl=
z-|J&|#RF=M?vH0pQ<rwnlBO@elhpVF)i;NVbiDuHCOL8zGbdN0h>3`YZSam%N+KVF
z8GT>+K1_}#0Lv%yPAt;#?YUl1OHRIU@P-wW16-=oOeMY0&?<yVm-AuJ+4aQq)~s9w
zcGYlx_kTy|yleQ_Nv<a`$my{C=9?Yc@gS=-KkxtqA%Yh#5?~!aVgV3vE1FxI<1FJE
z`?Jhr--8dlm%YT>E%xF$ZwVGRxzhy;`z?BI8#-15P4a9ZxDe3QjRAK`(GN9mm|@EW
zDLYv(#gD6R?wB}SRXxNNqh?fQ5WV2x5V5ZR<U^ohl?a~?riPj$Q-*BEPXH?3Ztvk+
zeAeqg;h2L?3n@jrGV$NX_s+ZYv}NIb>~V3$Sv2d+jmkFDkq}7UIG`r$P=tI}a9n!|
zwI=Rq7tO}=fAV-@AhDlAAP+FfT#W35sxJ24ydd<BNpM>|TKB4GyX3@$lI?;!4JfMM
z@*qpA36S<*30Fyq51B2|bD{|}?*ixr#3ys-0Ne7BBr=X{xs*tIuPGI!95Ml3Za0p}
zA#XX#oN4cH)BGbqO@-msaz?E&S7&<Al01WPXwmQ_!mF%|{-NbaN*Wyu{ZA)hq5gXY
z*|eC0hU%=j4@t*ETQTlDN~BXNkEajP$CR5W)BfX_*u*oK`5}0?xuuq;uf9ll?Q(=t
zpxQ{~JLK&eQCF4_;4L7QXe`hCY)I<s$rGdW?aSaWKr{k~^k?iF3bR2OA4jH`54)h_
zAP-YwE3j3_czh)9TH}LpM&xb4x2Z)GV?NtdUhGVEm3JAH4iFg|rvMR$Gj)nALyvQG
zEX4N5**~XH*s;gb?u{qO&?KCF-J9=Dq)LuZTk)x4^+z)3MSUc0`CJzk^s<r`==tq=
zA1ww164)<^mPcZsU^4$qklPq!fg)0`Qa{0{CNZC7P1tI*WW^!q2q|5GqUd$q1J@Uw
zV!@9%h91QdEA+@0D?<cq#KSw0=}fc|leU^{W}_%jZ$DoVo_7k>$o4hghK8U`B>*9J
znm!xVNqky<>>t6`s?AZgh5|Mn$W;y(VAtETC|%28T&@J`Vue)J64=6>IL)YA!WDEC
zNfHS4Y2>;0@gP`=8miGA|EGur^9kaFZ^ewVaWD`xQa7(^$&RR#e7w<oKYopB2<;_5
z3f&Q-Hf|bqPyNVI<_jso%A7bq8&!>|a*!PeA8zd$;8FY>bbGktgU#2H5S=eiH70V3
zCu-LByh~hbP<JQB;m7NUO?&vqZf?LA6ZW<b`QQ!w!acqL3r4N<pCVQ%G3;14?m&tP
z5iqK~&t=Ciw2W`y%;FyZh)9U1>{^vQ13rkC{;)0pifMeos?AMuBDG78gcynWOQe4(
zZu%0|c8aU~pVT)-gi$Q^vljLBMiGnZZ^wbG+!9V9dda+6@?;zn2|b0pPAEPWUT*i&
z1k7U0UU)8tSG;eNOcH^W1tIikTi8;yhI!t&Fz(mg?(MAfm%3Z0XD8#Wli945vtJ6i
z1$z>4jR=>f?40R@Y>M;xCx3kUE2&3bxLv5FAWSa`GbO;+CO5&zx2Yb*Z^$AV91S8C
zE;`@jxthA$iR-+%;+DZT?rj{Gct#3d(0mE2nlxzWgW}r8$2T+C!@7OLbobFDCr9q^
zQVT*<$|>Pergkd6hK#_q_FW(F6UiQB`uU0&-P}!cXv&Z28&Y#bg$$D-XK^FZ(i-Hz
z$PdJAR^B?3MxU`BY7y~%t^-;$MNSp{Ei){wV8w$!I5wIE9qPaE{FW{Y@fnnAvz5(!
ztVY;BBH=|`Ge)h>@<{sO;YMJ%w<0fUmE+IO7;V+f%f&tl&q@t};5$##GNU_Ou~a*#
zwj#$wMD&IfzTLr?4+N6~&~<>Nyy(HqOtyMgtN;GVbpBaiI}d!HRkR;pbMJ5J5M#)V
zTNCC7JN7ID_7D{rKq@Ii8)rc#?$6cLgT>(L4CbH9b?YHVxN=a{d>~CAEz14rhrly6
zQR+;$h^p(Cz;amKZg4H;X=X%mrk=PCl)u>x<F5G88U3x&O_{+eD%hZ?;mmd?v3zyn
za;xRrqpjEW^e2-veQT0anMhe$Q8+*@&RTrLywZC6?Am~l=+-`qYiU;<KFa@NQ#$VA
z=f3@BjF1aMg%&YNV2GE}4cC(_2Ibs7!cg^jj~JB`h~aAuqf<t?8o|oqP01t2XP9*$
zQ9h$QpdPqZMBVN_fL<bHtp*wp)!pO8v|4yZsCZctNg(1;I_oQ`(1y~%R;wUH@BmA|
z#X6-YuwctEfm-KWh`L+Gb+6jRJXrHMj+SK<*kyN;QEG&id{z+o34-I)h~><!aFF=R
z1k^iL=vkrr-zz+5wZm^U^;*iG8&a+LT^`dK!E%K5^?YPZI}E){CNK+U4o6TKWgwbe
z;_suU`^&_M`8p%j4$EU8b;u=B-*}~*#+5Hpvfj^M?SAa?8Ya~6hixO1Yk0zWi@}NA
zCibJ4X`Q`0Ahn4kd4@vslq<m{zYe@{hY@^IjJi^?7sepEs|>Vouv_0Q7}ERB$eo+g
zh5;qTosdmH?OB~K(Gm^O1FvI1lJfdZ<VF1dTnyrwND?5Bk(kOBe_*Ke7#W@Fv+h>I
z!zrJgDOQBvg-hhC%tg^$cO|Q<`jA=05E(~n0TQ<i_%6{V$oUns*(M#l>pGS~RIAYR
zoR8)P;eIQxHnk!!-~(hG<m2RP-V1CYKp$sb!F7pm&e_H+b1=>hCYLtmU8sR3%;HeB
zMO>QF!hW;T028l6Xp^O7k19$!6yoLz;0S?!k$hhAg#xTcO%>HECwMDkb%)coA1YZD
z-RA{l$toQzyav}R32G{s@#Dmd|GdTIV8-dLPW3w8skpLNlS$je*U&=EcAnWtZV(cy
zK%4sIUjHoo^fB$(O{`MV5c>jhn~QsmX+awp)u;`8*rj5n5<e76;^@(7?Y^}6EX$#h
z1WRZ%&Am(p9T&yIYw*3f0h)PyixLt*@}N7Me*c?N$CUbH0eY&hqMJ)fdccCQguQKI
zK0*hWCS>-QDlo)lVrZcW1?xQ+@;;*~AsHx(Qu{B6NRE8h7-tstYU_dKv@NC_u{l(B
zv<dP$3w+;lP*5HEb-UM)+Hs_ZH553{bbT{%X?w~%ed9&~CSjN@Z8ri|Fw20A;h2&v
zwkXQF1&;w}R-h4IOgKd0ICEu)i_V2gLefxB0Sl;rFk;Z1QrPTnJKgS0s=8Vk5L#%7
z*7^FCHrYl$2z`dj<3K56y1UwU@}FA>1~#+Ny)uWcaF&+;cOPw91&OpMm6{=`r5_#3
z2RiYC$<A$v^Q!gKL8pAagjEn(N!auLaUcOb<+D(S3kSpwBERFfd9m^OrV3a2JjoY*
zg}hV|p`^qz82l_tzZ`miN|pmj0!03&ziegDHHQlth&2%LvO`@p|4@)9cvx%z<B9Ar
zA$N|G@Qs-DBw}<JiE_fQ?@s0(c1N;Q!ddKG;rPW})fo~^u4^>Rtil-{jQ3rRLtK4k
zjFkIK=WXJ#7Vixj`)BsMP~y&*QvI^Nu3<jM+f;%BQf`&KDu%J93dOpSNdzw49-A{=
z69YzjKwT8O^SkcAi4~2>Pwo<*72g;ML-s*Rgtd-*YaGz6JH?#yV2{}u9!As}u4Jvf
zLaFNLj0x)TotNrJ`2%8gfLDz>nziT?;El|p{7kspi{S%j7cxO$P5Rkv4H8JK!7~jN
z%%IRqJvb?6Dpb#dYt$=PPEPh$>GK@a|J)1cD;?}E(kRwhXO9M5{|sN3MLx<TCFgx0
zTE=BTP#6?6l$Wl6PS)Qr@iW-xvYYSH&YhQpq%VbC*nW#lr~JT_NOF`Tl!mV_@G-Ng
zG1nR~&^y8f_7)M_ay)?;G_5httBdSsbqZV^4s^SZ<R-_VJpFHPhf%XR;_J%o`)!uJ
zxbczMhRsb^)fROdnG9K__3_MCYBVb~Fw(dx>&gJfCl_IJF+7Ph=_MaZmztspUuhOG
z!L|jCh%0$(Zl9WWs<;5NXU<PfQd{i^{m$(Q&blH%PS4r&BG_@2?=zCfjJ4&4@x*Ge
z1FdAljSE>`kT_9GMlUqqQ-cRBlZ%U#B9#&IQXU7g6H26J$iq@HQc#lo&J#H-2f?0Y
zg|`PN!5DSvBMb+y7JJ(Uw~mJ73KF>BFo7ko42)4xfNZU%iM#>!7lr7$b)`B!xMRe}
zV43yFBK?}^rhq?m75{>Bp;VfM@Vui4lRRG!E9~#o(v!IIea#8pnro&hv*28*TXs}3
z%4)DPJc9jE@x@D6bHP#QpE>#<4f`2F>%En9(zxQA0e#6p>6F(_Ca4=I>m1oQ<Kb7G
zk|l7`7iBK@yN42R#sMTpBq56bZ33xw7e(oktrDj0foSS4j{8Zywz<@9)4p~D#Lr4b
z{})5>@dM8J70}a)#~TtqWK?p6B9<B#;ccf!+zW=`e_(=S6IcHI&wh!_O9<9hioysO
zF-^(hSi-o5OThp09^;0hWoZTqkvZ{fT`6On!veO&bNT-?B9iae)cP#bejHtdm(;qk
zC7+1ZXk_4=*f2Ql@`Fp$<fh6yML(Yk&q>8jMUbKOa+aYm=vKVoY{m1;h<i>?tACfn
z^dKuUncMtnZGJ+?7oI_@)J<?DuBCSAUaB%v-@xsZS(Cm&Y{CX!Si|Q2RQ~d|6z@nN
zU3IyLZGf~I;bp$<sQTx~NapQg!v6-W+c5rmFf^qu&+%g#Jvr)CRXO#ENt!)7y;j!c
z&gygn_+2luj5H1O$9fBUv-TacromcRT?(Ml)x+lXf2{l6e3le@QDSZpCF&>R7N!ig
znR@2{n0s`T8&o?56meWL1rRpHPuP;w-z!2j<>!USf}YXK_%WiaLdmksxJ;-ALwW9H
zy9BCgQaZ3K?LE`R(<SDx?Oa5@diBWv;kc|}9mOEKcL;=0!tZ6A;9($I*rHua=TegN
z@poFYd+GL!)SkyD0aSr0mAmqhVu-`aEieK^P&*}wO23&P4Gr}T;MOIwQ1W1W@N#{h
z>V1Vm`8ZPplT*aNR^-r^P?4Pi%EV!Y(ylkW#BA}iR5Z(tngrIGV*&%F^^Nidln@$#
zJYgS6()eg&1TZ9)a$K1uwbOCem=0Vo*;bb}3z;Tnt_fx^))=LsSuinYXx-gy%5tXp
zU)w<WQ&R3|GNK?2&gXYv=*B0ip`+V*LEun50xQ8=F&&{Ez%-%~WsgLS434b)d@ex>
zqi8yAms!D@31Orv?7eT7990z$81$s<K2H=pUo|_&&?sEHn6PUr(+a#H+e~kXfko9T
zmL4~Ta@6x6Ad2;~FM!u$uPsdeppvlh8!;Nszp+%eRnsW(_v(J5;rgc=jZL0KX%A=b
zo$&4?Vd?S}^OzziRrRIxStIAoEmx~aYaE!lH42+l?E}HjP7Oa(-}>L%vd2x}@uZA|
z)@UhxbG(hl<e#STF6W)U<4pe1fB<Oe=OreoT~^y{QkT(blfBaf_eCzOl-hs{!Onz0
z7`r5EWjFuvj@MP8o*8~Q7Xq**WxD_g{rYStWXib^z~C>PSM{w-Ps^~|gi3I}Z6SeB
zM+OUTjAZ$2J)*1~^G_t-q?h|J0x5mfchk)%yPIojV_WB`;d5f8b}daR#|X<;dFVbi
z_guRkf?5>7q*R=*9ql~o@cemXr&!OIK<(8j)THx7kh02AAzpT^&=j)t{_Lwq|EYxB
zSU30Ybq{^5niuwO1TpqEyuH!2_*5K5%>S0`I{9n%MT8Gl^I#}I4e>13fpR<6prj?+
z-RmxH!xpXe7FtRbI<&2qqY+tZEH+RclG`$3MTjxP3&hGQ%KrzD$VsvCrJ2kHZWx}?
zI?2FQ63m1B7iITsa)zuTuWb~RbOhcwZ`)GI9#h48AuO^Ri3vJCD6Y_KO&C2kC5+|G
zIbkNXV-um(^i9bi(f0~9y_NPamNxLuhjvo9z(4SiNwK~Ecpwv^utx$leJJPTfqyvo
z6AUjnzs_tvry@uQhKwP9J_6s#K9>dTeDOJo>Qq~)e9YOEifktjXn41e?IsSrk+m_W
zrJemp^Zz4iDMb5IHO&@4J~2tEt@qnl-r(P`>MZ-fUfWa@WZa$%$Z*6jjQA_Tj6!WU
z@%&}(6mq^%6#O16Fe6I$=%GkPXNB-NH3BIhMillRv0zMXMOzpBK^IxB!Fr97o$rdr
zR(+q^*Yqf>HDt6znLFw*5I-ZUg?65k)#(oVnGxbL$9TOPJxBw2asDpzt)j7H^sRw8
zp}>Q`HahEJgLdhI%S{tDHXtG#VUCVWe3I)kUl7hEfaA+U7+v}tFS@=en_5KsJ_<wJ
zWh8drS5H-_CXWPR=)$wdmq!7MYyim9(J}Xhv-^%yQ^W)!Imo3<6dHE>xh|m&5nn~W
zkfdid9qW8Q4th?1Co#O=I#i=kHi-*2WO`quGw(+`OPN8_Ed=k)TjyvKz<rB|un{{-
zQ~1hl6?k}SNxnsmg#@j|x6g(^Q9vrFU&VkN;bX6C=Fl6Tlc^NrpsWlMwkj=F2vE&L
zfgVGG;9b3DD6JynT>z+o2BV0Cm_cyt{YQgN&_aWuG8~ci$iY?*nh*lxKmk}CztPP_
zO-BZ4SJRy@d}eeWi5m>fYMV&KpL2S+8N-Yd)-b>Ea3CZy$v)g+b#^u10}{EUM6_YF
zc@8e&jo%G8r)A26l2FF)Oc{2lnTgSA^0v+^+7rE@`2+n;ipxOE3i=Nx&FU#8*;H^8
zt?th<O%Y8H;Su?SV#>sPZ`YdPN8_p5(ejwSbE@5(jPw2jXdoK}sm0&|X`30QpB&I?
z_dd>Is@zdYMRiw#58(DCriL@Onqd83tH>gSbfo>;rE``Pk1R_yy%Oj`gvQ<DHY<N=
zA!MQbFr(Kz!=PPiH@_ybp++^t!@ylrS0SO@c7sU<#ct98vIlzb&5s0-wzCY44d<or
zXP{}89VGffo%TCrhB%4<j3kK?K9&Q1r>9j|GX$%Lnl%h-6@Y<S|91|cK@KTkeynhw
zL~ILhuq>-<u$Ny#9Wgd{7feAvh=D1tkf_pkcS)2>QGV?qAD0BpnoA8;s$};7LJpXa
z8oia>uA&(uh9VW|J)%dJp4VZ$3{4Z>jpU;90d4tmj5cos%6e-B22_n>@suUvraGH%
zr6{L94C~+aCM0yVg<IZy4N=#gD{VP4FdbbBa7nAV(2S4dv0Ha_z}DBY^BC|<<8y{8
zGr!Z~!pWPD#M2d`>YLvZy*Sr7TF-&K;XEV@*90jdnmp`MRjh~j^<unD_+YgHja1BH
zg=<(nqR26Yc!?L4DHVq?@CCMd{329`z*!MR<5Lh>5opJ6QAwjxrbbX{*^CnV^FsQ`
zKoA%_#;NPi9j4KjB*{y)y<$%hE3(Viish^q;6h^rvT@~3D_w&dt-YzQlHw{zl5c`&
z_`eRQh(TUWjnI_<Wvg#tctNVaWuADB?sBGAmK2`8q8=2#J3BHUGyi2drz2rF`c!)v
zcBt7`<pH!}-yWlf%n(Xj+j^lp=^7vmZE2%2UU@LM&0)ByK(Kjj@yI!bu4m@BiqYOZ
zQT&&+6mj_3C+n=gJ#f~!T+}%BmA4?I7z(36Qe5R%Gpo*P72<_){xrj^N868nU{XVf
zUr5gNi~e&abm7(@ybn#!-M`w_Ytvzuotalj3T;~o!-ciG%19oDr&|-ttDasM?-Yj`
zyuWmT9DqLsCLfftxEXo$FYF|tT{B~@sz^6wT>}iCDE^&@my!KKab!i|$%5}DL78W<
zBQ|5*gz(tyrmTu$M>;`d@e$g?mPCZj&CYh(28yM77i2PS@jV6<8$7}RH=!(2`z8JM
zB`G~#ED%c0gqZi&RGM{lMG9!wQJVg}Q>TE8I8gL0R99G&nYlYQJ8w<Kb>XUTO6zNs
z4-~#MfxVP){^mfbVi^<+#fXt>l;L&+b-|o|!jjG$V#g&xFel8;I82MvZ|m~XOf_KA
z9+amYm%b}~n2T&#f33V7klv;dUs8CS+dV_k=}&wDD&HMC_t&##6gto1qPnwC?KIu$
zGIX}p-_ejSH-?7N1?Q^@0|fmF;R<;iu7n6dYz@1yHwPI!h6Ip1wWmJDQt>4(sBy<Y
z4m9H9jah^q5;~yW{GZ^W&RV-*98$(C!|~=sAQeaVTarUL_=fx!Z$D(t(zfpe&33u(
zH5oCK7lyvtd~XZ$lWeHSG!k3CwLMDL<t$YACACNH0dN_Q<_#g-cX`*u;y{Eg{pIwa
zm#q?KYIa;Q+i)OOLxW{JXb0Zm{c>Hr*}F@~7MqqXdP!uPA}c5{9$9$e)p+3)Z_lfJ
zi-##g<f!$uT)Ff)hSIdqVd^tZd!nR+Ze^fZZi{ix@7gop&c35P^vCc?`GbT8TU^Et
z6{80@O!rfX59$fS2IT8D(kyf617j38=T~!9ROJw+U}~axH{m}?t`BUZ+eH6Ihb3<B
z7^og-`6j>!pf4<f00`kf?gl-#-FA((C!;DHWyAVnKw${U)vC+HZf};nd<9AecA&r8
zu>dOk@zLLlu@#8encRHK(d6i42dNNQM_gY>ZrMIS$|&GbcdlDBg`w0cwVh?V{ip|3
zEnz4WPUG;_G0wY)Umv}RHfTnaCsO9`cnDpGs?bTnQY&H>-<lUlNgu>A0OU9kvssdT
zvv&aCXlmBnPy5O6PpJwO)z9Qpyp|>xGo5@xhkqZ)mE})Km5b_cLEaXGxmr;WvTW@e
zrzh}^wjhvTH?L>0`A(mfKq>d>hvfMKP_?HjX$7NBJ@fy6K(iUvx3L0H``NJ*k_>!C
zJmebM>b`5TYm@w4p5u<mo>^4VI`aKEkx8MLM2{)!0Ae~vT$o#mgxi`;YLR>IICq;R
zW$`Mx*vZp;0~%7qhk@ow0r*FM-GTz>iad73Y%khE4R3ec*i()fuDN|MQ*C{9c&_l^
zcBByN!ZxknH}RuZiBmSm{#NU#blQEqdc}dihL=btkrw<pud?8@Nzit;Uwa2O48`st
z!O1&&<VApW$6kr4j?`1?qRH=3d;_QkSdpC4y+GC$*!REP5z!;EY`|T3VeY?wkqMJC
zf)6#|M2MD-f(7AO#ly)C`D7KvPsL6SsI^6k&z`v@47YOxDwV+P6}GU|{Ynl5#a8*>
zt*;8$#iV5#`{KG#OF9Shvfs-`dLz8xrA{S!lu_7?APk22l8)hu;L*~(RzrsL+MU}p
z1{Lb=qbh^8J&osJrIWU8=2*ttw5-qLlF>TCCQbce-(n&iy|ke{ezloKB;mi)yX;Hj
z(Id-(JZod9z9HW{R;9EqFcaax)sas+8#qcT_3;Za(hnaJC6WOn{@&&H>@7#vZstoB
zn_w?>03&tAvpLrqhv8_c)P`t0oQAegec4@rC_K&kOusdCZst0BGDWe;Wvum~DbaBS
zG#P3o5IqT%^(_zC(6e!P`GC{!p6Au^_2P<ekLhJS`d9RP+0h75bk-la@W7rZABf}T
z<u^&B^r};0PrQk1w&Sx|V&V86{wJo<ob*rEmyUJf0*^!8TJhHKV<mEMq5Ke9Uny1@
zVO3REQd#|0=sO5pz@K(jU<~;MX2}3v!l?`HGtL06@g}qV60H?>;jnR+<^-udz8~Ft
z#>r-71EIYFEP*`7m;%N@R9@Vb3G)aZ?YR-xbNHsN{E@N*DH6wA40W_H`}CCKivM%I
zDtOH{0T-<#dm5NCWcaR32Lm|3KO+4klk+WkWoYBDsrPbY$Ett>#H$(yu6YvXV#YPq
zDtSS9C|E8U>JmpCJ=>}X0cIdw&nmtV!+NAO-y`ZSdNv2<dTLpXG9Be?9Ay1~92Jmx
zI$r!Rwp0fN@KmJA>IC;TW);ka^}c=R@WIFC`g+I=8Dke&W`FT+?y_eZhWhIHu$+T3
zm`#+GBWm64o6Ic}KJlm^`H_znB}Wd2Yq0U709or3dm=9ry?9vn&uT>TAnlt()J=y+
z&j*cF#m!-ez-2lrV35;nfBS7Kf*oB>cr|~Jgl37^r8)kZKa7i$#8WzJc%FSLrW1+L
zfN>=Ro4h(alxFaq(Vd>FP={CES<oIcQUU6y(J^5rGBvnNwv4(5jWmihGQ9##PwchV
zjk9q-%A?3S9oT891^QmzS1U4`W}B{N3;|N~I49afHriAV7UX-c16{`WEh{wK8oEo9
zPEFVV5|N}0+9m+@FQqx-hxytfFS1#jqluIm;lo_2P^l)3rHkj^ei|(b0vPQBcJ6Ib
zm3Dl!x~Zj<V!DnWIWzH9@W;@yT<U%?UiQ-51D{o7Va&_ihzDiDVsX^t_80rwSV%A~
zK=?Q)y87y)@zO^>|9CXyg2XN#*B(}TCc0ZCl}cUc4uZa(nE;?36((5qF{QR!bX$WW
zs#^}ia6X0-#jTw=f#RMbJi?l)3b}8s(q~+cQnwBrc3_@ho>urGx5cz3dpXGCMyx#E
z;Hjv(s~z&#+?FH?h@-zBnb4Q8p(SY&+x9T8<!$B8T5bCI<@-<lSuWHl4E}x8NFcOQ
zTy*;Y3G0*n&+@*Bulqh4>;-i9n=jM~&g)2#sPj!*0^<WB46tPhcuYnXOm}+EEi^0;
zn7nDA+j!OUp~HCZH|Mr{D)^f%qaR%tJ#8@#k{A_Z1iC}#4~5YjZ!%I|V*^`<(V5e!
zIm63PN8xMisdJrRfAbHVs~Rz8c*j7pE}^>8{CGv;I80N0B9x{yxH$@Cu1qQ2LODvl
z;>9>qahqT0gyr_s2<(ywhT^GGm$TG~FLS`!mLnl*_7K;EsUbC;sqe|EhuXMXJ7gU7
zk%<X)dJEa^DsXP%&}U~19u}K?I%2kwk2WkuHeVsX@s@3y)Mb(gl=<Wa@C1EPO0r|I
zU?`2W0*_0rx*canDDxCy>-EJoB%v3h7rZP60~--zT8)#1USY?oI|aXMpyy!<T^ILY
z0RKH%Nr{-{HBq)}Y8NvuV+)LpeUiFT%$dSd)~(0^Jp(rQ)?v^WWPhfl-`1Z)0O8YK
zGB?cq_BfuCosK2=+T~+9SvIeIL{r~H@GJ+J+=Y~oib<4HZcVy~MMcLes3{WPZR7~W
znty+QhHeA)++OS{bT#hy0bPb4Mnq062s3w=E?-QY#;k%N!^%mx8)Szj;kyR-R`aa2
zokVe7V(W-u*PClPPFST0aJgJu10>XVDlYIdo!SkUZh9?;!a&sqAnPX@-Mw5J(2R#v
z)3xj%D3Ldd8Z6*$5hi_v0M@3}IY90b*9hc{BkZ0p|2K>zryv&?{5T8gdE3Ow5Vn68
z(o`RmSM&dS7<cVq4I?SSQohw!d1-|Rd{vac?3Han9hlDYN+E^1{#j|6ro)Y>2p|Wt
zdbnYNs+5lo2)Bxq;e^+OxVBt)_9hewc+W`Lu_3_N(4w*P$Qr$aJ)8h!AS@eC%<HR1
z9=aj##hI=us$o3x+C*v);-ALkMJA<g-*x-v=6dk0Xzv`in-rT9?uCS>hztTzUaKl8
z&R$pJ;R6AG2^AsrM+S0Tw7g_}IquddRG$E)!nGBL>XAExLp|E|bC}quvPKNHqT}^)
zJMb}1;ss?Q*+l1Ej-Kz1aHWN24>{-qbDfwO>u@jgW2TseP;^}K*{PCd9HG!X*{ciW
zqw~BUn>c6!)8bKXW=27eOl1UUHTp4soE%>bK2?dO)dntkWr7EA^&tk;LfLf=I7h8l
zNBUha_g;YBQjCn@*Rrz^m=J}r^2+oNpCveDY#gRNN8|GW|LA>{&i;{_+DaqZhuQDp
zB+&+)jjVKlYed-W|6WyoL8D*WYaPK|`Qxlks1of<G$bvlD>h!Y5qlyFm&meh&i0Ha
zPrXST*_a$->&$u@*MDou2K_B~ex{}{6}GXogfh=nvEi{Al?un^sRgy#Sa4Qj$_i^M
zPH5l6KTd~ZIS@S)f*sI07G#GeDmpsr4V$yYGc}oabbx*UkHTc&^*P$%ZJB=}%}`lZ
zbxbVIv)eim^VM98*1uH%k_;W7>wCgZbS+uaH^`h(TK+c%x`-HehI_v)-^EvMCb?3p
zJrfn5ziPS>K(7O{7L6o=2cll02A1S0hp3X$aalY%s$moVMv9T?x!XB7X+9owX?={i
zZh)iQ$#tp~Kgs7=xdq#ia07oU6z8bHQ5PAW&w<z{*_)$QWjmIW!gNx*quq_Wb}($N
zC?Y5F4Ah&ZigDg4F=pU{<3i;$tzkjd;{&cH3K%9^=w+_;c|?{Slcs#yP?IWZ+oI|!
zPM9I_iN$@#7~t5Y7!u*3ipAVZe<FbVzGn0bv6I6SX>bU2ZJ+^pNovI4vQB~zi(e&x
zzyiAtGH87aDpJlr`5wEMw{ZxYDIC7SOGU-E?oQ*{3vh-(2r3Oh#b+sbJckj5I~XF|
zU52v4#b>G1I~gzrk89I0$T(9pVpdyZ1il%iLgLpJ+%X;+ZAzxMFIe0GFXJne`Smk!
z(Q>dSNYzZf2^*k(x}<v;hXA03<oT|}Gp&W1A9b8YyOlk&mCt`cT?L>uTypxw%a>u4
zE-b?rx3oTTbD2UWdKQXD_K&HIYhi}qNt?Jh!<Om~vbG{U8;`a>kLyIb^TWhF*XRMk
zVCQNHrR=3tj0fVN++hVbGOQ?c6h&VeIAp9r{T*I+Fc(Rzw9Nm*yIvQ%`>zj28l35G
z+$s6^+y;A)#y04Aw%e4v3rFvA5fH%BK&rzWJtMUg9Z#Q3B~?@7aO6-!!)uU3<X^dI
z9|@52OkO(sW2J{Vjz4Kv2O!6x-~ISxY4Kmw>Hj#h&|RiE*Tv1ix%cQe%5WGw5YE)q
zCj~alj|*_<sr$3@+fB<GoE%-+^3eNg41$uRWAwP$q##c?UmgfnH{?dA(cR_f81r`A
z(lIX2rMFWY2kBdcK*$7I0PuYYMl!tV-I%v2>$<1V)&&-OSd>9buZnFAoVg-^2|x=_
z;K;#-9+oI&>=`oH>29WQG-5%dN@#7XKA%q}XA(>qf{HP=fI18cLaDGOf-t3Xuz<bh
z+^#kkLzxnjA+!1IK>gc;x^DYfq+_fTAE`fCgy%xYpSTOk-TcK;q5dF&7bw`9avhAw
zskxNZja#7aOT6#1+Lm`v0juOK*C}`UOOYKUBLLXlO0e3kP!^7kAouuX=}fb=I)2E#
z!P%^2`=2CGN^ESxOMN_a(VFM_iujXhU8Ebb0;NMxRt$Bkqt-00*`4T@E>+Fk=3!7i
zThav>(VADhG<ou$npLPHm}N49Z{kPvpMQ<IcOvJRgF3^Em&af-3nUWj8h$*Sj5JqM
z2W@Rr+^+f$+$Y&ur7M2y)2b}dlcgq%_$D5|4^EgsQ5#{8Mb@qFZ%>@ru;<^o_<3!s
zCvx+zz(%j3CnV-MEYW29(Eq)8K7g~Ej4c);0UqCO-H<hX2cMY!t~p1|w=te3gVIt?
z4OT^~y^gFb_{33`T%p957-C5Q6P1YyGn3@QJ7c&_mP;ZXV=McibLjWUP??9yV~SZW
z65|}+u=Q8@bbwD_qnspE`+56(ULq+Wt^%-vqy^3H4LE5@fP9!}z*<{-y{$k*KeS}R
z3W|dv^Zp6ShJS<Mak)NwLS;7kzg5uyf)zEPB1@{KO3C$|+y)%<eoQ_>FF@^P%j&cn
zg3@}k$nV<u1lCC{Waa(sRga`VUP1pndD;WD3jBnz8LRu@<wWS}(A46L3CFngdwQ#}
zqzbOe7ZSGWhQ9=3@T<)nnleSN(E#*uJ}9j)mf?4AeC<*qALAH?8wF=+UXhdPuX9?o
zx!SzV?veZ*s$_*-+PD$xV~C)B3H7z=rs=N)jh3cKz`88%{^Y7u?u3UH%?^XZIqkRB
zrbg0E48DvDMgoSDTDg}Mt`c%>#myi$MTxmcVz7IkRz3jA_NNg(9(iZ`Kab1s8%Zt%
zWqZ#edV;?0leNHz%X+spLlh>jQz}qPp445+1H8YAEOl7SFHg+sgJhVkPV$IAsC=8%
ziu4`zi6a^Ihm2K>6BSE=^?51MoG@f7CZ(m4W0Y`uX}ug-Tt<vGiF@~eT{E%y7|iif
zjK8o&xyAy8(ug!k;-EbdHy!9|g4U%a{%x-0z<EUZCF5lIuKl`m!`)!5Yf@26<$~NR
zsK_$M3CphgBxW+7R+c!Kj|LOL#5KWo!&Boej}q#&A}MJ8G-?~E`wtYS{+58x-ImRS
zB-YRPh+@;75<E`gLO{)XeW>L;&pzaNjZ~0LO)hgb5vio9Vj<F&74<4(DIg@pm`vKj
zUz-KWw$3;^V9q4LIrCh-#JSp?$d~I9n;odY!VggM^|LVu-h)MN@0>_wt235Ub6$Jf
zAgjn?U+8u!pv1+0D~QrUdrM%jAp;X)Ub)psn{^9L*cOGT3^KiV7*@`^EC)NPYT8$)
zS6nt?c;K8rv8oQSwZk+Biye6<+|BwmK_3k=k^y0<$Ed3bwD2!hvvj4=?eo>)eQ2#S
z(hNT2ru}ye72>zP>_wVi5Cn!h0wHQmDM%b2vxtoOHp-45u+mp%7+o954VO8S|Eu5=
z!me$p!}prl{&$FOI7zjOc?id!+78#Jg)F720|5(mr3Im5nc((gyt#%<DVd?vbZAyi
z^<FOw67Xn>&*L|sTORfET`ET%H=?@2M(m{sYhX=A1!`c?Fdoi9p=qWXKK3R-3t~>M
z<<qJSUkk};BW)ZkZkj&*=-3ME8s8dMHMwQqE{+8$l>1K@$*frJTQJY;AC{7+Xljl?
zcQLWN;~r=xzG29mJD>uqE+(Qxu9@J*eKWC!N2w13+5Ks8BAXjb=>dP~B^~TpfN?Q0
z<$bfx0*<fzM>L#0dyokEn4F=9jdVc|{gFbigj#$<jmWOc^*$_L*!kJ|I`{<jA`QYY
zS@1LR_q`zbRv7eGyWwM}%pA<#jTGhkCAiUq+!v5V=Xt2Wrx^_?2goQe&lp1<XR|cs
z7#!qHq{HwuZ^*^G4c$w>RPg2>!G8#uWa?9q<I#sI<Ylnkm=s8>6%~C*$(wo~oJf%p
zRi1VLh`$Mb?ktaq7$=Kc|3DN{OV%j+Wrbn_j_^}GDT6f|Mwo3v*2HJC@z46hM>Tvw
zIgjUT!s8Mo2E>?sFUNPl*yoT1RDcooyfef`WvGDfGaGOG`bg&o$`G`M9pI+d4_ksJ
z<b&V!e)iqFbxkr7sgM2wH5aWPqyK!vHiqGWGhg0UP+t9M;`igS5_A%9RkLGs4{pzN
z?AYZu)4HSf3mH33So~Pch3CE~|JEA5mIT}3IqEWN`N@cr1m=m9J=?I`kEPRY!^zCD
zL5tTQ&%E)jdSvIb*-I;a+8C)y@8$c;#+-+AvXD79pef|M^Ki4TFLOosAsY~fel`vh
zbAya~y)GWVRc<Hk<E$SmxJ_~4zYItrk=;I=Y4{r0&S97V7?rdHZ{~XsJlGP=Y$PIl
z{(VU_l`I9EfLWfuz^`wb==<F9$bULJKXd7bLSpgRo8c)9^!YpZ;B@R%)Ocy2BSnCx
zvs&B*OWc^<o8xE9vVUxzfC_HPIRt^z^*3S`sWElCg3YHld--Y_qh5eK45z?84vHM&
z8!)rzFMsM60ivPVM0$qM+Wj$l*8NtKM4X>!*vkBNq*<h9+{ktHxX`o*VpE~dL5bhe
zk9^1QqH}uryM(nTH(7fjc<fJgwqzYm2p6NMdAPLpd#z(tJlxva<!VKH#ZAHS!!2^|
zZ>sTVsdkVGiMV7wSKV1Mv{%zeMPa_GB-$Y*14eW})X8s6d~4y1stnlXDpk!k3(;Ud
zl`IU>!c9R2J=xg0(d8+>pul{FHDGQ?;u?^<5aHCC0pDb)pa#G@xYX~-d6G%Ns{AQ@
z+!)KX9FKatzt^0}jkU8J@#VREDkV_hMg4h*9G4(BLq!&})nXYhj0JHJoZJdT1h6GZ
z(e|X=jVp1T4&;?RaBAdpTq4LcK<0<TCpf5kJ`mGtj~Zu|=JbdjtxjbjiVQp6V-RJ2
zhg1D#`~CX3{`d#SBz1dm_4sQlNSlt>X53Ls!_W}KA*Sgu_un3i-=TWKk1I!rPFha=
zVUy<mGx&lLZ68+*r!Pbh3^KQNvZ@@`4<w1Tm~IgqPpj?BDiBljS)b7f@h$+nLM)gI
z_#weu8w#mZk!&W<LrwJlCAZ;l4`yWVwPNqCI|DX^KJTl8t7g<%2`E;uL0Y|NSzzC*
z=z(L&ijjf}K2^RGCM`87d6A5Wmv;!|sJ9`DYvs9JAcTUAxZeTdLbSqGfX`~*e+&j$
z$y#9v!IUiPYw=@$$|Vbp?E;Bb)^MD5ntKKPZxOso`b^kWWNlx{42ayD@MXU^w}4eO
zZIo3w;A%M3e3ZX;gbXIi^(c=q{qLxds^}s;4p3s-lkV2hf5FM7n};I$2y8;oN5oBg
z^mU7XQRDjZtrD9nJ$YEjhp>K$8b$Nabo*L}C*-$!T7UCtLR-XAO8XBffTDDqjB&j#
zeJwRy&KB$o4)j7&IW@Gm%$2st^@O#m=kjCq)@L#(A8p9yD21SIm9KEO?^W3>1B+Qx
z<vNV!G3f_376$}NYOw$cjsMDvyx&G*Roms@lz3TCN9xS*mt%svG!^)Ylo`p=%(_G+
zH(n<tiWFPkb7_<AV_Eq?hpS+Ew!IL}(WxopNK$up@TjKUC@$U3atgKoH%duhw6g}4
zMNLnaj~9MtS|t&g52=&%d=v5L=JEU`KQ79(&QmVYnr!hvaS9^+Xb$q{1<33g!d&K_
z<eYlhcBgHX-{p1RZ*0(8iE_4S>8ax|#V<gnFp|QV=B?P6P;r9MB`f)r@1Ih6e7k-c
zOa&LyC>&+aS2?Mt_C*ka-*e7jAh^4PAJ0!iW!`|+o=cXhPP^uq+4YI>==)d)GwaQK
ALI3~&

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_converter.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_converter.v
new file mode 100644
index 0000000000000000000000000000000000000000..c3c19bf31a738bd96f27568f896bbb0439deb9b4
GIT binary patch
literal 6496
zcmV-m8K35h6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$>{GrZ?->^S_M9z87s+q$S6
z!`V#fAkF<AwgV5@b+0zIKKGTZ^5TIXS<$4PFPx$4mj*Of6d6woD<_b)`nV=o%|3C~
zccF8Zk-N9l|Nq`$T{?NCE<G2(1shc6tav@xWZlkE2h*2zsL9O6a1kY{5OpWi2TrAX
zr-@03;+$_Rb$wCn4lDHgbe6#~uR*=FTIYXJEy3vX9e21_y+l7k%4V+}4_=ev&IP6n
zVwwFv159Ce@ur+Rt?^7FZ=)HFD{e6u<C1S|zg5QSt7FkEHx?<ke;vCA-TQ8PK-Ik6
zEC9SX8k2ip?_=Z;``2q*$k++GQjx3IiX<?}n*Hh|%P=Ccu~Pj)OXCboqs<bX3e16s
zmMD*;Kde|acLWz4T!8PY7-k+Xivp#?Nij8`VLIMjh-V+wFy&P+YC!6=>(gAxt)1#R
zF{|=E)o(=K8G}PUk^~@An1yMiUt|<ti;n2)F+Pr<0q&#0MxnQ6lEu;4#$^Wd!GMw9
zL9ng)znh1p5NX)9ONB)1?{>_1jX#;}47@nGU%kJbM-n{PIcnNh4rQD4r~zqkuuLv9
z6%p<yS1rr1e62=2i7cnwSp-*Q*8ii2-JIfHMzwQfFPgAL!kLmAihp8?W!Gh6s)%=(
z$7i0GQcUf=T_s7N5RO{Hh#QjQI<^4hW{FPJTqOL0bTK67ww`%&Gg7@7eT49<55^u5
zyoL*|T&-1?uw)%$Z)mjhctv)GTjTr)c(`$AsQP=-Cx%)!k)UHt;uDLDUoizhyAA11
zLma{CRySG<rXTYfBf<0p!U<NG0Brzi#q@BhkY{Cs+^j(avVh5CXBZrrt2^J6i-%7~
zq)BbDQUCbm!AVTxUh&T$x9$xUFm_v&2Tupu)TtzxOWWf&TxCY*zEhUgb-k?HlK_jZ
zv;OOreat?D6cab;d$#c8|69))8-u9->ZfBSOZtTC0z(Yg#Y_QUoJKS#CwTu9=7Y2(
zJkT=y%zqqqm^h#g%2+$)ZHe;_sbD@D{TjfC=)ZINY>%ZM;3|jHR}#Mn`Vl+aH3#Tn
zuYnCx(4A^xNtcHnRvZmZy+WBhbf;Yewy0*}t{AI?_~H>gjG7Xq>HFvF)J=WSPw3}S
z=XS;$$Ct;>MAA#va-#^U+Nk9m<NPnhNiDU7^ahr+mLViJ#**mc7Z#j0EWVFVc0M&6
zm>;&L%T(V_<lXh3E-_a}`Ht?Su30U~Iuga4BrDgvw+K7Yu=Z^7_rXg80J%?O2Uwm|
z-dUH{Uc#OsKVSB&?PfYjV-Gw{3r-bkiMWM-&B5nPX2c|*%^@oViwnP+0ILfLU<-Kq
zgx!g=D}^@|0W{1E$&+idl8kvuYXp!pR++g_mz$JUlzM)^Gp_-SX@%d2Tdzzw;^{(3
zcOFvlKgL#<Gs+qDkF=MLojV@`-3=~>WYO3;e*;JTBmgH#g0V95oZ@92gX)mS^($Vk
zzFevoTr>6^m$1PB5&Wjj4*SZ9`lqeYnu^R3f#RN2UYPJY<(t|=F4`$BoGepe8DRbP
zoW8N%et*Q8iPZZ&Yz45R=~7chA|3KYwg%x5yfnO%B$A=R+MQlwD2R-Fp!4j_8)T6j
znm+TeQskN4S}Vi~gtnIbmaQC~5ii1)zgtxfvh>v7CuzwPD^6ro(qeK!H~yK=M~w)L
z2RML5iO|T`c=jj=F?_npIh@+MH<N%v8jVj9_b|z{JDd69gw*P<Tx(>3qs%=gKp2Ay
zZ}JF9gV+v^&>g!9+?~_6LZS1ggpTN4_A(GYmd`Sj{7~iB+U*rDyIxBDijL|tPGV)1
zftr*Ap`Tbm)38ELh|||9;eW9%@Q=>VmP!!*W4s8;T+nRPSd?~FhrUlmduiO(0d3S8
zZjVFUTn8URbb3W7^xr^l3_idlIxdSzlgqJ1-yVp+`Y1rZGD{JANc(iX{+f>gJ0c#Y
z*9XI<;>A0R+E(^xPVi7{9=2#B0_mHXGL7?Hd{|*w5SG>3R-HF|y7ycLz*A2}j{v8l
zvRBtVRtV~!YKOTKjaHwlH?<-98B(JRo9CamMQq8vzwZr<pDO^D&Py23bLiDH_4{N%
z!4>jOu_BmQmKn!oc^TQ$=n52Q7$8N0W{`Jt)lT=t05c6(NTT<E5+m{;8G*0uW~j?Y
zy&d|)VcAiO5u$ucUJJdVQ9^w>6oPG@LK+5Z@)URcGz)7mTw|zGI#mnGc=|l$L9vF4
z`Sdv*;Mky-)l;X<JqS8xB?3t4u>Nrhcc;edot-$Vy({q+twGGT8#BZ6(Rx%dFbi$q
zVb_Bg3{>&`nK?5apkFT}?N;lQXRn<1|H&x<1x3{P(kAVHGv*e1jQSxf;@!;c&(v8%
zqT|dhlZKl;(Dx4>os8Bb*<qPtTI!_<4*c;>fw8681s3l_$_7(Fh4x|35d;OqCA784
zgBXNxDzX;qJ|hAR;L*|hTk9&ctB*8<=)m6EoLS&~D`W3OigP4=y%6$X{!)Zbw8bW|
z$|-{K)X7ivQSmncCT+v{dO0SlaU>A~NLE&6hR=npD8kYUJ~JsarqLS(X=(ki44a^h
zy3|WJ2#-;|`<O3xI2HI$dMvqj=7lEYRe#*2EHy&C!Vdd{{Hg>V)=~I~6{YxHPJX<P
zu@dd7WpqPRnkxQ3uWLhI(x4<0X%6>(w;?>HQr;ihgySixJ4=XIL39yG%>B_{Ya3on
zgp$DBKT_Rnv6{SwJ`T-}y}Li3p}d{3?C}kN)WLDXu8G5T9Xi{F8Z&rx)gPgG`BQQ2
zw~UOn!xqR&h^)X7<n?yNdPi{;+rH2s*E<l!s?zi2f-`EdwQ-c_Bfdpgf=)%|cJ{?1
zw}Do|QU2CA<qkJ-7RI@2s)r_iy}p49Xns@?mx+qqBoux}5f7y(oT+8*gEcW+w701+
zqlD}PjsRCZM+R4dFd;7Kp6I>K)bdm5h0Q%Y(4kQoUbH8<05yMA7mU`=WARQU^~=>7
zLY1GhONl<u{k)-Bec)yahvN-0dd|sd(oU%-_JP+N>*Kd6=5!8hF%I<EUhd$J?(0`x
zqGp0_y)9<;6bsw0jNlOl!I80#=WMtko?*;3S)=y@HmA=Ebl32bn0??7mXl7Jkdory
z51jcOMxU(+v3~vtXyJ%&$K;_lbNF={<Z8F`DE_-TmsxCYqOV_u3p$uZ<L>;7OI*5E
zQ^KF;*%O4kz!#bbZWbbMR{(#*yaVB__{$%jnc|N?H5ot_qEss0tYQ5ehFn^KrRWYS
z55cq~4{+A2<V&IK*r_`O-^R;DjB3hwomWX%3YT{`WV7R0mBP~8ABI%=!VPp3^i%lx
zZkC1&t9#g!R?M>AC8(Foninw#H9&?QtalB-S5Q!}W^A^s{2IUaon(HIp@ZAqXD(GP
zjzw1Z`jm6-J|f=EimIyn4XO+lw7SC-bm6yB`(+GZP>0K`eK25w;-455dawakZBz$-
zdqLq@A)4kKz_ntZP;)$2Dq)!4fhnXm?P=94r`(T({CJD`6x0cH>EF?iZAd!U>~r~J
zq|BzcDY=9AK4qmZZaVj~?A?b2AWx4tK<`e#uZW+Qa*g+YWEDfNF4RA88KO=~UP)JH
zE4?+vX(3zR&#|t%(&5@{^&USJzk-!6jAFcrOVE@W67c<Va~kyn(xdGvWg)wMV{y0O
zRyio^DmGpBZy-Kp1ft)G1`I<O(GfCgh<Kr9B(pV#x1r*BBEC8CS>CHb6^l1R$}Dc>
z#%dM>ImbIIt~=;?mpq0@!;Y$_b?!pMw#N`-(O*PuTGcB{4T4wuYT-}OAkUtKiNxaI
zBk$#s8Qf`>STmW~PkLu|&FZq~43emOY7g;$uiYBvMglYwAK?kejpsp9QB4q&cu>|H
z@KBilB|5j|dfTyjIhU>E_4Hx;Q!3Uxjo3AF3Ze}KECli3BcSAiEc^mAV6uy<rC<(i
zgb!>S(lwk=w)S#?vs}%CRLhHb)eDC$>{A58^!Kj5tXOX&YN*uu0EdyMRqL9p_$>21
zSbTBqa=n<V8|ERfInUR)r<Qavaz@5OxS_|+=^ef1jO}+c8|mQ8#o0igSP)!2SA$q2
zIHirRSfBCB<FostOYcr?bp*q-P@NRh?kwC9SOvE4O?cAY1O+&UNx~<M_Ghp&DY<4&
zjGg)Tk|clX@M7M$HKqPca`S(1r|<FeRP)cYAxrYA_t+8P7L1ysXU<8P(aSKjIdG09
z>}`xYH&-a#pKaqe?NWc?#0q&(R!n5V@->vRp58N&@x{g&@hZ}wADj?QjPpwAuvca2
zB?6t`WMv5Tz|Ncpq|D)y0!RBLvTFZ&>?f_sM)@OX%#t7B<6OOvo|568!NK~fmuP*E
zPv%)hVCG%WP|=V&o}Z+Qeg6^N%JSC9Ur777IAV2CMWrl;G}3DK;@&&>@V!t?WBQ_P
zmyoRIp=6BhU$eI(QICVB)YKANm)^G7w%mb{=w=<8+&c<lJnzndp!+n<EOR$qoT5GH
zO2dlwlt$7)3>pJ9olJ?Hi%y^~V?#yiYpJlZZhHGotodS!nbCsl?p8fEh$}R$zr(NL
z{-t;(tk(nKWs(X5eBo3SI9KVzDkg@n46pw4owkY%MXQ~qroIDYPv8nG^#~}=fsj<(
zC(+n@aXtm%XJ|PINx9_11BLZuOc~;DvC{Q+iF28PCuoscA41c^?b1Vt+OAwU4~>Dr
zZ(r3QKGUx8o{W${d0N$rS)yAU=vFs>XDk^EpjFktnEMJkU!yPLQ$-G=y>T96=nF(U
zU0QAD^Cqj0_+I@0#-u@$S=?o{5P>4rp#`)4;8JUjrayg>-{2Oxub#Qq@_Q;|!~z{g
zN|J%OY)}*k*bg*|zDPer=f2Nuc1R#uGj!@Gw|)Kq(y@SRI}47cdQrk0%Fon0=Y5L!
zmZoTFzJR+t3Me@`{C`W<=X8nXnEEg5uAqN+23XGF6Wi~*vY)kD0|Mw_=h2wEw#4@w
zOS$pCJncql&7h6`!_)uXq6RPM3>}bHZ~E^XRX&uj_oJ-<YI&dIXUCsM6llrGmCScF
zr1MrUnbtdXpyB5rntB6`bHit$Vl9os{~vhy3h5HD$Gy1VN8fmyY^H=c!o)0<>(~(I
z6u4`=@Mqxob9FVwsp{Xe(ndo{X=}?qzN_vOl}RFF(6|`k#L_BF&Y&tA%w8lUjsup%
z#}6+x>-vhgBeF!ka#p4<Foq8t!A78<qzt?i8sbAg-WPCKb@r6vfwR4%`FthL!?JUn
zrAIN*0;DUMneib|5ZgGm`xtiWODQ|P8Bz_vQ8^lInpSb+d&@V>>dNPpCA?-LvH~i|
zM<@FnEWRrIOR?8I{WOl?dFo1pI*;={q+Oc<?fQ|=u)qqFK98Y28n+#lL;jiV6erPs
z1R5ORjDpPSZoj<oE;J$|DEyl*^zJ=lfdxf<WXIQ?J<lg7*2sz%6O*-hr@J_UA0(>=
z!*?UQNqw+Dn^v^fN|K7l4yhF>(RJhz9o*_i#dv`h^aF6O$t!wtB-mEZ(Py1R#B!^5
zpgYXAg_SOQ?d?t0@g$VT^Z)y*?CTJl#a&>Ui7iRP{dBVfggNNZc4v3H4L9V%drvel
z8A9`~s<?AWpP8U*cHx^_9dtz22~i0bqFF+~jaz(l@W0ER)o%n(9GXdJK7O|*PAJgO
zL(F_eSfR_u*3<C_Q_A{D*DMHfbYSMebPu`3@Ws(;Kp{M!X(cWk$U@KP`uK;f`O5`-
zxU<OSOxobYwR=!Tc$#9b->qMf9QEcGGk3=%F(fFe0QQWXbI<`!zVvSUzw8!7qE72f
zfP4>_Gk{2ciFBF!o4_l;<=0;f2N}hb`9UtSpuV4X4hzgm46MiO*`u{awY&f+a?;lp
zp%3}pK3&GBnilDsV^WkF+BUe*oNDyI8d5t4jE3;OAY7CKYTmP9uqPfCXD1^7UH$>x
z$kQVD*@+72&QiB1sLjpy6Ba_DUDay~czJG{PQf4m^4{P|oKTAlR+AiT#b_1nqqs2H
zKj~}Fe16yDehh<%n-a)v?Sr((53$d2^s<5|iTVl{X@x*64qbdiHhLHawQP!pl;L?I
zTr5#;MQDa?x;pSE6AsjO=^eBH5g7#zZGYqAAt<el+9j_-xTEEf`5}mYLV<B!%74uS
zP1OOghnCa3@DJaN($CIBCVjV1_~v39_o3mZK`p7uxc0ei#-NVdbNC&>{Trcux!q*m
zWkZw(0vS6`e(sV3?&7^KMXyO`B&_JMJGd%)<@Q_}fBEsoc^lM4zJKI}4$IjgfbR(M
z&T%z`hr4@L0-62SYPLSP{MI$q@XJL%++l${p)HbOO}<<f#8r2|OpjftJbow!kvlbM
zbw5P@Jse6$pEmE1ivtW?IIA)Ltg;8tNC)~ya|WtyMw5;#SBi1+{ki3K_=Q_+I_ZjJ
zJ2fmw&h=QBS6B+fMy4w2lD9L;1q%m)A2$4w&!W@7A3ZjOJm%N}XbE5>#~|;ns`wO0
zm6on0pP6(F;K*lX#vX~-EB7^nCOvjVd3V8cF!6Kezs6VRfDnQu+4@G{omGAG@UOX=
z;{2Np5r^m~gmtAgPBt$=;MbO(RO>T)_eaEI@V)dBV!I9wHO#eKPA$nWTAf6vYpu#v
zSaMEdy`Lbg-q#A?;8#1<nk$Du&X{IOLGpwN2F_y8m!Qw&6S$-G!IXLmYe{=wC74l7
zOKM1`7obP?aFX~Yp5DL2yNB(}fUm(H<>3n|l7=bmO)#9iTSV&8atnUJjq)KC)cbyh
zhSFTgQ5wNl<dLX+M$<Lx#{CdXER3~2gs4JPe#I<wJ?$L=yS)!+R>cN@gamOa2)vdx
zITk>QC<qw7!%|_Ek-78jByo;z_QjXXcXeIoAR$j+M-|j{)vjb6N5z33<Lh<?G!cPD
zH7mTp-6!<>QgGS;PGemt9ddqz(B^|gao!sIy<-I$q7+lZF&{32fXj8lQqG7k*dD%@
zkj#=*uM$fHQ{XMP=oW4=jf{i$5JvvkoRe4RcZvjQxu#fy@fntm-~Y7grT|J{O;OWu
z%aC%Yx0vloP~qHnqf`c!t;TL|5qW5#^1g9R58rEi9gzTPpWZ{on{th5XWpg2?vPb}
zzWyTxuI_0UIj6u_EFSn#6Jj@{^_#7m@<Iwjjw>|d;=pPEfSNsW>(p52n9qL*l8vXV
z&8zep{eu&}I{7n+TmkGSF8V7?(sq)a`|q?$xHq0#Wz<QVz9AqRw31@-xv{UD4vJGL
znLlNAo4Q>HsADYj;IERqS)1>xCuieo6W5uHTIJ}CQDyML6L}>bf$%V`YQM$76CSu3
zyW1i?A;_3}>4xjKQBDW&hSP?5TA>eaX##+y*`x1X&LoAdeGjN$$fw;j5~-<g$R7`G
zYDi^Bt2NDq@AKRHUX6qC;NTm&v!Skrmb7>_KM`+$1t7S5CYGmHR6!)$=X)@{B4R}&
zivbSa3~D=!5-vHbZmdLidlp;58_sSkzz^K07o%MnlmhWICX-pdj=_KEo*Su(<G}*T
zkLQ5bs@<SUFU7jt3%+2>5v4esK5C6h!ZOQaE`xirk~Z4)^(HAXnvs-Mg{3Vx-vjDV
zp!=Cw`CMVs|33uIiHR{}ypSRb=y&AD#32cdR?Y0de~TY*qNQ>Bi>CXuKg-ECXG^e0
z>S&`Eyf)rIorPVu*zA>s$$%|jAs3Q)Uk-|t_>m4bJyA<Xp3YG4S<^$kROj;1>^-Gz
z=q)guX<ffC5^aUiQW^Jk@Jk67rSP#8#;H;G)5*cgJ%%P7a>@7HNAJh;W`$3wmD45i
zlOnho!A1Nz@EW(*;^#&0<I)TdJg4!+0cz|7?FqnJu2&m0A9Mae=~AAacMU(w5TazQ
zi=D-6YzjjiJ>!#Olz@QkVEDZEE_yPD62JT(6nfwG&bL=fg-wtK;^T>^hSB`6A=f$#
zut0k1?d&E!j!vy1kM0m0d%q1qj}hqt=pgtw*L%pTWhRg{ag2e^s~G-EU{G#@OP4}B
zSl!=%p<3#Z){97)%L>l+AK84wc+41nEjmfcDJfv_mJoCuHA;E!nT(u=rDUvN$m2fW
G0}2R)Ceye8

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_counter_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_counter_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..f672868b236ba8212ac984ff33e8f069478cd1d4
GIT binary patch
literal 15568
zcmV;>JTJqG6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}V3WY}(-BDQ&`
zVS+v3$cbgA*k;~E|M1~BT1$(=(IiM!gNIduq<$9wSUGEguq=ySnVwkt{H103>zlZ1
zJ!?f^Np7o+jK+opP4F5l6%C-0&V2Yu9kyjG3^X}>*)XP7mRzRrzYHm5uXD%5$^%3x
zku1ZG*0`v1vV1OY09WBeOrxCvipv13#3(azwSuo4VI13ntTH)^@FP3yGRsQ*U{+%|
z9LUl|5H;K*7;4Dxo_L(J5c&eeA|{tFEuM{2N6On&&@pA>ypfTctkskWTGgy7T>%<$
zd-jz)F1^l_1ib?AZ*&cFA8jR{nethzFG#DkRwQEi`}*#|>;;(#!1nO$p$NJvKJzSI
zt&)+(g15YZQCL#qJ&~g>7b=sJv!(zi*5Zlq|J~Gp;rwhc7H<qncbxMBC$&>2{MkqR
z5(gWHt$QnI%Y+d(=@mep$Z8JkL?C2@?LjXWOOQWj3$2;cC8@g097-Xah9kkI%c^fw
zYbB?DR&&AH&c~39^XYg~;{@4T1xo__yE?W!K-cz!U~>ety4Fwz4hYaV8-|m_5!Od+
z!-Q7}=kPWX3Nv>M4ci3L9a&rVCsR-R68Ob1a!OSYB$)WhX|nY#Y0{CXZw1r_MvrwH
zZo@7Yk3t07dCh*?%0z!0eGolAzR(hPYqn*<;DO^dnj49$Vk+Wz=c(Cy_&nY9e+0M(
z-Z{Upb>ouyIGTjf4svv(w$`V9uf6#cLTRUDqlml?$VV1Y<)x~6y~UTIn%FP}*oi7&
z-FEb}{0+GWK^ifqfs@nJ1N-&xbarCCgGd#kS22SQ1uTQW=4ei7AG2x1F&Y3EpUtLX
ze8t0CXdRBxK}en)CmP{VcWajZ4Ebnf!|W5uFS9ziGdk(@6AeG~E&LU+x#-mvp+6t$
zQigYNg$&l8<~X}e=a2ckuXX>TIb$07$KilXFTW9+<~|U$bq&5KU`i2FN}$cEN}kEo
z-I5$F`Ti9Frf|VJ#VRz$$GHZZk6+_oC*-#-fEhoVE{aeIuGoM$O}If(>#FHP3Oq78
zklSR#mEu4Cj*v_QO#?aTJzI$nE=C(9FriEx28`<HiS~y>p+~Oq=P#SF1S2U4N|M6b
z?>%h*PVF;@6lKcy4kJf;Rt^MIfywV$Bwp!gbM=r8yZponwRJwy@Nb4sVsaC<iXO34
z68R9?C#b~3y2q`GK{QF82qfqOP7Idk&?_E&)Y7`!1l?s)Mupq$hrNu~$?y+JAS`0e
z6_DLI3|EDGOHARmN}Zq|0efsv>6LP~9q=@qM;5~U%G%`Hi^HwGkInjy=lOclYA$bq
z@W6_PNiz|2CEPg0Xi4p2m>wFgB&ErXKIe(GV2$!JoU&<ktQ@~<V^=^hql)EZ3foSK
z4xB6*>0P4mgexTLWV+2cRh$}w&(viXNf@b+fWjDlyTM*=3-^ZJYo1YXt#nRVFEDOD
z8ZYfHkUK@T99>g->G;A^+Xg4M+6O7!5S6~?4P^QD@zn?)bekbE{kAgw&2R$L6}^=0
zOBJ+0mutz!>E(g~Q>;>SZCAERmN%mPmq_hSl)1j#x@7H9_jfn%1?qqTiV;%jrIjIW
z&#C#wqi>7}u;}qfHBgZfb#B~Y=^1(|Sas)N^oYIq?W&!t_+-&Nn?&!5W`O`LFaV=V
zC?n~(CCs<bK1W4#_Co2&f>trBy?fC$<IdL*^+r)?9_sAcqz78pXn6&;jrmYoS;o^t
z&WVt7S%t&2iLb;T4a0OwyVGd*sr>vZhAa@bwYn0d2uAD5_2}cT5x%adHYu%h@{(iw
z9|F(hGc9vYAn`8o<quG$1&NvR#11&|>){;;XTee`9Jae}D48Uxxhu14;`udXwrp_B
z7?srZ%M4HDMb4s+D$ERz#i6LsDBhCM|Ls;=!9w6JkvVrp;|9^p=K-G(>W`L5)carF
zSSZebQH+CN;=}G)mc@?6B}=n^gD8Tpp`f_GN!w|uX2TlK?)4cYFVX$~USG!#!)Y4m
z;d4(Zn;wNyiLos>IxB~*e)S6jfaSJ<*r(s4ESy^3Bcs<rO=wKSj9J3$s9t})yt0uC
z<?Uhg;+EyX*te?*>uw!T4*PQ$g#-nZFUGxmGG4XH{N;sQP|JZ@lYrkcy*}uaAta3c
zLPo5fQl9Mk;fR{O441>+J7ahEmdmeDKnEr(<blAHaNgoBISgr;Tr>i*?zJ3SHV!&5
zTn~w>8$d@QDByVudvl*^N*9(SUs*n=Sqr>((^oT*tB1BRpmn_^I35JwhWGe;X2%ST
zA%-$WvQVSAHnY@#IfYgy&~HGV0ZrO6cUG7gm${_)@|>W1XU@O4{s+hwM+D{6ci4?|
zCDiLNFoMOWUV3^`@Rb{k`kd%5ujVehaSdm~ch1Ha;gBRV)<xp0sruci`3dDhwQZ#!
zw`svmJmS;f+C}2#^oV^=c&jIib8tkN&8f2bHl3cDZgQa=EoG5EH$<B0Td-|QvCzXr
zF2y}p?`S_BaBxOTX|-ZhE4QZTu#**^jIJs3FT6#f{zi_XIcSh#r@Yd|Z==OS)Cs<#
z=NA<vV7}DCnP{v8Q%K>hED<&HN3-7Qx~o;Pvn$KfI$5Mz*4i7>fC`F+Cs`A&mO2*<
zG0te*cy@wD;Te|&1O_6sD3wt<R=bV42j#+m<ZjUk-2Z?KIzd`j&>`rb`r}<09A70z
zbc1V?9Bi_bXD<f*RA$Ehn@Y<K&h9WK#d=SH{b7r4YmtqWfl`f(aL5Rd6RyF^$QFBs
zk!!%+P?}}l9#v@|#x*VLsvB77M&#vzWU4H&jBeE>Uj+ygBLP}9=fQsKzO<!^wg~E4
zkEVhOsIkD{8A6RAd3&}`Xj^f83_q>9ReP0D>TEej!|vJ0e=l)#?k$QcPbPc?l;1Jh
zK#;NW7y47!kd9vvoR}(OgU21dTyR=9ouCc{0zv@gT8yTg{q`UX%Z&`ko#o&{2yj1O
z?#K!lT8uBb*jWx>MM_B>tnElB-gh*}PDof3vq2FPCppK5-Mi<K17L&*FwTZoA_bE&
zUQT;VMs0!GDuZ+O;UpC8sNPC%oMXDJS`wVi;N#wDO34jYgQDmc=Ek@ZN<WDrqGA4V
zsKjH#%@<*~Y>da~emqUri>|1s{5A2T!?uQj99Ly*VUzO8&8nNL_O!h8YQeoEZpg5M
z7eSgdNyv+C6OjAf*B`diklA6DnAo7L(g*LZrse!pUBk+0CN}m&GP<Ky3!k{`9_lvq
z%%%^V#-eaeisO93$wOpSiJlH0=nQhOngyH_h;j1dU7{0@L4~5bA4qOz_f$7bDN#fu
zS?t>vKzr%x0gKhaXTF5-MM8Wy-MOI3^87Hr<XMljVT9MTey|=YR%7ja>z<LW(`}F6
zcpm0oChbqO;poKunr_dB+0)Mw_6|ZIlR-^<Fz>$C1)d)^q8}!65ig4f_SU8PS$R_|
z6)m*L0M-!p^+s6`y><A-#!*BEo-81bzcKb@K$m2^9ohFal1HV({1}Z0rA?yd+&n?d
zC*-Lhg_8Raei3}8vhu;Cy9rigB^FO9kI1hU?8fUElv75@hi<PiBs8!9cx$0Zd3ZxE
zau8exF&G;%?E2l5h3`1%K-&$)ClIF2l*=Bdn4p!U9;uqhNdZ*9nAOs_!Ld(zr92G3
zB?o4!b~gRc#pC<aDa|p{sfLgZIN$XM0Yw?kri66@U+BAF&gllqQN$SxTLy&#K_byW
zJr4s5^dD^YnxsPW$Qc<$RZS?FUfqeE5dEdz!Uw<DIeJ1@rY8Oy{+F<ftqTQ_uWO{2
zRPkE=pMOop?wEJYNy{UZprd{R!uP^q9Ak@tagw@W>O+ZKg6k?fHv^)GY1~WS^jIm_
zV#}JE-7Jdzk>Ozm`<jnAT|*!BRWaa}(IQwImfI((K^UpU(yeYwbBoUcjDEv71)^Iq
zT5iR}j+yliS{J7=p=;tF%e1g_vMug?J(%59BQvj=(MAQ+*RPIS;@dMifQsnuV931B
zX;COk{)AIsolDki?AUVLF{hlb(uX*t!ethUYCv9yolIe~!xAd5<6Qr!JH@EwKf4z0
zyR!zty#0*AF+578a$aIVokL>fVA%h8L!!E2)a3E`dImaf#`7bjz*P+NT@^p4T+yL)
z=t1S;SZrs~1f?yqtc`@E{mW8Tw(O5~`Zwp39Zj2n#nW*T>JX`gxRIJ*HK+lZhQcM7
zc?hGQ6PQ0nNgwGz2gjUW+ymnE%&Sk#RK>L7UB>rv^@M6mnlyBKJe*-03qO>se-Vx3
zP<4!euzC+HB{QPeq2jlx3B3BXX(*V}7$FK=6=EoE1_81hZx=Zp<&gUwSfTBCGHeHQ
z3-#q>jSjIJahS;n8(eM=_~Gt6XXlV?0SwEG(9>BBLee_`&(I3oPnR};=El_lNYc;~
zh)_aR6YUU^{o#)zC#-DD64ZZqJ=bXrYBXTPXF05<8UaZxjVgs)rDbbiKFb#5em)Tb
zsb0*&eb-a2Ru4Hgg0;_YHf&3j%MQn1s#k_}=-RX%^EPS@oX8NMpEIrAT8QYRoEcrY
zR*UtTiERMo?fo#Qot^|3GU$HJ9?Z6gyvAeSt&t<vwvkCG=V?fCgwOw1IIKOGzxtI*
z`s10(WBJDbQ655v3%B2>wb&YN>&h{+fT^IE>hoB&-OR;MUfC*+$LzSQyUm`~;!MU$
z$UI^!$^2qlC>S$PdbiF;EBvHhxQXNQ%#w9$=WDEB#t7V@nhP=80vp{z;2a*6@RUBy
z@M&Z|c+l*W8^tp}PcB8fK;)u(Uh1=S`jVoAJK3mSFwXnR&9`LS6h=Pp#F~~T^UreC
zac-8tD;}yH2%Uvd7r*wngr6OlqJ3JK3=;!tlUu$SZ^fD6QLAKrFk!m1p-<$2ULYtU
z*w(PU6|+6=QN9!04WMl8spxdsv+o?U>2f}DM({{JY+e-#vzeYBq-0Z_G$kqS2!J9g
z%&f_aU~T|6h;_|6U`84tO+JU|#wE^CXEY1=EQ%-D!itY0Nu#^a8<}&iY~A&{JstXa
zi!ZX`q9H2>`gr^dT*E#+$(71HVc<>W{&1}#_pu)V?O&nFK9#)T{=20t80ww_{Ex21
zR)3!1iLl+n_A#q`j2>lL+pWyER1N8!HiJ2pZ`u%iDzFLBf|ITi<2lzIDdCTa63Bb@
z%<~G-H%_iMjxeSbl;gGJ++^I-in>=J63<&>N^q<me^dSf&CtS@Nv%m{Q|8Y9FIn5p
z-EVzjQ+#P_$-}eUSr}(`Wx>>C(l!C5e-O#{3^r$6u3L{(1sKHdS%V9EYAGTR!MfkO
zm-+8_G`7d_P9Z4l3n`3E=W5+^URGx&qg0?3eG%lL4GVQ7=VC|t$&nNiJEa|WK7!YG
zrLx;{+y|QnvouFM5l$28!f3`v+Pd}N!)f0YzTf%})NE^(EG*j%8>`M#DF(V|-WMey
zDFs9>X$aB?rA2g30~XTiQ{s(8>&1owv=&`wSWPg6?Ag}`uKyy@?T%N^W+^}&10DSL
z0DW0J)HPYnYEjo3ZY<))27PREZ(CyzPz_@=yk`Ogf2o5!T^0VUz`V=x6QKq4CbG55
zo^Z2bt4bRMMiUtIYU*ZSax|r|6M%Nb%ijsH_s6A*Z5QOyu+j}@A|0C<*v|uz{X)pR
zOepl-OyaEOb!l!?2-oRcl!mxy<rt1dPY;;*75rDRP$yC@K1A@B1Xi~nyhtG0adT$!
zmSwax0KxSP&So8>v4OsU@wSWe$!IC;@LN>0DJRV@sOYr1k6&$81{RBYQNcG?7n-d_
zslbg+#6hyK-wLmSt&RoPl&$$V9ih@y;+@1)ed&OB;uK>IY0_x6h2=!LaA3%D<1RN%
zqjs;w3p3Pcs!nM_VJs^V8qhXu`i$sD`5nGL<wd|_i|TNBTEmlC)&VyzMtXTzr!4Gl
z&^v8OS_20x(>^n1RM^{}uIRqrPtANB#nIKn8`MS^AHRUzPqiM%)>t&Ilhs@i%LQm8
z=1zq|NBQuPU7C`%X>IB~@LisoNE4+$Fxg`<542gyWO39tVFt1z<Ocm4-*1$MGuQQL
zKBjx2!?~EOM?~*YbBTr@JU^kK|49XUyqnW>R4Zm4V#Ic!ut_j-5AIR~*xK6IqD-+*
z2#)bZ1z`}Lf--|>*;AEDxdOgK)=~X#hA_8LWigS#FAF1qk|NdUa*gwg2cR$<>|t8Z
zt@Pa_M72?<+!t4PV1{usw>s6k2GPyWZz}2Sw4_IJH|g|b3VrsGTMh_SCh_&jMjFh$
z8lu3F3#2q6%tc_-(6|viG`A<Dgp0VWKC4VB5vAMJNMBU7&_P__AFPre@#x(Q;GF{-
z9yj10b(jW(<s=$kiIJ=FFo98E)&?qk6y^$L2_beVyX@v0%Je=cXcvh`lMP*GW5AS{
zpb01L>vx!I?9mW*13eSB!LjUm<M!<~_rMPB%aDW`q9(0&-*5%ZuVdqT*+}&82_U;-
zmTiB~5+?Pmt2kUZ2DhC;ES=G<^ht^6xLNM)7vOVdrr?AS=#r;pIJAI-c2w?)c#Mu3
zI|ni}#lrLeNOB0*g~7PKLS4+!CKfd)n5~b&K?NXCDbs!LwnYrs97?P#m)mT7*+uv;
z=9niz2{rc!AR{y^rrHtW29*Do8f4KzNX>q^TY_!X23`o!&y*BKS)&zZVBNYUxF;s4
zO@0$V*+v<2W!u4K3^`1x6++redN4eGy)hfnmmNNjfn7>9Nt*uIgv8+igH&TBkZ7Y=
z{l{M-S&1_w+PDuCY9ep>7q@_<I$f!hw9Z(g5>-gdR0Vby2ngM&@Fgv=l{+q)3IRTi
zI+Lxa?;jVng}D$x_MG)VxyZI9!Q=Ogn?ph1>BLoexi{Sk-(9!Do8TG5mhr(98`C^1
zI}CUMa5Dhudr9k*XeUV&&U2%SaJ3q^qY1gMQY#Oy%nF(PB<oE!Ud`^!1D)KAYt}Q#
z#qhMBnfYQ=pA=L9M?}Zz=T2a@zOm)e;f^Cg*ZL)Cx#_3D@;#;?WWtlt$wsIpo}5&+
z@@7v}tnj;r4vGCHp2@Ah3TOv4I%m03_r_*kIsF8fA8B6#)im8%EIs`vL@CC`4>!ap
z_(S!%hL+^rI@DJHL<OW_zar5u$EjCd#3l$&5nLEPjVp+U(O#D5hG#$ERMOUnKLazu
z&L*~q_X?8vw^wYao!L!HYMZ!mX}&*;Nlbl{cR9Klyr<DSNmwX$b3=$~z8>V?DY4fm
zlj05tjjPJSe8Kea|1v!#a<vOpFD>YBJu!8D_eEK~>q^SNSZjlLLT(Sio>eySlbI~d
zx&<Zi75yf-{;q=|%qtR>WW#a@g!pnOkR+eriVHc^*sB0!UOXunrg9d!`#ajPM>m`&
zu6gFC$(#C518wAmP~NaLx+9ZdFLH0VQ_~dEBSiUK=ZYnwUR%t|aG}}YFErzeq$ym?
zJ~H~S=As|@#(YHnZ_pCjVu%S|n~MAwSqWXyrW|)HKP*c!j<mE%RF68m7OvSVbt}Z+
zO9zvD=@0ZdC<jM6eF7YMbtDHt0Yu%{hxkUtSbBty?`{#r(=_QAj)^V5vKb0HoRFWN
zQg!&PV}LW*rcxvzbL_5dU0Iuvurk`*Gn#Nr!I=#aZ5~h}WO+k#q8Q%HF5gzfGPcpN
zj6;3?u#97B5;t(ZAAM@Yje?$FPW4ioMMhU|QiJH3+grJ5+_oPth`t@s+N%FzOMi;T
zJ2C&PUo`eiK!W8-=3NfrO+j(lAeutb!O}eoBy>gCXYekUhJ{-|{Dyf7;%(ER5Cd(S
zDY!JP_><a`ZV%I!4GV5xwZ$oO8j;K6u{Vx1>lhyFAYpX1v<e-ktu|PUIlv@dv<lRs
zL6hJbLXT0PDdV-eq_PV5aE9*bflt$<nNG`_oI4>Cwls>p{=E{$k_Pn3L<(^LdJcoR
z?W{Ce!1(}};W~>f_$`%i8ixcO&p9;2V2>~@bPgE@qIo{Ak_DkhnBPLOcI%?`)Fq8i
zJdyOtbkAX68;Vu}auqqiCuN;Ng^d8BoIkEf>A<()13j6rsbB4{{?y8NMCUTDj>1e%
zZq{Rj^RK++7iMB_Wa_+JlgY2EWsM@(zlw5DK9Wv2q?I!gHTbqOZOIS`vgRc!fjGts
z)%hM*3k=*t^$C_&2xb1vPJA&YbIekQGHWjW=EBAtd6;)aH5>ky|2L*OPDG!K;|J%B
z$GnfJJFC1PE=xhgIJs@gx>4SuL%lZL)@!T*Qf$Va9Ut0^)<)N-dHbT#$C3WmnHV~f
zG|PUv&?T6F=^G}h*xi<!jTZUlI>A{JP{HF5=WvqHKft8vi~o6!#uUuw{eR?w;>9iW
z_H{If@4QxykZ%eW)9-QuWbXjQrh&Qj!1O(vOfy8h9fj^N@Pnra`@93n((Ml~4y7M5
zzMRctHK;)Odu8bv*RcUROGnLx)b-59io~c^<7{E``ac)M2N*l7_##!`le~$E)595O
zsI5Ees?NOx6n4oI13N9QEkTRWG#Bdtp%Bc_Dg-|X9W{b%pB|WQ|3(5f!VRPB1y`v<
zGcltR=78~k*z)W<5C>uk@K>XGwaZ}Kt1RL*;sT;#j(P1jGgb9O=PdreodX?iJ_0LW
zfo`hYTl|@kDrITMW!-~>9sHa~Upy=&PRtxys?u|cY0`29JaRd1eIKA7#n-keo}mw2
zt&;-nuWic0HFi|h7r6%M*4IpSbfE@^M|K7&l&I-7k6@UfYz_+u%jT`Q3IFoH9NBzo
zh}~+pRKy-f7l5-D>y=3$q!R~C;r*9tciwI$w@VX!T4aG`dY$Yr6fz08ZkGD|2j2v~
zOspU{9bnuOetQ>Q<%8NW(+A3qIrq$e6PNNvh%4wDOuJ!|puEKQmcj?JPYb5wQUaL$
zi*@zgGG@nU?l7sNA*AM+=+yu;S?wnbcD;7OPd2;&tsqo+)r-PwtV{|s;qcCfr<7Lm
z6E`Kn=S6Y>!-IGu`f6;N&jQnpv(31ZTYGR9MunNGLe`10BaS$&eAF+06lUE~@NZD_
zq-0PiLt4*!N#=-XWesPMC*-16Mayx~c`)xP;u=t89L^(Ewsx&bsKG66TYN37N*-B0
zy|OzTp)H#3xf(hW#~0qKEEk_>%<WPd1JUOWg0~dA$4|_qvgLQz%SVg@Mp~*fBZWYK
zS>>gc(EM{Er|EYjZZ5*+?a8JEG1?Cm0!n==Qh-|T?}PvwG6lDSyU5D(kXX#pJR1#n
zYtw8YkkmibZuVK}psv84L#vPzYlO1w3sq=#Onp2=XJ}^V$4s`-{?;Xhs+j@aX)I@7
zJuCWCvg$N$ack$?hnClux=vX4f1Gm9aI5UyXC(NWf_Jp?hshIAo1FPRIWNU#a^l2)
z#s_}%ENEq<Au5AoREfwGIHRCE)g_U~%D_#R?tE}25C_kU220HLbNfbuR^>;4@Xp0s
zah(|n@*^<1`%3%pjRbBbJUYG9Oh`ad1U|#2bwt<}++@`Aq6FCR<@Y}Db1-L4F%(Vc
zH)8S3hOUNcO?mudx;xksiernrHdT3lC!Pk@*-Qv&TsvLwGu4&D+d1H9IBfcl!1kv~
zTi&@FkM_QH1MG3JsUtK3MR%Xbvv$klb9MxJOM5FL%S3BYu-vC@bwGclj28?ahz&Xz
zsvmGx^#5*#@^{c*L%DS%a@lh1IVbg^wIB;p;OVdN0lwXi{))=;lHqbD*RDb&wOaO7
zRR&Fb1@<pl&+gSZ*arFy=WnBZ_9-O^KZp&Jgb{T$P=W+Qnr;ExK4LL^TUp<Z%Csap
z2KnI`p(;>HSS;=ucl={N!Syy~92R4Id2n3-60b+11exvy`skO+2n{noyFqwC=PxxC
zuM2f7yb%<<uM$pbLI21%up$FU`|pp%(b}|=Bm#s}2OY#mu!3YRk`i+b4w!V>(6nQV
z$mADA9_N#oFh8Ai+e(M05J1F;kEGnWJZ<#kH{z}Sci1)98y$IM@H2-hVq;77@Ch=|
zs1xn9yuH$G&Cob9M3gWbKUeC!iIrkR6>!zd4IwXKX0^88+E5PD8>X|GgGL`x@zdIm
zx<}f{54vg46+zesG$gjpc%;Gy{hM{ETC8XF=*xLaDP0EWeHzaJc6X4qot*d2Gn57q
zs`P%{uL@*nFU7@s0Yoj8TL*i_VGtj_aToL2QRiG7CB42A0I-?!3V7c_<k@cQo6)Kh
zW>^xzG2<_oK$z?7y5=hq$0YY{Yxlst8lGXw?sJ64(tyc!{tcSz!ET163u`XFk41eJ
zm+}9vx7r|?NJgME!=m`SpzNy73?C~;QVMhFTv`8WD64+#-s0)UdLQ*l6p@43{X-{#
zUAs~TqyPPu@BWd>HAV{4;e%TVWcJn*aG&U4;CaKWc_(tA4!NLp`dy>cf6(IuSXqq{
zM<|gRFVT23In0a{^YBTeV?#}48#qf#;G)dg4)x&yG^zw5e~=>owKCt2O!fHp_2vug
z-`@_C#7$I2LlS093y!ZxDz$;&;?x%Z!MkO>u53BHUKqIMJD6SmQIp-3GXJ*6vAHOG
z*fqz=|0~Ll@6H`>{!+nBF9-kecV%w%E}rpz>fdAp3e-S;BgyJyb&U9JX7rjh1V=M0
zG@nkczFm_6`yq`6U<LjI2_$<Cu&i5AmHx&uFVG8K!aM#RLZgO$9=KuqX6Y{n6W9K(
zE{a8Dk+rLctgy}sW@h9%X79$1)kD-WBT+bj+%U6`<m+de-eei#&Me0Jt>fy4{_#^7
z;mOGQI2+D^iSMR-;kXXNZJ@C9gXxjAZ0u}HhI!~8bv`NWaK1zqzb3beOckqlLCzHW
zWj*kjoMB9ut%888M!x84Cd>R?HmD6Y%GU`;YiHbEi<jUU>eJSOBqY@Vu^8z;=Qb;h
z*F+bdC+J{UUxqfC`~r?EPwQE;9JFbV5LeZ6&2(n-XukXWy45S~X&0>$3X)s@PwQ$c
z=zUCO9FdWo;H&FW%qBX{hn*u>Aw-%FHWqh=%vhIxB6iZB*?5;S%x|775PwyeX-^#n
zL)5~@Rm&N(jg;PXYMnsw(*%nf!6Z@~(TydWCH3*nyLB%nV88}(Ci%x(^RIP*AjGpg
z&5+OE$@;G(Fdy;uWvseMz_{Rfh$n@PFuzb}6PsaXK8}n?*~ON({@5;dTzF=GmU$LY
z;(TFbeQgtJCUeo5Uzu7#Jvph{*vz&WhpJGC8H1>)2-Z@jRlNJS5Vme+PI*>+$tv+W
zKt1pUPLrjr%<hR<(r<I0l{B3QyBXuQGy#fl+BmXq;vm{alwe*$6z|p&Kv)-!_VNqG
zW|I_fVgq8FH+Bhc;RcOkz3psQSCXc81on0@%89~+Ks{xx*UnwTjXlhwzd_tNh<@fg
z5HK2g#WtayeC<9ho6*TydeE{NMIjJkZgP;sE}=^<z+I3yEXFf2BeBdB-}Z?-!uks9
z=sb-$6drRbtsIU6YlH3{f&@$_23L?d?(x%yzq=j5^=N+{#krbUimkmTa9hEY7Gr#M
z_QiVC5jmdr#2)R4@*hTrBaZXIV4wmgcoib*sn?RD>9EPw?d6HflZ9C&7nfci{O9A%
zYWyc1w4U<``>dw}FPP^Dc7DE=<?h0qM;Mk9DkXHrBjg<mx*dVm*~?M;D93xU3f3A+
zZ*w%+WI6YW7GyJj^-<_NL@Qod-cHoq0-_395hQNe#5aX(&iX`8oFKPJDJhxb|9d1c
zS-wcIL?BXxt|d|mhmWIE4t`mNA|tNA_S&%Rlf`yc>Vn_%t!oLP#$7(aYMd~EoxDwz
z+1^YsHgAib2qFCCF(L0z0pTn>2drfZ{9!#F|KYSj&sbF&;5c_&Ci?`VF(rgP(k>;H
z%g}Q*mw+_F_D)-yd_^pj)or<zW}EU>L!Ny`Ma2H+eZ|mLm$4N1SG1KFne?L$G!AEl
ztG|+)cwHZZHd$>%w&qB{_Ca}K1BktVI^IDG_lip)cy%GA7s6yS?-ogAe7*agcv$w+
zBcK;%oJkhhqp=a<6O+Mq(h-5M$@u(`ivv$hDsN7<BVDN6Ef>pJW+%uXa@8JuXSYK8
zYszU01j%6vu}Lc(G?HF+!pyyQR~}>JJx|AxlyO7a3H|%QUy^K8MGNdC13nX|cL50=
zLJjp>4y`;uUuq2&UC<j|<gC@AZznu`(ZgeBfc)(&p6nHR>!KZ7>Lv+7mWzjI612d5
z`mqC?m*{e4S1tn5hVWIZo9eE`OBAwt>wM2*v7z)xapu`LA*urj>uEOfexLhFc^jUp
zlQfO{?SLGEEPO`Jn^Odr>gS<4N3wi<1qm<NXF#iq2;8BFW8B8(_4joOkf1`D1noyz
z3iQuIKwWi7J2_Vdj)8I}{N0yiqjwYH5&4}(ELVCFk?l0|I_`AJv*btSZSu^v5S#My
zlFEXPP6t@ZTkLXnwCch%Rmq$O8(kPl!s;;_lk=K(wdIfq%swIIHIb}VN;Ma)sE72$
zBU^aDZ-|E#?T`_VgFLhtObc|QU7;3?R-6t0rOqI*f?R~dEKp9(@z~{xj*d7_{P|@<
zLVlZV+5$8d^NdsVFQ1?239EJl(<ovOlJWq8J<V#eKamVHG}AhBXX_dC8xzON;rf*a
za9+-aCsnolanl|!3sPcL>EQY+p-UWOP@Q-T*wtn3TDJS`E1T-eW64g%DJ4q<TQMhv
zX#`2&v5(OJb@912^~OuMI|8z#&)tespywR+qV<Xm0}rn*(-{B}O&FEAGIN6#_c_Ky
zS^uB$Y<};8nj(_hPB>=e^&VmCYu?@a;6?#sP6-bs*T48$neWH-bq_0n#uB3YM0>XF
zXGLuD2qU|+ELSfmZOqP$vaW4CVq!*)-2()XoDnG(-n6c2N^S;TxKQF5U8gDA`2JLJ
zC0L)KCFG-Pm|OY}-icwX$;F0CEMJbkk{#o%AWB&S_G$n5045Et^WLggPCMt25oB5r
zAV;<X@o4M2LfHg)#dG~@M~IryYvLeJq=r=oIHHltZgZ~!XLq4hE<j>EdD$7C)<dKD
z-9~KIb$A`X{z=RSsKUMYmZxh8f4H)ZJi$G64nXRu`XG>Wk)PyZFM}G)qyLGU0z3I@
zp~m}wQr)9z#Uu?m7JNd|QaME`;}uuCJmK{|BJMb6-wUe`*JaL75=1jBEW*ul`NdAN
zu6AR~WSA3N*sU}<F375KJi-WE=+A^cfT_0TfI`nwyL!U2&Bd7iycR%na>7rphQ7Bf
z2FVk<OW2D!ef_6pJIR|+R_Gt&-KA%^?6Yj%CsZXpXXZ_46lYYM#dStFN??qlXyY)d
z;8E9EkVhSb$aN@AD5K4nxTj7LVv52uvu|vL|JiNJcAvbf0Xv(pOv5U4MLZ%gpT51K
zE7rC1y>X3c1jZJxmfNLV>py1V8)qZ{Z)Ai$Wc6*aZvWI35?$2qrFi|W&b->FDhyxl
z0idU@XQ|hsb*TN^mCBg@2SZ!DRSnvL(t8kw(XI<&j!iev5KvjIG*pHAWUkLiI)#D%
zJ!X#fmzQ9qeqCkBr`XaVzf8(&&O!)H#F`fy4cCMfCxewX45(6%kZ*yf16^zTnamPw
zhGi<070`gs)gfmdOC_I3TGOWK{q`5LKc=N6YQ|RikL|M11aCl|;-x^sT6Z`U*(7H!
zMfdS&2G`Ol{pByt00M;2xm}94Clj@;{)mg+`P)g-&&*{T#?lMg%1nRWraPWlRV}6(
zJp%m9hz;l$3*!21;DRa}tqMy)+Jn}KlQ*_zA|iJ(b<>x=PW%kEGfSGdHRQTxvEpoJ
z$pHWC#>(}+i}I*;NI53Gw7UTe?!O#Yj~*YdNlhiD=5}&t_xlZVoudT7dre>I+EsGf
zKm%=*c$AdzMg}2Uv(L>885Ms(zWx5`In+xB1gfe$)DY}AN@iD{fiIb-;*JF){Z8>9
z+Ubx>be};_T9pA_nF9QOgLK{3y(Tc}+0o`jgjokYz)qUl)kk(6`NP2Mg0Lp*56#h|
z^V)zmLfy~y>fo3Hms?UJ8eZxA8fN0gC5%+<`=iI&GjWjA&Zz?u(p{XyKz4#J7Ab<=
z*C4{2h8)&Fdl3Vsl1V=M%PjyR0v5PwzulE^vi9FM&t*=iCL_Cf%+up(0JZIg)=X`N
zCX$mc7;J97F8c+k#o`~O@I(Dn14Q%v?g<@|Fr>#4VRoc{5cwq;fE;-9x=Qs)0sD+1
zsA6mf5eT=v|B^cRe(065Hswd4rVYn8*GWKwzWvGMO|sp;DKkbf7um6T;Cs;fht!Ga
z{0dQ0g>G@g_cty2Aev_C^c85i3)Te9G_le{u}M8yJSphaDjLQxVF@=J#uU@-Cd1iC
zk&RR8A50pUUH&!jE#S%pB?d})VVI=)ns?UVjV~oMu4aLB#f_IWj0a5pW?$h<`Z9?d
zB4N(byATt*JT(k}dn#?}WgPzxFXHlz+L#r1?LcXThdM}&q6u+T$);^J2}k~Bk8prf
zcl#H&pt;hI8)zy{ZJ1r}d-CV$-5IRyd?Eveg#cy+4DA;(S+K%_r&*<xznB;uTX8kn
zrGaIh;*)h@cqA9bA+vY6U&B3~7PjKKsBEsJ0RrRfilR#?C<sjN;$c2L#11RAm-$+R
zbP^M4^o>4*Olaks-2-!p*v86yhE|WS#sI<f19^&Aq>GBdra<V{`_l@#h<p4u%2+{Q
zI`{!87p`)y*Su}ir<i^e5_M<GS?IDp$|MZg3orT-_t=KMRay+J58Tl#;{oB%oG0_^
zM|^3-zJC+6S*}rjm7<mG^PP7<z5jM*e&QgC?S?$PHurzOXBYp7IuTRwkkW=^0Uy=h
zK>|@BQXR)fhgu*G0vyrc4%ED$bleU4&}`~K477``uJ#6f2B!L-q)zEE+Tn+NFk-i#
zjTnbmZ*B@=dagKEaIQe6w&fk!jOxzI5D8DEa|Tg0qjV8{3F=}9S2!D=S&yuh<iE&0
z&KR5wM1TZ*C5E_$=5@i8x&>uuV^<xF0|97|U`Z>LOyM<*VuR@attN_1A?l}%g;5}g
z^m%gMXSvRjTG7k3<s+`-x8iYo&$r^D?MiNntFVn540hSc26<I*tetb2LqudX8}hVw
zFt!ttb|^a4Rav09F167%Otk{SK5woCU*kwX^|ZPLZR!LvpklFKklA2zhn`K}je=op
zTtHf_yKva&*JnB==tRh#mwln6J}X5;UgkINv<*Ef(HNFcM^et6{$*)_dk+jMv>WX#
z(!=K+JA%gR2Y1P=vj@KaF@7lgXr&{LU+2$qQ2JNOe{qjB%P;d1ndabf6LuWH?v@53
z9?2erWuc(}NtGm~nI0#cZb7`~-|R{dWB-;A8j$>BgI3_;w@jrDEMeofHAX)Rwlnhs
zPqof(J(t7zYj5P0CLvOoQ1#DtlimuxP`iWSeS1myjn#-e&JS~7Z`MV3@BguxT={<G
zHG7b@ZojRd8)cM=T%vxlJh2RYeO~XnP})^Ut{SI$UT~gwfZ$J+;3BB)f1lvoV>5~1
z)@Gqv437hD);l^J5!nO6U`#QsaB#~@a5yo3ymG_?zcLn0-R*shBHo=g<@kQhC4<jX
zt0KQ^-h=!aTVMFlq?(_+E$`g9`yD6jjP~`|)PDzfHB7(Dyn0_J>SazdU8Q|=+BKG~
zoDwRedqZ8(f3wlt<;qOq2ZjNyhE@rv`^O?(Zb<tcuZGI0NVKUu<Td{H!*bjB&lrp)
zxJMtZCK1}>cLloogA0q(QR%&#-lw+_rr9mn5um~j6ylacmh@!lMOR3m1dka^TyRoD
zpirpGMW3JarHt5zWJF4O%Kb-j5>LWrlY9`@BkZ4}dm=L!Lu5ESVM;Z8ZS@bv(PNie
zO9KLVBc1`=V%?LeBu17rnBT^z4<_96FHRT)k8fI?a;u=zo{KpKRfr@#B(}^)V)7BL
z`kOqSVg$+P<lJI%p;^<=N!YC=$9=B!$Kz_Fneox8mZDHuo3X4pkl4*Sij`DwS&a1(
zC%%rH8Nqg(qwOiD*O6E`?=RKx>*gx>7}p=fpn$&2hVdewkU{M~>SB^r870d^76tI6
zYj5lFyGa6N8T*lPR(vf!32fsRc9{;<DMjS;+L<2Y8azouXM$`^W&d+&X9W0n%-k7?
zTrjqHOLP+f9U>3MQ?AxmV~cq%WRlY#U|jp7+WLi;QFF`(nv-2vgLln&#2ULO1kn>Y
zb43;o2~=~6!?5j{u(OY~0<)1QUB?g<naDXT(5z0MLK+Y6o`0RJ$#zJ}=Tt<iMNiqg
zSE0!1ZECG%sm!JSZwhL-729Vb(-@$jI*T!GGOkx0b&Peg^&=|*o5i!I;DwB8!KzTf
zR0l0ol|^bT+mGR1NQz`RQtl9d!be^zZYkmOU^!!VG2Al7Ttr94KcSN2p4>U#zKxSs
zp*0>nBF9%5N+yY5pAU_P(XvFE`^Z$_mWFXEEGf+z@V!pWw70^31r7MH+1kbKS)}MA
zBiAxC?B*4NhSFP99naTS5R*7vjgmtw5YVPGq8PeTbJrK#w|R{+Y`}#*UH0anyG19}
zGrL_}3{_pavVEsYgHAJdQXiFGB1XxbVFV&kg<pH8f%n972(MWh6VDep+Q4l8)21mN
zae3E}SgF0W!qkPy*t$n1z#qt3=$eWKl_EF-Se++)GuFf845$Hdec7&a?|Oz>KG&lg
zN4W*^%t@=@C)Be0e&4AzyxID+-d6&>9(zz$*)+}fzo;&iMWH}ZiWxlha9VyohPh9*
z>%KVFj4Lr_JwWQG5eEg_3xO3!8_FbSI>wJ@t<oj4i7B;tot?jjFjjXLzhwD+|LvdC
zEyH6>P$Q*5%`UY8mg0NI;~)<R&H->l`T`Zpn|J>M$Xa2!;8LnSRrSO~%2STifF`d4
zVWir|LQv!cX748arwSMAdkcQ^yVexAQHVmbCaEySQ&8&o2H-JUa({Mzf}M%Lz%0q?
z4L9WbX#B-U3kE?7^QcaXTlyuDoxST18T4Yqw>5Y`OIwB8KI^_MFekwtz7{N|W?W4*
zgxkWPo2@4wuhHt!1nP^vgI{AL?A(m`dAm|yIYU%>2g9}s0Tl7Nap=C=v$;0E6Qnh?
zzL8r`Nd04NY1}B}>DYgL{-d-HQn@Y?SDyr_OOd`+;sEsfYHQuJ!;O_buSyvnCBydf
z-X!}q#ND-2ZOS+8?MM*vLPDb3f?r?47r${{vTPgzc<c!<0CH3*<dHIqMxuggl-NK?
z@edC~=1>qGbKH(!wF#-{ZSpu3$bna?*UkSszc}Jk+p|SR&e@HnWxdYShp0;f4BDYd
zC;CFCCFph6QL_#jLpPY@Tnr67^;LbT&|rc@Z76DDSvfTM?nukmXkfFpxgMx3@ZK7~
z=Z5_PgHTf5sx!P9GQpi+#9vF8-OnF!Wsu!sH`$15O&3HJ!daN=Fd&=juu{^hl*p13
zc4{Lv*0;Ajv9Uo;9FIA^<wgW4UFBat@x*_8x%-3-a)l0>I+Ee0^qs)xlxXqys;MUb
zC!LgsMZEH(%G3)s&ozNSeP#v?W}xDHjVj(M!dJ542(*F8mfh|qF|a_(nHmU2<r2?*
z4sBtdMQM8wHZ;BLEsjyA1VK^_dYJ-8Hht*+NWj1@B!*R$@vqgXRtbFtwg99lp;03X
zcjeb(u7Rwbz;Y4z{dwTa35TANaBoZ7Swhz=H#3GPnXi{HKW<(2)$8Jt{nGm>u-Wr(
z4x%XtTx+hsf6eqBSn)2b`-Qy?(q4s56kbd0NR#k;aY#%#*tb3iHhX$OSw)W#wywD=
zAsq}7E9hp8`bNIl&s|6?(!pQ4w#3pNfn<V0cb$INn>WxT+BKX4VXX8S_2uty0|33^
zJ2Wh7Ei?hZRqyzyS@;D+zPfhLv4obZ;A5!}F2NUpHJ`XYboASmUBycZsl9!AY(}4|
zk(sA!xMRl@d^r7&^sweQ<7sM+HL?7>StBpxjc;U-)icRqz~wN1yYCgkMQ0_vo_^lP
zwR8{{@v=ZH{oa0MfRk#WZA(Qp65-u8GH80&ST2;bGS#*3<G3x*i;XG6>jDuu-3^35
z%xd+>SN&5t+L5IZ({ENqOhB*>VHgUCVihVHB;S&Z1FbSZZpYXlf_OfzPQ_hbK$Xi{
z*y;W*nWwjnT5ioA?>dbMS71DLq?Hnvtzsk3=k~{NoOV$d@O)|YVkUU>aN@b7%b-nF
z%$l(_kE+iS(o8eeVgjOB(s+vpFTfd4OJZI*g4a=AF0D`^eCNWVc^sWSDYwr?SNWQj
zl<F47)YQMhIA41z_PR;VH6R-@Rt0amBUvD@tFSZ)A9PkF*orNHR-iq~<V(cs1EZpb
zbpq~}!arnOT^AzHfMxuJf7@(8E-gLOzk?`mHVGUI_|9^$@sZe?4IObCAV%@mmBb*=
zeA>YXv2Co8H}&&bNy3dHdFG+Dqq76W)z}H~xi{#BQ6I=i-)RuL{-V;Gg{Q8L<Kxl*
z!E}vnyn9_)k>lfXP{$EAl2~)zIU`QFpnK5FSanf`c&i>?#QMR~8w@~UCSgRXn~MBT
zVu<sgJAN#x2O(8#n3Dg9MrV4&Jbow?u`(SB4T}`cCtV<c4nIzp8aIMi;=kyq7vpwb
zl9=$>bz7>DK=JOwlMO4JP8V{j7Pq3sG>Uz@E&ij`WxFKKlFY;S5^os+q;4}ykI=_G
z=?mx}P{I~h)<Q&q&uYt9-;hmBr}45jhk;cDczC@H4wNsJraNZdudw*iL($Z~5|)5~
zEpehl-OK_s3ez=P*AdqAB7(W)L+zV8#jVD1V&dO<h#1sGWCKx;9C=9r_1!p`z4y-#
z!kYf8viwI5kRR0D7H_WFd}4Ml@#~LP?mzdliW*327?jT-185NqB!$o+a@b5)iBd^r
zdx+5T37hWk5^4&#b}*O0dugSJ^|Jhjs<}nxCm~kf3yz+Y=J+GMg|&6Bv<+8&KxGNt
z*320u<KwFebX~r^Q@u7Z+M&-D-c$+~tGlgBolY{gHUbcA&B|6d9xTXFjU0)w#X$r8
zp+)l}_8TgTik-_LaMmmJ3Cti~K>mFzMdYisRWplRZ04uuIHT1jh&7a!yWTG2<fX`J
z`1MO&KoGzOrWKDDwgLPayvlN+Y735Y-1gv<$JQ#()ME(mCAuDMzy(r5B%28Ub7DCO
zi{YIy1){$T8b@TY^(f%6-W+S#>y(Jz{!6J%1u6_xAF%G;bc%ope=3wX3D*KELSZ?(
z=Vx$;FAr*I5^15kTLI?*FcPQ$5%XdxAHezQmAXC0n9@K=#uu(f!BY^dab+e5^Y|XV
zmPX)-RZQl_ZZ+iNJs-b%{Lm?%|4QE}F6|1h2Jh9}1gWaX2l=S)(U2*)KSqE>{4j+I
zqar_rr^4BxTyO<d1>m|xRIt@*$lxK9zA8RnryqTNap-g*kb^9~G2i=KzGGu%PG|X)
zTA*}p=kJlcpKrXmXyvB7qQh<hI3bzye_F>86M^e_J(EOmdh;&~M1>%#J<l>kaYTNM
zJdWli=uUe-MW5C|+SE;L+?ltBgn#SUy#rDw7lEv5%7f!q;-CX?bR?o<{&x>!q5t7I
zNf;0NZI%=$G*aT#T*IxuoXN46OwlpH=vO&hFFwu<nzKMz(kUawF)Fa$B2@n=*;?}0
zaFj}mR<&ft0WT;6mDiTO(hbD|svx`gCdvPfFh&B*2c1y`2F~Vw$Zzh%2~P(NGC&=;
z#YFC{lV7Z^Ap^#nPclZiz51-s0x^5nByF86BEY=;C?D>-XgFONhZ1=uT_FdX!@_~)
zYOrba4v%vY39{{<wcqe{QuO>W?TGZkgIW7zB?hxq<yfKxFZli&?&fJ=#~tV&xITe}
zypsy1#TmAP?i=q=RDIMX+@?4y4cBfqr7K!Z^NgqGR?$DHE?$&jTf;R}1IClig9g6T
zNa$4G>ArYK|Ep7IN$hYTo>g=!HyV^md!g=Tk(&<oxc|%mI;~;_N@k+qa{gjHP@V(-
zC%na>FMUl?=7UHB#Cqz(9rM)}L#4}0NC-5M&QoM~3HuKEzN;OWJ?zL#y@x-`b*BDI
eF%-)ht#dTgB6%h)`XBa-HmY>JNFu|*S!;{+Pen%n

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_encapsulation.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_encapsulation.v
new file mode 100644
index 0000000000000000000000000000000000000000..f97985b0685f166f96948e63c0f97d2ed6e58f83
GIT binary patch
literal 14768
zcmV;hIZwum6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$@n)qOiDb`th11-x8aY#FLv
zwt}pio+{h^fylRW`7Y^>heSM}_eLcMyg;WzTEMFXcRiy;EGY2PDQs`8tUzTm<^y~U
zlrfYF;b>C6X9%Sq+|HY0t#JfZ@5s`OOuSlqUAH~zpKCU-EdcWosz(4Ak;)ncSh<G(
z8yG$uO-TyPw&Zyaker}Usdsws*CoDnF=d-<7&r9iq+U8qkgap8nk+L%13f8=u07>D
z@I4$30V;%WWvb~GFo1gXYWm((;L^UrEaP1(US<}2vhu)7iZyMmCSF^x9`{T5H4mh)
zBj5?okzgXF@GHu?&tM*9p$;h9h$FS3mZ32yFmt}qd4b+(_J2PyuVOaPVhTB0Gc8UZ
zm56a~2mAB{My2$SFy{+$913*C<G0BfV##B?o<ylw&>Q9vR}1&~TM$rxIJ8ktV>ak_
zhr6U_dJ_fEpF{Kd!#Z-`Gf{oa>H_rP&XYntnZ0QSWr5Rf(<!F7F^^?r5`FBNq1mQ8
zrX|r_RZO0Te52wXHTL}``N?;@ZY#6BV&&2NIi&<KwFL!ls3s{%9&Of0xX=^wT0vg<
zT7hjuGh&j@5><Qu?sGv2qml=CUm)4E7;x50Op>v>3l!f+pBE6lKp##Lr;3pnjJF!z
zT?W#q<NdQb++<?V?4|O0&W&+qDiZA8*)HRhq%9W*nj-N_Ra<mH0$)~07hBXJ?2e+t
zm~Voh<TP=4WNq7d2ihy-nNcYZLR!dX+h@DVeU?gKi6Zpjd-FZpN%pguYE+obF0T<*
zE@%TkIv0H#Z)wVWG6N`_L2G&7PkaW!hy`?v5oe35OFGP_)_yE}=6@wvep^8Bff!^E
zYOvj>4$^j`=%W=YAdPFtJKn5DcEAXhOVbBq+VX$*uxoGQ^t9RQ5{PBM3JkAFFCbTG
zEGRN>NrAH{Si%^F(AS-XuLc`9+Vk1T^en?dfz2(XCh&S7my14#T%vQ<-je7V`OuVb
z+ZD2V>bb9W{MhWVd%*;uPF<s9-ZCID9g5dLsI4w@YaB;0(@L|eNTqE9M7si+4&FwL
zApS-aAPfnOZXy-3E9#@D3vLYfEfxcY9$F2`Alvwuo0*2r56kt!yK;DM*7b?F%~!jz
z*>~5eyHElSK~IWG2;S<m$G4?Q8UwTKI(&$ytF**6l?|Huvp8po#N$=2V<@=fScuUH
z=*9tErJf>@<5~rm%*EWr0QV2XXp&@;Gk5B+yLyim4wR+)U{Kv=Y$H#W-?V8yCKveX
zZfBLczHlUpYe=H&RlY0ZZ?s@(zy9=0f@=jdIhk~d<%*U_4aC(lSrYf>L+@hd(L!Lw
zU)C{?+>HS0bk8SiJ&qN?rAM-yzQei+Wk|MdfX&BzJ=2nFh!N`mqVdjQ2g;gBy9s`s
z0RhXTu*5XLqU40iMi}BriZbet8%&&kSEOoyGnzFLvoHx>VcVq%zj+9(7`)oLpzAqD
z;a{egoce3(TIu}df;SO&CM+q;Elc}x5dwN+p9UZ^sVkQdO=&dudiCPCY0sU4?qpPn
zm%Bxc4!C0pbFm~!d;A*pY4>B`wR}6RKi@memKU~h)w?4ChO>frbStGznK<VBM_$4B
zR|_1tgql{w$~KXI@g^PMe|Xdh;BY?j<0Iw}k}5WIAg5^x{;jsNccn{h%^gS#WAbx|
z?jIP$`%_XMs`e}!;k%dxY7Z8;@n9ZTGNn0XLJc4l<M7nP8cbuWv&|ek35aj$M#=^o
zc+3-&dBW`+>Wz?MTE`%IsaHn!5*LnL&QglOv~YxTB?^HO;{u>H42(pR!9bMRG8oix
zTu#I|2bwgs*@)8naT(ScDuj#i@;ik)9_YYD+^_Vk^i_hZVJAx#LP3G|<tsinwj3d&
zZ%<nlt$S-5Trc}blpUdg!fpH1k!wuC6E69`u!(i!h%)=2xFKXa6Wy8#Pa!H>!9H0`
z!M$1FM*>$hY{~5Qg;pzt8jz)=@PnO;T6GBi>XRR*XwD;voGm!=HeTa-)Dd8dn5?i<
zsTV?U9z8)};S@<dyzz@m^w%R6Cctjshbtcp1gU#k5@QM}U|qg%fnnC)Xf|$Ik325c
z`Q6^(ytoOY4zE^vre75B?W_o%x+TV(=E<vx0VO`#^yL5H8cC@3(r1xZn&$E?Jw-C&
zd|gOUqT@r_Z}a>MUV@iU6jd!sMVt}k9xjGEEW7Qmumt!x0yIt24jBm2Mr2JxLI=mE
z5-pA}7Bbdh!fN(;9HXT9=3Fh?D{{|~Y`{%H^LMQY!li^l*Koo^M?3YsS%N%90*a)B
z9&^r4)JPD;{d~p;ef#M__2c__50)XP{Plds=(vcv%&S~Un=tKFV-WA9?Xxs&Ro`4Q
zcM$R6z!q?+*mh&B?9J0r?NC89+DV2cmG|PYwsfr*s<};I=;uMb+wti$TKjq?m3~Wn
z-jDKtEv}OGrtZ&5T8mX@tKsp6KTaP#On#+ZAh?+-?^I6miA`~@h+*k&a8-oNGkEi1
znTWjz-mQ}~p`CJCz<H45Q&n6MR-u&}ZPov^<ur~&I$@JbKap#$x(@Ynw6d@GuD?`C
zebf7)@{}><#uhGUG_%TQy6xN4ep>@XV`Q%W5als&vT%U<Jqg+uc0VX}exX}<O{+sz
zpF=+y;PJtGWr@|^!B>k2o+37U#B8k3L2qG>;L-w+IQbg9MPYaQa2~EY+KYV9M<5pL
zD7@6xcPaM9K6wMc;?w@1CD>WpNa06x7u|Vxi`Lj_B1BVo?RlwtwRGaI^_Jy4RPYbx
z5AHQYUB9Enyuo>a%~ViWJ*dIns8Daz611B<gYeL}h;G((;#_dQKAU=noT=^h*YKpi
zG*IT;<Ow)j;znALcD2VfbVq!x{>X6m^Ml8SU<6_Ht9Bj111BB4EP?!<{ajVc8Gc}1
zktAhDl7fHXYY2z&ll19i+qcT#9!QoEm0P6PPni25mHFe3ykBC9kY(Hu+Yl^lZoOV<
zpRZ`i7$^I#0VU}CCY{-;3YtK-UDI@zzmTy16V{)3crVU87urJ-1+m?41|P{QwuaQ!
z#kXkS40w8+yUb{=16t)|Tvp)|T9=xMVVi7c&)=`6dA&b=BWDkZJ%RYY_7S*Oe{#C_
zrspNMJeFsbz^~yn#HO-Ey@T9@8#pMpE1Av$V?3Y}ZxF%=vG?36P@4Vn9=)76MX7+G
zXV)8R-2-7@QwR<Ba1Gb)8K8zwK*8tnyv!=qFX8M#oo0|#J?9D>-ttOH?xaD&ucrM<
zG3Df&-I8c*(FLM+xgo7SL2n)D$3VX}I-f>W<$$~grcw0xOF)_(<=?nU&WU3)LIwi%
zE3o%ZbP<cJXAWhkFLC(z&5?|I9{Q%pvWobzlYKOL8K<0|*?T2tz07EsBC&`>GOGtM
z??0o6KjGn0?3@>&W4C`w(8v45PmsGV$1vZ=II?EtFyPSM#PSQ8jOTu{BP`0JkF5ge
zcx(_^qi2tI>Z^x}Nd0PbcWZ3JKzcXtjK+oMKsWL#1Ouq{UChPo$R&%sfJuy|M6IL{
z1b$9|^FjFL7#nFPNhCV;zRr{Dr*O#(!DF}qgEIUX+R4rN8X*$bB+*}z{-X-YuJ66_
zwU6>5aY9oO0q72)AhPj}Zuy{6x+Sd<ZNfjJGem}B(G<b>n6J8@G!>?=#lb+!vsD7A
zlYgF>SVAJ_AaLSHJHw-n^AsZMTE;!`8Q(SbH>R`No%kD?y&4XW9_<vJCl`_T*ezXH
zlwgNC3cj7wM6t6tmddnJT7(kmscpURjQcwxOkZS_Y@uj!{?xMKiS7<1Jdh?RG_V{A
z)r`Nf`UeJ><SjnoqI@0ktV;<R+D6nV3SfTImA`2nw4Oea<T>FnlpTVAL@nME1it4(
z8;%k(-BZywKD|MbAa!MBdEuOy`ZH7+nW-O$;=zjK=&Y{oF~ya?S&jmumiu7uPBC8g
zTRcX3EMMx{IuVYAn}J0k;h()|@X-`E>&y`|bD!(F=LBMwkSLvE4+0be5mVNb4&<{E
zNq4p$7nn7drbss3`3<4nH{0$<p$lrXKoUK}V}HYl&RGAe`8B^_MJw)^ll^*MXh-;4
zttkvyG}D>A8Of4pAx(LUcIJ=7Voa_h55j2Mj>hgxvS}#>uTbqwYVomCZ=9ii5rlw5
zsF}7+;P82hfljf*TvW&;Zjy(L<)r#Gg+cntKPr}8x)XkY-m>Xvs|_sJ$tU=jbAYCy
z;Nc96b%#n7uc`Lco`b?6BOa<khZHe*@@>kakc3MKx>+Fh0m}ULmelA@>0ZFhSB~3t
zEQlMndVJGuv6ME1M7h9+A^<E_<k-9RwFy{sYU}Q65IYrQ9N<5{!V8{o68SpNoU;*h
z7BZ5V6<Iq~8b4diMdX@)>?5n)+#d<mR%zs28@ZMOB)EI;F1)Kc&Oo>nk_IrSiAl>D
zF6{ckD<A*JO1(bQM>VQ#p};Bb2!r%K`D{NfdvRQT;&CLq!%o#0jQSvU=$HzLk!?r@
z@My2gYY5<+b<lQ$K2In?g3y<-A$0xNA<AZM&h)#OwM^JicxjZC@8)-HbkvdT+0gIJ
zg&gYeKL}#JTdahcNX4W<Yzw3(CsY|fBI~X%zvZPF-N6&A71e@-ek?s6U*PX(_Ymj#
zjT}xPaW`zrl2H4tESMniV7z@RwJv#Lrf$C%{N>x*rW|2H%?vh1!|X<3`HkA(7CdPW
ziUmmtYw0I*83%HR8SEH=1$^}Lyh*MbQ?j;O;6`6Jmu+s<2cPrWpJ1;fi|GMl5P*k}
zn+ec6#rJIR?6e&`0l|zK1yoJmx5()5?D({j`0%BKzGUSUl=rj0vi*8TJKiQu(3Hkb
zjM^a%o29uN?@(_|;<Bjqz8LqG`Huzfrayr}w7M3Lqyk{CvLRU?-x!;+qbMUWI%e$V
za^=%2lh(ba#d#2shv>9d$rlGyPcjHMc_|)7g6;=Bnd%GeQO4Yq+~|t`+HF!y*aJc!
z3TtUnEnOiY@DhhhY0Zq{MhevA*1%*uGRt=C6i4(D=WXZj8WFCVNXCcqf6jOtVq{H1
zn-RDZ$bH_Y#-%3#ouk|oeB~*Gf7Y$2TCC`N<tTqCFiU-i9ZC>HA^1QG3d|$F0W`L8
zJ(qAC&2l><d6D>>Ro?d=#(t?ST*tN2@-`$@FmHJDV!%uNWHX&-V*QB;h>`(2ufw(y
z{;^2nvoEHO_4PjJPi&Y|rNRFffQD5DGwY`O&1w($gN~EX%W^TFU?@Mj9Ga`_HM>OS
zkoknmdUGRAX63X@_YJ>=g6?)1*Ycg}Hs(Vd>KCvV>tW3Hb2I)C`vzLn?#2vP1Mz#I
zfbe=_guwdEeEebPb7^<ggb>pVew;PI;W7l#ik!2qr9E^nm0jA#6HHF;=oo-IlrV+p
zH^RdS|L{K$+6iSiqy7$$z9g7~W&NP8eiB=+IY*E=!j*wux#@bc^fL^d3mTD*j<JO0
z;Qp$B@>qJafUITL|0n8p5dM|Y7*31nll?NZY)_&dWkeO&9`^;_DgfGoo&E00pw`@i
zhva4X1)#?H((#CczeamF+>!^sW2wTO(QLgSj0Z<%1Erov!R(EoaXm%18~&k?l)e(y
zmt!vKG<2N9#kD5S<P^36D4<Ch3v2OLLKwqGZiTumeoUkew<Yu)1hdRG{@2Eg8QjYN
z!yAQFeH-C}gfEdpxffWzcjc#a`EAMbO4PWZ1F7rJAa_=$$x&4Ys;@zC4eG4Luq*`P
zzM4sl+hU6j*R>foeG#tDnPl(*9CX)5Fi0smYoJulm?(bbMk4A%wSWvvAMHg!_X^AX
zk*o@SzQY9Wj%x?(9<r?P-8_Nn3fu8tI|qMZ1^WKd?o1zAnFaqA?tLr|R*Jn*oW6s~
zd0qHq&pm6PIfRwDrM*?RaL;x;9F_mxQrh?$BbRp;X%d(!_{G!!qTCk&V4vlxe*%QT
zt<$mrXZ|Y54v#5gX2_>C(^6bT(OZKU$q^uFrw%a4k<{qLz)+IUN~0T6Y8Jo$4%<=v
zHzf!9HKO;A%(TTNLro9w+_1wo3G&^;8~+EPE93hStaG3@mdqZ-+Qx46L0gk5@)8Gw
z4B^d@4?hwBy}tNvzN%Mg3&>dGZ-pyQ1`~oYL;HJ``|*4_f^<}-LExGJ?{_b3g>dtF
z(}qFnpZj0mzzhaKn|Ih~8BYiB+#k)EI_XfMJ0!m)?jMl*AY2O#<x}`)V#^(xBbbtf
z8%0k_F2yl@%+P`jhwg=!48HD9-W$hkpPYFz3i4Fln7j)Y?ggAyT!3|TNA>l?&tM6t
z+F2P+Z&Ib5^jJyg8NU1R^_1`Uq;{dSm6=(U?a6CIYo{$stx*FGHaH-4&sU&iSZ8o^
z2HonRhYWL6p^{l>Kxk;uzO2*NZh=(xb)<a?*S|M|HqDNI8w8Z&^s~Hlc83_2Yn+hs
z|1|`9uR{DeAK6N`6qG5+$7T_F8QC*JC_#*X9lKl*^NC$Tud^#90>#x&Y&?i+T2@X|
z`*U;;^K^{KZDVqGm8H-`4h73O3|9IY$A|aZXK&oPv3MRb_ZWGp&3;b;+S0ihN~ed#
zyfS_qynXZs#n{vsRXG^PWuSKOj)h5o@W3=3=K=>lOnG#d$|03E6;*A6Y~Uj|7gRv=
z3hv{4&S)ct2Pmdw-Y+xO72iv<CSEuH;w#k?*76*6EQ(+7RPQ$>WowNF#W3|`$eLHO
zov^#3C74(Oy3?VsRV^rxc*44n%D9INxLPNE-{M><VpKeuR-kp|d_mZ1`|sTC5%RR?
zhzbX2HyFW-73o+y2mtw-4leNO!5;Y{JU^PesQyD*6ElPZMa5eH{%N2GU^sjOVYcq~
zU`|lfENcVkJSv=>sPFehn2CBV*`!AB-D~KYGAR$O+nG&+<3_Cugvaz8+C>~C_%Ztw
zV6ScBAUZ(N@@J8PifgnJ4=R5T>#p2xvu{hCCBhj0MMFmMYiDa!^<Tuwz{bv{)z_+V
z*j8EhG37Pb{Z75N>gbyt;KUc6N7EDuXWp^j*PRG}JkHN5Os0`{hYY*nb4iR+v6{M}
zTPCeCcBh^892O4&hUHn`CsS>z^eM~=<qHBrXL{F^l;7*vjol;*{hUEEDT%Ok+l9W*
z)KNFTTgyya$I@vs*?oHQhJn-iC6=8T#nnzaCK~3^LHi%M9!s^F++hkI#w}Qn@Wsii
z)5}wb766`>O@LlNc;fvV(=-_Kr7fpWK8`}Ltu~-lk^FD|CwJkGxEfj1BSIM5qd9>n
zx!P6v{ezx_zf(@CzK53`&TzxFIZ2NrgL*Utp*JbmFVy(t#}NOr<Sh?e*}XSn&+n-O
zt5vch=6vTi<zT=QR{Xbse-sZeq!`%x^=PK4KI~x<oe8CWD9?=WYcUbN6l%FH8{BP6
zAn$YJ9Q{pj?pW3>tD(WsKcG~;3X%qATYJVQZ@8rfvz1=7<3dv_y%F{W!6rmV-Qcl=
zrOAk)g&*fc>JOuf`FeLpUM;e77u<-0OM8rgSx9Y5Z~|nr#gB_#UmT{T^7a95)VD!9
zX>5R^$i9!klF4vMs{Q9r-fMC&wqbP7TYS~ael?8L-3&HgE;npjGTta8c(Hl2kld;(
zk}0am<t0_Hu=uYD$d8AXNp)>ym^L~c@%R^Lw+j+XX~@W6H&L2kdc@o&Z|o8#h<?i?
zlDh&Lv;9#czOM|&I_KZxLIyxcX+?$)wz^W(lTZ0Z^J?>%@+)q<AQOJ!3hq-@T*$|c
zGKCM6Yw4}hODe5S7{RKxj)HK_sLk#*st~e`pLfH)Y95d1I1rn)uudcM5H(hS8EWDu
zK=pc$KbWLmuI%?pZv4vc+Zo49*)1bD<ngl=itv@UFB8v4`bz42+?63sKG7S2m#})T
zwiVlA>U-+B_-zzjX4%}L{%K0F>oNO%dh5s^-77jN*e<cur$nc1%>Wl!*4i-I4MAFj
zAUys6NX`Q!DIgLIJ;Q7k%>I*PDB3vBDthvXQOPmzMx)=w3&iA0HU)$0vEucX9SAc!
zPeZ_#{5{BSZ<jO*2f<rW5f3a5{9PlRc>IqQFg&*JHx@vR*WEGXIj>3*t8REUYSgf<
z+{%%aKQaEKQHlvpz>d#lP3Ru*$%N<g*#GgiKqan*rzDgp9D8~6Z^*8!%%Ig&n-57{
zdM|F+8GrIWEY5OzgY9Y+c_D=-H^dw@a7iHD5Cz$inLgK@iG$%n{p;v<Z*}PitWYdS
zYDp>RVcQRMFC<)3Z$Sf{t;efPu$+*e*YJ+81Wco!fACq1A~<viO_cj}2P%&V{UUc5
zoX&NVnR02+h*_OmFO;+E#no!MmM$t$PpM-$-;4dpX~AGC(v2EfaSmq(kPH@z#8F3`
zF)TOmCQF6ld;tFc73QD0i5|qbC$TIBCDdeN-=HUq%i6nX%d<S%>XR$y)Kb=m`vRL+
zD8T6MpPlJRRwBRfa=7}@wQL&Yp#UxNO^5sjkw5uyZQ%rOQmM>DUjSmGbmjac?%28x
zzorYvXj^)mA~O$kcEm0JA8w=GXzZdN3d=mxrndYjuY2Beu>(YG&eEqD#(0VCEh-Ti
zxw=W1`b^6}35<T0Svl>zvPVt9pc@|6=6_nP+YlBk(5G`B!q*G#8dwi5Ec8_*e!_N+
zExd<03j08Pk++p^m_f_|sJ<)cMD91TM;Q@BLr+G%Pr=FWNa&IacNg#J6I=mdROCQ~
ze}0o6(j#^<y0ep@^v+R)OuNEQ)h}0W_LD?Ej7$Maq2yba)ikn;t$t&@5Lvgnt59yA
z?tn~hGa*^Hq@(=T9=NPcK;`FfKtOl7Bq!P}J!iVi6T)gW8F6cbOGd-L9v;*HMQ(f@
zrq^E9bZi!D$QSfkS}J|WO8jD`mZIO-NL1;5=5<cp<#ZzqHdMLag&SJ&9u?f@@i57x
zWI?a0%9D-tI>@CMH)e{Olz?G?fWeGutKT*~r|dLZ$&)(F%LWk6Jps7%^^);W!>8Ci
zH6-L8wt?g<sR{+XJ+7&s<9~N>R1mcZefZO$j;f-i^~0msFH$49BU(}NQ}c5l>FyhC
zxLunqY&;LS>f#%u2|oZtWKY{@&A~M5!jCi%_<R-tD;H%`;W*Q8alB&_2BHo)R~`iN
zyJ4FFK_`bsDf6f*kXxgkkX4{jnau%*>FjF|`oo!!|3IN?O2aJt&N%gXZ>MK5i$Izx
z)-JXrff8z^M|K_v03n{YJ&*E<DdUbz{-Q5mrEwD`sw<;T)GzaKKic{fRFzB+k36-2
z+Tc<e@at`GNyeu*p^E~?AR?CyZ9Udycuyq0!#@u&)}EJM3vRl$xuZ%6yHTYM(_Y!e
zsEGTp(}4SKbqg?;n0us?M!bsc?h*A>h#tSxnC8|^hplNeCOPT-7pyFFI^zO&%hM2z
zI?!CR{##Qh7E_3&hhq|FIF=e)hans}2i(ng<4=b^!9DD}Tk_nNs0wC~XbO@lbl)&T
z<mQqLovdrf<Y9)DRc+0HVi!eoWwFc>$3*J1zxbaY#Gd~&IZG8*U`}C0gK{Z(#f4>C
z=R=Y1UO#OD@xB^PbTy3fqt5n?<m;(|i`b|bz2R+mCdE)-(v6tv&FkI$3RTP6PRbSP
zZvw-}Dl;5>!HmPBvh>inGCe$4!ajBMFkY8aFgK?sI}7&0U>;*L``xqpp~gwXndA8e
ze&{#j9PqF)Vp@v%Tt#}q{nx=bTCxS6+91^06;=_pBO+PRUk?RUchg1s*UOp~PN9>2
zHp=3FaqGh2h`>HUuqcsIm45mlaq4bPM@)E5J%~k?sm&BS!@j79O;~Vpn_<=itST`L
zMf5&ZY}FegL#L(%Cs@Fd_1-zT3#&c+Q^W0{fHY4y)p%_zpF3;N_woVNGVB)wE82f^
zo+^7+OgpVvX=pW0e&dQlk!k`m>D`59oGjn-d&j)i8f<y%W+%ibv;8vT0;Kd0o-oF%
z$2+T|)*Qo(zxPRS!qyi~Y;8b3j6|N~tTN`WuB=SF{^nf_IbubeV8B_%s^}AA!f!IV
zpLYI_w+I`QiZ!R0|Ek+3x5ZvBy(A4C{n*gJx?vH3&79C`nTB~wy5>SUNHy5~W}Y>I
zV*4W&t*6_1)L8?ojL{EKa`wM9d5|s>I<PPNl-^}?2ZvGzc0^0<Hi6`YaDpY_)VZa^
zu`0wKZ#sU=yTbXG=8kE`I$&V@#<Q<oJSDK2x@f)U_F9Fj;fQ2uw(T0>)P1!>^s?d0
z#w8$$OyGxK_zFqs8jF(4vn)lC8MFi?{d=uGVrH+-J5smWy_%3d3@II=&dj|vrgwW7
zSpTo*wPq8A6Ab%I`pBxrIwW`v12xJO8i!?j#~9Zz|A{?Lj_h}ZLW&w*ZbxKCx<-zD
z=8Bs(Tp+k0?RV3pN$V~|BXmbAu#lF=UW!Hx3PLkWd~HMyeGXp#8TyZYH)sYoxiOsO
zmx_wl@?iJI78=;nwXm%NqB@L>5J#IbaKU*pU?9O)IhHPFgD$0!gqc+zW+4wx2Ys?y
zv!DRehxRw&o>)1~!#po6G-I<{va@tZ5L98Gc<jEw^mBh;jtA9tH=AD-<xLnyUNkWz
zrk{#3K4UMZlRyUZ=$y7gMU<KT8-50p3)b7JmRkxNIn~)$<Fka-qct)^0;>lBjyqM@
zHjA14)Mp9(fcTCs6L9*b=mNIH6+eY8bn)mzWY_+17Nc*c2GEELHJPK;Q6P5b2PD+&
zBzYu0GT%p7Yf!fqLff=!6@}bV!3#A-Pdq_578xXy)VB2&9Y%WLTv)9A@ZcdfDsER!
z1?PP`h9ta@_YWl%tlUsxhMORe7`uw;AIZA*f!SxTAaZkZ-t6Dt?~038v?P>wh_)in
zjr5a^y_HK1HtM@Qq_?clOo5@8URK2^ul_i8?uqA37hmExP}=c{8TaElM7y2Q?b62P
z)<1rVAQbeaTxbn19}U{2n-)pI8P0N7L!1Q*AxH6%Bx`+5*RZW$kpWg6kQb3DNjK@@
z>ky8Ir*SUkrS+zq+qCi?2q>L_jODv{p6g#j`A#HwJ5$L%0>B9G6FX9m4}#5iMG+t|
z?Mp2`+I&|{r^eP-Kan<)E|@gr?4tle)KY0xHs^_`iae(2qtRGbrFo<EJKSfXYrUFI
zw8mRnb4G04u#m71^9UnVwt_b_^M4?s@nxksZLAvVQ+l2lA0%hoI#f9yGxZQX63Eew
zJR5!-3ZjlJa^`6Y`VeCf$^}|3NtC%^Qf@M&UHi?pRtk&R-3b1F_3JQyk(hz(pOWbW
zd6})#CcnArKt;vjBN^(bR=bIrh5D>Vsls-{VX>~_FgfWjT~v&rIwF*A9ZCV?;iA9v
zj{<lPZj23?HO1u^eVI7OlU#!&l^DMQNx6>2DseTa9T{)Ts|0q`kAf;v(G8vb5cy#V
z%SeM6To!JLy$iyuC(pTvh}a25pK=Ms1MR!v0($1wtY<2B)xo*LOi;A|>&HayACF21
ziL2c*#_^O$@0n!2%!5KZl^@kFF~dhpB6rDDH$B_2L@B?jci(OFu`r3OxcVOY;o+)T
zR_z8-q_0Wj@(0#8`94FV+{pzVyCcx@ZA8A@Dtj>4u4m{ueT78_nw&bBZ{mN)rBw6^
zsgoOD@vXI^Sk>fHZdQzH`0;(FqZD9@=x;LNz81^7Rd@tytW-Nj*W%6ij^<vqt{C7w
zO1-+zu*y?3r}3{ptx1nNH|Wu&%Z7J9A>@^h+})Yj-AmlLQ7%?MiP1`Gr|ZEjBa0}4
zDtQRGvW(B2YZA_2Ir;`%)(It2%<tdE8ah7t;HbM8|2BtSt+1f$+?wicgm~#nM%6?=
zJcMS&@|D=*6z~yA2?y(+#IT4btrlF9%KoJU3)qnS$=kis=xh)m5-OPoIZos(kx6e=
zb##MJw<C$?*d-Q`&AU#{`a3h8iv>i<8yat353Aycr%g7updDt+1R}k1TkoxLQrx1j
znE0>LW01vAY5O=D#$$ag9}@%VA`?;hPPfFuD#4Tnj?e$KDH-9kI#t;T+q|~(jS4V3
zVxgigvj_=XNNOMd5NL5|%Q8Oi{vK^dbX?Rn3xg{<AeG{IIjOPq4v-5f4wOuf0mmFd
zpOB#!82ed84{{x(5K3a`+2a?#fTuI#?(Pu$_8BIguXGDfF}Ma$hn}lJb-S7zKx)g`
zDgjNX#W9{I38byvnM}5HT2V~Coas|C@jJ#cF???mNWAcD%d#+3O{dE=u`<%$V|GwM
z>peEt&XxL~Jo!nBGQG1vCdChEpZ;3v@w;XF$vRF7g;1?SlgtK+=5Q^#N3s9~+ipp|
zx7_Vh_je^@g}XHjCh{fWcMEtxIk+aeAm0qA{BU##tRc_4DO>2~lnFPHZ_8TDU|W`r
zj7L_<Dw>0pME3?rPZUaw+Mn(_zDd(E>w8OW$<>=CupQhB1WY$g{4Pn#6&|<5)@0_-
zUIzGxc+2Kxi#aLAy(bD#CHNShc5#zj(}!g2ZJBfAEUirxEO*!%u{hP|>?gr9Mx#gh
zqq{<eolepruA(<qQbx}Qh5zT7@@+i+lH`d~JwOuVKjp?J8x<5tK#T2-2h{pE3=l6u
z!A1w8Vn|Ke)6mx>Aizz?KR#yZ5xrLm<A%6$v)Q8josN;O!`pCEe>)j*s^sNm;t`Mf
zH}Y?NT%tx&?>W$;o}$@?L#YhOJ7_@_yGj1%;2WL(O*L(UQ`mm?;MfQ`pxV2h<7KD4
zkCy_G5wqQMM38vM)^dsUONT{7E)YS~YSEWk{Q+4FC=BIdEVW(ZY<aljkbeq@s*ZiD
zaUV5K6h7gw@G((jj4?W+<UE^AVV5+LK3j>knm`l_rv--RsWr**&NvWARb22>l?Q8G
zY#9v_jcFNZnKDT^0oNLSP$$49%E5ETPgbF9eR#qJG^hj+qHhWv+UsVAyhT4lBZaOk
z3G<nKp^AT*|92D<$H^moHYSv-d=Wfp5$)8{h&xuhgyviwd+bRvoWg|^7^P&{v|ton
zw>Ni_7Zi*FxdED0_I+tzW&0^mi%12k^eF+dPA5?+NJ*&Ax3V0_Z8>bfxyhu3|2yJC
zQlS~tEfEMSd4jBLg75}N<##r&#hD2mbaO+k>MJ?Fm*^AX?mIpaFVh>14!p`Of<v6w
z>{?u-%OiPP17c)TsG&r3o1#+j>?8TAL0HLhbO37kcutQr<v2O`LZMpUy`k`M|AS1N
zgBct@mKe&kz<jqACD&jHva~3pjiyjSaB9Nw%%t2>W01#m4GyzPiv%LY(HI6LyT~^a
zbf)#gpS#iXS_Dc<?);d_5*uz`rDLV@wRA6M&gs%;jX-z_td0kWdT3)Fa<P3+&*uQR
znA?3?l+HyVruMJ~(D2SVw5P~>=eRy*%TWU0vLV?TrEnlerfF|uIf~>^{1lB;-xJhG
z9hbO%L@@K!&Ct=TW8SV|5ag7IiD$Rt@}M<SB*oJzAVbFpNq)Gmj1bh&4p_Aww<_F_
zoknBU>*a!Izkn@^8STB;&8UOulZFpZfDDS8hYejY^jdNihGa;=6yP%<{bJ8ha_9Ma
z@NJvb=->{G-Uf_F8D8C}^M-8$UyDrpWP77Y99o=NB6ye2gif`QJ7)?FqKsQ!8Hd++
z8mK!fX^&-RMSySq6l#{x?kJR=()Y;(cc4ujok`v(AyzEll*n=le(A9T5UJIU3KgR5
zdO?{d4WsIDoeh?D6e#0@&W5D#a8BzB`zPo-BH8*~h~&Lss+Y=(ay_<C*v2?(FiwTR
zZ+@Y|<!dVhmcnLB9<Hmtv!tb!lMPu?W<o7Q1$=(iDTGj*R3TX;7Gm`kctm^&2w<9@
z!^7y7yH&L6|AI~v^C+yA3-d(T*hx50bUbsT*r!-)jDPhRA&WwIv!?X}S1KXJ@T58>
zXnx4JeLC5VYouFt(wK>6vrJ~0$u_o{)%H-pj!uFRlpf?<fz`X|s^t)`LtL00_u{Wg
z34hqvJh!^;QT|8m9bH@c(|r}Cw<yCP40k{*Z;vcNFVKh_WF8J^u#8Jsq|~2C;Pj6=
zDlzCyaj>kp$Ivfk=fc$El7~~#6I7TznGEQubSvm)HXW}iZ`YE`a5Sb+O#LY38;B&#
z&V2q~-kq>)cYlM1jW=O(J_$!dldY?K67RPZB9J4r=l7{5xn#+tsY<ySG!hkln;uF-
z^+G80M_iyD+(fl{R%?Sc%3>!IvRP}Lyw_SAO&gp9{IgwUYQMk6Qo=efw)i7I&K($%
zxLbda2l=mK;8S-wOc%ztK6dfDO0@=^=_c!Qnh?6{BWaOVMRV>v7Q6e9U6tX$H_r&n
z9z!MPZAJH*&LMW5I7EvN(V7!kHYf(U5wpTuni`2Z*mhH?gCO!Nntwld?Wkw_E%hM$
zaP3IuMvLYjuI%u<w&s@kqtAG%B$^l)hz!&uLirQolZdchB`?RHmTK!i6c&F*Hz%<~
zywFpRa;-1hl$CxtG!OGKU-_gBsa>)Jp!t#O%QnsF(VkGCh9+F`&a!24W^d9rQz=6i
zfH;n#Oo`X|r=*4($^VK)P&A{!)-n%L71{(uR$VFNN*QJX&@h6??O&so`dEdbb>|eN
zYq)SaY=A7|oFO4lUI-=2C<PqeV4nfLE*lzW??nH#<m0pSzd%0^-sy_+uG9fvn9egX
z!84?ecwjn-$mA;IU1M0>yhQ{VK|s&**<*#I%Szc=@a{59B@w)a0k!W&9_UxpZ*k@6
zN$OKa`<&HmCJWw`TTw3;y&JGmX#KR`vTcyspXVDEP!23m)|?6(YDOb>&J5Glb)-4S
zpBQIV6xu2XA)GyK+0%4aRxy&!VHpjNsBl1}jXIIWvRLEpM5A7Pl2}Z1Jmre>EV-F=
zs&uWATBbkQg|8ZGVx<vFikAF9?C2I*v3EjX^(6(;q6~AliVSq{oc17fU%sI1s!2u`
zw@O;P+V~x5N20{R{BK7}bnc1L8G-v(A)3xG=2}{i#?OxZ2Pg}m22v7M2T6ns5kwtu
zdhR%}1#O2;e@6^5y2h^02m`FYv#sN11zCewf(##zc(X0Wfipp(n9HFl+}K|<cG=t9
zmo6)$<&Sb&)@k(<V(>5N0-^3)M9EGO5`J+jy`Mb$lzG{3s|)Yp&qp&t%_-paaY@xs
zA32ot%+7yx-*@A^Tdtquy8;{VopaLwLh4&P7I?&0re5RIT@Nn77np)((&XyZ5hLq}
zdN6@6tMin}{{DKWTlzs?O;eQVMNNVu(eiWYaO^hZUS^9Krgc5;L#9Fe&d#m?BA}YV
zNvudAM2U#g9qwo`f($7P2tg_6you*fs#9Ia2Ul;PG^SD`B0#vM*`RiGu?*yKv4!Ln
zGC$f&P=I$2T)Euf@QE1k!WT&TYAVPeR`pZBnGx86RzIGSdM&Qpa%5ulpqNN`{3s-w
zz~yfz@Z0(s=a?jS*t#_4bzQ%@r*ip%1aiJ!VvzFQ6)=J=O!sI%9VxqRW#XB}mDn_k
zO#y~|d0v$Cg=ZXNdDRh;`C<~t_&4By$L{h;Pd<|DR0CUgMR#giarCwye|1am@fQYU
zkkGQen<<a*2aeHP!l=jMTsj?PbYR`?oP20^Z#<^cxlaxLIlp)FHZjU{>tXHBQ@5`U
zRN*D5ns9LN-}qy215xmoALRL|Fu=oMKLy-+8{R;lsfiZGcvCdA%%X2bNmtZ3+xNZI
zk1ocPCGmX&%h!~%4+y)yZ`cghm!acdrJ4?ZlW_vqsO<c!c`a3fIudElKL(*|lpFga
zNb1+Q?I*fqAj6_*XeN=gO|Lrx)4u8bqW;Qtgf(!N<~j`oRv;TR?PiW6#(OT$P;%8*
zGLW~m9;&Z<PIUVqN=ELEqvEK3O{gVQ;9ct7a(AAX@z;WADLcbWsGKl3M5#&%=tc;S
zXxaN<Ogl5{uMaZ=oc#D4#xIq4##^hWk-mA0ch@2Ff)2_c{9fN@fe%=@VjSGAI~F_B
zFOumVtcsc<dIX>PXfyukl<x#F+tmGl!dGR`<uBSz@;D)rFGb)C`tHUW8dCQ#oLny3
zjrag`?5>WB5i(uwd6+pk?{zo9iohSQ^MB$dMi;t^l_7c4MgKds^AM}1b`3Fv1Aa`3
zbTpZBj~t|<w!|$a5%&Uk6OTWez<Tc}_kyCs7|r_5^38p5u}d+JC|2dNU^8NR1c9yz
z|A#%r^o@mf^-PH|oER{L64tOT9GV`&ctUZK@$Qx6c5pPw_P@n=$5HOi46ucGH=RAw
zy^A%OLWQ4Iuh2`}f1^-2)maIBwGD$`b+u^~kyd}2L?3{hCP#*VnOmvzP2Y-s!cJ}A
zjKu}M+obwl3rWO6s1l<>D!SxceQ9+u$)Qpl&<jM}AwvDvf_;3?uy`JB7uOa}*{iR=
z%T$ThB`a?|Rv1mB4;)vSZEeaHy~c|UPkPW%DTL10ffGa1Kl2IZ2a|!0uB@V?f7s6$
zH^rKi2Ur^DVgKH#oCO4<9%p%;@A>Wt#T|WtB$s|!Y|$l%$Uv}hRff|hX`NuFD3M04
ztZ2-nE1&e2AEtksCy(&d-pK=1KMcb6o|lyt1CC_qc=DVBRbj<(%QwRqD9juT6lP)L
zr2tn5uG@frPN_~=hML+2X{>7*K89vQ@D>U*@(3!CB-76t_}6f8k<a6+`?F8Gu>a)E
z+eGxKV6zkK?<Ghe)amsKDr1KL=d1^OwWqgTe#Fn-zGg2@C5B&IE%5)#>ku}{%#XN#
z<oxZuT4nhljt(%;u9GI0HDJ3Kq8sVhqR~gDg!B*AyhvlxNcbZpuxRbFnk&nk{BfYn
z{a+`(5KJ!+Xg@U;R_6wJaJ%{O3<kKbMeCh?h;PDhHR`Xq;BO2tRS#N}L0ka{-9|+L
zEFq*-n?`~xJEqnq96?x)+oM6fx_$`y@7MXJ+`L~P*M}}BC=$kKpIpOp*W8sNODt@m
zxG{3q2kJE5OxuPNAM+C^uVHfqJ-{EXn1&&ok~ju#Mpi=$qTciT!DHZTLsIC&wVV2f
zpZo)2!9+B#`>VyOUN06OYOLE-YCaPrH>W?*Ll<Cvz{J@=H*s#9G0d33>=F;+ckB^7
z=xMm;5UmD{lAmfq1DpeXWle>kve*%+(^6xl)MHwhe52>Sx1P4^A_hzH+qOtBG%#5-
zWW2){5&o`0dIozpgZLRr=Nzd~ubdejI+lQa;$NaudH?A`RWboH5*8jP3*sz5i$JtT
zV=fzm`*9@bLiO#jq%pYw5BQW0#3XJ77H{?5kSa`{RFSiI<h8L?d9jRw#)vpCO&qPk
z%*g?l=;%xu1&~{Ikb3La7v+<~0#hQ5550O194$|mRNYobA3v}|<VYKpq&mIaR39SZ
z+i+{kV^ohquaMtc5@AIBk1kHizVOW^sXYbvP)2|PNyRDe`FMEK#FQ_0c;R9D8t^^g
z?_!3mPs>$h(hxGRiIF6Lp<f%Tlr)VmLr`eJAbjxkc`_Zj-UG)!3zQXWLTd4~zOPIk
zP|7^+L_;vMk(z~cw$zy=uW-v+k}z(mE<WmIROf!u2U@Vd5?%_9o2F%nv!xoqcM?fB
zM(G4CPavturfxz+uDCxSqM{axwH8CY3e}-;1|UJCNY04L2~oU=8V51lZ+ml!XQE-3
z1w{Rm+twFXJ%9ONMXp@OoLq|Ii{N$0QTl7W?+jC|#$ko;nDgd+E$RG-Oav7X8fLch
znxtiSg>7j>foEV96*JmrjCx3H*2Dyn9PP$%9mb4Sxgd^}5;k%mDDqRdViE>I2T;K7
zc;R16_814L-X=)@9wGqqCOueerBTV=a$?7GIJGvG2|2qNm)iQ3)z5OWKd-w5?scny
zx)3){Pl|Re>Hqw?QZaPH)|(#cy&g-zE4s=~6&fpJ%MGCk(6W(EFk)fm%J%adUb)W~
zu#|?VQ|h~OI6j#F7Y!@tn2xAYJm5=9slRu2P3=wTe@Z?0=psY^GcaXoN?yfqwkGej
zj)sKAo;Rml7||LttRuG!+j;d)h00SQv?=^@qN!k^_aEHJcY(JC+-xzf`3HeumVs3t
zL_C08h?`NEC71E`YQz-NAV{=amT<N1Ho_OXtpz1y))i$c5tt%XD1Ja452kLPcT-x6
zbJO#f1mc1Lfh-$bC5!^pF=$R!Mz(7C1xI_sj?H=N%GSk`+`M_sH*O3|<5mwg&1M<`
zNy}o$gzG?KYRnbO7nd-WFV3Q6=#{232+r^cB$XF|g*j=tNHcR0M?b{Y-BrIn_##2-
z7AHE^XiGy#S|**#lMNDJ)C~No`*B?PdTX}So|Q?-woiA0>?^7k2{kqC_T^HPsvhe>
z?Sc!`BnV0hml^V`BOE)v0fm{4Y-C+)h<s+FHd<1JH*u7KRLnD2`s>XH12?Dv_g)VQ
zl}ga*v~6>dZtTKn^E`5CKEL<D)}O1yu|m6%#TxE~Ts7$k{;6dE6csuWE_T3lB)+#5
zKFc}4c3N^YA5H@3<Qy7WPxCm2S}+v(!#h09Ip|JB1`IFmYDC^g;u$bHm`IWNjGw{g
z%&=OAq+dPH6P9rHb+gMFr`OSGF(W3VTH62dd&JER>t?3Bre+NKX8iI+_`n=w89<*<
z0M)c>&@!{ESt?~^SpD9K)k|W0%RpYTR@77u!z_cqV+WQX@dY=#c#O@S%ELe!!Okr^
zy+Q+?82Cp$G)${_)$6Eh;!*j`pyM-0N)LFS?0yFF1k?v!I|SdN+eEQ4tcxHVaG3iK
zo)N(ttV2#W<%oQ0)HIu6z38Gk3EKqr1IE#K>|Oapu`NC_y-9HhdJC8#da?&A8<c9A
zuUI@GW}xM|FUuy9CH^pFCw2NEv4)9~ION8fc4LmGi#kvC1KuOHMp$Qj#Yd*vtHj-I
zpyY6~zQ2ZOk_Oj0Tt}+bIwFwN^A;8uxqP|c;7#a#aanW8k~`Vho^%62kpao>)!uRf
zw*TP#81eh-yXoE!=DBHEL!9$kvfhEP^f*o=0E>|}Np<1>3!O8923A*ua3*uE`$ij7
z=!aNr_i?vWrhE@<It6P#o#xlRM<!ez*WQ6Fwl?=*aebA`2QCXT%eskOpI3>qWCG8o
KAK-r;0#G5ec&1kX

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff.v
new file mode 100644
index 0000000000000000000000000000000000000000..aca3dc88ebd1154a76ca900fee2839db447ece66
GIT binary patch
literal 43256
zcmV(bK>ojr6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$@(ycIVIl4~ea>xDEVi~!g(
zrGzAZn~M*zkze^OFNa!JRhz7|8CeU%_JS#>Pc!VIwdEp_KBK}|cQ{qoQjW7J8s6_v
z;1d$kYBVLVI6(^=J$R7;Q#_s)+L&>)|I4A<gNkW#<%O=@mRGcLcd9dTtqvP^8vuGk
z(%|>zX>E$H`<|k~>jr1San#5YV5p?>5x*nwy#cU)_^IWHs*I}S1p=m>NiYluK{}6~
zi8yDpQDP9>CW(@=V_!}drYtxV40so10UhM)a`W?&fVvR+6&Qpv8^Mbpc0?@9YE+)z
zCK;D2JKF<gj78${P3g(-?xBJ1;sqg0ih;|%fS(>l9{l~`?--_VYzLa;AtF4|wqk!L
z^(8PuHFjWeD&IKRDI)@yzY@7H^`B~X{j+*M+g_`Io$CP}WSyFTv3%3y{s(!>0`pSA
z`$W-qh%R%L!0M*CQov)ZwKI0(Q14MIfhk@pN07%*FqRB*n;SSD!^qaRlH^n)o-6?z
zd2z05%{w94;VCy0LeWy460!(!AXlhUPg}Av$Sy;$sJ)V60KENRZtTO;uBuGqZ9&c#
znlnf+N7W@IKX)wF6OsWBtkW^crOPxD4?+)<)##nza0A1wS30=})nu!)u*<Z-s28gX
z-Wkxa@zX*VF<VO{IOGPJN+V(hnCJ-@QJRPjR`QUmG?w&Sno3*l4m8{D6i9ZeWLiaP
zrR*=DRvE<Y<iT^r;r$_p<rLZtqo6%7D@7<5K39S&Hfku^`lsfrAM*Octbz||^V2g-
z6+*xY{<aaRNuBWqFF9nm7Rmx1Oyv-zOi4G4&{e0Ftl<y|e}5-|bCbZgp!{h9WGbmJ
zaNJab=@>%|Mo8%Hr-=?L;U3WSfqt9l>g<%1PrHh55-KgPA$$SxsPOZ^K>zVZ;0U$X
zVGWf0-00|uXjP1nNZ;k2-}8MA)H}x6hz|km;zYO*t;`xUBPRkv%|>-6*^p5@u77PB
z{r>N-bl=iMrgC7yy+W}o&iVoeZh-JqfG)1G7@m+%PL7%w1SdS|1oD81fn2#v5(`D%
zSsNT6bpYV#@y1l8bkNB3^t|qiR^j}|U1hTA#^O#I+goe}k2nK>Ymnd_OW{uyw<U2;
zlEh4@m^QaO_}h5szFIGK(`d)TFcqSp+4Q~JYR|Q!z0>5Q;V4|S>)VjF01h^ghA*+S
ze88dg(MsY(y&Qy*%5W}9gwy94xpUR%gx`M99Zjx33#W8UD&}giVtBmja)wu;r9He+
z+%HylcL%Zl{lyO3Mj91t2MPt~^-nPu2!wd&Kc}eN(;=APz``aQJ3p|DN<?)%Q8vzz
z$XIWuttlwX%dVN_Uhu@kqprQ2IgM*(t27+UzE7*w+4xz^a(hfNbQ`QdMWE2tlkf@n
zKJ>ptz3Ds=LZNP-VIvZ^4FH3J|Mf#niZ1)uAS9pykD!_vihhQ|XKfdk*eS(SUg=L}
zXFn%&`CIf5azpG=;IZbywLy`ns^#V4$0e0o^z=zl{MT4kwnc4(==*qB9mQS|*@G;b
z23D%Sx9?ZyM%<w(ucwyWR?a9fIkFp2@`IHEAvD}3KW2@PPGb;Nnim#t<&Y(>zzKha
z5GbAi@*@QLGfx(~9T}d8lvDbKBo?#G?uMBTl$vPQU39*M>97jHNREc1$VbuTt}D=b
zAuy#jv@T$0fI`DPeu!FzHCxU4g9UaC2fX)tr)aU93Wk|P0JOVYNp)a#n6s_*_(*`2
z*|IvxWLK!$uxzuST$-)*z)R!Hn|PkOepnAd%__a??_s;%xDyO*WT7jiJzbC&sFXpS
zz$2b86k%qeyDh>qL<_4O&=JwF>C*IW+^sKt_;GUwbg74JXr4+BDpyg3e%kh~ib7UN
zg>Cd0ZZ;lK2)MvQ;=nq>-}0OcwVdi8*FJyAy$a+(#-InHY9vt{a{N;qEncKVKvZX~
z&^F+Sq?y%8X)NU7iO(!D;q9n(meGAot0m*M|EJaQZ}&*JCUo;NZQc|xaF2#fNQXk&
zilkzBCPnf*w}P!v*0(`r<kYou`5((>Z7Damvp;qA!K7<7gRl)rf+1nvD)Be~$UN-4
z9kDUC-PvAk3pV7caRd@C3_(q_lZ%&$V}Mp52K}vqS8JV91?TT5EA9WhihThX+AG6w
zc-HD}Z?(XrenqJ?VC0|7a>QsXFdZ6ujfT63x|Vos8MQ-Ah?M5QGI(_1kPPQ>cy|xr
z1>j3>)n{gYZhRw|1%g1^660LHuKfoPn)Yi~oAefIY%7qY?JA<b#o$1nFtF8Cn}0=w
zP4{fN@H=-GoLw`H(|C{9mRMapVO-=rF`fwjnom~2o>Oq%ma0PUu8rjHpNBxjUDT;Q
z3=KQq>g76s$>z}wOX<z@H3XvAzu3P%_2t+jZVF9gnI7DicEc9-U@eZu4vb#&m<j4h
z+V`5yjO_MpumcvvIy8hOnzdfu37fi$xnyIWCzo~4@<sY~aQ`P0+J@mUvB2MKCZWF3
zN6kaPJ(}Yuu@lvGgoI6`@WM0Aeeyd)Z7tjB{(+(vd-_Y}Nr**6mxU2DTsZ$w<mqgK
zPaZZci~Lsv7S^<jw^Fc6$JobStNEniqr@}LnLty;6!9Ttub^5K+g6X^vIHXdaz@3X
zbVI+5ge<On08m1HOc5=Q?2)93nXc7AVU*4+feCN$Ry;p{$<ao2M<vLXQbKHQfh3!i
zxqQQze<M4?%)|Yx*%6d^lYKvGppGosrI11|Ik#?84{YyMfr3-tMfwyNNWSBsK4C|Z
z``-fqYEb5=<J`*{@Wg_`Xv!P*9Pc$<q_hw!k3zl4xUMJrvJhi}VqJypY=NjAMLZ!`
z2?xhR2nWyMC_Sz$UOz)J=rv_*F(yEc)p&U(BPMQ&(X8gliP7!{r*u{b$~9?5r$}ph
z=gz6G`*%bj{=rtQ@vxEo(N~mS=I=F-x_fl9+Vqs0%-NGmkS$X~tl92}d-Btx7_o&)
zB@K3#%1F_j>!bQZ8+MMCplWPNE!V?i%h%y171`+<$`C;j3A;8CLf6bSifU3wumX)~
zZpKpmQG<KA_e2s*>cS^5;ByT(F^KGIht*4gpm{J~#IVPC)rv7euCMxCw4xMPcRb<V
zTx|=sox^DD<Z2e-$BR5SBEco<AP%ELG5)Am>w<SH^>BlsvMlcp_b+mX84L86wrUs^
zgU{-h#S146JOfFAu=xhAXF<gW+ZP`}&~EvC!;}&ShxCV-vqzjvC1vxsJzdmP{a!@A
zV-FAK2xraJJ==!Jb{z)~yK}K6lQW${v&gM=$BK1rIcD=Ay2|d<EXF8Sa4*u5Xr3BK
zY3o&1)UCi(>jrsmVr`wE`JDSQ$QF*Iam*g|P?ddYLx6uLPnPfk^bsnGgBmU+OVJWi
z$cnGHC1qPNO#)Z*qIVmG{s4GcnC_~J5XS&%+SlnCYPFBdA}_V1uf)Mv_b@>wmcd+E
zDuO<QSBFCzqRxkB(uT@jA}-1`GW_XC@#bAzG#+gNEO}^lo%Z^n^b)<6Drz<%N?nfZ
z4@}42bS)D{uwMM(@8kUbHmyjm!7m_!r{7w9{5Y(0u;xR4DdRZ5(Ahr|X*a3ir@mSW
zCtlGAf-H>oI3tsQG8pjHdVidBO|`~ys*uv%DIbHrR#`@aM2=bSy=+_%q>o=dD27Vd
zrLlNN!xi5mn;@Fnz<K}=NFP<y;Rx=t3KlEg;<Av_Iu_S2`lqF-NsxVkS;eMgm2(1B
zKpZ$~y+x=W>?CCN<L7jy&`<fC=&;$(7rlB%w?Vp)VY;Ui5BckX^cNY!t6#=CI$oNb
z>8`>Vu{{)2De=B1kbcSbz=TJYTA4K4_<W2Qu&|c~B>H#zeJ)zYDO3f`Sn6qW@kvf(
zI5syO!zv#$Veda==+*_|4Exfug0SpasfY|yQJ3S7SS`Dos20L4K>yLC?TDv%mDr#J
z54AHgN%1wsavMb5;1lpE4~3$`|Gj+vnj$QW$#5s{c#tjM2sK7l@ne%zpMe`BSf5}R
zUqxRUI+gBalvAHBmP>i(o+H9cCb%l$K4a#KqkJ}8nBK{^n4>_S!$|j6uuAjUYITi0
ze)g4u*~eAzFXWK+GT$v>;2&fz=!DiL*17?2eDg{49i~3U2^hA&@?LH+0#Ea}7yT|k
zVfv99=}wDEGJU0(&>5BM!np`rk?mXdBDyMM{*1)8v(OLPJw1b)Wg`O&|3?6Y#~((D
zI3)wM@?^^0Q?3#UdB_QDi@)qrKU;B#bLWk1iU1r$Mv4!KhAY7zawB!$^NY8Am!w6a
zn~_=@OO3OL;0SJnIZ^SfRc}tkj1GPnJ;GDnQ6HqtU=E7^=Fka~PqSCV$S);*MAA|1
z8|qauzCW{nRGa%ekdXt*YF}VCKlx%ZD_jCLF<Q7NXh(m<2UxYYI&EL5P}SOC>u?kg
z^qHIBbE1?832<$Np`uOrF*3Uoq5NkjYOCv~UkYI7#Ue4@fNv4n7G%0V={vsxn~OV+
zt$Km}5^Q#@(1j-n&6mh)GPKRzYA-CjZBAW&UUhf3*<AIhrKr*xr%A($!53wEg87Od
zH<30YbkZ6#wok}kVC=giSmX!N#{};IQ=jwWIdgHS5JlE65f45$3_frJuB+WmLD*ZS
zP328t@|MpO479$sq+PDqmsug~!cqsX3$6dq&`6`V{IWsp!saq#fu&+$mVJJnRu?{g
z5?4csg%uld$oJEQNB1HoPg=@@F3)oKN-*+JrDkG-xlY~c3oMl~Cp|%0-f!{<6iA?^
zy<h*ZPv51Mx)6DZ!<ETZK&I!9Yg8eO`e+P)0Z*>03&`b()EXvt5{X?inu*&@jXCHt
z$I~vr`B2+aFz@d$1}Mj$b&r@&Z<lUgZj!0ipErr!13v;=cMC^#8|49Q**1Wny_YoG
zeRh@+1KBMoc%o#7Y$@=3^g;MAST38}{Y51?3LVuLd?GNTDp{d?h|zr_lSZqsUT@l`
zvGhUiM>{2ZDYQ1$NRA9EhYkG*^669Es&hHaZPl8ltxHUFe=ETM#R@Gb$#l^Ik{|dS
zmAXCw8K}|(>hbbyQBmn5`&~(c=8$|)q#b;?{}IBy%-L9`Q{vfedl>W%jTR<v5{al?
zYWH2<v2!?eW6~;2)%B4QZgcTC^!%7*PK{q7kv^P_2W`rZPfX0#e`DtUya$0afkV-0
zTEeHv@n$re&0}I?j^ex7It0v($?_`IxEY!7^KP_r#%E*K#{+)2G<MZA52mIYsluV_
z;i|5WTzrV6ceOYfH5Oq(qVN)P-!X&lsK!{WPhV%&n(AJ3OujQu2-HQ^K``QSl`w0R
zUq(+)t7Q@Bw7t2;;z_=bZh1*PPBzdwOBrxNx;OLVxr!e)o`k@R(fpKZAcB{vhU@UO
z_?JaXmGaP3%is;uq}az|wG!>r2RTAFmQdl$Um10eT5HLzPAgjUgFaT)3V!CRTn?UU
zpWU#yj+M&Ib*0t)YQw7C#@d!kS|Lak1Un(eCo^IoHe~s<z1Iu4WoeI2P%#rQlgKvv
z03x)jj(x1%psl_6E{TNL4A+#>t5k2vJ{VHPR<70=S`@D!h_<Ma9xUt8hbz6_g6UEL
z)LLVrxAR`~BEb(stIOPGC8n`UES3o1;97~K%?N8Hm>gjrDa1_OBrDd_^po3GmrYb<
zf$P+IFqU9jYxAz4he|gPczvW6b~T2<eJ+zz?Ci1VB1R+*%X>OCjwt<_NI&gaQ00RE
z^ho)0ZtSxoKXWJvw0>4qgHsw>ocnYAcJyNrcwCAV)iAh|59M;k!jPJ6SX`$W@kzx#
zP>(%V#u3hmQM)bXnN^`PBAQ(`k&{}kCbnN-6Os}B_lw{{`r0Hm#7zE1_eXDRjaIp5
z_jp~_pWCa<7W~A-2{yXfLI|BW3=6SHtPQ293F1)vc$|DQ<*?2MHO&!`>AUd_8<b5*
zPmK9gaIVd7H@n1kzQQ&jQ(~^NqP;HBsN14^_L)vKEU5MOJaJnH;81xHe=k=ilx0LT
zuFD22`UQlR8F2qmc3tqk>Qr=qk}%IfiP~1F+c%#D<|BHCD$ZR^cHf(qTA3d2wdshM
z2s}eQPPeJN2gcnwHs<waCLK^0WF8RYkcwc?K7?yHAt>fRqsxs_p>UjsI7Npq^Zu%=
z_vH^!6kBBgXO0105m2R03El+E-CE*#z+wMkG0TBweEB$^?0Q0izowk@PY*h5I45`7
zl+=*lKJVX!RG;Dhy6h1YC*5t_DX{5ah8C>OJKDLiv*ipM`;u*dm8<0kFlkNnH$AT&
zAr1X~le2P!;|F<3Bae^e{hyGPdPwbx+*%;yl{RdV#M_UE7m60^tD(&>2_mD`c*ttd
zz#>gviyvk6>;e|_P-A=GYgw0?q)A6038-ho-x2v#$Pc|)v(Y$=tDTmc`%=1Pg=ZS<
z7zsf*)U6XNz*nTRyQ0X#Nv)f{oRju}dI=_D6B^g$1Zdn!GWS3xjsuqEmkxN`n@RQW
z=$a&#iwLRuVW^29S+c}ElJut}cr6TrbwTm$5=|Fk1<^tr^llVKLIt;ZkaA1!Vf&6j
zx23sfxhEH32wX&V<!<*uzC}b()1a!X;{t_maZ~R_KRNGR2HaErnQ9<u^Q46AVx<IZ
zp>aB}1~)Pmi-?Pj7l&+=Dj`Hx(}7uA55ahBR`ys}39>-hca;T2`d@}ihjX8ONJEqL
zP#5?spSFSw>OZiU-J3i(u=k}tn`~dQCqu)r4QqD1D1xH5h<z|rDC$6s>_)={lyen8
zKOrr1l6X)(!T=f{&xzmOxRxq4OU)e>(RQcyW2OV?epA~KhQF!)7R4`aSF7zn&MQ2f
zX%dRBym=at&mr~?-ee`}oq-;jwbGPE_+y+cEMBQ9p}`dcHdG4WLOxIgx<ZSBM=bN!
zK43J#Q3aiVbc3I-)tKmXrUvO5|1tq{h8tmZ3D~S~hCaVDwT$v^yKE%!qZb?JCo~&J
z${QP-1jEnTQ%q#$I?UHNk}qt8!5%j*;9rWi{4W3h&j3xC<Ud^L7jP4FEU)-L=HVwW
zUY?g}ysJrP>$y27qTbAYQJjeyQJV9aov>~}-=wQnlnXDn!jAfee5gVfQ?zEeTk!Ks
zsLBkL`3<0Js0Br*C&DPwRbuuKcLhQ1FGZrJiKB4Q`C$!?F%1qi3jq{(lhPg1Uq*~W
zVy@`@S@GZPYkgkSzqqRDUtd&c;)k(Bk2k>@ctX9^2MpU8Q&Ican#_T7?wJj5PI`n`
zc!z&vY+Y$WhR^=^5Y~%EbFB*0E9r&kqNECn!z~^sReMz9^Po3E0wZXr`?jm0T_6JX
zn0)23cdRwga~HHi5UpGL^{_GzlvIk-S&`?IM?1`bFJ`mO&#oit>v6n`(!FgLR_XI%
zUwX0MjYW*7gO7BE#4sBFv<P2`tL&GWp_rk-RuhxnT=YFZ8`XHzWkkY{^{aJ7Vl)6Z
z2JA>j-lngTu`Dj|g!a~D+b@QgW@&*Hxcd9PMzC+B9X$bRjoO5{5NKk$pM9|E=x^ZQ
zxZxgk{z<8ybX6V#grsmhE?CWYbC3Ad$Ol|;Ujnapw{b~hoEeWO=o_H3;#=r7B#roN
z#2|UxqkVn!K?cwOPjPs%svf+KfQG3cnf%d^K@cB8iOTduR!jXn#fZWR1j&oMH-2<;
z*BkZL<$(?4)2plTc4Op0@^WME6}Ewll~vNwIMgZ)tl#xtAvvuGvX+u^Y6&_jgWIa@
zo!zY!#RNjs2ZCBEL{$Z~CEUSBwC+dQF;vJtErW#JiNd&@@^d0`<v+085e7IWF3h~9
zFR*3#uKz>zdkCY~Xs_BnAaDtbL{zNiLs`<a$~*@b2$EFVl1xE+i^A(y)|XiI9P2sq
z_mDzOKcL;AS)_!qSMajTEURcgl}VDXg<wCDq?AyY`i#z4P29b#TVOJ%)k&*-fyReT
zO+CxYmS=PW?HD!IOU-jx8MW?dr?Tw7!YrOp8VKir493khJ-aLUrj?ycae<BSE+R}(
zYtcEb4J@-^T#iLPnviHNca2}7PUMS_ot^9dg<-v1#SFmyY=Y^XK{+{>J!M{Q&NdZ`
z>Qn-neQ6yKG`Ek#fJqNYZq2kcGmwZ+D{l;#FMk`sf<uAeTK$fR_}^EVyJ6g_0faj}
z&|73@BcCOCh;X+{^O@B+ABB~t{T0is8}Fktl3qre7R|W)qXv>$RU>aRyquO_%Cz;!
zhr_zynq|(!j$&4>&~c1g2t~FlbT>z-O!FdY%Y7OZ!JT%p9LSU<@{Q=RRYCSSAIbDm
z+!h*M>}fvmfXS(BW=5h8IO3hoHUT8{Y@CjPekdcGxFK=@U0FN9^Lt6MmKFLZOrQ*#
z*3pOWZmDkR1e8aa$OjAO<uG>t%wF9$z{K)ZChhTGjll}UPRE~{zrBJr%Cy$uEt^-P
zTz^syZ8_`#Z<o&&_q=a9G6^wpFS7>&P{u1k4_0g?FLH|ri$P8FKaRi9sC6ew(!&mH
zylGpriDzcc-ur{--ESN0ZMk30w#_wBISK@-gTW}2Zg+0Yt8Ey*#FKKis&5X1)9Y$-
zR$;;DLaco%!yUh12yDNtxCTZ`pK`OQ=50nAEg;KZPA$g8E^dT6jkvmkoP-N{j*054
z;xAoE4I&?@_+0-drAi{t8zxaER>2Tk2bJs>W59xeybWLEQG@F3gjGFEaLmYG2<XXJ
ztFJff%8_<lqlUKGRYpmLDM!OF8tVooLf_kfn$}zzv$CZEOq;r}Aa&;1gD_)X!JPbW
z^vHTOkjgFJN>FoSun*XcRX73Qwl5ZS(=l(=i4h#Fu%%k=;-T1TKD8^-C3RuMw*3!@
zA8CDASx((y&=+I{GI$3cyS6siIq}{03xYi$BwHqkKw|DP?XQP*z&{{xK@i|cUgHX5
zsn7-7<ZH_+D7^y@CPU~sQh_@R#nGLjsFzGsig(zX<F^x({7DI?IbpexQRau<?8C??
zvcuU$!U5T{sS_gOS5FB#Pv629POu3=YcPy*LYLs^7w3<reqQUvr-cUqg5Feth8>mw
z#UO_gRI<HH?=!ZeWhrk`U^RQ(E!JZzDWEA`Jpv|f7<y23LT$;mre0&57v_@ZO>t*5
zQ#E11<}6axki7!RTO1ruXRo_V{f0F-DG$LiBN!<EIA2n@08tXkuQQ-E+pu`-qc!F6
zD|&ZJ1-MHas)uP>t%qB5c^r8cob>9GA}k2qc>A!aI#Z3~8d?DU#AECfsocU~_@dxf
zs98y)3og8ckF|4YHqZXBApu3dkY_~597r~P=y;|Z*Nn{*6(tZ?Zx9KK5)1^7)Uj*4
zkWh*nL01>he~uzo5j-|U!H27+SN@D~9&JP*G8Di^ng#!cB+z)(Nykz#0R30UjfSv0
z)Tl<7fx`<}348F5*z?-lgADuhCv9mZ-*w-Vnp$>@_H_Y~@wMa)HbeY&q^@pAm4YSp
zr*9Lq4^wQ_OOD}+;h_i}nw&yJJBr`ej6XLVkcPrvgmtxv*n__ZSWW;NEPWK9<#*Wp
z)BrGt_|#eZ$C<#OM6_P5;bw9q*(Pe7TjIz;LnZwsyVY4lQk-NY_u2pZw2eVdpmA%t
zZ&Ll-^xY~C`b2ikG0yEE=J3au$MW`SOHsLA2|4LqA38e2QLLxYuJChfdfl#F0AZb-
z&3_EpHFB{!Z++-^c2F)gM<@OyaQ&~9N%|9++?iAj+Jf(9DT-ARM-{NLP2Ke+9||5L
zPIEHlO{oAnm-T743i$V4vB#t}yLQz1DS6);m_e#4VZwW=+WW#%XZgv11OCyYjADJM
z5`wb5zQRps`mL>gUJ^sz?6HZbsv$9Jw*u!iEwTA4nDHXno_2`!#RU-7#zrY!4w0W#
zF}AF)CEeIKR4apkzi)XFVpSc$sFaqQ{#|C^emX%POaIVx+G}l8#O2k>cs7?M2PlKB
zNnqkq%Fz#M6U1n;8+3JLUs(X#tpER#v^=Z))$VDu9W28O4Pa%6kI8G|!0SKW%uv2o
z+7yVOi|*fqpHk^52pE*8y!NhPQ&kK|uGFEAZ?#hj9${x%(BnD;imM2)0<I{nRAQqA
zrLb|dihcY90U-0iGU&yUa%cLQYTVcji)$sA8uu)Riu1$*S-0r&C5R?k;e!VmAlUPf
zJP|i$_-T#xNxb+7tWu+AxMyno*C^g1xjp{7p>Ge5kN6@Z?@<&Q$SntOLyfc!Yy|*b
zod<?qIjAHY`lpWQ{yl8{mT;D5u*fX(y45r@HpJ3982pRuITA#%1-QNGAb&ImrZVy~
zAfhWAg%=G@IusS>x*KQPxEByAL_mw(Eb|zZ=+91PO{-yL`Fwe-sAeP0MSRxFg7?_B
z@`kb6DW|Xx#_?{(Bb5hVw^n-*6a+CEiyY92iaFycZ-(>d0{@IWigJNhKn70~uMq}<
zw(gqq2EdZ-%X2u?#ZqKszzAKVswml;K=1^-9IuO7dqB+K#K$-yn79l?ey3z9y<fuD
z+it_SIBlo5S*E5!7L$yj4J1q==8JWxiooKqsqxf4%F#BLL6%f0Y|#n@hyJ<3R{$fw
z=enx3OJKUf`aFX`>zX36B)>e>2I(Tg(XDSL>G&JEX91UntxERAWKz3=uu?x99m5Qz
z&n*)P1-8Tz6j8phe@0aoNYkC_$Xc;zXuI9jZ*C#%=NO#GEt96iGmr$S%PLfu*&HSZ
zPvx0*C$pQ!aOX*@R*e*M)$aC-2$Wgcgmp_8aSe%EP94o7$}Nz)?QIty5Y&cyQ}lO(
z1mvLtQyECR3qvv!76c@%Fn%nC1SljNh3@p(SyW<d9R8PNM+QdYT@s2a(ZX&_?n(fB
zg*o;o30ZP(4w3Q^aP4%<JOefxa58rAgt-7cGaXK=0yrLUaYJjUWLM=oz<#^kH4Pe{
zKn13px%aOFXGSXkxAWDyGu^)VEyy~#3TSlh5h^>!O4`l(kd74)&*Vplw)s2_tK!Re
z!?B5fB?u*f$=@%ws3tfT2$oqex#4c$1)zObVntaS>PRpS<SYeB2Rn^oMiPiKP*<1u
zK8h5o;%=-JzxP7^#G1?$0ZF@cQ+o5a;mXhVBY_PR(7?xf8}-R3QLBx;ua931w#fny
zAec;aRl%aAPI&wVDr0$aJgE%YHU{TDkYTi#=Sklu6>y%GeFLvWb*WW9cU2j5nssib
zCAxQCb5%4XNDPT%YBoQdm^9Twa{5OiE<FWC<=FIsy(RPrV(NoGY5~>_JFfX5SvSoC
zz(F5Cnj}+>6RBrkWU+E^;BEU5LwZ7-3(gZLpXf(Zqi}%OOD0DN0mPpoK*;QuQo^s*
z%0_$2o;F`?GdfITnT?xP9&s=?S~Nk2FlM3uJ$$Y)h@m$ea2jr-q9B3g+k%u}2o!N=
zAky)mocWa@2Q>fF2T}n7RH9PBv>B_DZj}wKh5Y+L>Wn5%g7&*n2V$D8=Vi3<(Lnyz
zO9H{>+vq5lSK1zZt4U9m@-T&Q3Lalwf~J~&Bch_DI>v<*A(YlP11;l?8tK8Y(by+V
z**RKvdr@bZ3rdmE5|J$9Fw!&by(sGh#&nNuSQoE@Y*~`yxZs&t?z?SsIPCe|ZmQ0>
z%<aUDL3Bl5Vk~IY=;BPWkX}AR&+e46r!+dcNNd0WsP=xrrW~Ndn2pR(H4kha6-moa
z!eO_)`u1GmueV4&{wflC&!(ZWeLXGN>42T(fE==yJ;9l<(l!{1%|<*xh2I=fDUy0m
zmU<1_g->ztBOWe_+h6JV9HPx<X-%bvnoK2t8MPBN*l5$X-&Zvx;p~uew*Oi)@e%49
zU8Z=FEeH%vw79`N`o_>?fTLO$n?VY*C<2%m0dw8fXlsCRyb7IObR?~8U?M&~(vNX~
zKThdq*P6h}&-y?qVcxIA^GECcW4@8*zdaB*b8HA?viJ+dDN@Ro;yK9a+qMFf?)3Yn
zQ|tp%WyaY%sszTtl$A4B)Mg@DWO72E%F$JpKPFE05#A?*FIZ*eVWVy3^k6|yq=)z4
zP2QSt7Z^R|<FI~a(WfLr*5C`-p*G7@m734DTMukVh9~6?APCV2wpI$J3kqtGNR(@L
z5D2qz&xzQMN`MBaQq<G>vY8duw&MLo(uHrG%j4R5&KakWRJBbXevz(%x63dr)Ro2d
z<1uyAcBQZn`;X>jKVw~&42Gjz=OpgNiloZJBS$AjWVo|(*bl>WjXHn3pI!d5SjO&f
z@$IHmjl<aM8dJOw!_#etEZjs!Plvig^e6JA;kaX|?d#g?n;`6+N((Lkvc_hF&fIB&
z_5yJ2CCp%}O;-qmV3DFE_0OjAiHNGsX#+>%Ij5pEJ$QwQyg<ypi*_$K$rF)<bm7yc
zJ|3(2<p6SiGfFbYCWm^tceI+0^e$FFkmy-XUg{qWGy;g6jMa&{Wj-$A5RS&MK|$&*
zB?p>e?3>`~ZM9k0!85sk;`o(d$$Lr}i>PgT+G!(mZ&K<vM{?jkY?YQdc?6f|jVwJK
z)p1D?D0_bSu`e9L{R#~inwd;U#;ZGuj|mgsXfZkgjkYR0Tas<zKSU9&AF!B~##h~?
zXt1+q%>5;z>pHjW*4m2q6`+$JLePV%y7nEA3{HKbsC7zQ5^adz(Tr$wP{*4&V3Vw?
zvk7gh?*Zze-NW?PF@r@g^^+F!-PGTeatRr;x0`ous_~Er&{?jf_wDN^_r0)_AwIF|
zxF6WS5@C+ECw|$65r__iuXGifeG1<UC-_U=;hhqe!g<A+KQYR+a}85I0oK|LE-Uto
z%AIGyK3onZ?tPC<W(p8_7IBao#GvN}2WYF4P;dcjPGs7)oSS+Xe7lP)%mTpo`~_`Z
zg2mIJc!3U!I1fYmG4wr0%1c(ORPibIFu1N6agUX2Aiy_77vt`n@~g%cj}@uZuusf1
zCIMbF5Je*BBW|!bqR&m%2+t0s*_%XigpSPKZXBD`GA->R<vJp_)_>lO#7SV#c2qx#
z*4!cq_5DniLQTO9++`@@!DqgXMWqA;Y(FnC^1Dc4sC(@&G|!^#RuN&gJTZ=AW=ZB4
zK6I$^5bA#66={F$;?@3sOkFwv1`OU3>Ye1S6vs~Q%*&!!1%EB7d3Myr(Yv}}E&v7o
z<GTiBjEjMOYA(Y?{3O&%Fg4&j{MyH)ML((91sDc1kxPOPQqI(fSzMsG899nu?L*X+
zT2Vs}W-S8sU8<V44oNb2cFlzD8Jc(ob+P1zD2K8qW{pckusrwh!;}Ni-)wf-XhxYY
zrC^C^hP#XkY<!{w_l2X#zd#*uiUn6;tf%7kR^$Gid}LtDIVJ_)GDO3ld&=b7s#x&Y
zjw$u7WoF!DEoR1lA?L7p$^U_o^Xm&OK#*KFbc9hDZdeW$a-sqf*9?bs=Mn<9r3pTe
zq<#;Kk%lQ~82L$WRAmcv#fD_Xp8P}dwGc}|H`iEX$&0@uVC%=ypG-E_9Etf=fnBoZ
zUtGp*MZ&z$Ovn&P*T>(3)b+)Zz~fbuy@%d2+xtq6cO`MG$xl4A&ga0~pxjuPf+oPw
zKrLO%7~&YpQS=n07qFc9KRm?J*3*F*u*AUvLd)oee9?Y-&G?zbc0LnIAWy~bVk4CD
z^xuiIo$%05Bn<(NX{KocK9s*zpr4tF2s0Jlo|oZtUVf8<Q24u`xITGcR_o250C|*#
z^C<fXr{$~P#-5$Ab6e#Z-dp57rv;tund(FBwM8~ukJNYr7#g0H&Z(TU?JF-LAQ0gW
zTArm9r8vb#Q3-YobCa%J0cBKCgNl#g-EM&??F8BEhy+%SU?`E?;uV;ZaU%chZxrQ#
z>_OuwCtz9bEz*Dcr4Q<NrT7r$fE$V?#-(S)7=&>>b^1=u&^xa5G^hDPlE8I%Thc-u
zar}I0tcyh)#%J|i`=WDc&<ekBd3f%$`*UeN*Ml)|5`FFrA71cVd=Hv?`=NqyBYXP0
z5`xnp(sY7;;2kug&0zYH(8F*w4`qy5U--yoSH}ysC!4q9eQsFaF5j>nTq82WKR$3j
zs2ELG7SUrrtt2b>MUT0Hb<`QM1cyM2ixt};Aq>jGz1TxzHq~!GwTqR`*>QR_w!<mC
z!2@l_76WI41ol{nsk22PiJ+E+laGZwg-?@ekYTA-K#QFyaRLFZ<H|VrGqwNr_ksaY
zH@IlsF5A;>??ncnbD<dL%5CM8J+^7mwU)xIIJ@K-4-<^WjN?dynUI4`?ppp^(4v%*
zuqY{U(z-!GHMf+EpX|B*?UmQxb%+y$Yowlb#(lVJhEGIH&DlgXkdtvZ%RaMROn<+)
zmp=pu0`nW{n2&nf%st%k#u1iOsaP8f&JC{lQ{STTw$<c_UZ_bpF@Tf5=l?-iP!WI$
zEXg?|&F-b(vf2|Y{7=8_f<@Y(;?v4pUxCVO+Zr(1zID8orU0U<v|^=Egqg#SwWpq`
ziFF!vX3#bKgOp2?05HAPHkGlUBxrHL^>waVc~|mId0rCbX!ql{p1iLHPH{n5bwcj;
zu|#_Y7ZpVyVrH?0!{KF8WzlLl9#ZYpKqV*#P(pP99e?`jn~o;k%1pubChJ3EUVW&V
zM>B@ywk_H7sT>>hVbWEo`-A4Tojm?2GZo`e=cHSF?q?sg)uzls+EnP_MC5hXc%@cl
zd3=4)VVDtLLp2F^@4>s7xQRUN%lzfsvsaK)d(-fUw%|AE2Ze^e^@Bb}m#aQLE5A4G
z{=NUKL8~@q$0!rYMJ}`sI7~z`DutDz1d+@i5#ZEg-w2;}IqDBg&i#D@S-GDwNyMRD
zsJT6YIBJmhG|cA&VPYSMNrR1gyX%QxVd({Ko!(n7#qDP`v@h6NH*yt7v`C{w*PdZH
zjjS0(qKStU(f|AUp2-<0r2200*O+*KpWJ>1?=8J_$_Amk$198bR*IxrA*ue$gEd@&
zi%~Mr67zm#CbZzgFB}25eJiSkicNmJ%TdIT!>}gaySTKKNa%F%!~xA&;xkXQinIq!
zmvyllMhpoRD$bf}sEjdtX0lWwJAUuDo1{JYA{SN!&6x5Ly8O~49COpd?I-h~Mjd*n
z$ipjsH^zDJp&sj`Nq=S!wsC%iCNrAE{vN)>3DkGo{HG{7^UbM_Uk+=iekpfl7Qg|a
zm77h9Q>K-BKc(avFs=Io%sEz8FSgv%{}I0{az&B0rl1|5m))wy83VHvNMZ|(-@fVB
z*hJoD4?I<-9oGsbxwV3kqn~GQQ40LOXffjS@-H&-4U<i;Xur|hVdqPtWKg(+a5KR>
z)h@exR^Vr!2*Rpl7jOhFlw1O^<MN38CJ~5>_xS^fihH@bYYtUcT!bS=FsMb}J1t_|
z|ArZCRVnjr-fN-?@DdqbaO2#pY=+R(#Wjm<D-7OV9h9V5p9XUzGE6sJ>mSyGH84zS
zQ|Id;?Y$+)zK+7`OmR0Fy4}M3Cp9;;sCi<dBh6M)a~ehGFmpLY8yX_aO&?b%$fXNt
za=nRtISI?RDf}NLSX^%vHP37lDs~gL1F=pZrA;~{C2pTvB)1-7x8lmo=i0{k|C(tU
zb;a5Bk~(YEkPUrPMT>*^EXqQ?Yq^}*k{5Kk@Xo<2ptFM<I0@Fq1e(k!>&1FltV<eJ
zZksXpS#*Q3H|P~q4KnjwKBNWlAX?kH6B@=`*ekvmvIKAl{>58QnkbQ=Z~}_6l?zjE
zIFx!FFye7U?2l_@3YzNQpK!#OUpW|XbXSrBj!YE!;m<J_p{o&G6u{tGNF#X^f0LFV
zj;<5H!TeQklE|XdU|#a}^v24Ik*r5F^bwf2K8--BuzEV}B{rApN#{-$_-fZ^;O0dl
zLlyy&d@o3iaIyuA;{Fg9WeTy4oFRMZ`z+APzF9Sa6GMmj#J|q69F(s!AIK7qEi7|E
z(PXkV+hDuD=F(2ShZt{J!1h;&+FI4{h)3nsE!T2Z59nx%X#|KKX(Or6Flw+y{TC}H
z)Z?HU)~Qy0YZ1&*(l-KhsVebwL#$RQE`!f!+vt^ADih<VFzO1bWo`dPo%?7|qDf$#
zGHvs}9-%^-m5un4tpOe{<%h%)4*gs3iW95~gIj5S_w>@n26=1}%%}ipsmyGd%J<@n
zbMjO9LYT=w2){`bOAfLv(W@Hmf`*yLfBKVe@K<Slw;Qr$k%U6EjL$A@{Lk&=WFfZl
zH+N^cHq+TgcB({LXV7GgM)UxVWKu^hV~8n|DhNxQM@aOg0=zhy`UIPWZMABhJs&ou
zS-_>C?(H8d2b{GIa;`vJ(lBD;jClh2X^vWS>|5Q3+nAP*h6u8p5UpvD^@knk^IpA_
z7Z_sd0_=!NB~%+ybz5tNu5<J@Eq(bk&LIK%(G{1Dx{lr13gP=VsAS2EJi!${RGh}C
zueR?O7~DtTfIWR3!@>$wFf`kivx+r}|1oyg)p>XOR!D;UJ6mLQ6I$j%U-Ku;w_7pV
zT{?~%DHLmfn@Q%nN?&MrG8#EsI*9tc=USXp%TmfsF9p`v@%hOKDS9h{Um8&Z`vy6f
zX582Z_tw#T{%9~Cic>e;Oxhlcok5AR1P^G*e}(>hxKzaTYrvXK5E{SEcb4$73oSe6
z{f1@#sIZ9Jj`@~T$gi9NYF;?@hpv!INjiY!m1qx17I~O%mNyCd7WaG*ZVh^w{A>R8
zMQcK5Z&pm|-~Z~quk0+|&U&??Q&<|_=ddANxv2T!_eouV&WSLGDjGj`OEJ>MIPk9Q
zVxNQ5;;xh+KTJz=BqALWbp+9NKXpAyr;$av*g~k}#M$D*jJTCq0k}qJ+&LxH!M63M
zXg2X%dD{{LHX>07)UUGHWEU?c+8tuOE_c1s&Ox1L^csmUCt-TkI}Ql2R*0EKkQ(#B
z{l;I8obv&7d^kz&nR4Nu%ffISvBno<?*sK5u6r`u$#4deH*7vEQTrFtu?<d8S9*Fq
z^9<FrJ8r1_y-EN}u#xbQ(AUk$12A9+OzFfnh{tKH#fwyv3q|8UZ*(yhtRwU=sFB!{
z5gA|@*#+C7d-{PjX6KoO21TSAo5-8py5><w4tR-KGIPZF?`e9MgA>fj{7f*fX35Fq
zeNI^<v`@k?lQ@r5_!iq2yat^+n}MgwAz$xg+Dq9EPmQ3?3sWfjsEYY~dX()aAGuy@
z%V&t~cS714*#5dpIw|LXU`}05LHkwRu$I)5qB#kVoTUICD^5V@k*nVZ<U}l$O~mg)
za5du#(cYRbeuviKtnY8Y@A|w4dUki{(($JB{pLyw5s0G@0RztJER<D4$yR;WN_BYa
zJD7y}G&y)JI;W{4?jJh4Q>jV^4H>w&6nue8>I?Wa;Yz2EqWQ6>uR8e`W7d5N*Nyf&
zILxa&^zGUR&6~~$_2q&h01F#hOj=bncJMS-kavbuEZcZkGW6duO7DIvNtKv~%E&zd
z|H<dz*m~ea&(xZ=WPqPgjcV7HiLgP)-}g<4tF{Hq;CSU_Fsn110*a=SG)*ymc~_R*
zg$i*st&%!PGQ7HbW=gS%ves`VptZX51<7ct()VSKhM%XsGlj7Xvqm=`hvmG2Xxp)J
ziJyH2bneGx)@7Ck`#E3#-&hf1SX=f%@!G^a@037&RSwduF?s}8tXN@SygPLM)-DVS
zVSN0jriGG`mCy{9{2AI($T3bv1vVsy4nhGCVAaZQZP69X%G>xi>=ue_qMK7+ap_i(
z9VG9#;>uZvL{FcHvRn%NTPXix(&nzPF^2{NhauwlPI_56pD7?NLFXd}i#EdX5V#1C
z$>M6MU{!OYf^K^~$f}YrS57oEti7(7vSk7st()o;E^36zb}M#z{sgG5HFL3UnPgSc
z=X|KuW;U?^E$=}mqyp`&C%41<;Cx)k_YZ<TRpMwi;tqB*WRHt`!p_w_bt9XKCoNOX
z&*bFV^Ju*;SG8Hg{|k0SEM#xyxpdx9S>F1>wX_SRu$EBr=ApixY`6b?fEJ`I!tI`Z
zXy>{Xq5Up?6>!W4bqYqDSZj0MV%b5~x&`9NS1@tnzzS1xXg#eLsoBe)4{C0@VQM%y
z(pi)dd7@KhzH=@_hbbMZ$=d*j+ia_MNtle$i`>r?1}sL5akBgw8FONA$(ku;*J<HT
zaj!0sqk^P04v@hZJcWCQZ}W(vNgvr)$DU?A^lr-06{TVk>(^XV?Vg4MzbZE<V3FHS
z4MKvwQ}zcJeZUpPwYg;WAk<b~M(tpk^ly5{5$4)lVbur2xEUCrA3S5w%<`jQjXSKo
znyS3Cw2t4FkIeOZj!bcfU=$Afmk{G<8V++H9(%4T?H8xx*H~!~^1bGuYJzXUE4|kg
zC)$-R6abWTjS`7Ta>kqGIrFCWYqnSpC}Y3GdwA|iT;+@U-c}0(br3-9M3zcFzo*Z)
zEL{K0pP<i`x#VMXf~`r<vY5e-eRfLk)iO7#9F%a7s<Sv4-{j3e!1UZR%b?)npag*4
zeWW;ud*WAC+zuJ94N@dr-^3`}k!$sKB}nPDx}tYn;}3f#DyE`hI-GBdH_p8oFi?$`
zdBA_^iwx2$MR0w1lMyt117W5us~drGMESWLfqbKqr`1RT;dcK0b|a(|?}2ytM28!y
zX6~y+w)p9t&o1{xYMCd1npKlE?g;l+Q>Lr(IzFzK+%j7I56LW$FL$6UKEIXFy6WCa
z89qF_CHbbG3wd+shgdBFj-#|$Xp^KNvYw^=wH%YsPP3&^9AI{yiXUW~E4@ubbilcw
z`UFI?0B91WA{?t@iL-3&^Pq~&@naNem<&SAXzM23wcfwiei#(w?Fe|5mNwxKUWJ*+
zTG3b%N_NRIb#|2++Qa~A)HFK`8ZO=axlZ==-*xm@QeTrT&R5-4YlTWKX{Oh+HVyY<
zey5&;<5L`7viHfWw{b8($c1JXL0auJFf~U#v{wDXvlbacx!A%W9L?Px(bac<!u@Q;
z97xrcdpVP-tLEXa;ey<<I%uUDCme<l>}xuF2ek-{0vO3bfZi^m>6uatDyAj4Y$_kz
z1g1U=6Eix4ftiP){($LE)25hqOu28$40cPFL$an<JExc>ci*qHAWu!=T_^w2iuA*s
z=jG3;14Lb#BvuHr(A09cB6H3v`d`akG6>2T`)3?^?iZ+`<It_Cp`sO@-6~sjmD>N>
ziB^wckPtNxNfI`8JftyOyLf0N{CocFWZT<1;gC{sJ2+52j-}FK%O}y*hyb=NLNz~d
zSC*}GUVF9C`v;=g$a<^8XU_iPIeTC>>b^yE7LLtuWl6bE9dnmFA`Ax*-(s*ryi!Le
zcZ|^F$<g<KIFbhr-Gr9m65!_VR$uy`l-{SJD%a^_xC~@H1QkfUgIb1VHDb5iy&e(-
zT02>SQd4yQO0MD9sX)c!G$IJ4407|*?mF7K$WWBj^H_27?Nd>MGobPxroEPAQt3g}
zT}rYa1Iovht?7n@AU8lTrrZ=hqg+|>v%|5R1!ew4s+}fWw$qFR+zw9ySJ_tLsY`#z
z!1pQN_o4PQX|hf5L#m@lS=<YcsxCWnOd}0lsCz>LWT)Wx8(i~Il(CW6I0<Yzv<iUI
zHweVsjqcJE;QLT0J7YcKMzdGH+MS^rCxQZKb03mvKp|lb<{j%n$QI<F1D~?Yiu5(r
z^x;3D#Zzh+;6-^#X|%iV`dMUp3&7%d_T?QeGKEKEd^t``e=GHVS-NYIS!hn-wz#C?
z0KGL#6r*Z0HfNMPqQ86K`J!hE8CLavEi;qc7-ADNB#-9ExFF$Yu9(tc{Zr33(|;%S
zhl*#T3V3upH>LObMLnyr2#|_uhb1@o><eAm#KOMXD%xJ<bgDcVc*IMVJ5#B~p-i7#
z-)&k%xSa&p?>r4@s93;vFGPq}Q<(rhp}FK-eS|jwD0oXB&Bu%~*tWOL#(U~kUo<%|
zOsYO9bk{*Mxt%n{->g=MPiK;fnvQfHu}s6Ff=+TxGKz)#X!w{No#vnyo-9-f$LJD?
zW5ZBKXJLVc;9FOq&??LuJ;5aDsXgRTT&E}Ai?m|`Rli9CNcX35!$K|!LVH=Vs(bBn
z$Up^(2U6vxT`|p*pi1cwR}tHJf?aPd0oW|GmA+{XieD5i$WG1GmH6MCLl%nnP)Ap9
zPpvF0fznM)ZIL1_czVU@r}DO^b+8#u(@rb~oP*%s=h{2)eGz?_rQM_}5V9-)42%4k
zKPOZM`=ZAqO`Fky2_7caU}0tds{nym<z@u9Go4WN4iPEXn%+&?qck%aqM6`Zpx5S%
z_Q*B~<j%H`Pu|`h6EcO}9<437`y0Bjwr3O@c!i}>gO-HlJX>q-11lshreUcVifk{^
zK`n;V>W$EB7`W9sJ`zK~v)bf=pH~mgU^5cTi7Y7J*T_-91m+NY^>^Mp4Rf37hyiOK
zmO}kA|KC+4`y$rvR|g6UHyZS)gqf`Hk!pUF{Yh6FRCHBKy$IJyaSXfJS29d49<yJ6
zQ2I~i$%|V_XMZtkA5^()+rr5x$zKYDYwwdqBvTo8QbQG5a*?fyB0@~vCOH`+)N$Y8
z$?Uze#)Tsvc&vO*T-9Op<xf)Qfu?9qoPRd2_*|}wIxvbql8pBjOv%}UBr;!L>%>2|
zWxDH}AE=`sXpHIlpWvXtFfWT#@7wx7Y@>K#7RBDvN=jPm3Wq!sd0a|Y0wt^Sb&{`)
zd)y6Zbpyg#4@56sqwzE*><8<M9w0k}n%4a6h35PFSI%x{ebK3`c8Xv>boRGbQ>pkl
z;c&;6FHvc3;GlzyEw3(9iY_nbYo&EQ|KdzI_scp9j>YHkKb#3rmK-Yc*INEdRTU(=
zS3tr^L*RW*Tg1<%PYn*L@9Q1MMFV@EJ}@^(u288p<fh_Z`82T3CQj48>#GqjBKrUB
z)7kXIdddeyOGOe<WRvqB_|jhM+|D1e+D4kKz&pw6`E+-_dI}XU+@rJV2^^MjM!=!R
z#5l$&a|A!a@kc)K<C5`o#rn2M&doMe%bDlx5QHF59L;_=2d7mQP-C`G!1_}x$Hqc{
z@V!?8)>=fdh!W;v{?rf0oy;;hx*ilvdm`Y5_FE00R{3X#U?R4Ik9@idYpUE~{8d;N
zcsUR7hJ7OMp>5)!Ut-*G8S9>qJ71fq43GvjR>qDA7nT?tEz}%zkRYvI(zJuV#_x3L
z%u_&)>V8q<^@3(@oUV-hbh^aRc0)=CK<jK&neE;o572=22E`u~93p8lL_~NC3thM~
z!B2}lT1^-KV_SWi05w3$zs-toAqc*l&~U8h>#@v4{4)B3xG5B`(<#>gYCmkl-?XBV
z*U&U_qv0g=6f!oK=Hi(e(8+=~?1XBDs8!cA6(~-tPsMJ}2&GdMb5qF9p;eJ|RG!LH
zYIKpQ71f986Ccr$BqhOvm_Gm}yilWIy0{DdXzGu?oJbcV3y)sS3p;VNnw<k|f?1&`
z+QlhU*JM}jhs=jA*IcQL8_T^2UM}A3h0jdQdyML_NwGBJ#!p}i6h>=<3&sGQ>Tl+o
z=#0&z6G#T%_z@FJ8V6XwoyQFaA%gkMo)58asW9MP6P8@JmEoWl-10pX5N1|4Kc5oD
zn(|`PEs-wdER=7aMx*&h<5M{1RwK(l3CW-K<0ZN#8@pHq2Pih~dyAHW$AVDH-iEi!
zVTSZF>uKtzf$%YUzmP7j-Z}~Syx>fqY@Lgknb$_o#8M=szo!E@YC-QMFVN;vr<#}~
z--8IG(Vedc#${ZYN+27chc$A*aPG|-ermAaD^&tOLu8~(V{+j(I4jx``If58QXs#%
zK(~ck`G9%}{F~cDQ-r-74uNBJ`zT^M*;clufWK)!K)$*i7N04)5yWs}&N}TL1^`cw
zqkp5a>&C)qQTnsI)qpA#Cr#sUTc~&&uvp#)*DGY8{D4f;-q&QDvfYu0|HsLXAlvj1
zRWwOw-n~m&<M>I$_55Ck4V>3vI4L_^S+cqZ9H;~jF`#j1d4tuvfWEx@b#!cmV%zr#
zoY~{YRx)j4g9ov)?X23RZT|LwcA%!1#$dW4MgtKgMQEe|u~R}(Yz6`G{R*<&=`l2D
z0JY?r@h46xi78^g!|cfdYRmX~MPX%*O+8Y;aQN!HJ3Bt?Cf<L^-KtMy%*#++y|A|q
z!BBQdlQYnXd-#Or&GyC4y6LvAzuZ7(Zl3hR3?&Nwi&G$}XbsL$sjcp#7jeHm^s=LH
zNS~}abC7G|@66FjHWew{+&(uW`3C_ZB1BWg(|#2$U+yWh`yJpz^oYxvKGR`3@t!6$
z*fM!3z1SDX4MkQEoJfWTmLrXY9?~}4=bqwi-E(1tHfb5bOV!RH<x$T&*3nn{R-C#C
zkPku7b@!HEz66jbQDK-Xo|l65isI;P)*iSYfs`vH%1>!VuCToNwY+?@4&pM=ZYQ9m
z0|mGV&43moRKc{M`oL@e+;{HwWz_|J{>gaxq!bfn!t`y$BT}>|;nth-F%o?19}k=^
znS}W3)_O=MgcZAUyxxsyWZQ%Y)&RMp;QrsKl{zAhDlptI^j2sfmzpfV%)STj>K(@`
z|4&TuiPX`P&lf=_KGRA3z}`yL;P>!_91O{dFt)oj%01pVnDQs&36IU#-PrC^NASWq
znL|+a@tuxAmtX$}beH(h6DyLGWE<%uF+0OTJ=T~Bf7fL+?>ZF)Y5tEUc%S4}zw)-;
zRrl_*bz3_$f&=r`b#=N|uA!UPIsWh$Z6I!XQM6e2<d#avNM=XnQfm2D%qhuqo@AL#
zGCfvx6GNF_eF;5>>3D*%rtyloR9;m;7fqUp9K_yMF4uD-x@SVJufx_6=ge#hpxzEX
zH8GDISH!a!MQL|D$ANv3QKSP#++YE|<%-6UOmYB9Hn?MzxX`dup$7}oh(zeNQs}*v
z$TeCRrf!f1Aw@6*l5^jyQ_^+-N909Z)b!v`qg$d)lhLw*m~ia{zS852xB?F~WD5Lr
z@a<UR@BK#Dhs>hCIkj4nabtXW#IMUrKWK6HPB7hetjR;I<Jw5<AgoMxZ3D)2#ynvK
z+D4d7J0^X>i#A3;J>dex^hBApLi>uYD#XKCH{E8zjgkkAL+2bGyqA6JDUzJ!g?5f5
z{wY&8t3=$GNpB=(bkJ<}9JB9~Z(NvUN4hHv4)RyGd)@<M0+wM!tl+z8IVQdR8JGo5
z-#nF$+fN_aQ)6MI37gl|0z}_&{j8$&s2Cd<v?fFGUL<C%jj0MpEgdz-l9|f9HMbk~
zR4dUg6UWOVws_M;K#mMnLhBxXOa9G_e%=%ny0tkGu2$suI3?R{j50Z~kP|}VmKAXr
zLdJ7aRTdu`#>n6gCW~qVN+{^i!rTTOgobz7@0aBJ7Xz5$;$TUjmK4K4jI<-G;>xSh
z+mdjqD6gMT*i&h<=8vkH=`l$=?BXfs-jUIWG03j-KSkeIPTZ_OV5dt?@CRk5a@qS`
z0Y8wm4`Jc0Ab0k3*5BNw(S^U9>^3UZVbR~gF?`RY!z;Iy7@9ic?&%89^4~58hNN%s
z3JN4Fx|OZeNC4{X#$QF^kvycwNZ&ds<Yh6(nOg7JB)onGx5xlquI!FSQoa2VT023e
zmBOz)>)l~xz`gt60z5Q&4!`lK?!uA?_rTk<JUT{*f}y}>9uO~aiu%gZ8EjrFc1-6!
z2wCy5Br@I=DVO7jtdhEQO7;tvdpY&3Y_GmoYa?PLH?ts)-UG{K!mZ<6=HIvj9i1L{
z1hg6zI-3h*wz`#qIfh{xC3o+vNF$HeFm%RqJ`+E9`g@kvk?~3}{0Cg%F9gPJ(XKF?
z1TZRfR4&$7^>QXQ)wcz=Wp9m$ghUhYw*7?Lmy4A=(VOLCb%@P=i3`U=ULNoLH-imJ
zX>PT1bBnTmnNyj08?wBO(@{tOlUoMVI9Vy$lfC+-47nQm;uI#Q*okk*g<!i!D|v2b
zaF!iRjk8`1;98#|GN9$F;YO4TuzZSeL{_$<ME<!}$E_ML%&H!(NQHEmbDZkgd40&S
zTmJut5;$nbxt3%dgAK}TLmciPl5%tx)1ai4w78#wRja~q!PDm?u;G8*Vw=@2p4RnU
zX<?>JVnHW(SLF6^9l_l2u_7AORlp2i<-3l3Lja-NNE%<86}t0^l`@yUhZW9+ykJA;
zHhnj-Cdu4}Ag;tii3yTP^C*tp-vh^AL|+ycs&Z3LYt#d=H>oqg!jlTy6(JS&#bymm
z@={Dm&_vCP{f1bZ9rs(J_MYVst|8g~%n@qgg#y~C=eA0wDzV+6?3cYn*e8Iq!6m2B
zir3lWAY18#eeRr3p1^!cNamX_{qzp<1Ac*la2_*c_e|q*Rky1Kq^;*;|AxDJ{C&E8
zc_c%i_R+j}F=8OtB(cU1pSYH~1%7coehmjG$sk24N>+ynK_%!Kw-Epk-o-Dr7|!$U
zB5%{b54Hmc7@c=vhxrdu8}hA$s%xuiNft65TSiINLNlDjznwI~@2%>+o}>&^MF4&O
zAJwqzH~gmnsuqc6qapNa-L;Uhyh%vFdA83ywX-Dp+Do2gvO0^bIn(t0ueUCC2~kNl
zS9QzEd9syy&HF@vs<}j=0({&Y`U6qzlXi#tRxj&lOTCqMzONVag{_A1kLMMK-{Q`M
zkzlX<u2X;^?MJ3qOPz#GNJ6u@lgD}qrar)^`VfEla$_bCSRj~P1=-emS0W*68JpcH
z<#Zb*xJnQEv!s@a{(@5U-z~dSWy=fqxfPAu`aoWG62n}H3wVSzlWOi4U|K~dM~!B_
zY1Rn2TrntZ?r3pkRpG6t(GcgDzU4LZ|7)kfTMrkFtd*+N{mT(FIvRbWeO|QIOm$~W
z{zqJznV;BQ6o#bOz|MAQS@x{C*@kR{f;7a>KLW-bP4!@-(B`=x>en%y*v7SmR;*Nq
zoTgO~Aevaz-I17CUQA^U4N*_dM+=L<BZ4Oc?$gqg4HE9M$067mbPg2avB_PCMC|^~
z<Ww$XYl7^5{kxFXzyD*_CaY1B{vD@Q7Erhy34g{XrF%Oou()2Nq&PyG8V|)t0_3)W
zAO-yokapmbGOPI~Lj`9=h5!}``SmGu1Y`V}29rTRVgJWZa;K%XrhPL?@i{1~sJ;hL
zUL_ph+hTlgd-uIIY^khwdb;gEnFj;r-@UG{olq5ws%qunqN&qa5)O<QE26tvKaG+q
z#CZo>@l;2xfeNF5{V?9%&5P9THW<|`SIdu|Cvcjr=nm6}%=H5rq1qC`cec%t1pn3o
zO~G8Ke-s*jcMd?YgKD>S!PI$Ce0l(;Q^2tC4$CtHtxwk3&37widqZS2jgedciT$2p
z(63~iug+&SJOU_g<A+t(Fp`F@sZjUxxZp+oElLOKbfi14fH=dOB0XSHSJK4QP}k9q
zMD*|sdN_;_+(JW!$ITP*AWBT)R&@f&N1RO9O><-7Sd4aP=v{;GWRfHqNB&TzvMglz
z0D%0I$1AXb7~;o5{~g2gpk$?D=*fKY&i$eFd#sth^SMDzkg-d2^qB5<+#P7GO>4%u
zaRxNW8RXYd4~X(OTZqH?<d&Y;ODjbt6Pg#XHwy7DrWjLx0S)x~LhpJOv9oTwNhD7Q
zc`X(5vBlU7<nj0*Ja~dSm)WpY;8rZ0g2upi3Z9#<-{wCW6ASyVT)lw^0$e=-x8$t4
zk;;4xV~+IWNKx^E`r0Gg3?JH=IG6=Qx0iQyd~z%Zr{wCdLM%~J<Wi8v7!4amw}XmN
zsRj!+<U6x2&$Z$(=g|*gP_6TZu0pIfq&}?Ij~U(6DBf#-z93-+)r>rSv<OqMyPHPz
z^Bf-7n!<L?`35(wn-sPwLmhL3gh28^9wZXd9F<BHOjcHl8|mf5ENL};PEdoaqzi-e
z?poI`UEqsE3E5$;M{4||Ik-j4twd-%tcCmLC9>kRH8IFJg<73y3Yy#CZ6*7g@}{S%
zqC|SCOgjqy*%}WiACKTbCks^KK7XN6;O*broaOWp!m5x6U=%16J{#iS4IaE2)^)g3
z8=Uin&A{K|G8A+6s4TAJ6{;N$CeafCGk5uTi*>cQe>5^vJFj}>+RLawuHxHs{!<ES
zrFtqFr}4gQIDhJ1l0&X2y!Sf*vQ7s2yLyN6?N9gzLMR>s`7Svvke)fjzPel|Hkf&w
z;`3TIsI;F1WW!^vHW-9rIg)tb=l69=v<UM%90!I27+vh8p~#m?&5AgLU8(pIx=_AX
z+$>SkLR?q<-c0q8AbBKMx4Ep7+MByZl{e8|a=YV?wD*qer`@CS5}8=N%ZeICZB$9I
zUVj)`VgWd#(C0}zx?XHu3m@bLru7*VL}9s@GV<7Ea@RaPI!y-X)m*7~gsFxDy}kQl
zeOUQ&i#*QVnf^tWU$zlG6WH-<uZggpgpi;DB5~UfA5p&Fg01t%1g{q0Qsh0~^>!bY
zb*+QjW<<ha;KBCBFRmc@Z|K3*vQxV!Bm)N+)xRbD%dm$Pw^B2gsCf!sv1-TLdkqx8
z9Nxm|$z}L|iP4zJI3Z@Xsy-|5Z|mSk>H9;Nd1;TRENi--h3#@UUvz6(#`<@PgLEr!
zoQHV<P<FhrP{ITs>F`bOeG921WrBl8l)AZfHU$<wp}_<^yVO;Qp7n%36yUyjm^#et
z4m|H2ILAz3`<^8AyfV$YIat1M;WyClsC~IHaO~?=B1$U<Eq{<!FBZiK9-(HWWY!QU
z_PbCJZw4CHEhOUAp!sMPHDCml38{tLX(g4GUD|CW0~9Zs0>?LuK6TZ|f2YCLq|9Gf
z{PTPrjurv4dCCH-FOL<Vy~)F;b496RRjwsa!brXSSHX~m#EedcrFtzP(@<{Oa+Ki*
zf{2(0quTjQrX+Ao`?q4Ay68c+saDi*gjLwJRT@1-Z3`8UE1pfEGr*y~>6aeo?5s_2
zd(vz!KHjgJ@=Rf~s_okjottgf=ZH^^PgMt-wR^{tK3O9itklrk=_zhsc0V}Szro*4
zr%E!v=sz$#&Jl&2w$FV!v;0GInVReOkeR)GfEiEVE;A~4g%UcS_h9^Hdse?)(=Tat
zF*=dCOnT#Z=aCCUMlNB`-{7i35tg;2Rc)Y0&se~dPkwltL$PSGT^#C(N?$r1aT6~~
zjI>)$aEEwwn;LMCV?X&cI=>JHeP~k^>|NsMDQ@!F7C;pKs4q9cJN0;yZdgm9=FUjC
z09*UY9<ww=KBGfEJzz|C8%+68OkM7V!TlQUYtmunxGWFY{bv6l<+Bz>sphG)W@)l1
zX|nzMzfzGykm(JFdDDfsxk+)&BDm(AEES(=1ie|QN%mY)rUA;*a|gwtv<E2awm}In
zzAF|C-%<}>OxCWbH8IdLG!uI@X_DXvf{j9*q;}QR!bgYkuy?3wnIMiUJ%2`9c;oR(
zEy^--PPN5=TurMvjW7->CLMs|p94LDLzfX)ew3LD$mkY?(u2~zO{e>DdHT*{Lqt=-
z7s3pJ*8Vt|KIC|1eOQf4BC7hZl6AP0Q$X@eJUJD{AVJ4Q5!QbuTGs!A9Ws8hj4P@f
zb&|FY--UW^6YpHAE>;_S`vM^7PiwzGC;nL<B3Cq?$4?Y@7LIA#63>jQW$*BKYPE)V
z)o$%-3dCZ)f~v~v7PxjS6#PZm`WlVNd6U|Gig{$(c4y@pTRK_?(BNY-!mCma?E+Mi
zAJ7CVwMOgZ!M4%{^v3VO)y0k_8z~IHQJCbJhEBfu^3!B*dqI|j1F@*l;k&|k4Mb1$
z=FAAWoxYS!rIJhdj`-PG<=Grk-~a5YWq!b2#w7ak8`E7YzP-ncB#d1q?e2+vxBfe|
zrKOQ7F@Jed@xq@LTk8e;8dX}b+P%XBk|c$bh&M8}Lk_nmXwD-zC?XTmE^!ZZY#SI|
zl4a+9J)Trv8Yj5u(D-*lE>|+Jhmi1TF};6Wi$igxuHG*^x2$<$6A=U8KSEfXN7~h-
zGw|W8`1ciSf|1dZP}jA1P5MR*WvYLCBKAfl?suVRX=hB*v&G2du6T4H4Xb?I+6-Fh
zzaZ-+I_2k2(;MDEv80ac*NAv~ajg~Fc_WiA=?cBmSijP;Q7_%0(ZsodVfb%I$0c+V
z5}~uBg#Tq$|6;uzqowGrTwNmv?=~T}-<rKyVuwJA)5?N9;7ljUwmV7q1(0>MoFZrY
zJp=yS$pDR_EM9wTI(lKL3|w+jourN&zIxqv;MEe_yv!AcD+|2qhkzBBDBOJ#Wtzv4
zt*wL9*#J5f4)D8n!a&W^g)bn&^1Vh5DdC2PQOpo}@A}O@a&I2FH7ApC;c80vNAVd9
z1-Ay(gu>b^Jb*UjykOgd3y9h~CqR~*Gr?lZ1U38ycWV}j3}5aPNM%Yc@0f)MdX3=&
z3L(z&b6^W@qsWCYJM-C2=m#-vwdy1<%29i|3f#ASCxPY?K%Ziu%8yc5FzIKrHq@&z
zy<&&+OA%YmAo#&JSG?1$r;x=aVvh|+l)<x{6X?I3HLgt`EMf+7GGq_LvkoMa{o_0v
zvYPS+)w-u|Y(G%M22#+L74hnpSj?X*O6GfBK3O;|&&CFp+KO(6<8(`Bk6y4#u#OjS
z_&Gw>%k^KeN^3gy@G42L{fs9v2lkIJxHK7BX%O8DGcr`~qY;JH1UIN7ZFU%*tnn<=
zBXc&?qII`UkL0A;Dm$PEi(-NrNQJi%9mKP74sr{=$q|LI-x$&b>tNV7*>G|13>3!P
z_Ux<*#yRq_cV1?5(!71HQe&P#m>e8Qk$LQMrC|Dt$mT-R@Ic&C0X`Do(!lU%!#X4V
zA24&7yKDicv4}K^jFU8#5*BCa*@AjO0tph_vAVrf-5e(|H{;j*P)zugFpwN#Y%NH*
z)7=1ao*@!b5c6=G=YsoH@&vWl%ph&SDr%c6>VpHV1M-nXVUY1HPy#Jn86~bF99Wq+
zxf*2KD8h=?qPuQC;6XgOCqe1HE$Z4f<e)ICYv<3CtHvMMgDR1*O_gOHzXf7!6P?cl
zV|S(9lil2A1<c(ZYUysh@l!>>a_424W65*@cC(->Uf}zu4neB){S?5}>M(vW4PHZ(
zlQYUKbyCD&AVZqkl*NQ0?wVurRUf5LJEI46UnnN?q(CT_OQ7b7UQVg3Gg;K@#L;l9
zzWyaBSG*dm?#r7?V|6<LCXf^5rnrtfB?@l!q_9kx{#KC~OyHnJ3Zf!O({(O4-j7l;
z05l+S+S~E!wu&b_E_VRp9g69wJ@{2eh?~&8B&AB$fDQAj4)I<V*JVnfR5-F1_3uH3
z55C*ja5ljvYJcm7kS5AETT-D$7*L89*08<rVBZXq|Mq`?4L~5^Y7f{%Pdmc0U<Q`u
zu+2~S+8g!zfasWpxBy~{3llfZ!@+r}3KFHA;(VCc$+L6Ma_*cA7n`B`7`i!ftD7b3
zz=Ml~N-jli!xyfO{j|j0tbex*Q{1iTW^_Y1I4y_i=c+erj*gzq5qrrM#*cqvi(218
zecU@zkuB$#xXb~uHUv4`p4t}{K?VilpnwLeZyS8^#-|C!DC#fqVse_I<d=@#LZ(?d
z7VqS;EFFHPfLnUZ0Q6A{-a{AV>Or_dMY|2}t%i@o3@1+~Sh#hL4e6%s0EPxRRWx`;
z<4$v^&nTLu^JG6Jrw{Y=)h@-(b;1?zTs2Zg(Q1MqY@mH6B&H6f*hAQ1RsZlvM1ZEW
zv5jtiy3Mnw6EVy3E4EL241=4XO<0;*tf$~Vd5;oRis;{`l~F!yXtugEOXUPbWL>#1
z@?EbLqcoo9Z7P6WNCuN(S3jFLxHZn;;DpW#`psQ-iW3fGe7Pt&!EOY&05(Fob=sbh
z;@(V8$eFgQ2j5R5yb!l^NHQ|G+Qm%o>4O#;ov{;1g*J>1arQwH)h6uj>ttpX`E64t
zCEQcZqAN)6RiJJdUe(wK=9RKh)*m51k2~r?>ftQjG+u;90}OT@@m+aw!k|}5So*|o
zayplgDl`<y8*Yoi-8H@aP*$9rcjLn0QGjNQ-GAbxnIJ+B1D?O)xrJtrY%2-)MnWyH
zJM2WpO2m^A0JxKFz7VZPwXs5UlZ2swu+I)cNyXK3weR?STG4sOyh3FJmk&dVw}R&U
zx>_kbr;pl3vqkVE@j;NU#`;OpbCSP696I;<P(J_4&q6gXk)Z@iWE~WBiO}8qhp!Yq
zJIVbnye((+tNZCEYitrS_NyxhJZY~JAOcgXyeMvD??;t@B6R#hBpM*;tB~3hda#SM
z0Tu*bPfo}95X|{9^|f$lgu}9(rV9qAq2QbPbBgZR8*pifCeSQK_^6=ZVT7TOQ_Ki}
z-zqEK?gxF_C`R{~%r6vN`~1p|W=14iI(mzzfq>=JCBUwHSJiP3Mhu>^WyuJHT%%(K
zi|)ry27D0_H!A~OmM=zUZ8zZvBbjR(zdG2O+ptI{W<Z4x$+}LR`8D>7D@(rHDoKrC
zT8Hw#2*bN80wu(K^5+beqvwAeEo9D%#HxprdK{<}JT|($%e@3er9v1ZbQ9U6v)n{(
zq3|_54gh`y`mjkQETsUegIHNHK|VsMV3KSGks3`gS#O}HG4H!b!biAVF9VE};S4>|
zV|S@Sz$i3K+^kX}gbFdA`c}Og=@v}w9w=~lxW>0KNe{zn5pf;BgDYv64)+UCkL5Dx
z)Rh>czl6c{gf5P+>c=)Ad8eDuPj1a-Mn^GS8GafU5Kt2!F$3oN8^r}niIGDmGRk4F
zvlyEiu8{6Qf-RDAb74*_lD3{K8;m|8?kB#42(EFiZ0^N^(2m?%(A#{x{8JBm8(jn~
zwXQ;p){p(Y(x{om2R1VfAl<!>hYJ!rTq<s3=ZzyhVS?F77Y)WLW2r@?#J08f2Bs87
z+e`1oF0mfKnH$M=U6G0;?Y3MTBED#G;*?#XHG4eOZ08Ny?^qn1zHl^}!T#_ZeGM{_
zV&!q=mydV!HWbHArxbp!#RBlH&{W||yaVzpEH5@l6m}q}2Aj8Io<0|be>sEphe1?x
zoGSdyh4B#b-2*y$b($CSUPrAItQ|B^K0c|l$4LI`qb`QUv7Tq>9`8UkqY5IU1~z^^
z126b7Hm9FBt<1AkEAVsMzhc(f6OdWFuIKf4MX;anGt4tQ$fr_{8=1-&@8i^{h0|)4
zjLLhHFbT|`E9F0|qGdiPwo1`~h`Ui#wxN$_U;i4m^x`FcHM=)eR3?lFkIPJA3z+g)
z9LG)=V@N?-Y_IEQ0YRyvXBh+wzonF~IjEJ&zs5#~ibKYmA@R;&VQ%pSWtG9U=4u71
zg_3(C@HD${T8!RX7!AiIIg%4-zD|Ic(gwkotW4T*+FUf@xeDc@XUJI1P#&KQztW>H
zIiCi5c;O7?P>2^l6K2t93=d$?D#;o^2BPaOQu!ABqSG{b7RQFLj(-G#>F<aWN7N3`
zf7cjxmFhrML{~sw>1W;#raT4LI*LgcNLOV3D;m54Sp9|zsnZY9Sc|B-K}~S?ov|vl
zyi6R+(v3Y`$wQ+j7=cSa2^89|q2HWN_*e|)AjutV$LP7g!!H@*I<PSmc~f3mdE&5%
z$0Qx_H31ZwSmT6iPHK-G`MB?UUWrEQC@tRBKK5@`KRQKQW<-kes8As={V6d|K&2d?
zJkO7_B*Yi+hKP^A)LpC0LTE~ZiEYQ=NMw&U?X$Rh2mlp@j>lyl^gQ$nCXdjYE&Zdk
z1~}2?<)D-JL#p0*E#RXL%B_jz>}9$Uy@*8BymHL_pwimFBL3o!O`nr^H~-BGaZ!08
zpf^B!%=n-H*8}X*eburvo&t3P#0CZ$L|=v9yl&6f95UP7g7vQj#-s6y*dR8sHM4IE
zt4!L-xv2P+dKh~Ys}2-TWuDFxP&f?cohqMOHV+OiOC34X4dS>;aXVUp$Pig|pEn{I
zC%`~TXMkhn(9#X*B9Bu1Xcw{+9?-%3(BeYAc45V?vf#CRPT;$>(h+f7odMNk%eEK7
z!4Q>a`Y$RrP4HfDmf+&AuWlwduE^-^e5M_i+>N4nKmQJ)&-?FqmgCkb-etl|(Lyv4
zjXKyf(lwOzXex#YA&;4AppS~ihkS`)v_44#y=>rTKV<`2QZ1rDdZ~oqJbVe?{JLoW
zPINKyU?L-d>yR2A14l$^fsRa<6_6i$Y@mDZojyL674KFwUmpTDDX+!04q7`m_0LkZ
zewBT18a{$iNxO{Ps%9)%=kJH!`}OGR=O2-ZV0VjEZetheI|83>|4>aVNvT#EHwm!3
z1uy5RLKc&O?2v+J-!I}k=gMeHb&f7-@fQ8N(hTsXoR+PwNdHL{=2emc8U_cgUs-Ig
z+TttBSf?D+Lcj)opYx_wIrI(SW3RV71XKx@dYe$g?Q^0<{v-C;w3C9z4e69*^>6|f
zP=dapslU5BEY#y5R~vh)0KZzx45K;`a7oZCcRKTv5t9$N^lGUAObOSV_#t2)%$bPZ
zBX|I@Y|=u5rqdKKbVj({cKnwOD7fuysCeEpNv90N*p_|SZZlAXzd*V%`!zNy&fHa7
z!=lti3ZyOwau1n1p#Z0$=6WX}JFrjLyM=<KaEsopgx$fpp87iZiGSC=3Ze1Qg=Pgl
zT_KYPc$`rWnSf6<4n5}b9&H^%)@1+l;L6*n_4L5TMKX3qtkiwE-V*0@ANL&2zMHsF
zk{o2da^vPI1rHSUEO<iVI~+txC}RB~I4w;si#T`rPVsAIX+4KOL|=FE(c%^5ds3sr
z#i@8KeeU)i5R2h{KgQa=Vu^KKLF`}KyFGiLa}up|UC54ACN&AfpDBk7<$44kIowC0
zX^FS-dug0s*!nFeD4(9xoCpf9FuZWhQ#lzzI(vLotqJ}=p30~-vnxs3A7wWM8B7lJ
zT_E*>KXNSsH4^CxEJK=VpNqkI5<H+GrkM!{_`xtVi%}DNSn|y^|2`7dhk4v3@i`%h
z3_1*Hc-~Hsg$)4zDH8~+h)TDjOhxUA@%cBAYT*QfWoB>W{3dgzw(n}DloKwgDFc?f
z%>sA?iX&~RA=t+<8ZCaj{0^thY5?M*(O@fMjE?RB2G*Hb>&uQd>bG!Vqayy!>ZnZ_
zGw9I#d}pc;gv+?;pwe?-_h)|NC!gBIQ<Bg@YX)NsSFp{SIgE`$<%txdm`^1s;S?aF
zHIw#fihU}h6$&003?Z1gv;+o*qHqnzec87qoU*OWLB%XIl~xWuh8ju{bk^Z|a1Wt)
zr@?0GMahARzaznwM%{*|z;IIQtiI%5iJp}@^w~u+W+OQ@v=ly@QMna!uMOh_)y`k)
zqmu^TDmB2V;*2-mCxrnz{k~H?LRL$zNB*OD{qP_KBC`dG(Xp~NIf)F%gS1YBME0kQ
ze2S{Ir?Z5^PdF332X`|;g~=t$9xG){fdVC6U0)+5O1$mvy)40-$?_`r7r<CL2HzyN
zK>#%bNn}Ed-u(P|G|)Vma*9?hfxbSo9~JfrwhX$9Fx=7j#7Cc(jr-YeDQN>%zppQ=
z<z?zSe?4%`k|wiW-+Pn&nh)tE>=Tzo*)N5)B$+c(SHVo)!nFxO&IE}16GCP}W49g;
z_PCXkMRL2Wd9_miz3rC+g~i2iw%8l=Xk_Go&q}{2*X6{`Q#q?nJfIRsr(c+{(&xA{
zj>A_?=xdej?F$iw%ugNBm2QcsU?MurX9DnTWK?a2hw0*U>WX>U#Ch<R*s7YdHCbO7
z@L8P04@Q9`*TcmjkUq`ik}l22FDB+vkwSfE!mpCR42mS&M5TYUy5pinN;abI_J(Uf
z*RD)%`QyG3|0}X>``NA^F6S#BxzOMvGcKn4+@ZnP-Kdb$pJhow8$5el;id{+yU1>a
zr90iHS^i1-`CZO}dse=Jic6bu=hLpahJxHqDP%SG7Uj;F?U5`&n!mu|raN}!2^J$1
z0gaVuzF#nuE*aC(^?FG-@}60@tTJy4^r@1D$dDyBO+aNBx;E6%sGQaVL@`GPS7BQ_
z1dlrkbA10xPgWW&0>(SK2$<1gOsY8AhsAYLXnlahP?&ZefcC<L{_S9COd#P4{%9Jf
z0-xR|E>Q08;JO1mtj+&&YGKOD&IV_LeSh*c4dRrN2uJ)KTeLR7?Rbux<xU-}1h|aP
ze!}o`cv{u*s@x9z`)2K_swGWc%BIViZ-1WoSYi@nmg=8}KC{U6ClF7mpgg1fS6c4q
zuxRT|fS9k8l_x|R#_-$qu>7a-+kJu#3>y@hx&0IN-YM@1JP})8-vqwa3j=%`myrYb
zn-b77c`-=4<PpZk?n8>MG?Fx7G()e1$_M4Y;2iH*3usO+@&w8@LS5sduq$O|G*P&t
z|27LfM<Su0of9?YGB1E-Z(os25to!Fzcv0ggR}xH%c{}Fo-c2;_z5MMKnMzZc(+Zp
z-V$&wDphr2ywG5|{xsnCzO&cx(5Aji-##*1CBld;2K<<qz&r8%o^dI|DQ|7`yQa1s
z++n@(EYTTLE6|#|RxDZTVvZ46={9~LNlRL=kL8#XMRMlE-)mo<Ak&?Jg3><i7N7Rd
zNB7upIHJE?@i3L5V~nT#hT4mD1-mxg+i-#_a+;T3O-VhA;Lk;&EQ~XmTv3>2lc?hX
zNi&n1C5rJ;f=qR_3J27nG{OtDuQLOI2`1YviEd23+pKAc_3oxm_+rZHRoSX>2P%Pu
zwI?adr?>>1(qIBcp{kRJKFl==v<-~>rfoC7Gf{)H@WI#*KCAfwXtxYEKXg&>hH0HF
zLk`r&GjLmjl@dq;a?+zc5mb_!2I!d~5n<VWA7d_H!&UW5jaz*4UQNmA=Ki;zN#JW&
zGRC!UB2Z3JhoL+Wv=eMjI(U2`<;2%bJri_6LU+eKR1mpLnd6CqUng*Man-aiPrOhN
z`?&TQ_Q!v_4~hVK^b-e3ZZ{rld~>Ip+=z%<k_OBt?pEr5=uqJ)X;|vD+LrNa`a#Sz
zMYK}1aDNA7UY~jmgh`y@%`%IkH4SiKCTMU2l?+Px<CK}obU^!}yVE{SoS(ni&dq;^
zU3PKFb;JR@uHelan!lx?g#z8^Jzb|dW3e|QuVUf6h?Qftx!#;{sF1KR1B(-kOx@DZ
zU!{Q+u;YY6;QsBK<xus}%JYo0?@u=dur{<utMXq(i$oCl^~$sE!7W$nl<FCd(UAN>
zP5l2#9!1OLoT3I$m)BEIo~7nZlWzpPmeD*(?lRTroC^f^A*nc;R}d$&<4C>N@Z)Rv
z-a*N=fA^ScF;f8BWML!`Jy;0W{vr(^*$*BKyXgLTQ^>r5ZXt63i5|`#IQ83L9*Qf^
zu|<)lG@fkNIB*DYo?WwZMWy-gXr-c9n*1{E>>}eub@?x7w{^fMIqd7Pnm#)ws<hF?
z34Dm1vXmoH=RdXi!zji`co~DIQ>kGJU4Os5XG#c>bp!);^7BH*!jrzl^s#N?jw0Es
zg(w;3cN?t?<{%g_(Y-)ZI}c!(dr%uunbimtrXZowAd%ge6w~FAc1oVwMQFRWXA4(?
zg@W^6(avc9fj*V<yt!9|du#dK+^r7kxD~8`6Z8@rfqfiAVHjM<2B~c0^wx4}nya7N
z<q{F8<kJ)*!F|Hn)g}V0ZEL<Q8JVBh{-=SUaB3OO{RRPM4r@#lrV1YH%bCEJFb=?R
zYS;Aw2kUmMRM!dYv*m7-L0g#<K{r0=wRpt~8yoSdU_8)LooYaN`PLy<&$H!>W05SF
z7*xLz<IwfCsCI$`8DvlJIjuc4s}^9L?&8D^Cn8V2!#2>2&ts$`Q-NU{A5h0A4y>zr
zfWPeUv#)nPbz)bT_IT!Ae5)0Bt798g;^(s`%Mn7p+MMvE1Q=z=w9w<QSSq`T>3#dB
zc}NsX$BlB?`n^H$a7o3S7I|Iye1kBu-${(WO9u=z+(FqMNx(E5Yfs?fP+ICs_>PJE
zPKSR0C=UxpSZaSB(QoaD7-#bN&s>d0r`|iE21wGi>L;Tkn>C;1PWIE^V%b@v*yu^)
zJ#~fyq|jg3R*b!Sd?0ys`Wv9%%h2~-aIhsjk4snh38S)s_&_nbhA<_4Y;LL^)YmP4
zX`TJcI_~R09zI)OZ5n?)u9A__kWgU5$yX5i!h~v~rMZMChNlu$tr8^o&WYZus*f0w
zX#0h>SFfZc*6%b36T?IAr%16Xlk|9LO^XkV4BeoNoy03=w3bS}ldQvCRx&FhM`Zh2
z?9B!1A=EEJ8klbC29__swk4yl-)UEmr)BQ3$=BLOC|I2_4?zuB5K;#7cpqsOvA9zh
zh4puap^~iz<)~IWo5FG-DsHkPi7n+f=*>Mi=-ZzOBN8<Pk)1kom&Wh^QnzrnI!8p{
z8EPdA8w#<=U@=84+f2f7Y>zV83@eV~6fo`K)>-^`!Y5fO%q0V;3gt<8D(2Q!9ib$0
zzeJiaxY~b2z{U&O21m+c7!!{QeeUGXL`K>(Ie~XhaFj1BCyTf$@u&ko>9P#DI|kG<
z?q4zh!!9NL*F)72JU>@IZc=KaY!Rxcz>w^g?_ZJA>x)R7g<%~~W)PT$eVt1M9A_A=
zUY6D&;GT>)mv>=a#)DWtXR|ih(6Q~;&~lRM_W%aRgj+5D0o}C^^{kE#Y~@_7Hp^7e
zoLwMrjcP3yiXJUTZ&LD9^;67uI0a)wYupOt1J(TMKGyjr4wmcKX-`J7ic(2!nGU+B
zyl3*py@c=VI-9LV(61y2Th}Y_)+Ao+2z=0(DTkF?V$)X}{tn=9ywCbt<EtQbnyqQ;
zLjm{61HGKFLu956oR%Tff}JF8gXY<C&9y&#A@|^E2F`;F&Ms*f2<Pn(rOX7{*@-hX
z{yQ{?gvN{V>5$d8@HJ!1Ks$K%Ir)W2Zl9QZ(nex(WSj}0cEJ<hunFuL0kwM#=L&7M
z4qM>+8{K)b-h#UKBf}9)A{E9DMv&n-?vD#D>Z}46O(9LG>Q(@Dx+PVM22z+4`C79t
z?spW5y1PEd_fN6ml~=upBpIA0!9VkEw=A&ZiBr}f79f6$C3JK{-dzp0sVSiOteTr5
zLda)*ehQ+aw5g%uqAFEznZtZu5S2TKBm!5H16xAB);a8x7^>4c@brVP?oCWwt)%!b
zemSL0;yfyfgLU(HD)jubBv>1#Q^>N%R_n=h#e#^<XGXUguW6XGC?q_#vg9VFen=Od
zKhmX6cXBWGx?TPc#O%HsEtHrZg!=qMR#LwB&E~u42GGbFz{|*2<LwXWAE&iiHJStI
zle+}T3}!X~O(yGQ20qBwuXpzWS%(p+$pEQdS7!rSV~t<!Lz~MI#6GTW!}yT38SiOj
z!{OO6=(SAhQ>)G1Vz_u-mV=?5Ntff9Ga3!lZXCt9iRYymm4xfPJt&aQ;G0cW<a%3h
zP(FXRm8VT;UlY$p2RK1Tw&#?XL+;W@cxa74v2N-bXSK4ip5zq;rsew_WJZKct24$l
z1iDDZ$&6~}%G#+Q;xQ}ox@|!N3G&}?6H)+2NfYIQ;&PUTgeWU751as;mqH@*Sg^Wh
z7ZPRAvGaX2=`GdCDLV#(o4{?@n2Xh|@eU3O`*Or)M{>K|eaLzjdnn@PI%NL*I_Jt}
zo{Q8|cMP{Q;QQlhSJk7sDqjK%Zlo%T=^+wwj#iB|H_85tj2VZW+$>$U0ibE5$hd<W
zaA_=Qfl6p0?h1w0ySp1hBGK6x^7xx?8)aT_z3*ec05-yvT47cj6<WdP?m$m+BriJm
zl-$fkjQg__Y5SJx>OS>PKTHya1|IEi^d({s9gi8l4yNz<NgRA{5}7AkQvy5nh&Gne
zq;Evda0$P+`=w9wv<RE+z12T?!xfHjnRp4XidY3ssJERizTw9c@8SK9C7#DnM0rrZ
zc6;pi8L;Oc1I+}LJEYE24%bS7Vtjfy)PbGq!|h(GVHtT$dV7-KYh4}dd^=Hu^pZZ0
zEldemF+ETpxoiFRo2ou_105DHn82$77BM(><7m5#x1|C5#)^ZMiMxcvo!4g1SZX%W
z3nKba*VSUVTYN(+Vk-(`_5NIY#JmqYN<GcCxB!+jxBI)*@8;Z4*XrSIWxob94zoWt
zE<;~hvq!2rYBuyT=P^kSb;WGHZr=U&)!4t@L^Ysdc@`Ywyd$yF6*|&5Q&lT?N5Mrf
zC^}kAJX*3JH1)tIF`-|f%=VvU%IqzM{F%tuO`?GcI`^cRADwYJG$o%95RQ>NfR`${
zMc|a&c6cSh?D^2!3Xb~)dRqs;oOL2^OsuHr32g`gPTUIk(Z?L|3iq_v282!E_rg+b
zy*sqg`vR=u(hyFMhL%kgp$xs5&zGusQCBdd>Tigmn9iD$5)zv0kclxa7VFw{B@HYw
zy>S2}shTm(`Vz(MyX~@1IW&`;t{O#D4;&CWH9%jdg{7Nyy)iGpdh@X`yyKe<9a-U$
z%BYs9+q4FFW0NLsTZZXlAJg4Au=TJ?W<wE&<Bk66;U50&pBRvba%VVjCCDE=ooSeF
z<5p%Ovf#B*{We6|rbj{1N_c;CDRsuM&qIQuDj!;SHdKc<g3Y3@e%Aq$-bTISNevb~
zBPxxRt3EGB_&xx0YR&CQ4EAY%m7;JZg2$H#w91YC{5_mfa-HTXnSsSQfWZZHHc;@3
zcH*NRAC4=5LlJQUuKRWM7u@|aZQnegvbrw(w~~ZElgtaE@qQO7K|^{IWQEAW;1}01
z#vZrBcv!32?8_ka4@@fZpCVz4%usst&sbwm6PQS?P3G9XO5}zEHdSYNSTAz3m%RRZ
z-Rf4FagG~_+(Z<C)mnJlQ2R*UE(68^Ek}UTGo^^15`Aa6f}=V1w2Z69r|#A)JiKpK
zI5D)jYGym|B44Na`%piG|JyD^rSZIPlg7A?cY}g3f$~M^5y8)!)D$8#%MVb4N^+g@
zb(X!irAVA1cxYb1?2<Uq27_kTPJ)gVh1bp*NPLP^EZr5Y+eBE3=L?`JbM1mPSDWy-
z3_Iwkux|^dsuu8LSh)rk@^l&5$Nhyg`9UtWNi76@o~B(uJlvXc&-k1GqfI*knWMe|
zF2ztL&A+ugnN;&k&~GSwQbYpb6z$7;+V$rZ>;Ru$gL;J8ce|P!fiZ{0@$_z>hZyIW
zI}Pd)Obuj&Kg$TogNQR10*Qz;%OqlrNiqj`Ui!vFt1@KflwR_i5ndD3S;x67DN4Xr
z36aVoQcHdLP7ET^i9cUD0wF(L8U;E)L+{z=868|z&7g=b6XZdmQApo*6upH+g*r5s
zLH@!#w$DDR_U4`qfsndbF2n02mb2i)P|4HxP7>u0C8b~tOWe`2XrYCbJVJK=M+FFW
z{DR)li(r@KO&I%py2t?f3JCz{df{7UD|nHIm`U7BlQ5Th(ge9_pE9JXD5P(RVD|V~
zYSZ;hCC&7&JxBb8>UwWwk9eIb-fbJg8`S1QVq1a}0b`qd!NGmXMW%<4D<}|<S)tO@
z!jZsIk}g^ds=Pz=3Al0~kO8@Lp;*&Xskobra0x~`vDh=VXIFKkK5recX$JO7)G#gk
zB5gAuS+wocO_@N*vX89uKt*PVYpL%>wBfTZzh-iQ>4ykzaq0KbAMJxbmLiXn{fPRs
zK?itV_<BXS@FJDrR@3(z&-~ro(CNNOBdq7z*BAAjD^%a5wyiZ}V^nUy$@OHq-yc&(
z>5*;MMO=5LrZwuo21S1>5Zx_S4IWr}aHL@>+@B%bH{>a2qew4D-&1vyi9ogR4gs10
zqX0ZwK=L@8DjBsA*KbF;8MSHF<o0J#+v`cmAYQo3dFR6Ot)f`>xzpRYh#<&#sQi?_
z$wW#TFHKQf4WglLF(#QN*cpZMSV&r3Mk`!j(`yD9nU8Q(c5efM?WA@w0bSi4uVJFn
zKcCEa2dSnxU%tDzcRDWVr|IInEDIbdY;mFlwdaMIQye{z9({t04H{NewdX;Yp=0YL
zLA8HtHBc+0isUVebdnJsko~DZxOkC2>PGVCLmkMd=CEhYt6JZCX6-pdOZ6{oWR3tz
zG#*j3Ryw4E{bD`xOZ{ZC40@^er$J_HlSHl*8*WPQq1%edC?CwNwhY@VrN5&ePEn6x
zJ4Z0LdilI5?B*}B?t1dEnsgyahk|bN@IR7n;c|Idi65zbGKV1XNT(7pq`&(}+kCnU
z^&Cpoj@&fzqj!=iqmnExMukJsC8-4i&PF#~nSmbLyz4yd4x)0a7bJb6`n^Pz>Q|KA
zgR#VC^d>`=>@G-foG#ZE;Mihl&v2Az(M72(l|f(rMT2-#2|1Y4wq^Wuzlw*+r6NmX
zkBk}FqaVM=XG0NFSM9KQhce0IKPThYbRc5o!0h3oL_Bca7C=89n#-7R^tYSZeEncR
zD)l*&cU?3Xxf!IE3F3rrAq|pxfRFnVAaIpoZ)Sd-nvKDL?+f`?+?s`!|I?$8dO#|B
zMeVKiZ#_70n|j5s#sO-#sbAfwB7(TL(h_{$ku){ef*r!laPvBRm_d5&1>G<sEFkmU
z>OMrGu>+exEN4#$C!Oua;2L|?Lk-1c_JBbsZCViaTcj3<@ngcCejQDnXJ_+_&aB;K
z_GIQy-9yRaUa`D~WGUM#F&jr3-u5?`b^;X&xf}lWPq#JyLShif)t$mTMF}1mOv$8g
z*A{RG+emF0P7~zQXie{^C~f3e(6QoaxO~D>jL_S)No2W8Ni(7M?7gL5CfH&Fu`wIf
ze2vJ^Um?8^k|3{M#XBlF1>wG-QfS9>yIv1|<`!NA1J+I-gyb)U*&G?_(IY7$)BSit
z<%~m8g_|T>GmM(hxpMcxyw$zzjo>ly9{~Ny=2M6y*+fhMYH(w?*+|BUIW!a8tU;8_
z*qn_n(Qra%w0$-pWy3VZ?%JMdsp^)*Q0h+YH-!GJ>=27FO>SSwmuUmx4)RgUqVyf?
z`&&><M;s!rg=A^H`Hm@%H*4dI?r|5zAvOo%;O$Y1$y!O7jV%#)e6y#hLBHK@mdpn7
z+8l<u?IA@q0q5Uts|TpJmZGRk<}DeVWOiXCc;m>@21uq8#Ar)KQ>{!bwHCzU2es}7
z(Gat0Z=_KBn_>p79h5=kJY+#j`XjmCqe>vn4^fTPq<L9S&P%)Yd?e~V6fyw(C26R&
z-6XPi$a@Xb!Uv)_wirIiD#-|zY10?c%FY@HNcuw#cg+K%^r?|<&|3(Bb#LXz9#M3-
zIc}Nj^wuN}=+4m)Q?YDgYV_?sx4)(+Yk{1?gPSem=^xL~SND%tyKytnkQfc!m`@k+
z)*ssr%&&poZ3Iw&`r`_<swX`*oG;8!B-SV!u=bRdf!uc#w!)8-$TE_EoTiGwQVhkc
za$B!;eCSFy=cm$+T^Wp3a;iJd9*%EIr<?qQJu(6ANfc*_*khRdOw+>guB}C{s9J+q
zFyuY~!F;fe2TBOp6UrtN$1(v-I(3Qm3J2%m0A=MV_#d6ya%whz<E>W2TC!)3-UJb&
zE`K!ys`$FGe%|-zh$VHwG85e$WJ#rc+)SNqX6yfdQ)5q~6=CiwKP?x+J&RRNM!52H
zY#Iymigc6r2*O2iYk=j6{G{yn7d;Whdem4Uzk=$cbAayFPtty%IFh~^*R`6xdz-_O
zRAW!Tz3~zB7D243yC<+cV0RSMyg0Irp#M16VVeKAXhS+!q56X=Ac0OHW*~V<#forT
zAiVCNA%Mk7fbDvn``1+G+x0KWd151jxyMO&l{O1LX}$wiRD_72?HoEiZeqnsK^Ql&
zoMd*-W{$+-we^AwrAC6@#&BfG`!=;Sr=vH-%l{F*dD_f99pG6P&3+lg#jL9wAf(G9
z3?Z0rk-aJTeWNT;+ZfJ|cIt;#xY@&yr#qpg94*yj|6ulS4rsSoy)thXo>zscKBSTz
zR5!wFn(&q-(NiLe6J%yg$%fu`&RZ;<nOL+Vk0&cMeL}pB|FI;soiGP6%^Ii%v#XMo
z%u+{Fz)?4+?+m1#-d!qXU4&gIgbS$M_^2i4Xrm}!38L~(a~X*jf@p%2Wjv<zr=ih4
zWyILFN6V;L2ZHvuI|dLotQ}w=Y%Nk=EDJnt2BC-5;BO-yiFKg^S&)eFIXI~4_0;?K
z1H*aA@h;jcqqxC0jKaPd`U8d80$k&_%r8($W+KUzB68~6rPLKd>B`cfdKW`x)x(lp
z>7>E(RkEEYcTHIpfh??j<=hyxYmzg2C7Ywk<JAIS3Ph^<r&wcX4wKW=g}46tP0v~F
z-d%=266%Fv5GSs<B)R=@zb()ld3zrY(Rkjmv--CGuEwu_f}K}kp*Qi(5-2r6MA|FI
zCU{%PA!Jh*v7K6tI~kRlkrFeEB4NPIH$ydZe;jm(H}@7<J1JRTh9)$eoa<gI-24X@
zf1zIN=(FYT2d@;?0;j%C%DD^V0Kw}3e*4Wp;B3Z}_Fk1_@}G#h!~D&tBdU$g6`P>B
zTsV|^+P8A`NpkU=7b2I2Ozv3?Uwx~)RVj~i)Ucowh2rV0F%cQpYxh6{x6wNR)NK9z
zW^q&X7nRF9^ag#A2e+44!X=PNVkJE5Aj1w>`?BPtPnyJ6jL3+;_+b#=ugg%EHw#JS
z0qM&QIg^aI7}0iG?7#JhR7BbCa#38V({mw!IMOTtXqbzQqk#o|?Qt=umTfv<n%B8S
zwSb7M>If;T590ZP0)sGX;ETV4K6dIL21e;ed27%8`IS?e=QFjQxp^uu4$?v}G+5KL
zGfn<ygGLZ!CbEfWLZfm2oTt4;uCWzpELOa8E#*Yk2LfM`svj*0F9U3J2xSGqM*;64
z5i%93c^P8TaL113c<|XLQ*W1Mx4w9^69|h^6B~*{r6H!<-|*RDwLBV%flKREz2yaT
zz!ohJ@EXx~Ph#JSI-Kkgp46^~@(fskol?skuO8OkQ<0JTCRdcCHe@=u%FQK0QkyqG
zGA|?7_h@HGp(1>ic9z*@hDDYvV2mOguNC-IU@?H}VZDcm{$593*un+uXrN-*t2fHT
z6-$TQL$>rPEzF+7AEO`sDl+`7y38EMKh=zni(d8P?u4(JWfxk`CL`&)tRM~&*<k$!
z`7HCVw+N=ElM(}eF@gF(&F!eHMZ)pT*pnXxz2T65bw&&~Au8L#$<(EnLh>Oan9IFg
zKX8W|*LIJqENLgsTDaVMWC{g8V*~-hC_UEz268Wi%O5GWfGpquM0wk)3&=h$q^b&0
z@&9F%8gz;Epf#?NJ>}2(IiXVjV97@Ew<@QHyQ7dN`4frY;NT<&RDF~yPoQ&?;wn!;
zXuF794QD)_$<VEN#97rrstbhrwK)V35r<~5vofQnb2B2rNG~~j?V`;9>=$V$bw>Ud
z#ja?vn-j{8FQbG`j;LSb;GR0*vLIM<jb}Y=3`UTPCDgw~;=PB7+0Ds0D@$?8UOXu|
zn%;#;NOU_6n%NC*_uMQoL}iszON}eWJ7uU%qb_Ut?Pzs@mTO`zD$bfV{2WF@Tk}9S
zM#3$(S<`O-NVfVe=bLH5jZVp>kSeM2tY3{>l4Fb_L3N4W*sA1vz*?vBlEz9dqB5f}
zx8n`HEcgL#bz2;s!f!mz(H04}E4k=cjZ9S{Wp*tuqd%YaAYs%~4u6RJd|hAM?s?*8
zOuRcOZ()H;0F5{}wCN9O1{W?WYGi=PdU~v9KL2BnTK~^+ygw=};Mr>RKePOCW61S3
zvU_wDrV-C0qi7>Ink6hGat93FDmM1BYL|0f5glX(MLfPjRFXF49M;1=tsUztF}xzG
zvxcH4j0+z1a>bb#IV}Dp*iVK#EPuB00Q$jzner7zRlj;4pbU<>B&liHTff<4v)T`i
zJU++yASxX{>T<ffBb|KuuXN3Iak9?NVqr3aIGD7kv209h+)OKFBhV`0DuRH?IuFe!
zVaCc<A$ZdIg<WD}LQ&&QWEi*TOv_}1wJ-Hb^h)STvTl{Cup4X8F*VkbYo25LosnK|
zB#YJl3I`?<bY<3u&8Xm(p5y?XFIA^`^RI1O7ynzV10=t`pH8AuAmG+2PirU?r=Sqx
z5}DvpLwsQx8Dk?v&bVstxJy4qs3P`r=G&8rS8*y~$In`$tXV+!tI@4p;DvMF(XD}+
zZ>gAG1;6pz-?l~uV?+9u`*LG^EstQnLt(dfx-dT&TNUf@Yv|iMv`VtK2DDk(ABgZ5
z-qlyk)N@-891Os)HCq7b*fKyt*?NsfRPTjC#`sWfJEzh0kS;Bxw%<93>J+Gz&doiY
z)pe>o^(58MNiWp(i%X;~P1tkhF`hM0nhrmiMWpTOJa-a4cD<56DYeDvf6)`Qkn*b3
z>vK*$2;PRL7|MpzW+MTKsOc5DT;oxqDp&b&^sTTSnufHkht>8o)(^wWc&3S5a4aYF
z*&XajvArFOUVhAn#4J<cqMYZBeObp|WPm&RwAk=r=T_}J?TBz__Vl_bhul09w*cD3
zh6uegW`R&8S+@Z4jLen8*X}z*q1s28=)y)ft3&M6zV2sdL`*Tc5%jXK$8Hp-Vd<rL
zCn5t!;{`<!L34KpsMF0IOyGB;bDpc|r(pYTMM`^*t5V1b3LOS%(pPXbYUq`_Nl?th
z%u@SK1!3~mJQFp@vZ=j%pA4Kq%L&MLU1N;-c^rzX1P*B=OhlmN9;wwvT~zHc%_@cl
zWPZwm-71H65h5pikX6#I#ehj!hB<}LFLmwXPyWXGWaNu<Q5ChI1zjOZ($cG#2#0_-
zc18KT-38lFTmz?|x&jyjFxHj6DDf0#{I<{Was3*?_&G~!B$OL>@dP<?pjkrdIKZei
z)gxY2#4TaGdcT2z8k0sk|H}0l8p8J@NiDViU~aDE5Bl7r>EW~jV2?onJu`8ev8Aco
z9#K^?-&C9pp58~{8sk^nW>pr2{@>+YG1RJJtwCeKdkJ^cjFsS#n7NB>k|nP&*H%W+
zxaHOyf#KVU`}TfPVE<<)3bmQ7$+lj^`N8~Z5DVlx7+oSGb6je$uLI47EhFuc9RRFF
z+?L>||D0VTUA+nW$zTo0{VtN0RgMj|TPIfEIMe2V>w2Bo+m;{);b)y%_c>oYyc`m^
zLcC&gXHBK&PJh{dD}ICT;T*wc>zo^+?cxXaB|1s!?Nh{ywxpHh)wAyy|E)?p&?{K{
z2wm9sSqy~sO{D3O|9Mam6pv;GR~i{!STgFPh5R9|*FyG}|DON15gLd>c4pii`PkH{
zRVtKq(ARS|GVQKC2LOOY7@ifpWy=(0V>rQFpwx3^K-pP+qcdj&SbmsbR*{NRVeiM<
zmLzwep|n8qpLXDb=!^c%venBqx2{-EqoVcyYlKH{UUBrzSLMzXXPbP5*t1MoQt;+o
zi?kqXk@a~AB7LG`ho&qk4om~LB|K4a0BbKZg^UCIb{?H^>}7#YqF$dbwhO5^ww7bg
z1cN-QFJ-pc348;`5xUEnx-WU@I+Q6%APCbAwae@Lq<@JatKo(VDP)<GnO2Mp|I1k(
z!U-};|G=7Q%dflBGs4Q3@DbMHf}>Q1E^0$8z^OM?+UV$12x#WxHvGW&P}tMjZg{C0
zfnA)CCjzf3azdO=K=$eFK}~d+*~0as3%2HOON)wR7^x7=BO?{B;CrM^(Nwq}DoiO0
zh4m!~^bQpW1k7A^SD`Ekgfg#_(dPjCMA~JJARB!+(0gORK1_^2@AQxMsytao^>K0c
z>4`sF6a;f;Z=7NF>KuVyS}(N8FRdhqJXoFXU_tp^F9&1&Vkz8O5{%BE-DnxqI3eV8
zYHJ`P;QT;i5*+X>%1<_CzQV9wFwxvH^OM>$-g7%~f_!C?WF<V3;g-EPw!zF!uF|I}
z#f`U|ZTQ%2OG7DG*Zj2YL6G_^<0_MZS-<1zxwbKy49`MCCJ#moa}@%gNHUff<4L;B
zN-(e!XP4V%<b;}avo&Y<F>H_$jQtzb&<3N(k!KzVLgZ&$9YqRvCMs5L%_apOB*FKv
zo(P=)#`C>~h_7i%PT^y&N<m~2>6N4ZnBhAXr#h6vsoJd5SFaq~ga@#qS$vxRwcx9}
z4Lgumid4cY%68moK+ascfWhNuz=Zyk3IOV*t7pGkwdVd?%@vyi_Y5U{2SeNW8L|a<
z6T+IiBXvctOUtfW0c0;FN4mAAcpR{WQm^R<Im?vcUQXA+p}&HZgZ$=7>cI;+C9uAL
zr__gfNOab0>8OZhp&iKk?Oy}6wu|hTqtZhKIA~6U4El}$B^XME)j%@bN4cojMr0GR
z)ws^&e!PjYT~CbX+Vczp%$>EIq$nG5C|O%szkIAQwl%fg%_Vhul!~GSuyV~`L5FES
zX%`Y+f4uG4NUW9~4M<C8;1tZ9*&Z*;+-^ui0%1u|%&0}zg^RCUmtg+V_HBUG#Wsl7
z1a3@2wvBuxiC6*bfE9)`l`o>D2eAZ~X9vNX2=Mz$k#NZ&oo2#5_<fT^XwJ0P?=v;V
zvd6D({E`Sl59VT2DiY#A>2LtCs_@ey{T7Eu`zmI`GhCs{!aOy!&YZCou4%59sF}Lc
zKj@3j<1xZET6C$5j`1@fwME=bhXk%lHIm7NTef0!i&%;L4A6-aLi(L9Q?aRyQVsmd
z@UvfmMCE(YUe_|i+l+jcL6nQ4ND$RqjYwq_w~K^|@iWk`APhRNv{{cd(_lhKr}%3{
zd2TPwlVd>(Y}p~;y+6^3@C#}_-w7Wy2|(O(7_CNZ-Q^WU1jo)RWBWJ9Z~2o2QnrHl
z_0nl6gS!@(87XGUH2%daXHpSz$kap<IQ`)J@~K<k7Z9neu%+g+{P1iYHSl}mC4(jX
zjzUjk5$%3tF{#Kuv9sez(N9Ql983&z<<d^uwhBSR_oNx>!9qRSE9-mTfr0UhEI>8k
zZ#6HiYDtBNoOU3Su(9y)`p^>N#_8_<pP-Kg@BAalGsf~ybSIb>+uOStXG7#Sox_12
zeINh`9A=DlW}bcGm9;bd(Y^jm)e61PAaj&k&wqJ|NB#;1+Ub@&Q<#<3Wv@<Wxl5h#
z7UF0DBP%N<@BC@l=%)|m`?FE##XT3=awYIE7q#Rx>R_l|p{^L2F;vTVDf)Vuf2y*n
zvx00YWmbhhAD_lmuC7F16E@1|eQ(L2Fs6|B+W72TwFVH9asq1m?`%=BtOmC>I{<k>
zvUPKhY_Z6KFphoI^Oel+72ufZ@og0@!)F#4GC#O$SuQ5BMmFi@y3_yX)dZt~?gu{h
zcKpKNn^L~lUz#GFeD_B>IRxMDWQJwxgIm<VJZIc|yj5FI0*U*HAh}OID0?Z}EI8hO
z@#ztxUT#-|m_s^C{hSIHSP{He)1^{s;YxbBVALbO_?qecVIOglecg^UG!o4OuQYEq
zlFUNtP^iWJ>>EWHIi@jcN!N!qt^dL(WxMqu!1ewk+EtL}V-Tg{+Qa}T*=AXIhrET|
z8U&OU@4oU{OTldNG9VLw&7i{0p*LJw7iJt<>zQkMIlCKZ;imXXDqDF<TOY@=os0r0
zH<1XxS@aY5&(`Qv;-(ndPN_sA;9Smfb>0@OwQO#j(^gk4Tg0Wob-w;O;R4WVh6vyA
z$%=&|Nb?(!oB$_ta<>&t{OE+N+wh>`b<q68948Pth)!)sL(uh|k$t``+-_&Lk>Ya6
z=NwpO5IQKg%6pzOmwzp8dqpF}Pa;Hx_K?vCkjb7MHYl0Rmc{Ht$F)LLU|t<sI+e=y
z6r#B<gk`r#2Vrq70s=9AG|o5BN;-P2XHz<vl|9OjPuK?5!BWKgUDGa}TyL3G`gnDq
z^Yn7d;9+)Ob#`$L{g9B(ku^$2hQfIt@y7fJsCy0hogdKFD>LV(KCf9-|G?g_b3r^@
zr8gK0k^#lD7_Mrq@H|Y8(4c8zQt6-5`vLh-1)e>21W~n2&sQVv%rFQH=3f!~9*N_p
zDh}E=G}b_I(NZCGutA!R34ElUDm=tzzEC62H%)gt!$XY#EX@8CkGm{o9(dMrBKVWT
zb=f51LY5G=+Y4g-r}tHc3p+L}#8cha-i1cWXP)Cu?O7y4EUfWnEeuLrqBUj(3vpg>
z1Roy79&l%Co6;pnUwplDOP|gGYaiewdsQ%ptD`=4+Ze)=@l=uH;I+ffIr;>^x=0mj
z#Oz%yxZGJTJa2J!k?{ugwt-w3>r`0ib~3PeHsh@?&FI%@RKT+W(y*PP{8{_&#=T3J
zkg6O@gg7f>`FXb_!rtUh>=eo85B*Xb?SMxNW)S7iqLs?3N16`!vg{0$XaAyZk8lYE
zg~LNkkBXGs?KP(K)NTT&<y#7HW8!2EMQEW5rlQHQ&+IaVgRB!XF6KInw-nC{s)n86
zy0PArsWrMo0qxa`cBhRvi6Aa#FpdB>{u*h>7ILX$B?w_>SjdiP%{dM6C1i{2dWPN@
z%^dpeqH1h`Z0tB;I2D_9`49`M1Z3*pPUkd}LCUSoB>VvU)e*?9)3%s2@24GE!+$Pa
z(ER9nrlIu*+AI$a?G$u%QPPSu$7n-@$`tBnd&*T+e+hfP_HaK4vd81R|55ovhvR<!
zgQDw6K&i0<Q{fw)xZXCk!}eXE2$3gwe%xs&Yek$Cw$7s8+3vlw2ZN3`Ibx-~h)Jhj
zm!!2P6;6tp{rC`YK0JLnXJFc;))mX?A{^&$V~Mbjrokg;lv2_*$<h1*u&t2Ge{=G<
zvt>=5NFXKD=Y4q7_(sS)N_lVuy#jI$46x8JS^qH3RVggK?OAUz&yNJf8^TAbg+e;i
zel8Bg1;M(_gHwuZBuiVvcyjHIkBe62uu{CpsFOO~DlHQQXe+H9E2O#4O|(Nph&raX
z6d#vmL{{iqFRkM}+^4z|RK>)<w3UtPVYjKH1LF49cAUZh{aqvBg&f2mKZ6rluj<or
zy-$V@*p(4yo>Nr6K=Bj$04wTV%jRR#;d!Wfho7^P3uH=LKRB&-jslRLe&pgZT|Xf9
zv&ST5283BZ!y!rgrkBg060mkD0KgHZEe&8<I?&<dWg7`@t!e36L{S!5CTD<qDtf=1
zHxGd?>c`ZX_opS^T-&S_(?`*G7T%V$lQ54IA!y&D=bOHDLz+Rydk)p4f2?&d_PMF%
z;TWYt@4DrsSZ!A1Q=`b=QFQi{$om!B9=2{3LJ+4~$TtQi)V7h0`<P%BFP(eVx(k&*
z`Jx^KDpWoB>E8jLcvfJ2jpgE%Tb9$dNJDY3(LO9_9er8B+x%G%DMWL?6zzNL#HK3a
z9u8xLLQJ*$!9_058WvA{5CYF^JK4*pFWm{E*-KM+M5|)6xlRcbr_e~n-nu0#=)V#c
z!!om>P>AHEtV#tvIM-sPA(Q(1c|Xq8u|T(~>Q9xMc*84*KJ3}_iKBrqu6jU?{WozZ
z&empnj4hRT57JAt%p>_pet6=<)&g~hVV&VlAv+jt6jmk9;`@7l4yi>E+JilI!Ouq)
zE-&g347ZyGUO*J^Oj`wizq?j$5EUR&WUfFzt4$(KFC;XN{=I3yqYY=Se$_dsUD5eP
zb1y#KCuBNUZzi90myRdA0{Xn3(X_8PP#EGz4q$%~T)V!jG!!Y)5sH%4scJ{|=vs>G
zVanY}&cKIQZ$8V)OX}>h2{OQ{`x~E*M%`YdX~7tle&Fg))6nC|k;ay7L*6cS&!ZXF
znd^>2LG@NdS~Sc@5_BOn&)$a{(YUq5n{^GWqzgJ209=?EEVKU^ZP~v){e}iJ#KC%R
zXH2G2Oe)L0qXlg>@NoiejBJHdvGJ)elwz9UAgY4&7eFuJXC|EEo=HH7{Nr(w^dg_g
zxI+P=-OoFe)Tr-AyY7{hdky+A-&92Rt}L$=FGsKw*3=#RcC7&5Fii`a_FSkc*~>zx
zE>HoBs(j@Dk`fN@j@ebm*NSOND7kaWjM$*ozlqEGmDf5wMkE*L=cPy%;x0_Lr%Mo$
z+VY14GE`CHx>Tg5(&)GTMmd{JX(+F$_c3JVD{8ufkO<*GY|R<2U6Tm=%1co0o;xM}
z3m7iP1`EpR0SD-Q<*mc=&>B+03~nfQ2j45MsQrE^INV?pAozg3gWYxBQ9RB3v@;S#
zTVfWiC#=Of(qC=An4yuH78r={R%N524RV*~>xRz+Cud&R(JDGHAhb)40d&CSNc7GW
zA3<n7*zXWR)dE9B+Dqci4hEQqVFC1*{fD2->r*O;ukcCGyok{Hurs7%Lm2LBkmsdu
zff7zk&<zw5<kALx=XtyFE(y8$28z1u2f`vLb*0q)1Nwqdh@K8L)&vqmOZ;bo=J?4M
z?CD_n*nqDcv-+k#=F{&oSSd*Db;7wqstr*B;|(s0yn1j(LhHxl<=SzgCJ*@Al&(U=
zq3aW(d(C^$oDoicDYh4%(En6vk%#C(0Ks(C9qN1X-=D6n@UdZ3G9~-S5dhs`1d0Wb
z)U9u&OW8e*MiDnyAmWow**k;9O<_qSUUxDeJI$G7;~RLvc`H4!){KYtH|h*&TR9`<
ztrrqNE%Sa~EUDY5NXvQ`?E(2j_bCRCl3SR!YcMox$DUpBH$PvIBCnst6?u3O!I;^~
zZouCmFm<EVe$QfZuE((zK+yl`uAIYi?z1CjVm;OI9FkhnJ?C!OJWHF^#7U%FWB|lG
z7$ajt(a!UnT}CF|ZR7}gjOpjc*6b3xY|#{nN0d;`+ULk<UQoO`r|u2BjBL9;97SSL
zm^$x+Y>GGvLJ5YmM4wgVgI^vN;hs@r5veCJiAhBJ-EFNC{?kcen+mabfUGmmf&?9M
z9X4bx+};61zWWj(8ubs!#(ku}Fax!`qc1CDzfkK041IThcey5z1=Z|go)4VJKDDpz
z2zbUsr5xsJMg``Nt4;#|eT8jE+82+dqc0244Pf9u7VhUX7QvV~oke}#kA6jPu^Qhi
zh0Lg&>BWCl+8*%x3@fRPJw*6ef}p1XDM0Hm*$GXkq2$x1u=(`8m^s3x_{8em*pj_d
z7JcJUuDh3(jrd-`7jF^-A4~K!p_VUe@kja~`glWOIP_G}$Cd~~02x7Du(KzM;|0U%
zrg6Ha8|ygwsAS+m3aWOs#hP_`?`3e}wYgM~${}SVP;P(FRliFAbJ+6LnI52tXM93O
zwxyuMYHGZQ<z0|Ne+DBaENmH(K`;H_bGSD=#i#FO2+L203d@q4OWTboOG9k$CSoxu
z{baTycwWGD$4^~{*jKBTKb^g2qE4(9ye_2kG6Cp@>)aJS%^Lia(gP2VGl)Heko(nR
z4@B>?n8|2Leg$Ud0dVs>!8mD*&;be5CJene7LghnK_@}<MqNY`GK?D7F@cEJqjxK5
zn=a?n*4iSf_}WtNxUcd)nE4F(pO$u+$y}i*YAb%~m=ONl0VcPQnX73~zmjXJ)OdoB
zh?&?|aF!jc7iClOt!zIvf!rq;Vwftp0^|T`>$sY58lp$=A=EL>WZ*dI#RV<jBE9WN
zZ@vOk+Y5SC-HL5I%97v^wQ3{U9ya5U`3?4cy0HjN_hBlSfViGU1X;j>fUFQnRqc=(
zEGnYTKXU;CZ7FpMM8rC)J000e!TE4Q#Xe-A&L7d_PMvSI37W8wR?P*Wzs64;1?cj$
zsEwH>yYi5$uM)MD!Y+juV&48R=&}j|H9e^@Cr#GMeJWpap+VUS!b)<~N!1l_yNIRl
z_B8fMLW+Eqw#x7VFOjm@>nxXmKB7z0)s?d?q0PhO$>algnj3>^H8DzkTOZ4^WRuXo
z93cy|Yd|j2j!d8~t`jDgtmD8bj4JfOPqGWzv>XX>Hf)t5>n&7|*-jCDswmsuo;c~8
z;U4EEf6n70C|EP=NvMqo`Lersx#>bo?e$?_L~oEV^e6##-i~u+B3~6h8I*D!Mfh>=
z;1uAm>pp_2r)IaF4I$sX#ARDnV>p9E5R(R3WZ`KVC}AVN$pU?tK<zO#SRRQ9o?f>*
zaZ_}Rz!3(UyCU6EEq)367gm^`Ie8M3-quU8t6Q|NR7NC4ZmXf*%rwwgcIlE#hxmx1
ze#SAH%v{|Y+)aWGEx=;f3xLj=T*F_7>a4CnI1JMn_cm$(q>+Jr%Lu22?u}^6u&wg^
zr1QfZX*~@LHbeH7{ms6sakiUo-6i6scI6^PUX@x?xx2FnjI^;PM{{DXye6(W<Bc>T
zw<iaz4C18e1Ry&j8)beLOVqsK%^2cWt;m`1$F<b95SL}lcR++Pm||zF2HPdkQJm%o
zYH;bO=AXCV4X=2)yy0l#rwlJZ(*#$WA^r{%c*5BEvdb=P(NTElSAvM~M-uAqXO8I2
z#0+3UdAKH891GH(%gRnSlsa|ji$wsmXdNIkA)&w^H3~{c6QX|UEhWH{CDlS?QO7XD
z8X$B8X!t^c4<21zg7g+VCQwRKm!4U&%&~yjU53;CpYE%1SA$_UJRj^1&eh=N>g1N)
z*^_2SV8ni@CqgkSP+&ctabK=hxKW0-srru%u-yXa-#oI@hmOpjBI77Y&z8+dgFkfP
z4@SBWm^;vRDwm0H!#`WX@}q`P*LjgSM<tpE@}ZP>WW)#Dy2P-ibZ;DIw7)2NXJkII
zJW7v%ys2n`bmY_=fdJlo6U<$JF?M!WRCGso%*|wf`6Yuwvm+Sb7iej3(Rse(0)Mz(
zjsEzx7@~SCO4=54_K{eg{TtII|6}<oBx(?Z3;#y}1qSnkBrF;~*qkAY1d(m%7Cl;^
zgMo>C&QxL5Kp|9HXL@SLpV2-xf@T-OY<M}j8A3?*YTx}Csy}5H^_tn5`AZ8f2K-i1
zX4k86`CBare8^j7(PT2S-iWuf9^7$_18j+4T{q+pdYg}d*5@}-U%lVMFZFf}$go%y
z)ac*qK|7xgTaJ)I_g`Uq(+fw0YY3iT34rwe;HjvNaJRn4o)8c3LPz?rH_G)PvE_)_
zRmHsnvdP<U(S(j4y4U^|Ub+(pM3L4lPOVaWq+Bmvn@F7fabqSI%QS|HyxPJA&Ocpe
z-cMgQWX!WzAg&J2u#r+X20pam*^SEz*_2t0%98$)^6K#_8$?Ui5IMKWGz<b`6Wy2D
z0D!MsvMCd;<=rXOqRE^CR`Qw7n=OukRDn~idDN*f;IsRV3O@g6YedpfO9G9tafK>8
z#>ivr)s#KRhnes`ERXKjq<-LJ{TdyTD?NF76Sb8j66pgS1^mP1>GkKKjt&y2ItA7u
z^YZ(04c82E`LFs-d;wIvGZMMkkQ#L5di>(hKI?mzmZgf)T<An6j}k}X8X0o5$;7l`
zf)>3}1a<mDwM?tQhG-h)7@6dO^GNQ21W--(hMfnZepG0pDi!-N!)_I-j2JyYSS#Tx
zYR%!e^9BIS=RVH$1-y?(%o7$w`9JNHkD=L&LSxP*m@f|H$AnMGAcmCWUYpI%JS_L$
zMH`uX5_wGa-2j-0Mw1Q>EH%gOL}sF>ik2LDHk9498ZMACjys~>kV9cmLCUlwdn<<+
zlWFA5_3ry~!sFTyIDq);O+uXi>)!~g2l0xuZ^K#Hw&S><J~`s@+^DaUnTm!i>UxF$
zft9zNwOl5Q`@&5Ze+7jK;Gce^A?$oQ27!rg6i)u~bocj}w8gap&!Cg(hvXxxP_RGl
ziKPymwrUvleoMEf(Ylk|+EDGhPYFFy%YTm;+1j0ZWYb%LtO8?h!ia-bOiTl;y73Z5
z>te(bdalH2;3zE0<C(%4afTHst7u2x%k%MQ0{+(CfdBYI7T{%k?qM>Q5c2g0U(}yi
zU)l}h=(kesZh964TeN(YAGl2VqT1OYs;{A{6Jl@2{5dRna=IMs(NVm$<N<y+zS2W#
zhDl$5NL<Tqum#*7O2K%`Y;m(vJmFpKY*1JytAe8=GP+hNOhnE+*MBY>V^->1+Jzw`
z4qmC(ICJG?kw;!Xk8}<0kXJ1TaN^BZAiVjaSeY=1{q9%!5X=zWg9S>O1<LgJ#}CBO
zO&UVWM~WNCLODQ^n1<CJf}S><#2w@pZSWcnP_7{5eSxG)mh2RvShyG{{6)SDiHat0
z!OADA2RS?rJVFZl0QJ!OP^CBmoxPD^!08PyJ!frBThK#r7IBA&z~Kb(XHr+x*{4JB
zT_2Yj8CZq2&m;(Ewf<Fo9CFotOwe>~Oclz)CdAi%xugd7&2;<HRM?-->M;h4O6kk8
zUnl_ElvUa5MPGC&y488nJ{H-?zvqr&s24$tq=^GGZciGBgO4c!Cqt3-!VUUnc=HZM
z!eJ&TvV{Qjlymb1a<<@gg%ARlXCsq~>MshbSidN?)WDc`dI-M|CVpADd4YOm#)=K6
zT9y(|5$(1_sv&JXx>gcu_bvOn-Qxa)b;9GONJ_{@0r&<x0L+3S6X3|I9;A2<&@MJA
zn-}-<48~OZ@v=M5TvBnPn#gYrw@TvIYr4CvfvGx`yeI5M%KNnqI2=9!9WWdZVjdgo
zOhx;#nh66Ci5LA`|4=QdE$xN`d?`XPZ-9InyO|!Gfx}k1Zo>ffZ5nc8Sd(7IE6zI~
z@pFz4_!<8ILq#6Sd|!0}E*GPd7YR;-0auE4YSIk2%rjC~m9*Md*en2WzD8QEu>^uF
zc#0E+1)JrN<-sLE`7J-X=ZOjdnB|1u?F^V66;x6=jbmgiAbanjjQ-u3J)Lp;|Mz{c
zBVAglz>9TBvOVV9xkInrX6%`W61~OB5b)L!=H??ydZu>Zt5SwNuO+-VprC8CvB|bH
z9*X4Nqt|FFm&aVR=bCr72g?6Oe@Y;*Y*dm8Z)`%X`B_FL+IlXXh3wl@htR!kyY)3)
zpLc>vJu*bH$k(QlzIN7F5xK5^-;!)xY?Z!hqG-1f<&p~msPi;a?jhS@L@i3!PLm+*
zNbq&;bjf19RTTL$^Ig7VUl%I3BJ}AzgxZCCW8xgX&ngev0np7~?A?k;k&jh8bC<2(
zVz4wMVe2(7v$m%J!6_JFtu#18S=G7%Jw92D5$44-gVO#n6kz%ys4^Ffy60w{Vj;I6
z$9N`fk(OzT@o4p3OmaQjeTI%7p8@SnXa|}8U|;%p;f5YOdMiN~=OT_<6Q|qfPreRD
z^0gc*fHSsaSW;0?ra$7sGMICD!%)i<<Lt46eqGl8LIC^u{MwM19oQuhm*nr)|12;l
zX3>t-6vS?27w5%bgz`N6q0O0^Ck9)HdU?ugCWYQJ4u-`yQdOa9iS-d}&tBN2%$81O
zl#lH^si_-`Hj|x2{m&>GDRC_k^bg?+f8g~nmWPHo5~tG<EB2g-s&zd$ZehYwU-i(b
zPYS%EJUmWI&x;gsVpE0*m^g^1Rcsi$T?@D((wT__pTr-C9!Syc_=6XT?SYMRd0(x{
z8z+g_e%Kn=6fqPu77TwSGLN9X&ENQ&lQ|z<nGsVcbi_HmLd^#b=^KX{A$Xr6bCI>+
zIekyIewQrmj=c#>SnR_Kp02VYW^_Z_HY`_dkzg=^=!G<AeV&8ygKovf&`rZh^I<tW
z*cXgoLw|W3rI9C-D=i+793b0jI@#I7ob|X*MCwuZE!xoh>_#Xqjo40>H$fz%g6tGW
za2YNwJT<dHWzKVc5&i{oW1AkM?{90>U!}zY#gR_*Y6VgCDU4WIIx#toZg7abb;#d5
zLM9-z*nm?|M;SxI(QqFZ_RH1gzT?bJ24`gmRMn|7ud!}b`Y)X;Q+NTog+6J;4NJ$6
zYOLEMX$99a=vX~oHoa>QHJEpFjhId0+(NLA7*sV;Z{FUwiY=oMGmus?4*!c_*ML6`
zgi>h=dxGr&K8VIjiA^h)=l$4^UkS-SCYOQmcl1I7FxMa?5s{niABvYrX^}dSe6G5o
zti8k9I`Bd^Hv@;eTbBuK3g%+<WAV)$Y!ryMneWm(1%{wdoJ4Zc$WJ?yCt>Y(6pMNs
z1YPec@D)YE%;-VEv}>nc4Yh3N&s(?Nz3c&UxWRN=quV`{D{6U!ca31rO$z4QMQ;@y
zwbe;Sa8w6I6RXW37DT)++HUWI#CCLIXkY>`x!5AbzfDU7{g7=TzJ-ZJ9}?`U07Y%@
zmbI>@FI-pzY@ITtO0|E5<ryh)Hu=YF4>orkNe_Ja#>?9(0zfUZ+IOav39J6*HfJZT
zZ@MfS<m((c)BEj6RKavK?!zG2#)yD^KZ7j9d2D4CjFs=8wYmTI%)}{-td)}-8n?7m
zsP93dF=50eSnk6y;(91a_w@<WeKve5(CoSoulY4nKqCeBUII1@-yI);Ts6u^XDNst
zDfhsHVejx;T3;CCgym&0%6v}OT2={4jB%34w-Z6)#ceWI%f&0i?_>1op&}LUgij^8
zK@iiNkdl6(F%k~TAw4Ztdv<B7y1Q_wQ0jNOuZ;Gps7mWM)4C_G21BmZ*On!XNtsBh
z-vCjH0fNf+t5h8OOYX1nY0s_R2H8CbzG*JsX+3rU`*IIn7(WbZF=mFMRSugpT+q^s
zk%2N(WE{xk&tT7RgNcI7R2`4fT$>DIK#Du+y0m;Z;r&nexJBpBynd6n$PFv?!;{Zv
zec>y_&_2*JtW?}c=Y9oZyKVU)BH`<3oMqqmQjvQY>Xci@t?0%=>Jhucj*)zAv!I~B
z%6nigeC=fJvWSLDjMe;$D-<-Mp}28B7Iboao*9EYlc3B{!xFtMt4#Cn1MVSA*@&(T
z3fZOf-$N$<0~@N%HWYKzIOLyx$-b}90<ozJ$@b*?M+{Y`W()~+v(?t{1m7|1bjsu#
zRT-W&xm&rA@{nLF(zZQ{S*n>YMQz-Di)I4bb2*Ed@O<v?20nT+Sf9w4{dwlfWp4DA
za76V(tp1!>M;vk3E^HM-1tFNrs)J|ntIo#O*$}mFv>$-xC(z6ik^-P?ND<Zv()3@3
ztkAdo>*&7lir{yaEJp(wx0&=i{Hs&!2&hl2!Jc<E8ts&$yCBrYQ(K;#TNN>x7-i0$
zJM{tVE-p*C`Hph&?fWhX!`!$(YY<+Y>c$(f=;>k0hU!3*y;V3I3SHN~%oud%T3WV7
zHe`WC7-U_JILy+Z(J-?uoLj|a)gH?Qstdlz069r!UpMSv={Od9Ev^4!S*s>Ls)s$F
MrC>&E83z__c`R2O=>Px#

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl.v
new file mode 100644
index 0000000000000000000000000000000000000000..a8a6e5e5a870a219510da26fbc07b108f74eab72
GIT binary patch
literal 9664
zcmV;xB|qAW6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$@&$ORpH4<nV8#VyOPvU71z
zIPd@SrGzUxU-fCi%KWa%WB-E}OV9Fx&HHff<_n<WotQLs7aEv>v8i6)BIoGC@iFFq
zi75Wlg8Xc%F5=5Vbd-lOHC%71kr-1*fFmOp7utFDOU5&oE5N+PTB|aX+d!5;joAl1
zdJs3a4B`!Y|8`hlGmM@n=K(k<YZ8$pW=y&5F~hXluf4dvKvbubw>gAkLm?{QSQ&Cu
z$i%kkX~$r*I9N)Z`?bpy+PU4VG+d%ji-@c7HJXAZ)L+&!#$C8@x{0{K(d3|lR}+52
z$FGtiaE?yZ`CMyVd%{VMh!tXN3~;uV2rHlfI;ZG#UOGt`E^lOtj>l7da^fdwmfy~F
z4Yst0oO`U2t?$!e>|JS-8YE>M{?0zXHafaJW)54`?EOM8ZvuQ!%(Mu&-p32%zbX|Z
zVu^;bgu}Sd;8-)Lu%Mu`qo6`@K({no>r_qnH2e7QgJuz56+yvwnw13{{rfjq<76it
zcF<9ny@``d794wNPCR*kk`yT5y<dc8r38pk5~@d>Ug-XMrSr6au;B(fpN8x#AETj}
zc|;;RKeKHn`~NxNulk!qKs3rEh4^PiW^8H+G?=j#6yBYttYR{uS`5c?c1H&VR$Q<l
zQw7@qxl|zOyjU&2&7HESvjmiVn|Otf*?x9OlAT2;1z~#>8$9H0hQV{a9t^aGGVMT>
zk!gBS#^hN*s{xZHqw=YG+Ax&+FX11ns5DvS6jfFEmqKnds7#KVryIA7`I$s$=OMmA
zy~t!mg!rwRW!jiRZ&5Ejq3jrOZx@pKpCZltFyw>c!of<sH{qx@w8#Z*&9l#r7UR#a
z-{hj_4$?3(!OYy531CU+{E!M^bcRe7!#0ueE~&Dyfqt(TytB3B)S_|>Z8(;rb&``6
z?2w@!=jCpl_{ila?4@*jEyu^sklw&Xm)t{0W7gHvtIJL-WB=D;rZNoAAa9{k_T}|k
zPMD9VO>O{BJU<Dk@CV`gQvxeT(P$Q^-}4Q+ST3xXX^udAirITI%CErE$!2?3rDlQn
z2<wt6J1LrsVv8atI-5Up-NL~5)<_mAEY4(Fn|OB6Pbs2C^EXXaC}f*s6L^*!8%?Et
z-0YdM71C6?JyxF>+5N*ekUVRAjA?~GldgVTpG{)aBxzL~bkS8^m~h7r!$f6Wb~iC}
zX_PrN9d3D9r-r%_Vd@Tp;yJJ>zLv?UgSGwf-51&m^fkL(%>5RKRCp@Xwt=}bR=7(h
zO4G)N!i}0Icb%HO1v_j+j3VQXe1pX*z{kZX7N(lECY+=fZc_W(??7%ZbnmY7zMiu)
zOR7vxDvGmon;-x7lxg?DD5IQvek|T+-NX%S-E2tzHrL*Y%_V}CJPdA_;hTOYDZB>w
z*~uoxE#DhsLvf%wmah@*cK`r84ukWx#~e7t&-7}UvfhM~Nsbx>Jgv>Vp~~`WGL4H{
zw6hC?*_6h5kmWKYSl&DsgI@G1z7=D>&2M+l7}A%9X=&b|VafW)a=n4dzgbFR-gIo9
z*63Og^sKh2+ad|88EMSTrTQ0zdDuO+Zg-lE8nrgQrsrziq%{<W#Li=+)*su~2c_r(
zukjbnGgdP&#5f`;rBqgI@2iiC0V$QJ(w`8SLp$ca1ej1{VNftQvyg*h?2-LdN_nqO
zWNujFT+c0WVuGh!l*^oO+@h@uzPz5VvvtTl*ZvO9?|h&{+y8#`Ez6W^zAI>R#4kqo
z5m2s>hnEy&-QwzE+}~PWObJQwYmlRebjo;elN8!4<VpedD0&(LraAVJlWz-^+?)E@
z3D?{!w?m}PLKtPgI~cI1`*5IP$e6!fs>*-b{F`I7Y6+Q{0st&>xrII>Tcb8GuWKy(
z!*7yKMHNlrKt1(-Hq75)tqwdGK>OK@t#+b>Xz+`@3bZg>c(qmK{}BIxKn)k60ipYR
zMRoSq;)ucds`3=i6fmQf5z|s&{@mOp<+kp>in_b6zmbLm>9oz&=oh*kg~Bj1geD_d
zR(ml8bx^YNwx02f=Y_Pz_FOets3=wiyF$JX!`4gZ0&FH52<=O*9e=Imi_8Td6?Jpi
ztlO^0g^t@e3_7)R74v1{2a?3^Mz`ijD@zV0SR-2(LIsNaG?Q!gw+%*dBq6AZ7F+2S
z-EBbu8Mah$+(q&^8D(ni1i>a$T$u}DfsTddQbUc)`5|4LDIhlR-s}K?%uI?wzL7Qc
zS~K9PKkS_Hdt<-wFju_9CTPNO_l03`(yYRa%YX_o_COH6e0qvvDi&oFZeZr)U6-Q#
zqc%vDOf>4Syzyz#5vPf>E0S0=>$EbJFU)bbQl*<-3p^{2W5g+(_WT#<bU^S=i2g}K
zy*w2u;#L7KN`x`0D{@wMs#pZI220H<vP*sb2&r$>|3O69c2?}O$AVX<He7g?hX$R@
zFh(|d#>_@w(S0VO>ht>46T^J3)ij*x-h{|m0;F2_7qvFRXZ5{!v;G({1sScIv(9F(
zz$a6tSuFc)Yh>>#KI`Ve9doWhGW$m1uAJ$Pgn}g!d=lqp&ObX5lpk9<r?7~soUP?1
zugcHCOa2LpDuo8^loeAUS7eXi9HY*TIF)AZq>jl=iq2%gM_p3A?*x%mzDi_?E7NEj
z)CVHXXjF{*C4r(D{^+(v#?|3o7Fy>_#w2AjfDT{|xSuoIZb_aEep}ly^eY@?E*VlF
z?TVWdeG45e24!W!Z_||zWmz4)hRLaCig4t4afc7gJ58FI)}achy$YhpL^GvSi<fpD
zr?jUcn!hlf-e}^e({&OU0e8>QWl-Bc^)zpv?XcCN_P(Of(B3ClOO+mtBwZmj7brN0
z9++(JWtGz4ku!^b^z82YfHB=q^PGJ4RUI-F?$spjG(CtV08F+D5<7aqd+oN`UzIrg
zXAQpwTbd#}hArP?>{{e28O~z&fr+~@&-7<yA#{BvHFtTTnzwe+K?f#_1j+jA!8#u1
z7i}CwY|XF<rg*|+g<)Xq@F$vghT@@3d3~>$_a<~ql4EL*teGrzfB*YN;e?Oe1fo0x
z5(~&DFWW98-3UM2izC3k-e`Zyt0UuO2bMY`GhnAscJ(?B))tv;Y}V1GtQe=SRLPxp
z?W?h94Bhs?;|b~(gVPR2<^Qv1)Gf0)H`U{wT>np2Ry>}#^W9rlhvL}d=wE_KOUH0~
z_5pv}Z=^pBQklpdRs|0FG@=S^2ap-3KV>~^&f-rCbt!+=t#!O(Ie28BIBiyqmc>Od
zF2269oDtE<ip0i+7;>(ZOf%n3t@)Vx8))aUkM-55y_Zd)o!*fAwtdx;NjNa##PAYm
zc0T5=;w7vhk>>Z9wIk-qIetiQK_nz9hAAUo5&tuN7w8}S=mnM`T=Lj9DP%HP-D<&k
z`m(0XgDml`7-oG!<lF7NsGiYDTql+}@&aHzwWZC_qT1i5Xs~~Xwb{ohvGS-84H@u<
zp<Q}DfJt_zIPg@o5y9rRWXp)9X)&(8s1ds2N^7L+oE-K#5J#%B-dfm`tu&5DN0$Rt
zWdvl%nij|O(w_`CNj*%5Vvd*he3jvkEDP}L={YE}1XS;z{#N@>?x5m!*i7u~Z@&nl
z^;cexeo`W5Z}iu=D-i-=7@0I^+66S%A6i-teX7LUmy=m_95~wutLe0`GrTb<in(`{
ze(+_1jb&lIj9ax`)eAM(@0V^V38CA7Y-^HW3u>ytwlQv7rZ7V*T@fb8mbW56bOT&%
zAY#~s31>i0vv)2Kf&o^U6Tv>SueVXwH~;6X2M}a)Jy>ddmcAnz___C^+jJB4G@b&q
zM9>HQ6)QN-RX&I}i;hS0An$2B8hD%{L0O#S7?QK$FcC4H8Qy2#34EzM&p%5!$?<VL
zcI7>&Yh9P+WMZ7ot-Z;M-eRqa9B?~?TetKrS53_%hQxJ;(>AP{;kzkw(04ri{~KfK
z{S=%SJ*UBef2O38SOZrbN9{alPPJf!^dn$SV_0P}fOL#ntrV6v#sV;ylg>1;xj0y1
zu6pFI8lK+P&QlLt^<WY`oKU_x;;%Er8?nFhV2(c!-V5s|alc$5TLxETpiKsY+WRKk
zF_H@&hO$|jP-pGVS8x}AfM8t=${Aus0!m&5n<0ZQFz><YVjR0-yP=pMZy+21q%-;l
zHm9@yiq*|I+P|XwxX<dX{yGT$;@&Jal!!>|A(dj&_2GcC;xuz4dqzXB)8}a0jxmN%
z$2U<zmPW`FY`dZtL(>dIjbdmRr~9g8DfT`vkT*2aeQEg<?X^q@3e#rnisf^^YXOWO
zW>e!W+XZHU2NU(W#qgS=F#|WZJO!cRo$I)>Lr4pgw_Wb*OzCI6x6f~drpMz;)1L~+
z$%cl}uJ|a_YYPv|?#n98^@Fo6fd5y{7hM8QxNRCd0b(fPKvy{}a--a555fqK9aXj_
zpgF!!!mN3!V|gphbaZH&Iyu}s+gaBlJRs*sy0WF<=gRb<Kj7+iAw=lltl7A`7?wP?
z0_136{=pPY=g};JLkWbqV<JhUqZe6xA)+F*s`F>3=n&hSR2Q?x`=JLLToUb+X)tx}
zAzp+{I6`E)l|dYdqpp#k5bTPGk$xNVu`z9atUCj67q9m8Bw-8%v0xQQZjFsJ*T3U@
zc85=0ZP5TSyv{Q#dHf>6vyrKWbV1we>-=-8qILa1Ivg|Td5ZbBe{1a%ZgHCPA+~<R
z!)i3F#^U&OUBWP?i(JmVSxU8IwSt^rqud!$q+uMvvu%zp?IPieTeHRHTm?*|2%x-J
zs&VkrE<VBZOTTxC#pUTuEMl+hn4928!&BoC!P9cK`&Bp4QBQRpuo#a=+y*7MN&K$k
z<5zQFz65LR%h*-|O7sqrQi^GFN(RGzZpo_~aaJ$G)yya@VJs-yM$%RlPY81}eOl=a
zQ@}g?h)fgK`y?!RoQcvK#1ByPB-A8J??zE}WV0@6z>rK13$n2Zg-gp?9e5<0r$XMR
z_ry7Pw_Q+*6YQHAfqhXB^_yF5(kjSwALv6=9290$LwK!eXA87Xa{n;FU+TYNJVmkB
z=Yna29YiThkH;vsfF;1tSS81-QtLaL{H&cilwWzslWyY>nH1A?Xrcy8a;P^qtDiKL
zk2L|M#@5#)y8bDys@^a5-B4qLTCZUL1DWO2tZtu(@y=WlTsFwa9Tn6Cdk#-o>nE?@
z#8L331}%Sa#?-EMqL)z~OL`ES*{?kAb^v}0XivZW75z5%NvM8+E#SU)0;YRw)#>FN
zae_bM;)-Yw2aw46|BVewp=Y2jf=X#OO4}ZN*cqaZ5m8E`?+`hX>vsuUsQ<QnUC~uh
zEFui77LlVfofRba{5l438W>cCArmvDXemRyYWO@l-n{GKm!Rn83|Q;gGJw_K$H358
z6ivOojMMHu?Tfmo#+&sIY`g#d--YS-kA2)pO{~8-&DwgQ&LrH<fI1~+2AbnvMQlj{
zd%*@L93}0jeI>;*bqWB|VSLwz2Mn}=mZ#TsIa(V*@V;a=)6~@B*sTIN+nUEMZ4*Qm
zs9CZ`0ZVCVJeE~AwdJ6oH_MI=scukg(@~ZZ19g^o?<%VPAcL`UPZI~m&=l)|o1@Ab
z)LGnWyf9*UCwG}RE>f_xjlh8x;N-(dRv`ASM9UC5f_fw@N@US$rt?$#uZuPYtGlFq
zT_gpZv?`)5i{2;2#arjkYJTdP?dqe$CB$`QMyYw=A~IvN%&bu^8aMVAx5VhV;ghRC
zQDo|^&m0xe5qt(gM6g2HAUc#*WcB!p0NrGmGhiN$rB?4Q4Xk2?2qD?_(0hDk=a4N&
z7pP$6ZuITx*&CIm&<TYJ!?Rc~9%>QLhQL~GO$C`YE&(6QJdR_Q=?%J+H25_)UH{x0
z9iB$Z(dkxH#x)2eIrkp;&*jG{Z;?HOCo<T%k2fy7xW14Vi2U$dM-wc2l5%eZi?cLM
z2(IixK~ny|si{k+Pag_plpbs%bf2`z^34iC@UGrNiu;M=`(VrF+~nRP=lVYu0o{j3
zgIFLa6vU9R*DjRAFyP%yhKx?zlp*BhEMbGq(YquX@hU~IAQ7fsX?fF_$apv{-5Mvg
z8nPde62nq%U8?rnP2q%-{Ha;rpUxgG;vVZ(jbCVQPG%ga&VKsv;{AGa4MpOOL3I=Z
z?|*u{4Z&BoQ7DfXrDY(~(qPYss~&2?w(p#ousZhUOnMoHSg&7x(DI@J-DixcHKW(D
zddRJWn)w{a4zuXC`kU1Mzm0sdx%r<QR{*V&Ms*~`TnaUn?34yc{pQBYB1bvt1K?oH
zBu076;0408<i%6^qar7M8C0qg;TK_A>YiSrbEf4-C!eDk3MC$|%VM3+Ilu91b!nGG
zJ%eRM3J{vvZF^O~an8$pc9_krd8J0w{k-z3y!c6;RknzNYtgj{qSWCgOCcV=H#q$I
z#0tDOJpuy(zQb0q7t(3~kk}OAha^(S6Q?u0If9ng=wJ6fXYhw^q1HquFbtxc!F>xq
z5feA5#B_K2OBq*BX5*M@euKF;xpDd%G3{v_7d&6_a5kom!IKNEO;5ls1s7MAJ?Q2A
zSuA|ij@Np(6UH*~)p7s96E4NVoa!;DGi{k}xcyD!x@e!wKam_$FyKK}1HAj7Ewb)?
zoSJrKlEVkKEVrG2N9<vNWHz<|vz}ji02ajc9l*`J5nM16v)&H@a#8#o*ZGL6G}mPo
zC!!Gr_%p@F!uqCYlx$e-^AnDIS&9jLQ)07Ky5k&oKzb_*#fVKg1G#VrBuWV7+i5+;
zEnd*UPT9joMM*&aQ0rbFA{o&JOmf2b-@8yZt_#RW*KwDBX+Y(P0IuYLUw^*xi<n*Q
z0gQufS%8p72K(_0pdK1>en|uLU%Ts2nP#eLk&LqbcihJ5%MYCWWh*_jjaZi8xv9ge
zrBBVjD$WTJS(C^g?^tgn&&oYqnEQf?q&*96Kniescp+bkFsP81=WNHM)IAqw23`ai
z(_k#Fgj`iVo0kd#Dyp7<-xo)9PMXXVsG1^9VN1THvYWX)XAKnl04nqA>*b1%52rVD
zSF9;Yq&yp6`Y~S9Z`|oWe&0-I9m7&660WXS?BAh(MPos;zti+Zb-*>6;7w!1Iw66h
zH5-Aw%kc17Mn(#7L8hPlD43eRpsN|uUfHxc2+vyOFJy^t+GdK*lup$<`=*nTMjXe>
zkt#HbCh(7!*y1U2;M|1POfJ228=$sfYkt#Sef80-sSWC?7bR#sI5JdyGd5*ZwEO%;
z{DCuJ<$|^GcIA+Bpv4lggf3z5`mu)b4ix!GkUmO^!62o1D6_h+QbTVn#2T7ox+^1Q
zL?<%A(PRBxYT(V?n+ZiTj!zO<@sEEC#Wg%8`F_rvyF)8(YcPH64FE93ZQLNC&#ThA
zL?w+fE4GpFv!YNMJ2tMW&Lkd5nJ5X^fYfZvF9x;NK48;+0#}d#HD|e5h_n&@s9_3W
z%j)W4vB18jNu;OzVQB1KLbbFC{c!#b$9t-T6OW?r<s+mV!dgG!%$I#EJa*dM;QZD*
zDNEk~XCybofOOV_&UKtIqa33aEs#;-tb6bqcemoVA7Ggi=lgtP8U-AZ<xX_XQWIvx
zjdlF90#u=Uduo0U__)VoB0ydk8e9&{MhyAL-dVke=GHNg8k6N1fD#z}1BIhkBVJ4_
z7tb8PK8r8oN{T6sb${|i?Z-|fI8sQt>z*AHG=F|x?*|LahM>&%!&^FF2@+1DOdk-+
zcdEXKDz#)-bMh*8e86v)=1EbfH;d*Qb?4O}eM`VyKzoSpy!|f=&aw;Qvp`7FMdif#
z5`&)wqv-zdF{oJJ6lIwmy#<NiTC$>DiOj|ba%BL*Um*mzV|yc{OB%TBn|YxdTDSBG
z9?yeJ&6Ybx40ezFL(Av4)1DmU?Y|>TJ%wxEsBOxD@|CFKG&fQuZJ3KeKy&T~wgDGO
z$<&rb9Nta;@6=vsaQh@PMVZV6J=3dvEQ9hzGA}x3ps7Kb_~{%V^`Ldb6OFs7R<egy
zagVTI5N|L$sMFN2ygo=N!HHGk@|VU@u3gbc71K?B(z#~844*D_t+!M|40qt>EinWk
z4P%-N2pd**fxa_-4MeYz_pPC**v8G)a*7nvM$WfASt>!ya9r)xX7nEOc2AAAor7*l
zL>stK{6b4P-v6uq^n+=P+<j7gQ~a_gcR#>;^vQWWrqqV*29pi&5W$*d-P$RhTqDsq
zqsbCzq4k|@#twp_1*sA~gbB4h#XN4<MC)E7Zfbh~8}(Q6y;KdanrH^l`c9?w05CL(
ziX&kPB3^Ld%@57crsan3m*C<48SDCnUu5p?P4?Q*5}oKk)8^>hZJE|$jS2rP@S!O{
zhn5gK^4Ekeg%daCNkNcusYkIJxa3$IX#gi*Bbo%&i$w^5YdYCwwup~w@%%*cE+FYC
zdZ{F}QcbU$zi?c*wzN~8_{krUuaa;gwI04pz>$=9uYl%LRSR7Z0ZuYD__?qmRTia#
zNOdHmAQm(kPPfq)gPdnSh-35Ng?P>U<*<R|*(SHdkV~=ILC!_zV-!(S`Am4OFVQ9R
ztv0z3SYJhKyzL&meGi1VEDMxoF)1Fn7}m|*kc1f=?sfq4@D=kXRW~W;c;`R|y2cm=
z`gc-Mzc+p&5S+Najq#A)S(-17b*mb5c-bmBph)e<5GvRCk@?;qsvw+l8kozl9JQfk
z?G+9~sAsjKRS|;-3jI@`l0ZoH-I<^-vUVty*elq*U<T*yiliFlwb<c@L%ND|DyxER
z!3TQVO|GHX{T&s$Om?6k#Vva$tpl_-z7n6oq~w~noud=880&fh^~JE(E<M+O@J8sM
z`_H$o&p{YOdG&0&Tz};P3E}S~1V)AXwd}kZ>M5fHZ9vUU%kP`O^d4}Q^*=B27lcJ3
zjW5t>m+}5`C4SkL8qZ=9{+oDR^6+-ozn?uI(LCk3P32WZ$j^QY^D?rMJt`|awN9aj
z0l(gU!W|jQUojhq{fLO~{;ry#*zpi&-s+4BALhyoVQ!kxtYB}K!Y95~?jE1*lm1!6
zKtbDfFQhqvBsR8C*=&C|>($tF6kW^e{bm}h=@Q(rCA2K2nRzj)C5D-O@1yv9U9fI-
zFD?27K67HeBOTraDzG6wBhBdpk4!KJ1=E7g<c%!^3N@jKDU}~bE8lPpB-fwZfyabB
z(Gs#B5D8nGrup`+tdR~3XgRu-H1pEQE`Dm{510S4E4nzdysTIE*N0|%#x5Ei$=I}k
zIU<E_F>YZHAAPiFn$=PKgjmT=(~QNL#jSg(T@3yK70&Nlzo)WHGZ*vtA}Ue&hGs<H
zhvJu5Sk$sZEL)Ona}liTC21!DX0=Zkb;_{+HuOh@)>lj7oE2hgLP+&KPn-vuZGvxJ
z(yS%><aj}*G+dAp$!{-XeV7@c<_g1URVCKxuJt{%$XVmh(jJC)$e_9>z9&91XH9s*
zOw@aq!Cb|O4k~1yI(&~*7Fk(|D9Wemj^8mu_!sR*z&gYm+~S$Z|JzY;S0Mbe9Ye`B
zx1Mk6)FfF=;xPg6yqcc+IHoFw&RrYG6+0El5JSmJr5#7D$lTtmcMRq_A35c{y;Q5O
zpK!5C3_1P-1Y#eSR>O!B`8dX1);6R8Y^PIflW?M@T`fi*AW7jSRcU4W{nAiqA??<b
zB1>ck)YjGCGt!}+Sp|+osWRoVjon7h=7!JL4$YhZ303zV;W<j%!21iLnwhG`U}H|)
z%Sxm$zVrVFd3-+7Y$%nGA*q-AYKRa7;?b$%SoF5OJGoi%tXk8O={NnFT~W(DH7x;d
z>;LUMfT1-8Wcy7~>glzd&UtQvhQfhV9i;oU9hZXCA|Xx$%)6$rWM*8FSmr2MCy+O=
zeeq3jpR0wWSE6XT*4~Hrw);-vC`9G`j1xQLrNz%_2aoLe#R0ybq_hwYJYW@A*ZsKf
z6ANh|?07OysgCHGlfe%hV@eWx@}EXk8Sq3*h1;4R5KFY?1+o*^JK+*QlrnQ;mcK!v
zJ8e#W7FNJAA{%F_E;hB72gp{6c5Bw>+WGDH%c48m;203FBfQZB;s6B-YzGQP<;0Vj
zd494n^301Bx|ieBr2k=h0_|kWs@%|jBJ5u^705>v(QvZDRNfeIaRTRzAG}BZ4jJTx
zkXd-pCB)dqj|FmN;rPy^=e#;Lo~&Qc8<4%8T^P@fSkps)!W!L6++CdRHiuZO2m%ca
zkujIE8LE^|@Wk53Cc%qDQZG8HrF$}m1&2Y3kJbSeDano&*~4hTn*DhwmWStd6<~hP
zBOy&Me%wWDg$`X*MOy7Hd7A*^#W_*4f%IT?ZKr_#BRfMS{U`;=!y$H)5ZQl8cOQ-5
z?^VlabgVt?{fhpFiU*+}3sF^|7M0WJy;>iUOcunvZW>Af!F?u;bya8mSHS3IS|r|e
z=e`%drz%_8n`@3QC`gL?;*Q;k)E3K2t(a7gY%XJuX^Pua<WZH9S8r4Tp+Im8T<9>m
zs9W<G1x9UCz}S0VREkG5z+pXlj5-a^>uAA_2;LkjJw5QLzeOsN+BO@PH>{uwIhO8Z
z9A?nBTC%^y&$<mtlH(;H8IW6n`IymGQtyzFpmMAg6<UR~?9o6{)+P$&cDm*P;l*KQ
zUGwYTlKJy$8>ob=Bah&F)37$gx$%HG)7EK_l&7I*>YXuUSL~^i9jf4z5m(Z;X>db}
z+qG+ne5n!i0GQ->dS4taJ>-T7PFQ{uE93Q<q_UJTV~9m+ZS5vpF|VsU7po*`;GS;x
zIiaW+S+lg_YpY0-j`C>xa=>A7u+iAZtydV@e!{A@DWc<>9Y`zD!zV*Z_C!nWfCBIl
zAO2MQQhk4~uwqx=<vvZE)+<nS2k~TU+JtQ^90-YFvSf~dHQhR1%U1$RHn7gW)@8=x
zRoyU6Rvy6VAoi*5{V8L>EQ&6}d1sBT<M2vF|8JZ1b~cZo(Lh38W}3->c!<vlsqPtl
zc1_#u|0Reknr%D_n7nW*W#s&7-F!k*t{Ckev3f|HxiO9%y2M74e9z7K5M9-f;)fXI
ze$vjH7Ax{1#c~4(L?J^=<+N>);j^HsSQE_E*aeX6WkFtSaX6NLktiS0u#@2WQuhdm
z!{jkRcOGkC+3r%?%+Lsxy?aXf4#~8yl=tuX8hHu?^Bv!6T%Gh^pp4UEXCHLsDA1cN
zc~7AVy2mmAcA*wp=5U2Xfmy=I4h9gJFJ>xd#-5&1Hs}>}dR7R%ydzFvB`U#cv<?GW
zjDA0;3f2Pa<Lc7lo@Vbzpj9iU@@Nz<v#UsMCAqJJOa(!)#~>6};=2&|M2?&4;^Euh
z0-beod|VO!3)r}kEr)EJo*#q1rCqqYa4no4iLoT~<JutIAGrDao^Ext$W_~G%RsG*
z{>8e<X52p5E5Z<dR>K&yNDs?0;MlQLZX)0w+JY@6U4rt~EfX?WvzpAvOuv<~a2qR7
zUO8m~B-23dKImt1%a6r9>Us&PQ^~op*z~&#^!%!wNto@4P&<?34`Ha$qrF(xlU2k?
zwt?*3X`WVyAjLgOd~6cvm|NtyHf_a~^9)|4CZ1};yf9_i>!uYqgnd5`Y4$?WX4T~g
z1(_2`9QL-_v1s8}qx!&9v8CE6>=`lybM6VJb>=rU$9ja{6j7Xz`nQuxafJkU&FYB3
zc+N)y3U|g5$1D^}hN<&X7!Bo|CTFa{8+Mmx&DmWA=vl}IqtP|YqX+~t^wCWQxBDQi
z+a3gixf2n2T{V|r9A!+=4;PTrc_(**Q}PI*QLR;fhHwX2vI&8y`HEz-@eWt~0mrS5
z+0f|+9DE)rw;o|Y9waHFCtk^i?RbS!ul)bHn9zdc&ya#PYw3)qy#t2Ej}gY{!u#Y%
zsKOpxCx+D&d3z2LDbJ^>j+nQeyC4wEb#s*$L&8w9)6L)l2%+W#^8K6{(Vpj$U959T
zbK$n|xENbf3_ykbKI3l>D+~qradx6G{}`;=g8LRfkqM48AwjhiC5Cscwb5%aWbE+|
zsBbMyk+&)CtZQQ+w#731oQjWmizT!?gGA7$c!Oi7joWfwXkyN(g+M4*n$%Z!!+HB@
z&%s@#j$@+5_5E&}!Zx_7#a(`3>=l5GEdDs-Tn!+6r3v)sSgGMC2>bmgDPEdr`f5nV
zHX|7d{{i^F*SSUzZyh3H2>&JpEhXL``jE_xg2J^Hzc5k&vt<+z!{V%&1`gZwCrM&x
zfa|k`-Ah3Ctb<HDdWGZ^vp$lWwhQS7C~|Ewtpqv5ziE%||H!yxf`du)sz$2N4hZ38
CXywrW

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32.v
new file mode 100644
index 0000000000000000000000000000000000000000..8376a2aed76ea382552fb9890ef74d767d707be1
GIT binary patch
literal 11448
zcmV;pEJxFe6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$@&$ORpH4<nVJ2Hz=<z^oT7
z(o)afe+r1=bqup2aiorNumIAKYj#1U=tb6ZqZ$zGpM*6`TYtDs>y3cKdGM7Uw}g3D
z0$C(`M?;HoHx_^0pSci4n=E3`>g!(DZbx!Zeb(33y9=&6Ci*dx<1JOnC+nxrLJzRg
zNnyT7@4M&;(Iiby!d1a=W__}Mw=!f#)ZmklVm*OubQInD_H9CF6Crs$2(xMRVR#Fd
zp@wGZzwOKgq34z%24UaJdS~jYQ$QhQoQ~p!ukuiPd)wdRSi3Pjr2LNRJKGp}GQp~t
zCDklbwgw_`=JLsv^?K?sdXISSn|2dZ=h!etnV!a=7K_PEQN$n7Ezx=KDhYjEs-Lbm
z4%#hFAyBgjR^~9mxW9T+ko2<|=I-Phj*3sKpkb|}r}Xv_05g4L?pd-!zFFy~omosu
zdDg7j9OtM@RZ+`^cd3CCt6D256ZHuz%+VGm{`l-)9t;b)l!4*dxPa>XIxpPIL-yG>
zV!X-m*E;?PX{@5iRt!m1WHP_QbDNa)C;$-O2@LyWzds$@pApa~9a=6J4yAX{@Aqny
znc&znL=?WhJn=y@;a!!q@BCl7@G6EfQ^+{8^W+08OI6B1iJMRNgLew$N*6_&*PWjK
zrH3)!JZ?k7x1=Cp({&i~#mz`hta=Nxnwh}3O};ZAw0w#iAdg*!1;)AMGCn;Vrbs5f
z%zhJLjKQVyiykm2D%<}mr5}&KkXLC-cq^TVA6Px^eu&Cbo=BlqL%v1ZMB-<U?YUiM
zRrFEd3+Sq~dojcwLwW}b2P*-84Yc5<Ve%`4GiTqlki_!Dim$X-Y}eAcP2Re7ee0o&
zffmudpSIM7B}Cx#LX}C$evvj;Dj-mZ^v5g0#+T&>45uQea2hV|`E9l@W5Q)`{;35)
zJ4`tO5(b!%Z6CXQc?*a^l(ZRNpx|_iSslzUpPNWfzqKu@pLv7qc7n#a((5c9{-SZ^
zMOYpciEhN8XfN13$>G55{PaC-=dTTFQVXqwKPpVL{UL4|`a119nDHs{fb*5gmMG=%
z&^QC5ygr=h#BQ@Zc};0?K4*4#_49Af_jjpng{y*k?iVh}H=I$8WMIksY7;skyg_7T
z582mrb)NXKnH&7g!VcL%3YG=sj+%x=qqZvXv;FhWazkExV{y;dUX>+P#7=+LHgt*4
z0`%9KaF5OU7jv!+ZItI^YOI8qHkT{cw>Q{4rl39IcvEz~e|6HVCLO6S`g^tRr&4mD
zpg_-Dn-%`I*SXIM0M@(~(6<YY<98Up<Q~Nsl59VZ*$0#e2U*a;501m|c`i6=xOEgx
z46iP{^CV$bm}|mGy`CIl!=Kb=ITuGdlr}D{GBGfq^cd)Eci&+cDbZd$Saa*mc^ML{
z#gj&nPtg4z)l%VIxUFT%bpbxsGfG9ljOIn*WpFNG;!-+L3R|k$1eYF0shd2zm|V%q
z0wAkNo5!i5=VQ*whXo^LR|M#>tgHV)Yi8nTNx?vQ#r?KDuxP%8M$cI-9!Yl-x!x#$
z|3CGdL>cvBp!_}7buCD{QKn7N;ilkgZejscF;tu7`&mLBDV+lXFW@@=;AU$w4W1I=
zw+qahh5PU`_>cIPUR>I}Wz@iRRSXjToCcSkeIm|-=QAV|c0OL(R9M~=>BY@YJkmis
ziK!o^JA=-lc#qOTkCMhz?!EGUOvP-qqbih{fZ=+!IJL2_7jtroZ1$iL=#G;!TjYKs
zQ23d_wvZGq7l|!+3kqX(6q(}avklv|!2xVYKd91KD9ycs%h*Nn?kZ4#PImXj4pdXa
zbtC>rYH`Iv8)>Zj;#Xe*)};XB7>rJk+3n8>vF#%n<fl(jdiH^JF)9K`P4#_>Ncb{i
zju#SVcPIc$^X*2-a3*}8=x5GX)pmA3WRF$Ca##wC0$ms7fatcy`eRb{8pp|SiS*;X
z!2&;LMZ08a*T?SN$p_TT>=z09no!Gl)N7|uC=^7X!Tv>IX|%Q!Lh3QE-axp+h@*k8
zTd}xpXkg9|w^*&Z@SkZ6Sif^ZhZ^gm&uiRaRO)=1fzxJ!!?eaTK2+>Ot|7;zLJj!q
z;}Oc7bP?Fw(STi8EVEw}X$;*y2|=8k)^;jb45RT;AXI$Y2g3yl09zwIA)Ku<0f2X#
zKJ*DhAMKUh2()5`!R_Bdr~pU39DPuS;FV7OA1m3u&o~3RkI3aA6Y^ovegW^7|1`E$
z%s=I$iWvlZkH6>O<{iwlox+Fv8D3sh2w(eIK+7N$#A*TUh8Z3)JO!%`1J}3UaZJqt
zQ!p8z<{lnbL@A>NKn-0MB@a264y$4|(=q`dMZny@RJ{j$k32%7k66{<iK{TD7+X~w
zOjKi@0j7?jXaG;m>5Xn+3I*(6e~^q)<SoTd0I6VKmaQ*79`E)&Bi(-rq??)Bo$SH)
z%JNE)EhhQLVZK54D$y+)KNo4X%_EcYU8}mst2}X!a(_wZQv5jp*k~iLfZljbFp)rW
zK8|lRmpuiGdcpna0jpE8!q6sKyn)9Qh4-8HbM)Zy06141TeF|*FsEN`JBqv5gD+Ma
zyJk5QWa%*{8<N%ujVsC^rW;dW>jod;&%v{}Q*^&K*|u5901eGL;NrN1)nVtM7XsQ&
zPotA<lwGhQEXGsCs6A`c8|f;y`-De%sbk&G_jq`jSSh$2ol{AX$I*B!@rdDg*4wwj
zV)X)zNn4|4#w8-p7;gi%)n`ex`4rD4L8mT%CRLL=n*+&!-E+~yDNz1_Q389e5Yf*7
zZg{jz#8>>!gPX+0j(DZsO=%{}Q{jGTO~VITd*YVbp1jt-Y&;-8#9;z6k8HstYZC$`
zIPhEa03H5_|3;$n#~V=X$T~u6xPA}-?TT&3*S=>xy@7laL<7eqhO98L<`vf)U;$5+
zin{u|KmcNn11nqyw*%g8ZUJOw!-0J%Fm<7#Q{ZkbORkpvOzD?=Nn>ku-ewX6jt2T*
zek}9_4HaRUDi?W!vjqb4P;%kTB{?{7monOjGNLv+a90!~r1fq3Yi-36<tS_C^}px1
zv<RVBy-%IV4XWQ=+taR-u;!T@on}N%rt>G+5c#r#Nbv~kJQSWOcjXqG!ZrzG!c+d7
z90Am)u^JVgIu)jfRQZLXZcb`-JG&v+xXJm>8`_>08j+c0M2cafhk9yoUImX~pZTZK
ztL!UTV{zgxH1f7e$GHB&R7jDqk$Pq_Ihu=QfFq26HCS=Zv0-DHF<!^v-?#$SLFl+f
zNimix@?%C<<&hoj=rcrz6oJM?Lc8_Jp*Mz*s%&RtND;o6XRB=lp0R_TYvu$H1*KgQ
z2bp#S?@mg_kELGAsgjGH0vPX?s)?gG9k651;MCwQ)B_BK6Ij5nzGdPYMsuwlc@0Iz
z32eE%ceyCx1T2>_hE&iy<;vcW&qfW}GJ3yDli^AqfiVH@d#_U~+dchR@uep^<2Rq0
z@^TQ{*04q=-|#86q!vOgjz3uOhF2;9=oxGubYNzF1vh{>+@Up9efG2cn@Rq(W!Ct<
zTs}|O(i2_xfnrPrxZCPJj}UT5#dKcxkO$%ezb0AWRlO+)fRh7-b;kwLZ#mQN+C&I3
zfil`(;g{~k{8>RwI{N7TFjX@)C3kS>2WQRh3T=TJm;Gk>AUlO}f0mT?1`S6|{<^Lq
zi@8n!(04M9Zfo8^`gC>WSsR4~h-_5y#eawegY);29VK<zxEY>diWcezOQe0DCO`tb
zBgi98tj6k>P|=59^+yT_->3m9_q=Q0Y8#XO6vL{N!C{f&`~w3V)RyXWi1PxQ_zw%(
z@sc%)4S`Jqg_CWFwvbbp8jDMEyH##N5vSKV+LMKy6GE|cUhUhDXUMy`9ds$jXoN3B
zWv6iXT?7~Yemx69I1ac|kX2@uaZ!A~Q~9Vmq$`2tEnUDyaQfny_^_K|X&f#IGb!d?
zk1`Q7I+jq6x&%=)G{ce0$sbW*TnGaMQ=gsvS8F6XThyb<8F02A(@_|;-s%ZD>2H#s
z3D4_{dTl9HWfAaWMcn9kDLnBrXDU#IU&{xY_rcbf`{Ra-o!gV4OHcaMII`RHbTL~K
ztKr5<RbX;&`(}|##&o;=rT;mG?A0;<woCeUbl3~Cx-n~d*yhT{#yR3<Es<%9SM~6z
z5aAl>bF0m{RKRa<Q1GBcohym3Y&lFkq})30J_aS>-Nywxl()UNt=Lxef|d0Y(<9#5
zM?~l|HA3MglEWDiO8hElp#V}M;b;vrb~%L5+2pna`cfBQLuXWdGwT}}^EwC39$!zc
z?z$AU#LPN}l=h;O+J1&zZnN49w{W!d$ic{(7BN!nE~;@1;<5G#olqi)8P+gUBT%3l
zj{fas#bHpXQz5RW-zQdjPuke7fCgF`zMAhFs5lYv*V^BAzQki&1jdVAPeuZC4~CwP
zo<@GfN0{?=1Und3lo@=fe}|X;qtg&L4@~^@6tn)+*$AwZX7KwX=mo_l$CiIhuZh<4
z`(|seW^bO)HK_m!oX#k#XYo(aT@RHM%9~?Or^2SjNieK+bN!2M%QC9eEN$sgtSwzT
zcUjBK+MgrVu49GEB2xhKe>8zLW(8-g?4Dd_7z*tz94DY(KE@pDC+4RbHE6cJ78M$2
z|5eO7$T_G~5%+j4h;2W@dqfbc8lAbZaF03|BWE(A_sqS}g^oSvY@f(JWJaq@wb+Jl
zA0qHvM9;(>l+33A*<2zi6bx#Z_o`cXPfLWjHT*sU3Y*q-xxf2#3y8wA%*w%GI;+nL
zGW+{O+A$I69%;*%EG$CBj;w8w(}sM<lt}Nh464U&kw0_!z3e9{m0@X)bYWzz>b{N`
zgOdWOzB#6vQbrvFw?T}mXi}EOmTu=4A(XJ^g<nmBn7i}wL~V3V>5pPJet?oj1?*QJ
z^A%UJ`J3R9*fmrJwu`_yEACBlX6iN5-PNc1P1Xvf5#KX;U&`pL$f!3z6j;mAF-fpQ
zL6GpKM>>{R%zu=LIyhhwvXF(5AuDAH6&?Lr&dtV(11Qz7>EbrYf_i7Unn3$_@-SW=
zP@kSfl~^2Q3i`r9ncc7nRJv){dKX)4j}N(YMI$tUk~Q7t{__O3UOrBD^gY_gA9y;o
z#}RNEV14$(3}tF1gZksn)yoe_%0vqYKbc`#C*3-%oVh51woChUfx7<kna*3;X-ZQ&
zDA{t9Om{N&UR@^~sKmDKX(SVjb(q+pE|=)q_o0^k86qydxE&>EHFqOHx7ddjBVx<e
zDsM5|Ys>m>;Xoo${imx~#+(ozW<F@aQC_2Pd#vHhBhp)1wIJOCfxy=%U#JjcTmIKX
z$8f16I#ve5X*j-wP*^a?XtP*N*5rCE{kECBS$&Lsi9}?If~j9%jd78`*IxbAXVOfN
ziP9_iB(!s*XC~uS5tm|oIyef|aBU<o(CnD;3(mdy55it)`MGn~#y{nuPjeC-l9_Hj
z-@UXA!J>fqi~^W)EJPfTaj=e4>XNj)L0SDKGCmwC5A-BiJ}ardjR19h_@M3TP3*|N
z?TJW3wjJbH_pRy6rafpdURlFJY<EnHL$DjYyIwc&B*3x8b0WVz0W$D5^P>T>><=>g
zSNqDgib9}+fR5%j6>p);J{Fz#Iv#O{mtFXn`lQ~Gibz@yRvCK0N+x=S?i7S2Cr|5G
zoDo%iVSueqNFsF+*+i9?@!Y4KgQb}x<hX}xEr^k0{+sSf<(+>T9eP8L0IV%Y3ZkO0
zb9lt&Nby*P2f|BxWya_`JzZB97eY+-8cW{nCdg}>X%Y|@S=%~XtupnsthAwlAFecs
zbVx<l=q0=?SvbbcPVkP=rXW=T*K<b}HE~+R@AQqc{ZIF^x%n(6GYH#F<#v@-LlP+y
z4;|en%6-w5e8Dmo?#*LldjW4RVIaa5|75o&ctbAOOlr;E@|e1&fGNZ+8lDK%XcFSY
zJ&od(qDeHFe1#MBm)M?M$CCwaAk35e;zlE&n$3%_!N+q4;MwNa!+}W%3t(e*;I!3%
zVvSFh>kHtABM&{BWkc0~u(_ZJ68mhV1})2El*QdIpgt}OtsOSaW5HF3KY4@ff-p#o
zJ;pqsxVviAB-`>uDIs(7D%Q`F`VTodl3nbqep*t#`u-z(Z&ghD`!AtIqK(hyp`GU+
zenmA7iU;I!0bS;is)Z3}kFA@T*X>jI6Tq?jmK|l{B#pC*h7Ggh!2?y*76VPb;uImz
zc3j+1j?+n0KBOH}`0<gUry;{u=}o|q>^g0_Vk|Xt<K8>DO0fYQtKBTL=T?)4V_yco
z1gnm!434N9XdaN%DlD2_)uHFb_zgN=+^6l`;Gy6@w%rN5_-uwAhAqao%Ic`&clAaY
zL`U%itc%smq*V3LmVbgK+n-{fYS_?~JGxYGsl@dzI6MbLF*oceZYrcJWHit)Ek=}(
z@83E=0Xzt3(ZGe)k7Zv*%|rDB2tbz!?1%?!>STAEEzFXwDL(KO*r{9V-7?OA693~^
zFP}xEpD4n2;k>ou|94t;3b}PaYY-E+u-jUuf;p+&4e6YuRPe(d?Ebz7An3%}Iao&J
zE)OG|8Nui@d;c>b5HCtsl-rBT+j~srY}@hGhZ0>zM?kvr*I)^V^JXwLdmHfsj(zv~
z<uDXG+MZqW0!Qaq%+8L6u?s0Exp#s^;HGEV8@uBJ^wg@lvpK5*#!J>tyg2|dr45(6
zNAgbeI3BqhBeZgTXW;)l#rd+hJJtVa(AM12Z&zzVW^wSYjQ$S6peTY!zS-@0s}3Gq
z!yW0QoNzG(L^SR)|F|%~fKIt&(okD(47Z+7{)}?aMEP*(n^fq<e)Om}EYcX)DHbIA
zv+DuFyj}^mVT836;z!7)fN95w*aPce2!$`H0<hu;$Yii6hV_q^B%G^b<5oY{co|xF
z`+|qaj5t1sPRMd{oE0hL`9%vrRbf|l{J}xmTx-F_I_GWp!y}virZ)G&gdpU>S3fnR
z_sw~2M3X{ack+EWK?R%B1Wbzora9yq=65nN9SLhbF5{@g$6o6C(vA_Tr%`B!HVLh_
z9m?-5FSry~H2gi(t_#p2rhmzU^%7V1D1}o`#l-FloIzz{f>=_Z*woYjI8$S0Qf_PT
zXy8ov0ATx<Cg%J_a>QZbMJk|y^7rq;>;U{@7M@u2Tz_L)blQm$XvCD&^s(ffQ<+KP
zB3ePJh9p(LCHx4C;d{ycstbYle|c(&_Ep(IdObLeeYMe1y8NvH=fA!CF~TkLN2+O&
zj;vlwb);E78$h6~W;49Bq^|vE>ZlADNIjooB?mdy<dKUUhw~2W1rqx!?jfei*)pNq
zR7N=-f{}&4J{g4JL|+~9XjDmY0BTfagHR#ZMj?#h$CgrZc$hhBl~pNeRlXV-YOA4r
zuB1}$cq}t$I-PakKyLL9v#f{jtHptNEGNM^3I~$im#lte5tlQyx>7pZFxTK@4-?zc
z5I22C79hIf3RK>S&iN_oDIj5TncFsAE8o;6LdW7F;M4cLtdX7T!)b4a%KbggIj3q^
z?cX=kVyZsVApHLzdVsLd8%~_u2-y4vJ6xyKCwJ@@W~ZFPd~fw;TtH1NlXaFT5G7R<
zHN^py$CaU8wiL4abhW<iCgngiMxfZk|5w4VI8muD7fjSR>03c`1i{FbfRpBzZlA?0
z?vp4*^{T@|9*{@1_Pp>%HuM9YMD}6ucCF(p29d~y2mM*ZOhBi<oaz8cgh62us{xYl
z%(?!`UsZY&hb*P&r%^Xbr4NIWXT>>nzbvln=V;R%2O~fHeWhPlY9eII12@zUf|d&(
z0wfNT*VFaq22d)Am?363^30JjmcQh7V22(B^xcnZmO|{wK&s{ZT>N&+r}Mg|_Z}o}
z1XULnbU)zIcQAH=o6oi~;$3OkXgfLaG9DNMLAu?OfFu%psdju3s%I}9YB>gsHvT|&
zy}4?}ABB}{-56K^1g|Z!aiJ1kzCRQQvc(0IhV5_N>z%0DMR3iqUecxpNnJf4ZhXUe
zhEF<yF7;Uhc<Wb+SnIwk_5~~6Fq7mN-o)6|9Fi9=jMA^_13_3SM1ul(F8p4cMjR~9
z$bcvEpXZ%x6mR<=m2~600xfUx5!%r$EBNEj?A9gOpi;04_@9fY(D?HAX{$D%0S!2;
zgm7izQn2v8GQ$iHZ90o;!vu!!ukLK7N`}908H(?z1p~S-nz`_c#Oaw;5;|M@sp-OY
zWGWpgA`<%|%IDzQ*VfnG+7^ULapEyH6;bpfgB(GQm!*(EKe10DX9JB@8<?5_l+|E>
zr4dw#*f;d9W5v5~Vjm|A>tVTN{RLM|ZMg-qV+qi4aVyoUT7i0(r}q{`+flu7538n7
zu|7WKP*oE5M_Nr`DM#G1=IJfqoA%~RuJH_fB&p)<Qf88MVNo(gy(=3wlObPcR!4ep
zK^f_oh*{@&rwt^5125E|V|IGnz|CghH~i*X>8hl_Yix{8P4Uv)ebzD~Qb+93qn=A2
zRBsF^$5elbD7#MB;?7dkQZG?8dQ}Z0<EVZCv3J_2^3XGh?)(Kwp8rU7kLOdMpDh(3
zYm^~~gR<z<E1%kNJsnF|yK(^)Jad4~#qN$7c(Tq#>b>y^nsH;Fs=>e@WRl`P4vX@8
z1RDoanU)R*QPmZnES_rmFiJw|KPW__zgwoy-rTkAs8<evm;S&q+!4!%g70vwMPvwE
z7+?FNM%OR4#4fcyG^hY4H2^SSF^yOVXZ;>X`7V(EVzQEC@1*+ts7{a(6}lNp09;HI
zF`nWYG!p=k-<<;BG6bK36%2%?iSl=UGglZNv>df(e%z&cNb?LfEWhIZc7F&RO2^HK
zzRPR0@9kJ>Ikjop47VjHHB&J!p@K~ho*W){I}ng@^KN$qx|1Um*urb2rSMb(6}EgW
z;u~Dmob>L}KTmOR4C{AIb8^=xg;cKYzk3QIhwYF<|E^gso(f^RwO+SUm;uDy-w%@l
zb=TqIK1#p+ZQNwYG){Qb+*8g{5U@|CZ5FMFAfi8AhZO(FHVen~QcW1<A^9kupHVH%
zFr~twaFp9zdI)^uO+C0;^2Ak_rYBUoLZDvb&I`WAp%0HMqj86Rtt_tOp_gLMt?P`!
z{lqK`x->T%=_X8R(iu`*Cm!!}9lY9+mzhpSB`m3g9>dUA6SlkhiR=ClnvBxn#syCe
z7VWIrh^>VRJh&S$iIn^Rf1`09Us9#Ke+WDv@tHAh?R`eKqdrwz5;Ve!w?7qMr6qT#
zTZbKOcFyiRRIP!2OW6To?kcK1W-8j41HPXeJn)-pl`0BnNF0nJ3EHn{W#>2w1?ElQ
zZS|BzXCZ~rhs1vX<MVj=@YZ@dNWZ&UDq?Q;#Z_dw!8Rn`moFX9=lwk_y;)&i<75l)
z_WZmGoU;Kw)ELWY1<VjCrfQBKN40bWL<JTEbulYghr}pRV6=PcVbhV7&2=U~ka&4j
zKsV;2URLEn8(sW?v@1cHV26}`2A<Y-{JiBce+vedu-b4+w4i}eN~2ONQ-4c!<27|C
z%_L3_{;hL`0g=))`pxxR2lfbv)yq>eR=E}@bboQqS|l>ZJR}dcL;B*AU__b^h7#)b
zPd+Ou>r`iH;fYS8N2|Ua-X09OP0*7ZsF(}Kw~MHp86yZoS72I!nL3ClqaH_kuT60Z
z=A_FhwUB1#eyb<|9(zU??-#WrX?fo9B^7EG0j|LzXgh0U)sp|wjA^)xHlGV5g%0A=
z<JE=1rnxm50IH`<LwKVh>q9Um6k)#@!Ozx9Ew0+i4xsA&vx%~%CuJeYgvdcWZpI4)
zLEJrJyuH{?p<?#ORPW=S&NoW{gE|_-i9760Pmu4v5ANF~C_j>|tv&}%f`*^0Cb}=E
zDMOl~Gr;B7_<2_8Kl$6mR9)s@bvh9kF3Ay$+z5*sL2y7V7@2XjeXUnLge?a?PZ;PR
zuQ=u$f1t$-PM>Cbb}mGB>#k^#^W{>zk|sOvs%~<2oPvGt-45w)2Iz(bWej2x0j+8G
zZR;p12$Egtyc=HqG^%SBsK9hbYo2z`5E#^I&rD*{XJqs+)r968CD@q+*b*`2d<{pt
zt`|O&)kL^JHyi#zKZyEB5KR7Vu3!|Q20^Kg5|KsMFuVN2PD`pz+d!k__9DzKtZSM}
zAASpP{_2)n+|EZVA>$IB=@5^2)W{%!B_&{Qvtvb?b)E%FHv)uBc*V7erukTZRVr-(
z)7u}d{ccviC{e`7cDj50wBIF|R{))Eko31E8Jc5t8#R%8?>q;><dyqF2J@9|##{KE
zVPwUIUOK*Lj99UEDx;jps3P+Y^9>9o67tpcaE_mkg9l6=PM}^8u`yuVE-O6kEX9@t
zgl0skap?M`WN{XB0@&CEEM1N1Xf@^ql~(VJaw`@?#ya*))-Zvi;)ufW(n}xOb2NKB
z#Wrj#BNB)+-?=qWm|4I0W~TBNZOE0p-Uj)*!^4hqx9NhP5LqI>+W-9SGEFmu<U53O
z@`rlb>J~?F$quiHe<6<E`=$)}rq^f*^F^ACr(H~a4(Dx;CailVx)vM}TM)3MgsAaV
z2p@`Y*(bqT#=R5ezz3X+-1O(l-OkH&)JDo`EDn--M$xtbLmH;8NbFTEl@5*>>T<6d
z3NjzxfJB(t&_}}ulus+HLdm!2*W*6>bL2_Athy8H#Swpnh~mPXODkG+@5iEZ^(S*<
zcrBkv?@aj2zJ|3Fkz^<V+L>f#EqA-3v-ni=6HNHt(5S*LZP1-VfMVlh6_9E_KZP^o
zL0%TZ3Z~>~Z({AV03{7oAEq?tQvsow44}l)wu76J=PVQ~F?w7jlQj-U8NoCun7|w@
zllz1ObqO&+o2?-{(sGvN=m?Es##119rcud4KJo+jG#qbT#_yn$NvYsi!)u-<udHxq
zKTm?;x+_jjhpa7#$!?xk*2@bI2bp|dScx~(w4*x}UT(Clt1XThE_7cGBr7g#adQ_q
zBI%96U`ahYGvv*o9@#6y96)SnS!6F5y4izPGEgFuCqDRv$rd9<?i|hzZE~C8%-ZQt
z3jq=JVqy4KAwP?qsN{_#zWJ~qrPKfvbdxmja3Tk<u*pWz0iCP4wdnC$DpiTpvchuF
zZAtQ0p~})h&0T6op8^kQFSl$v->ZWd!SiZ@?w{TlrSgxO{$&AP%r7=jhzJf53!-}b
zgde-5cKijTpcQ{pOJI;fWyFTU$a>Sdw1ck3JcC}_kw;YLQTJUx+|2}{_CidozO+L<
zj$N)xjcr}lN)D4})O?G{HZIanss(h)=)G|Iy&g529%3);Z(X)g+0qS4I=Qsog?CDm
zEt(#JL?DHD6l5S-#^oMg>yPa_*{$l)vSkjmi5BhvhC!t<U&_r1+4-5e6JxYU3iTTJ
z*0XfO&NEdxI>SSXkGt@@<0{KkZyvjrow3WOlBIJ>eaX55-{g-giSq$0R=!LO-K)S_
zJz;c9f`!=nZ4!A7I0Pxe^~*>do;)%~z<gCFIt%%rwCv?05L|Y^tf&kOFH+vpR-d6H
zkfB^GyHjb|D*()|e5ih;c9_OAxm+T;pM8{A%aakIZhVtT;*gM2`N6>VMo?A#)3*B+
zem;0Zu#wE1)}$f*)&$NJ&=)8bQMc=yprSZjrvZtcdU82fFb*1NZxwXW7WzD~|ELS*
zvfm=S=!6oJ)N3bml*rQpbhF^%=n3a&tp717YZ|u39@pU_M$Vettg}^)Kt;{znV^!W
z#+$YnmcCV8py`8q&RGFz=26(vr9W#iI{a196Q=$0CrWQ#%hTG`4CArnWn1X|*FbDm
zo5%u_MsPo09@N`$IiIx($SB4PJfQR0F@=tFeUpF2P3`39rv=(qHXJ#eVY<e=wFEaS
z{D;0{uWh)h|F-Xy9N`8WbKx27BS?ylmH<2i&ov0mYdgFM{)61<^J3uJXgp6?kNfwf
z>YA`Ph(iYE%z=Z>UfTQWewsWJ@s{gQZtLGh{q4wlXdonXFr2|{s#VMCrUASML%InS
zawstc9DDXU8-nQ(DHS~v9h|H3A3jJiK^N#iJF)N9mYSph9--H?vqw)ceyy(-XC#Dt
z&#ClACwpN%r)Nk7MuMQN?VLwq4T$54Oq5GnS{sN!q;nOl?8p-Tj!l;6vzwUT?HL;y
ztwvLj1VvnPotTeqA*Lkj61fjIPVZ!s;hmgN>M%#2lR`~O`1By0WOxRw5uF@B#J)_f
z`*~l9_VyG=n1{y0-iw{`)fcs`Vn5RWsUNIFyYTb`L`n?^#M^%+)1<D)j(r)OFL_*Y
zq$kWt&L7*Q;$>IS=^GjSP0<Ql<HF?2$nA<&u@}_|wI#E1<#W>0u;X<6ovB-(ax3|u
zA@UbF|8ZGOPW}MqYRfsnlVPp4k?F%R#rH|S6QH^graXX1WYc4pKiJhsK;_sPdFIN~
zNvWr8svq>0R}7EyERxWl@HHT)vwK&#PPwh->kr-{UsDgvzQa_E*?}w5ar|g*>m?1F
zk`9tXWsUm`y*7?SR(3igc-1l`*(lpg7Z&k+C83i@ZoX>EqO;_K(Bo%{%$ViV_=*v3
zP}%^_^1aL`3k9)_q2Nc0hMbKL+CZH`EUYPRE%lX9>ljAKE~C)!z+EXm8oo6cs4z<L
z$)ZASvb=;5#eMSR(`j^w_R1`VJnwTCn@;r^`HLFskzyK$;nhvkv9FTxggH%JQu>|Q
z`<5K~PKaB6Ju6K6OSnVASr|}(UCe2`=kOMH`#XY8kGrZ#2giuZED?T{BaS<78gczL
z4qH~84W0IiW?$>Rv%T@HBq2rz*%(c0o1Y|ti%<Gn4ER?PApL7&LzE1E1vj$b+MY#E
zhmy!O@24t)0f}KJa%hrz>VGb08Omnr<h@vu0;|sAL@G=G(bC|0^7yn691a8bj&dh!
z3P5XR@ZKxz$UWE`1-*g~J#}RxH}yD?f&u1w69VhyS&;;3hmrL(r~XO+g}xI<Fcayw
z@{luW9q0@3+FzNFH<dH7r}l`4Q>f-HFn|IS@*Hw;_+n2k8<`cK6(w%em-d!1#P3pJ
zqyEfe#si?*pEJ=|m&~E$A?&;c7LA*GpaA0~`SPnNB%+b80dOpCT}vP|wI^*=@X+!w
za^s?MQeN&v3fWjV^+<zjmiA+#0&Sz?+Eb;ZF<t98_^tnOyprOX`n&KHPZ~U$mC*cb
zL*2L%U|6wtZcA7E7$Ze9Dc$`c3&GGBb60t(8WUeuW%B34%T??N2b{FI_CLTxt=%f1
zv}yN*?_@6;XSM@$i*X*7N{O6L#$CfyZtb?GxGVS&#~Jf*`%tnW)Lx<E88aVDjxy3G
zw#)@12@YaymT0+L!-+SS_~3w(skA*FuV^h%&_)hx&z4R4dK}UNF`{~gYA`0`p`-J}
z34|~!EPhCn3RLGRJXUh|n91ZGDVs$#RX*K=wV!Z+CpVpib9}1Uo6=%{;L<GDnZ$t|
zXI;CODBe<)i{MrJk2!+OX5@Vu*${-XmaZvR%<X35T3&eI_jN`SwsmZyL=Ld<@y&FR
zsQp$cdfl@prRd>i`)m)}X&J4t?`W-gIp-7kLA_n@e=4@(iQwbkZl17|G6;_ylt0q#
zLP0`=_FAUR!x@oa?k=AdtW_sNujfZoXSASG6;tsI6|&u-j~O!{jp~(w6*s+A%Mz8I
z9E9ZWoo?%EMbI=zr_i2<SUY{pN3d$4fIc|I%8V-fjsN5@Y)k8h1cgh#95oIieg)Xg
zFZZkXxFm|dy7EH1iS)+eVci79l~WEw&GWGb&)!y3JR9@?1w?WQD{QjR7!HjYC6e*b
zrg*)^<(;_WH{IAj@rIRKEmKYW6m-Kj_@y~}(lz!9NH81vX-{oD>z!|!tdlZ3<l-;M
zV<EzB`Hx@F1i{g~mt`hqneKNLSq@;J-03=va`$e1_OdrFkxW)@!TZ1#P`FORwf-Ow
z54(-~ozR=f5%cb)TLW7k;@wDLEj7E`BnL`Wn978HBX&nuy&S<aM>@R;4fbm5O$Pg~
zn(@>5T~v;czZ&qGf?cdhJeFUB5^FBEAE5u>syt?Bfn47AS$68x3)!%pxE*`m-YGbM
zWhX%)0foKSoL=yY*ZReL6GOR_Uv7crt!qSga-$uo2{lhQRM_bdO%t*5zaq`)#asT!
zAmaBtxS8((5Pw^ut4rHKQykK;OwA9Rcv^{_C9<&h`2PY#HY1%ljS72~Z#}33N#>5n
zn3V8<fmCxhMY|?k*_*Q$wEE5^Z|^h=xwe#=ep%*e38LP9DBjzi*L}TO)a#00Tss?v
z#nF3s?(TTz4>N?TMV1IeH>!gNd}QkXOnJkn=>9#bh%l*(HGBn$*w5i4x~R{^iOC>h
zzE6e_47ImOj<vNm*5Nv(BSGnuc75k;B1}@h7Vyk6DZSLGgSk@3R31(t=TIJMfeTJw
z-L6H&YUS%%CVUDEj2&b`%Ax2nJJ+2d)X>sW<*%L_BDn^5ekW_zZ<T*Um<7<R;_0ua
zju&p?7{SB%ZwxBB3jH%uB{QmxMC`0)aUUGcZXpVHB(0|k3eUJU*1m<pVStbshGgVx
za(M({rdY<@uW0Zec_+*;(=83c-mCkrvjG!4yTRJlou(UIZz?C4afD)__;-E%Q>Wp9
S1Jigo9?F8fGINl14^N5d5H_~}

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32_shift16.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_cntrl_32_shift16.v
new file mode 100644
index 0000000000000000000000000000000000000000..2fc13d17af203784495aaa9b9aa6e8b41b80c641
GIT binary patch
literal 15872
zcmV+bKL5ds6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$@&$ORpH4<nWMk3RdnzG{V4
ziUfN4#q$kVLIF$eCp>2)fxqq|gA2;WJ|S0$zhD}5D8K+&Qd|n#<n?vVQ-%FY(_UsH
zMu95MVfgWwchBWfaSs*?ZkpcMkYF(WQd$5*lY6}2ikT%qN?`e%wE7$2>=(YB+`~C$
z(yjCxVx#f9xz>E$WVwwk+Y+a5dfyWfd-Yl$Z)^bnhSxZByZG9`^Z`~X5U>P^!=);s
zbIqV5GRj6c*_tFM|6*Y{8*rpBBb<E@wgt*koF=(75z1ke7vwg<;AskbprnP@5<(-Q
z2=}4Itt(K`lSOOzRf5K6K|YJjN5V4qSiMjju0dh?UMt{x;}3!ywyQ@ykJEPl8`q{L
z5@3~QTZXiQC2fo&V-WQ-ALCDO=|=?vk28pUZ#iXyty(9AaVqe>H^daBZ3o#!ictKW
z*&B-Ts~E>|(9XmIT~A6ri9OsB-3HBr1{8fTyo0Gl1k7-g-hHI@T0^;da_K$b3Y^3-
zb$aQA+C{xeAN8S?CcoCnKwvkxsh`uEcKEhy+%4ODvc-9H>-%PBQ0aaHYKeOq&rDw1
ziO#79+sjfHRnoVd*-wD$QV1V%l3@x3{g!oqgsvnJY-?J|6KDXlLoqAdXAx4jw@w}v
zPC$-Xu__dXB$1FehHURdP6v(qt2SlW1fWmAFObwaP6;WunI`2iGV(~>#oNJFNk%Z-
zfDUhZADDUXNp0$`o;r2x-+4soDN&~$^N88Cr?007?VKSgg4#So_5^v5NP<??zCAeD
ztook9XG4L%l|!u#>_Tiu2)|B2S$JADc_qdQwb9WPRI}9b{cpMpW$5(Y?eUFrlop5^
zp)&%)+1jqG%OloLd<yzC&Q=im6=MM(ZEJ(4f0Uav(cXX-yo1ZgPVM?gF%Jc0Yx=JA
zf&bP??3&zEK>pD9fI*~_ihKIJ`IKNPI<d0Yuo?{iEtrv4mTZWHt7#qJ0KHIK*9}|z
z|Bc)Jk(cF*5uz5Q9NGsoK=^2-{)H(y@k_hRlbyFhX!a&E)HC-Fb2TTYI;0wo>nZTs
z4=2nf)t!GsO6+tACHlqp*axy(v->*98j_XBC>8f>BZR&jOubpDV+0GbT7Y6aX*MRF
zEYMFot>$mmZIYv%l+5^;Qv=8If}#-8)oDvH?yPOo+`5`!4T4y_F2XrJ8VrrgLRG~g
zyX(l%Mn=q8O$suH%SBx6<Bg;_MT(58cAEFW@W;BM^Yd`4EU)67RG+Y7=2j*S{zU;4
zE7?O7YhsZO&@e15v=P_yylrF0zP5IR4VW3W0d(J{Q^05`Qn!;hL}7%uR2?_oxiMt;
z!)pd<u}N7R`>Ceg7`-z!9hks;)zSK58LYsSHlPblpa^q(DBC_Ih&-Ym2q1-sFx#XL
zU(h5`pUhjH=VjmiwxPyz7rWliJct(Z{r>)-A-ZJW%W8>B^<`Alo50F|JwD+O_2x^X
z*WYhW!b@|aEycwt={|in5_PxZ-r}3TQ6%?x7}mXeW2HkBj4(KkX4u<4?c@b_=jAh(
z?cXLk!ot1?yW9j`mv?8vZ1K##kr;<z3=mC>!<G`GoT@6R&{(q(FLfjiVdmH2s%-;%
z_sQ~8q*0oLEYts-)H^wUBgxQc%pR-+0r-5-0Y#L_EmjVD*I1;m0IN?KwKi(H=~dy`
z9NikO{~A|l7#m(ri#k@*ZC{dG8*Z#ruE&tn-r}(h5VnGWA-my0-#N1wlRlACq9eKM
zW>fa%m+ljosJ<zjmmbjk%I<nG3wbFSLO4N>_iDpGVl!cKmrYsc1vKCx>Nbq-(_qxs
z(RP<ZHDOG{pokP}Rv>D3*_6*=m72&a=k|8BA`3LN61I`bDgh?T@`8m>xT)WWVj4pZ
z$ST<eO&##Vx@GdYjpg^8s>&rFP!|HvQ^ZhUbtBRLqr;7IQo@}66vs7q-Ik1*xRcNZ
zwMw+QT}PdbaTUgmyULw=dPoHC7=0NeFp_$(c{#FzwRY8K2dNL_QJcb>HsgRkPi3*D
z>+`84N<M8Ax^-FuhB`@L@Z0o-7)ucK2C7=u?Yga8ZlC%Tw=`FDH~-qOV~!x`4dZGI
z9Z?`16N38q#t)kp<06I2>F!!13!rF91-AD|1Y#OoYpl`@?(A;FP%_3aU0b*%<H2p<
zX-NA->$8h^18d$TIJlidM1m)3FqCF&`oztDYqE+o#b;A>?x8Ewnp<g<{x;=uO)#%0
zAQfO_Xsno}bj9!7G5$v?gJ6$~MaRa6Cks`m3v;8h(hVvOiE+B!lrMW;$qVhmt`a@^
z_soPPp&EvN7}?4~LxsV+*mEnBuE~JRpPQOPUa%s=>by{MHf9tMZD4IP_Y7kFjQaS=
z)&~fzEyv2pTd=J(``(qrG3&oN9xpd>ga<O=^g23iNsr8O9a0+Bs~@&4)h4Jj54^}h
z`n+am`q@+y80TeDKdmyWKzd<|)%HzI&Dl9o8Kk)GIP~xGMEso!f;mbLKJQ-#xf{pb
z*cyUp-)WZ#v#jkaS_m9*>VMSLx?_S@dc+oZU#ZU-MeRKvpi7VuG&nf|ts~D706Cvq
zyGml-tH$N54;rs?kYdhBiMnb&7Wm7ZzW{k*TbC_G`@W1T*K@45cq({Sd}Hlk+CnwZ
z;TH@u+r_5`hfz5iwkgM|HvNb)?I<_(-3HS$pI*sYsMJyBxQjENOwKmhTH4gS-!X@`
zy8)CAb1g_OPLA-pDh)ujItAr(&fbYdS$2%^hG2l-w@1*MSxOY((1j`$H>Zi_#txi*
z%G{h{dxd-(qeSt2-x?wfY*4(VF8pQ6saGv7cvj@R#5TtmA`9}&^|3+F-|V({$$TO+
z-WS_KIU&4;2VZAd-k!_})P?;r2)@zlXlFp*)GXtUd}0~w^OD3+Oftk2x89-Ek<1GJ
zbMips_!_Z+e$Un^FzEj5Ao>uZSuI1qRBSzD-8H5GNn8!>_};pufq;SLTv3=!ash97
zaJ!Cy;zpq}QOP0L&QO=CVO~TSa^++7+xmRH^`vm(`V-otxDdQFUG^W`=FZF!_dnyO
zEX9S(ph!@u9?fs$0lT3_?b}Pj1-U3cSOwN8rk<J!NQJq_;sf5vy#SFeu@`;2)?JWp
zr04oMeseZSlGu(mU5lm=TX;P{w_jzHtJAYt<J{Im;ml>7C?iq+PIt>?_#i7PUz$ci
zbB)yY$;ZLka#foT&87s_nZ$>U*p=dA)wOUjveoD*fV6URBnKu~a-tNJ-W3X}QKq(}
zO&sk%J*{#$Ir0`-hU3JFDmz|69-YXgi?$adel;LUc%z%@>=DhdPaG%Ni5s0)!G%5{
zqY3!;%STEt{U@{x-|{A-Btx<dYPUL5;IuzMyLy1PU4?rQdsg1xl?g-#=oPx|@_abZ
zY$rv08-A0B*Gj=Dg86FY<ZhMMD@{aj1=6m}5cOp7kbYkRS=-bm*x3Zzh(52KAY{y;
zuQ!J(U1oa#vhHdZ`&!AImOP=*SC?Sp^^KAWLjy_Zk>P2D{}IrL*o?aG&*+x@wYS3x
z%4^&+m43!&q<b(qV&l3x?f$L!lkENf+4w*ELSz7>0Zc^ct}UxcstVYVKQgaE3tx(U
z5z&E^G$ayje&YxAx%Q?`9UO6q&~$cgFR$WUJ3~)#@-P=x)9|MP_QHQ*iAJ2^_nK-x
z-G!7M1Uy@=)Fp(C4chySXE7o5OZ%tM(v$D;B`jomX*GJVVuM_PjZrd!Jw@hy`pJDE
z1OlJ}^aq3PxMvvYJ{;79A?RDF9OV^)-DuhqWKXd*##=a%iIP?Xn*!Zfe28c>7uSUQ
z?(DU`WTW-GI~!pMIs1t)9&9(;EqXMdD?_(Rv5ffUhK$agPAcIaKrr*aI7pnS`sTce
zq_)AxLC;QC8sd=aFmbHIl+(}Y__v+5(%Fqh7EY)osi(8>ci{ylGefM42+BGZaQ}D1
z(@3cNQAl<trN<~A5dmri!=<zGHB8M5Qv7{LD;*v&e(EVgO9c>%-W;PLHF7b5SSakf
z<FECo1(jbEkYc@TMMY>Lb_M+1J=lS=69Y&^8f{nO4lP~XW!>1)D@138!DrLzQcfr`
zmrxUUe-=wo{bLfaU3va*^N0>bsxSx@hBqS)aYZ7X+pG`GYd_|xLR??i4t|)d{BKRg
z?-j_yYY5d@d!<-M{bS%HXj1>tw|@g%tI)UKUwz$z#x$3DqcF?S@&nj)#koak?zQ{Z
z@UcdshiKDm=GPAUdzC;&jCGq&ulCMgj8Wz4DC=&Zb(9&x^$*kzzWoU%0%)5r(+VY0
zT5Xl2nX&$-LX)Jt1PG30<wldKr)`Ap-HswzONgGO`H}X=ZSt=?J{S>X(zF@vc;*3e
z*hJyKo7^i4)O!^&{kTNccKk#RcyDn8er(|iHYs(36q@LdRXx)>#E|m!@Kb1V^Y3&F
zm-ci+&TFzsDg-v&l$}4~=`pN4xaO#fh_YVogDicea07lHDyiy%ZP$cIlRP#6AS^G?
z8v|MV<%Ra@-TxOUOVVRd7M?rzvEdDrZ$f}0v;IX?qo5m<zJS95#kKzs{!dATxss_<
zYCOGjiwQv&h1@pSN88T*i#vWjz%OPbIbKxfWl%~y^M~$uNb>X^9$Xk-8O4738pIFt
zcPdX=GqkwL7E4Sjs4_@(Py8(X5eeVpfE1F~$HX1jva86&M9|}mKp@lhmLbWu@18-~
z2(5v%tIYqx((UQgQO?da>OtE0vE#UCgTE;~1Op=+>ekKb@$q*7C27af>W5RAey2fo
z#dSYeu7=HLX`$*N3mX*PHx(wW4t<D=UYri_!5r~{l_8)@_$!NNjShhfNIpWDL}^NC
z_kBCRV_Y>OR=_bYhknbd{sq$;wIkqs{lNE25ahbjWjb*}@@-M6m#e$m+D?}bYB$b1
zU9K7uoUjiMeE2VJG%kC*bQZ+*=7{0z?~9^?D9-#v_KwB5JXEm86~J5nvn0qTx=h7j
zMNunM0xd(tewU%%1ZQXrczAX1xM9*6QHU30qoft*?q<DaC!_T~5CooXi#>ytY7u?&
zGM!a4fkvIYTj7Yot+SgBq_hMM$w}VlsT2Y@=kL!UG2jXAX5l0IUmBxiZ%}HG<|W!5
zLK0?NJo+Gp4P(T5;UU5mWw)n$X=5T1l+q5Tf&2=8DI&C4#t5UFyd~+D2!xC=INtWU
z`O~F`bQ|FllrPuee>z9#f%!VXFWuYX%UGASjos_Au~uLj2Y<zyh*-gv{KF4LS{P2&
zs2Aqr4O{SztqPQzy*p3?+Q>^Tu54~cY`|NXyFYs3rEgY$?fN*7dLm#kh$+_*;!cSB
z-|aL*@jrb^8T^_p$yBlkJCh{)y(L}^-UL+I>hnC--^eT9zPAVs7GFz^pUyvXqSy9(
z0gCG$F}o;3y0UPiByQbG-t(#g?tUtbi7K3=*nQ9YqUph+IskFObS7;%Dc>!23hiHH
z8<@_hv~ny{47z>5r}XW9)qW#FVcAAncc@UkQMyfVxt4B-{@^+{A4+?ch4R1FxgaIY
zrvF7t+!jiKHK3}tsF~xXzn@TEIk3WDM1KB~uJ#ujZc3mWijyPg{8{mRk56+rgM_iC
zML#$s9jk$aO>mghr%~0z8^MDf1P%?C;UIySGC6Tj+2Q|^M)^S(;aof5YTM`3+8D}J
zPk83<z3}d_Se|t_<|Up>Y$<H(wz63Wl<sq|-o>H%QPu=<NanqwrGS-Wz%5gMqsN|j
zYc}O!k-TuJk1q0HP0fn}TcZ9JJKq2H^M$y6rE=<aH9NM>HOGrC;u<Uj8b-5;5&jw>
zIA6Xk`Al)XLHi0*@&U|Ff$7X;W``{mP$t_>EeBz<Hbh(Gbq1~eg{NJhcpMJcu|Y^3
zqz?T*Da{ai)A0o*NJ?tAXG?U>v0enlP&z>C8Ul`~eyOC#Ur`ZSh^4KCA-36fni#h>
zhQElqANgv~<#|LBxV!=|&?-o0^OEBYuCE2eBo8a!MCQO>&PTmA&^#+H_M0LdK$pyh
zniV-E8@T<4ns=MtEF{ps2>P6QJ)C`sH#Lz}QHFAFC4JJS)ZLT*jHUd4nIJ{?i*G;M
z<2=8^zsbG(g^*5Jg8Oy;yBs`m>+^IN9P}*WNQi)}EFAxGsjg&eWB!v;`I&U<F1~f<
z;8>Z6{g7#W{WvDGD{ar8hY7pe?GN6zMnRmr8uMU~kssa*IWV5UN+gJEhEeM(I#|Lo
z^=qUAS-z+U>za@12gym$N;xH<GIM{S0~|)Otbfl~@AJbuiwF&`H6TfR%uYB-6}WY6
z^>S$N7GCqtTwdzH!d5O-dKv+r@nFRp>umheCq&S@6UXPZZy|XKK5YzjK6O~TCSCWt
zh)Vta;dYZF@2o@mPOV@|&`8V^^|C<iz5I!F>o(h6l#Xr*6!VN7@5A&#+tw0t>1NqD
z{HvJbLZm3PuKhfAEgh&AttE#p%l);-qJvs0dJzv4${sG0NuTtB(JQD$bnL*^40<5o
zJv6|%v)@sxr|zph<WjSl19&#2_-)87|DXyMPx1&|FBqfOjVLrSk|$K#eDJwJvFZ82
zlLsPe15ol=%<z2sERmGJGrZ)0!$*W1#6Lo9As<}zY_T;N4XoPk4-{<e(3+#+tv(@=
zkU^RV-%0~%2|YKxW67IpDmcbxYR@pq4tfu?^}3S8Ke)B?ld|Wxvtx;q@c6>?9%#Ps
zpRTC9QoPoD@0w<3;Xx<Lm+wo()>Ow2Trp58{T#AhcvnYa4a14}(l`RX%)&_Abjmz8
zT1v^(*^M*4L^o|)K^B3t)=(;w>xOH2vj*J4f;TD2b)iZ}49jYffPT3T<B|@@NkT_u
z?)5T6wPE+lL436QaIVkEt2f0Ffeib)MV?;fl)LAiD>omd#*<|Xf!i5JNoK)Gr!E==
z=nC0tweuiH-$?}r4I&Pxes;ldm-ESXF6p!RW4koPomnV_FQ5TWd1k@fU-3FL9zSIE
z9Aypal9oK<A2_DA50Aq>;uW$JvDFAgKAy3bE1C2>7~BxoB)C&t;f@1A^!&jWQc?Gs
z%pBazRw%OSV7gcsBfx*?Tn}1)g-%FcL;=i#p~DGrec9!W_Ha&%<ZJ^GU`4K3k6HD6
z=sAnJ>f9uUvbYyM%SE?DLG!<0fQg;<8|Vo1Ga6L<=$%%C_kwW74Ovc$Ho@$u>PLa}
z+QEqhctuD}vsUu2<3T1lh%GytuUQU4NqUse)6+EP9UHA#pOK>fNxFg&j(X#NaSw<M
zHeSvos9#R`bS0H@c&;w!dqC5rR?FK&7&=`SibOc=U;faJi~i|fw>b+M8o2}@b{Cnb
zU#R}|pbIKs2N}rKhp~YQYXgG*2PCii;jZX&qq>M_z_p^5>Xf>J@0APC%-o})L&MuU
zyjOyk&zWxgK6s7bmRpXsr+{eO^BIH+$?!}K<quRVu<3_OM4Sv43=?3@ooNX-P1-l)
zLDW?32BAV0RiZU9wf2^E;i_uBIF*(N=+ij)ocSurBb|cI%v;NU7HW6=`UImv5{E%R
zpz<-{3S@JLj3lnQb|W5J9NR~Mol$iBEDViOkqfJh-OnF>QfyQEr8?t_Y2+Xk?etI^
z4l|*jF4_d2<6hSfL&JhiVU}jne>13zkpB-8&4)tY=qvW;GP*w^FbWYTdt0#;xDwu2
zP~qmETt~x%=e*tX7hi?$#yq=Rh+g#HTox%?r<5LO8q*kC%CB<JY@A@f+qJI7td`6T
z=v??tMVyXNbSl(4<ov*}>7;tv=s&f$030HHX_`=fG@->cx(7Y=<J=kmBUZ@qgU!eZ
zOKdeN%x$QALH*vpnL4sa3@=w-SiXp)b4$=l>E%rgOySO&k+}`#80#Ru=#H@g90l9_
z@+%F;kn^?_;DWzj9^mg{9&9UuP%NM#f%XD2fm5fztpDiKNN}CKa83@IHtBlX1i{6?
zB3(<_Xd-->kfsQ|2p}Xh(UC-iej2dbAAYq)8dM25^A1ZSfIVhBQ*;%8Sdpw1zwik?
zc2yHaRkPFtbBo4V^=0*3RDJHaTm>QQAZC-E@a>!ysLg0nb_m;F!BZBPDSwZcuqv=s
z3uvOC?j%+%{G{qip(bFH*!HZ3lTs5F+^XDt6*S@x#i|xZT%aU2FB^p9B(1j2v=WZ7
zCQneK=}CpJjO*0ANSBcl5#%Yf;D%!SIY?u981;@(#DoezO+qN_9pgQ*l}gL_%PR2R
zGQRUvqPap_@9z>?4{;O4k1|<Mwe6B|075@BkC*)84kDMU$@8KU|GKRk>3wKe+boA7
zT*p`q-t;^=v`IAk!`gu-z_=xz`l>u}2ep?w!V!AeSxW?%C3cTFsGKkv{Q}fFATgqU
zxcgWfow+nCh`=^<`*>cP+WO0x$^4oI>XF8>h=NSt-eT3O&^wTm>;^I!rwtFSeXlB&
zV*4;2Q;^kbd-a-gyZ=|A6WZVakib8UT7Ok=i>59_VRJeUD?#rH5;UcaDRh{E<UJUU
zR0M1=l*)t2&C>E)Rl&BBuVs?kuB7yP_b|?6aNDMD`Ie<x$@B&xpyj`uaKas3+jU|y
z{<CqlYM9YgO)>uKcYFpg($(1lPL}LAAYC0gg!EzSKShfPYHF|D(6}GFzqw91XIde;
zo<Xh5Ne941)P{};dMTMtikp9+nR>Z=jjv36*|8}db06Z(Ioa~Yv#Gif$L3{@EN_yP
z`5V?(VdP@*PhjFixDy}b#aH59;^9N~bfwlQYgn7EX1AOKlpg;O;o-NzDG&bBfKG|;
z3gLwWIUyrHjgc<Mp#N6@y!NT4M>1XF99Mff=UnV}P)(^zt+-;<<1I@Id9Ra(PWO$;
zziLvb{7!85oN4)%8HEP<UK0S}$aedBkoia|y7S<}Q9cUj;I;b&Ec^CUWhB)<se@bB
z<;Ji5$=o)1kC#29^i}75Dna|zj_*{YRn-J0u_=X@`A$TI5Fn8xsMUT5Pq95{D00Xe
zH`o#Fgtn_bFiG7aQ$(x|uKZ~JNIxXNTG8+KqU%-%&^{B6?5EJW!a^3ep-@@(o#=Wq
z%y*xrkNQab@@wk0<Rkl@N;wa5*5&(coH#TT&IU~|OLfA{sboY{1l&myZC5TE@|CS$
zAYF8{{c71@-6&xQaGB;ysfzise@f(fD;8>?s%ou7q2E+1R3(AJos8SKG%~j%nMH(@
zG-g5O#0K?`xK^Q6_PHnV1u+sc_&zJu_*E*nIW-wcA`72$XJ?i&{oO|VreA#2m_zU<
z%v(3)6+g784;6bkX0ZP3cpL|K204oN*(i}>K$rDm>axG>RGnbjpZy}<r@gd`r@J8M
zJ^MepMPBs*TnsQQFUryQA25@olnQ6+ps8qWbrK~LuzP4Pvbtn=3Gu+3@lEHr-0-TO
z)Er4_U6dZTA5yLxw_@|ALEIWmTPgI_+X$t>nb;>AGzA=Kpq|z}jMjdzmWW#{V2*%?
zg!bBnn5ejb{!?Kbfh5r0@xJQ+y0lF@i$m&aiFIiKC-{#;;Ml8dP?tWzw(ST~GZL`B
zV2*gVi8Ry;aAn*zrZ;|W(Q5}|W@395nQvz~=aoieQmA)!-+1|ZGg9Qa&lZ&SL=N$3
z)x}nu&*|*12Io?Me9ckCu43{dG5A(Rgz3^B{H^@YS|~`&KEXBxb|w@IPX<=Vkil*B
zc`j=xpNZnO;5FN<?1Hkv3>Ga0$XlbLS%#WLC8l9?*ISecZ6oBV2hT+_x!qC~jIb`1
z8#veuBZefG>%pg%PopR*F=0raJSnTh*87)FzXPi4A{r@<(=$XO>)50mm75j!3(NE-
zk#o{`I<n1KIlmbHY8?Qg&VvZ0B50(Zy=Mm+s7s(jD4=Yc{m8V|287U=9vzYS8Q!Ca
zk2H~KEB-)10qwTFLX3zh%{UFn!a$*sLmxpImyHe<v3_}MS@`f_iMpaFpCD()4PS1Q
z%+k!SbZ3Go(vUiKW$zB)AA9BX=(cK_kS`O_<|W8;1TdHCZW{|FLK{+899J9DR|d$l
zM<$qgsbkUYuv63@_k+pGINWTpX}3&bpcJ?llu*7r_SE#kHXuuH*1Rtr{>{oY@O_b1
ztOMjVY-2Dx+qNOdMKO}U|AnT|Fb;ohLk?scnz3CiBH5xg*Nxj_Q<M{Nw3SIrEsYE;
zGy1L^k^D#L-xhryTm?t07q>2)96E3Mg+U{woBRbw?v^Q^cyQhUMZI=;(kKHVH19rw
z2<(%p(Vm3}7;t#@!Vp7!5|(0RtMl|ScIk~GZhNOJ)NJ446|SV7l_tB~akf+lx~NZV
zoA{M4c+p|17CL%UCE{@vHr+Y{y&U6bN~$fP+$v$zhA%ZHD3sPp>8>HaPHIYC!<Z!P
zwlFI`xbRvHDx9gVfeq#mQkjEpy_q&&a}1{gxJpW_K2xQZVl7j}$jh^#!=|_LPjy$A
zCc8&YHpt!%VeQEhli9S9^5@v#O&lWVBpE<Mq@Swk*X+DSQjjR$b%n%@8$LewBnVAN
zP~%gmub2JOPt;2)0xFNIZQ)oJ;L(s}M&q=f%vIyyoK2L5p5&S_-4(igy=(0PYmNY%
zm<V6)YapTv?ogOy81r)oZW8u4)>fh4o0w1%Aj%gz9sORKFKLc4s&D*G(4rCS%yByJ
zr3FOI6}JmQ@8Ou@4zj9;#914;SK?nFq5y%cavf%lW)+cj=HK%82#y;4=`JRl>d}wV
zllo~(q)+ILr>f1S6-c`<&_yYc(Vv@F{fCi*o26PZBpao|gHU`4!#%Ekt$h1n`HVRh
zMDQ8p{zD7%jZ>gd_4-fc8~C#TMoa?j8x*-obf&<zl{M;gicN~i7y=AA*H8-aKyE%P
z7h<mi@+k>p;j>=svZo%FuB!%E^d=Y>88^0LpP^bsY0M4ocWd}z3bI|6pQ_@Ax+N5;
zdDxe&8qA21r=b+q0w-d}nQP$cAr-ffCH+xj!q{=oPRMTlcfyC`&EtUo)58ut(RZ)&
zSroML5U}me2fZG#-n3HI%x*T<9eQ!lREUFZDkHD*_N)uas+ogS8}d|MPrMMmH!I~%
zU+5Z4+M+?LAc4V|8%Qs4__}w*6g4_L$)Ys`P{+m(b(2YH!|V&Wrx+kL)8<g`yjzx6
z9X@k^+t?X++wUS;j1Z1`u*Rx|ALMC7(O!^_@5J+FUxa_gk0;_)3VX8J%cV?ezHx8*
zq`9;3ElZf#c2e<R^uH7jUL>VjfB(?iGMk%>K|>bl1RV&zy|oe;9#$l)mjQC)Mad}_
zgux<$_p%BlHA-ojOrKb7-@<7US-Y8C_?!umr-^cS41hbwmmTNwOXlY`e=Q!p<Lyz$
zmA7<H3_*CRCCD^@s!*1a(TJ0^*DCaCYTC<BeZCfi@PzGyK-CFkCX2qM-RNyILR>?u
zs`tvBCE&RKgdWc27r5>o*W?xpH4?PZE3m(=^ci&fc>3l{iD*l8XT){R<)r*mB^XZD
z9aeI37C&^;g9}wQ$GF2kvOH2XAarEFdZW@0q^^kFLl?0qV>d#!JlyJH6ao?yRpC$#
z1~0*WtWCxMBe0+#E9hnM-cB1Xd{q5*&uI8A#dW}`PcE@s+@0q(?wiWM+j5TW9hh5H
zsy7?PvqdX{YwXebe7yJtc&vvpj88x_vvibp#U<cYXZ>Lv#OAYt{T)h^3cvOa{X<7A
zXZ*;hKSf8(fhUSlRmy0qeA}sL7;^)bSa|1ry|Ior2DdjlY;Yu}DKZZWIjQbd8=~e!
z@^PtZo15#jx(BE=h6(7|kA-t%7f7RQUOkqZ;ge-^d(&T{WUzzPw(msXO2rE0NZJ3M
zv?m+;6(QWRM8wSyAu%ek?^E0Dm=>p%i!In!1p-ngdJpbzwi!0rPXt%|SS#Hn`UmUx
z$1u?&zCM9Jz<Zj@k#2MUVS-a=a0DrMChxAwM`^7|^OBbE!`M1e*q6L~*vv1cb`0HD
z++EE8El{<?;muNJUbGX0tQBqsi5}k=#tL}5-%s~xl$IYr9h49*pQ_Vr<!uu#-?RG-
z44Q4@kZwgghV4m>Rd#bM=wXn`>JCm=E*$VjX(k_`$130)=dhHw=zK~|9CRX!KAPhz
zi}AF&p$q*gQMKmruU|{Ret3Au+;>bxV3l>@5}&i`tXSep>##)9_nNyjZ^=a^W_^BD
z;F*_G`uT^&FQCx*-!xqAkZL2~{>dEFX(&hpay*h2ufRjGP^hvDNxXCjyMB{kd87E#
zpTTerX$DjSFSP>`?7PYCCVI;<n9A;n)67ez*#3aQts9C@H5Ttw9_JfYjDY7?4b=q|
z1vlN>h}=JQv)&xW&amIN{m3qGH*Y|n)oCxi>UVf+{wqekC&da4`||*LR5Gi}X3_+J
zIB;|niRr3BT*NK)fX;v7Y!-$L%<p3aJBntv^(Vx;=gkeB)OYLq3Frl!G2_PC<m+4`
z4M!M0_jFqQ&N@Ll2_kG}x03UsHl)cHa)xX58OasSdlP*0%*v7;b=8pPC#6tK*{iee
zA>r6JvC3sr@6%(a<}<(1oMi+wtDF}!YhLpLgg#A#0Qs=w{R2(+Xwe2C3n@PIVNa7g
zShYCq)>VowLRsgDEu2%Mog9vo%H0KtOV}jmk&kd!s!FGex?Zs73P}Izii0Kxc$7mt
z*oPx0n(j5{uA6wZ%Br=)i+OM#_{KQRzkpbjIl91X)J4lcWmJQ(y;8P#Htid9NJsW`
zi)u^yKcOil2)e8fd>K5>i2G|Y^O;s;Y+H?|%6or-49~4GhMEhFOs@fv=gA{+rgJ;o
z=}@U1OKoaT)vM0>H!*3PiRgghEoM0`Nrj@x8c3pk&PEjPAv<{L1+gk)YSj*6OXz2G
zK(T3q9(^qJrb$XTmHv;GZ3>u}6k{Y1ld%DLk9fKS0i1C~SE1_(!hJU@e*l8kM+jFl
z-6;NIf&_wsn0Bn96GLXYk05fA?Wy6}o+$IXn0^p#`ong4h+^7M90H}ye0W$xV~c^@
zlWtnL&2g`*_5@!;cgLiv7y;bi7#sAR4v;7&uwvx^m1NIj!6?h^LGAS&upigs^0}In
zCp|3E++vJ4isV7EY;KbXT!fd7f&>&SB51kC@pjtH{S{~@Q@H6|n7|M|(QbV*!n7I6
zpT}^%ZZARKQ|^T*BC6HgrjzrOipQ^<S0o&IPKMvE?#KM2cxL%^=cuH)#)n<Xn)k$q
z0E`tt-TBd~^L%JW%XG2kN}|E$F><79y9k67230pCjPeiqh~#_cmrTH6b5+1Ud7o)O
zj`&^l*@M(y2}e~=?HIJ8dp5=8ul<U&H?)N$uygbw;Lx-c5?$?Mjy{Uhow0~Ml3aq=
z&@r8ALAPbiIB$I}%&LRa<xcRK*=y*wV@g?ffzlO~7oMO)HF(iDYWza%(>>A)W7UVd
zsI$E($kM6b7HrBLckE=gh_w31Hg&?HohzVcV}hU9w-N@uM;l>RD;Rkdf*`GGc`}a=
zz=oCS9qan!T!tw6cH*wmxD--TZVGAI>wR%Q9mIPS?aY#%+<a67klk_{<4=aD>5pD&
zo5|)npNo4BhIKASw?Ax?6#IOzVa$ByfLBw<Q3V@`9}e7kAMxLko)@RWG)~L=HK+LX
zFIrfvYl^o+&JVOh=+Fs{VzQR%Tq8(Dpon;Bc$)?T$@-7#!X2Ota1vfmnS(?OpzxYX
z{%)8LRrr$0E0IM0+n?Y!g&G137`6;+TZyE!9FR=p@@ZT{J{1<3bmQaujcFk_?@jFr
zT9GopS3USN7wf&j4&e-gx|Foi0)ZO0WscarN;BZ?9^!4@6Z#(Tp?Nhfj7zCghT~9?
z0V0!W5<C;C6QTZe@sUbs!<2bG^=D>k&vzt3pnro9Oy&>z;0&_1>A#9H4|3_L#2+BZ
z_ukNR&R706QAABnByQE{yj)G*d|u6DK85t1kCmxXJr~%$>(ug!wU^?Q_ycqbA5PnL
z<&5}q5v;})XI`2{m7O~FK`kA6&F*_xJI_sfwze`KQ=4|z0*|K!%w3vo0Nhc-I1ON)
zuo6h8p|FhRzz~ba`Gjq?PJ4pv2RE+iXho&f;$24Mjbj-vD+(4lUv1RaoO3F8l9v%8
z0YWl3>4p0mRwkTND>azR2O}aK7$;m`*GtOgM1S*HYV*KGfkG-aO~mNrWe1rhVg}vh
z2YPsi7-YN4en{RW!EuU|8IL-2`!;RYQ7d9Yc*oH8%vdtZ_UZstljKYyUyahT55gh>
z0AunhmHE*WMCkWMgc<NX-e~hImbDqa8UuxDW-BXfOIP}uiyP2xFGrjqwrNOL2Sl_T
zBuU5zWqbz8NeZl{P4Xd(kX{t58^np7Gg4h%dod1?>e|GC_OP0c0J1gXF^*pME_KgZ
z-qRtVWOl`bI-&ZtxtoUca1Y0$%4FB;m7%lveB6Na#e=oPZY#A$CVZR<Y2ycVf@~b2
zDbk+5P83iby%B#)j}b3lC95Lj`ih?B&Yr+EbM}Y7h9B0OyUB}D6K?~!_&B!-6=1+9
zq?&QOZOYey^b^wbl_<zK98PsX34$cLKaDM!cSh3ZYnNt{1A{r&Dl@T{AMzp%6s=5@
z9wvP%83azL`jX;cZP@Sa@LM7n;vr}R-r_<$Lr7j!_idjjC9)aa#@pnZ3li%x997Y|
z$#mO6Qp>5k7deQ3=7A-gG7$P6!*(-2mk4hHj<H%c#!6^UeM>m@08^~^_=D_8l=Uw1
z`*c&owCbT_nOz%!Q9{2tJ-5EbJMU2a4w3vpRs)B&&h~gz9$fkx?z@;1IZi5KTp4<I
zSX3qI_8b-lT6{159=up1rKN2tQ6!R}PCm^1L*=o;qo@))r^iu<qZS5Me;!d2AOmkv
zqvo>8BLEH*w8+UYH9|H4rl^4Y;|<KkU{3Yk_->x6zeX2D4ouHbauz|XHik1dNWhp_
zWUM)|?8g?*{F6^`d8w~oe;~Lgn!;buV{0I_BfX#r3~(}ySW9jNLSxoRf!k0Y+1r1F
zyp~=nCghvkwjwUcv*#ehkjSD#m8`3WX9AI~1fkrQ-CaXBlr+k-^)4{Cgn>Q<da@(6
z@U&(V`14i-y)2?rvnJCBMb*n=P)Q|);V%2n;)N!vc)yZ6*pN1^0MIU|Dj7}*Dy0kA
zq$r1;^{a#Wndih^uvkEp?g8R*iB^UAdX|<QD}@V#JUO@v7=?~$#P-${J$7uu4*>@g
zR|d31-qDZN%XGVpos8@uZ6ML<1sG&x2iOW1YR0wkbQufJwK<0-9mOa3<161`@(lo|
zRgMRux>s%(7r7;7M*skraA}yn-D*L;dU$MMLzBI&9~CzC5UO(WKRd|TjhO#SihCA`
z!<aXP(@B_H<dj17$lVl_Xs&(mbfm!;XO?M4dyfZ2Xh%YMmQV;Ved`;o1g<!m;uEZf
z&NWpD6LTo)bG3B;wFbR!%H%EaJOH|l#g+QFwHEcl97pZVJ*%7q3G=eiN_BJZ*2sOw
zm*7cTT1D)fNkq6wy?p)gpOLIY+J;I~G|~$j3MB2ErL<I>#cha0xAQHTH=Ixg05s$e
zHupj^j5(uU4QU&suu5@_f=K@o5q;#;jB>f|9~mZ>`x`lv+$DvJ`RO6v=nLt&5)6Ot
z{VYFPUAsIi5;-I&lJ0(ZdIQQ%O;3|$yOL-N`Js82^vvmZ+BCcF?FSI&U9ze%d<y#>
z^H)qN2jyLQ2D^(0x4BvDv4~A>ah4e`K$h_HTA;%O&Te_O>IssCpE5OQEeF`<hMe6I
zqVqqpBl*z)*g8~Je8}FM=5twI>XPu3zCL$N?SBs~fHOL0mo@B~=yQxLDF-iz@a_nw
z0c(y-A`vD0gUIn^L}p5;YN%{8)@IGlg;1KfELRuv3yTz+#YYz~=nusuNH$;$2N`%H
z`&YMeO-wanqn3+jo0y@t<%Al-;s~v00sGWx!Qsw}1kaK7KMrcf-AdZ8OJ2x4scOFF
zsAr^PciB!~Y$}m0{r8{`q-I{wj`-N5a;%x=r%3Y<tEZ#bEMGQey4nz9G-iPN;SThL
z4?r$YAY;u#bi%j64gq&{IL$^#7s8`fe@_F*wbPgbnD>le#d?o^5g6><ruKOCw8CXq
z#`-HTtQ!<6rTxsapO3un22)r_n~iOY3VIkGHVEtY{PF8WsT#%jc*%67)pKA?rQk&{
z6U>6UqP^@5yY!}FB_@l|9GWLs$8HkI^R5&R%$=8NA(bYfAFZJ%;<Ptq+KaMPFQM?8
zCkOy?ks0xg9=G7?sJ2k-YiDzPSHr2P$`HgO$8La3dPdkGkZQi6oCBt?dCO7EPyTtI
z0~QmNmZv3Fsnf>DgSZZs(R4Dn?!s!s23sD#*q$mcnL`>|t`8)vy|mB(lp`@_c#k&i
zXm8C7?{N*)b!>s#u4Z>SZ^{0xu8!|Y4&b8M87jpjiYb|jX{HE0{asl0lit-#n_3uJ
zjUKxjnw3N}oFoEc%RoLJbVTzvq_4(x)Xt6=7Mb1%$?NUd&=;iSpZI3!67`pd`tTmd
zDt9E+HmGl#0EVRf$y=uF-FT;N^wS)v9qE<v65o=986(LCgD@9vD7Sp?hS(Oti7Vx!
zG8V&!8mc3JL{cWa>*mkg4T=40q4h)SpLWju7rZ5&S2p<1OsrkW%*(SxG17+fx<ktG
zi5kr!eFjVQ)O>)R(66<wABt#a$F0&$U>qb&Dsy)X&K6jjf^6?R&mvZuEf@qCt1@Q%
zC?uted#{@)pdjU#5$;ZJt=pe;@4OW*eq+)&LPm%GLJq$w?qpW7zw8G@XJR_>Wv2u~
zI=K!5su`u<E5a8Q?p(N|wFWbjSz$~t(Z}XLeq9&TELMo)MTv<?Haa5qwfWTx_v{Y`
zhip_*K&Kl@Lo6&YSdBXjz9*F}i>wFu+E5}LNAf>@mWV9Qp=k6X=F&r~r#F(Smuf@k
zA!V35nTU*@*OO@`*0%kmFI{pGy>5g_C9L}#E<~VkKs{%qE`|JX0IMwz?%ah7AW`QK
zjUuJA;IrtD`}jLiwIUnPBI0WtMGVm3Z@WbvX|MW6=hqzqD-@vdV@Z?;|GS#1TjR#M
z+FrLcofJB>T_NH4Km1ml4Nb<YK}$TAY2{F^Ww~vStGbKo`F|hXC<m}HY0v7p(ju65
z!U_L#3rFN>-L*W4vv0?RyP9smg<kR*_ig?*UemHD0ej*7t#W;g^GceXr;4rc!kHgM
z_VJL=EA)(a1w;N}!yBtrzRD{%{B!zN(>0S2XoKgSEWv-N7^YtSi7ccx?>>HImr)<7
z#DvS&4<j}&ngOB@_pz_NCbMly`sJU0QJbco`RUh~XL;QmOf&3QXcut#yqKfW2O(YH
zPxs&FU5or?2QuNIp5=g<MQm960*V*=9Ld&{Z@GArO;G6V=dNVeg9TCoj9}bnSO<58
zYbWJ}h$pbHV%=Z5zDt7O^my<spTQ?)8g*ZM>d*P+b>fJ+Sz9K@8l+91a&Xqb2Dnc=
z?k2zNcSH>6n9a2g0lS@%$-XY3j_gTtu3rcGyTxA}l6)}NI=#r0Y*TTt1c(2wZ@E}0
zlJAJ=<Fth!W{{;A8~DCfg#OHx`Ck(VS5h5MD!YeSP2-q;NxmFS*(}eqyeclX*DcE|
zD>B+wxQ=|sh}(U+Ys8*TXYDF2PXovG0z1xuG@2anfpICdC@)3oD98!B>3L=+MZQad
z<vapvV&+F>OhRCUEVk7JI6}iEl#gggFxf<UF+zw#(JPmCopnmV(qP>jmyq^YkiWyM
z%8#f9xCrG7oX-8*%SGy8)U**=`W59OXB{)s<KWDWh}&8iEU~p-*|gO4si@m#gIYjb
ziRFGlEU_qx(2W$RL3;S3&kkLywTRAE8{j<e(gCzg>mq)?*K~?E_jgFq2893$&#pTO
z)lnR~AmcX!UiI_(!#U~GtTSCUiF87lR_+J7u=%a?Lb$r|={0iDr$f4(1G^-90#fSz
zV@`lY3yc&$SE}hy7Q|u1yMlz?lVPc6u>3iMl20MCMUuxL45gY`{zGQ=9dSr?`-h)y
z5#F@<E4)39A^(LioD&n0h*9pMyB({STsk)q-C!DsihnwT1jE^1+{j;<f%U*D_f>Ut
zJ*`BZ!pfzJ39x0hdku-g<{dlMV|i6?Om4A|X>B5mAgS2`U|r9N(j4EXrn#TlNvgVR
zLaskjJ(3ox(5Ux1ddlBA`DPfIyy7rDRl~4YZ;@_Pd(LGpzCpaPcEKiG>?9NSMhK){
zI-8K+^0pnOv;cD>k*HD0M<|V91eDCad`js^Qz_POvTtha`qujkPXAXEHigr6@_eNZ
z)*4i9XzcRaq2JuaGA4MJrJo*wG)JC04Ru@c!u<p&t)T7M`)s9c8nr=&Ovw(GH~gkq
z!xMy=prM`N5INLynOq+%RIkCt2u%f8)^{_lNF~v80cDayMBg%$yThrOIS$rHB{N@L
z=V>a@F*IrY{AL-M6Axq(RgxnC1|s+N>xrmZb8ajNtqF;f0qHFmuA-<P`Gq09PEKmT
zmP-?bdI(erb^W2C89ra#83iSAfA=Hn7U(7H-(9%G{o8JJ=W%KVWcsC0Q#Xu}bCT=M
z_}lLg4cPsx=!_^HT^z<`7LK5yMxDvR-SJv!dtRLAS2<auZlngjIq8>n7Uf6NywaI+
zrWe`3B@Otj`O|j#{MS=ZFxE$<eWfO}40S$J8k)sejoh>@eh-2<3hb)vVzAHaF*SL#
zbrP5>*`mWe!CkMdT110hc_ge(cuVZ9LvDZ~7feFDGCc9It4}|X8f6atj3O8|u?nHc
zn;Tu?@BY`y!u?XqFDI5Jun7r_-&0{Qf05-J9ZJu{<ldZmCXkk%?E<Zd!NPa0*WK%)
z-nC3Y3C;h39s~`CKYy>XDRZY5Y6;mX1%Qpj=D=x<1ucW^E4X|rbk%~8T8ipnYSrF7
z9u&;^IZ5jxsMcHcz?QXiJ2dCv=nS3au!=S-L6U27DOP)olPGZIT+h`IXgc_N4OjRW
z?M+39$$7N7V2$km=qI=JYX;f>uzgMa>ss`4l(LgI6L8OEWJZ=^rAxlrUu5>myUKqT
z)t#))YpayM$2L^%7qdB9cxbpGLR3Q29Bx5xz@>|A9GnCFecoGfb4s{au4Fp2Gi}zy
zy*jNJQOMqM-^E(CsFTi<Rzk_4*MjItwl(w^xXn-Zg_Hv-VI{JnqyZ}O8?=Yvfw<WX
zV7T{X{TqD&Z-mM=pD_{LS`r#x5Sl>QI#%z$;v$Uqo^QR?UK_~<cBkFrt+ZHmcZ7tE
zl;41r@)W2Ta4Ypc+sWE*t1(hvEO<pz>~ZZJ`a>ZIEuX!j^$GM3xz1EYg@UC?mZ;FB
zo<_pb<W*|J!Q_CZ<$byLtqXquy4P&9SQjJc-->ZN!28#QR8{kOz@{sA5fI~W7lF7C
zXN1jN*$^*&^w%$fV~^%&ZieR3T0}t_tBiyu*t3|J4!Ren(D4y64XEP%=jS)2RMz^<
zUW5m(i9JTs`gyBc9qjD2XezyXGRi%iw1DnWBH{r!f@(uqfS#Rt&p*%|3crzVU9a>0
zPD;I6!E46m>JXb6ZR^pnx=WI3Z(T7n)wfLzD4O(Z;U9X<cgO#cA(m@1;aV;**{;eX
z(b^e`ZS+TcIzlcm98G2h^mgZ6VJVN)6liIf;t-`XpT2zv<-N(om$7a?ZtC0n?C^?V
zi)I0^eGc@5yle<mt0|V;D-n1D>w`-yV^m15tJXC&%mtgMc2}K+kP5T3$&;%0>kCuc
zyyIK;Wyoz_xsUb0!?9PQ76d<qRQnvtxJ8owSHYiu70&@R`@Nof8@F<XfLGJO!vWN4
z;N29$#ix2MJ!uaC_<)FcFX!}9)p~8HsF?-|YX*yjV(D0VP~0WIoePRB{KL%uo+csZ
zs25{*n5q99Eqh(m70TZH>`(6A%L3wWa}sGZehMn9zAyTpNT$BDJ_p_3I3M@{q*Ee|
z=o$pBxL%Y$v3!oQGG)d4Cvkunt>%vU<uDaF?f;?_R9l@WB3X^uR#SRiuaH+$F?_2k
zRtC*4t_T4EC<hPYvyhiea4>ETP5)aAXiq(m#Q8c>i3S!XlNlu<;3*}S;g**=+5^~j
zGof8o8^9dJ9GexV0;ivaO1BdaqET~DOxXQ9*1N-LAuG@ubC*V{bid*sVB92n`?bGd
z?uQ$vfq)0StxndpO$oR_9jQW|f3u`Gtk^}spP;617NJN{v&YQ}a`&Y>dbkulcI2+(
zH4~gUh*z&X^6kspsS}4M%fC%?%R8Z}Gq0x_AuJ!9j-$ct)s^ErntM(skITmkL#xu?
z?zUo<@!JTvg&eD~$uRJmXu=IG12Wxg-8!XwNRV#Y)S+&NOxkz%bU3ry_ta5RQS=qO
z4?I|oPw{j{sI3%tLSTo+a{U@9B~e3)D?bW<_3^5wK^UAjxEM!mK_Hi5E{W9dok!qo
z|7;>SccA&D4uH2lw9){tP>WM(#45kS(cNJ)Py}h<Aq0eT__*dW{!N6NcBalLEVJ|I
W4ECpYv7=u<GVx{b4WJRn{A?p%Y7amF

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_length.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_length.v
new file mode 100644
index 0000000000000000000000000000000000000000..5d900e71ed557408dd167d6a4a9c132f7407288d
GIT binary patch
literal 2320
zcmV+r3Geoc6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$?eqP90B0fc)?;1{QlhP9XH
z3U_*9Y>@EF&G<{G9FM3QYVYImr<i0Ow3Q6Qa(Pg1B=~vRd&5gX<v6doFa+ApE|b6=
zJbj)e%%_-KJ?~_Y1SV$+|FwUrouyZj3~G!O>`rLwrR7H!$F<P~LXKvv=UFIW+jhCX
zS2&L^kiavA@3wr)-i{>Is=ouqV7sslp65+&DYQ!csVW`qRiA)wEl(Qzk`7W%1~sh@
zxyn6CZm~9{hNbWjM&CKMP$5`d+3B*?dsj72ZNeX${8<T+2(i}<I{}A-)K9nDoh?7t
zPIkd<XQietDpp;u3*bPC_@eoCeLvbGoz@a06g>d#jnQ5Mvz!pTUw#{FB>nqcNaXd)
ztVzJZ5b7+pdM?zI#&#zHu8hg9P3pW=i?*%em7cB0pHENZ^FaoUu+9lTCLbVhG>0&2
zTfrtR4;!{*hrHhs=ZT<F%bJ0JCuimPz8E<y0;Yp5nBlE~#$L?qBatxQMrh5S4WU1p
zK!7}MesQr_;=t_O0uzXfcmVmTkLaNr2~Qnn3|?UuKLb64eP%R?=7C7rH#_gMNeB#Z
zwR5s){DY*ol5H2pyaWJX!8!C87~%V1E<eaoLVJ><$DC_##N#gcy=~`<56@N{OA*PG
z=r`W`^{!nadGP)Mk<3X}ls^F>?^(s=gT(B4BlJwk^V1d-tIVw)q^&cue(^u75c`cY
zX(?!;26bMO=U$H6VQM_R0eO39?^P;F@=uL>YBz=ARk=ipDwX|Hcg9s~CrOHX8L{82
zVffOAVtT2d$*1u5=<&xrzRkj@s+A{L7wY77cai-M;|~qhkLN$yqBLm?+F6JU@Sm2-
zsGgw*Qw1s2d;MU?>wI5+w)ZZ1fxxrbxi#%?`F}QW6Rw+g&YtLNBA+_Pd}XYmrLKOc
z<^g>}N5lodS`~^?eFV^M)`@cHov$#dA=QZ$g0Um<1#Tnq#-pu+)ihkXK<Td*nf>8=
zeY^gya~4z_A9i5uYY?NXm&DlCZ}h2Di3RMGaY!-&c;K9u1e4rRy2XO&A;y;5jiK}p
zaN`r&g5w7bxAQtcdId(<Qv@lBVFWy14MBq(m5Rf94Ke_@RF!NetR+lJ`?+$J=m*I6
z%wLAXB%sew97BN)2|2Jd5yo9PW(FGC%#s`2`&8B;PG@<VE+qaZ+TYX8>bC7+7UOQ8
z3JUqX1Pgxo5l9*sAo4mM`#_)fXB_>y#7<7$gj~9HFVzYNik<*McCUj^1%v$NQ^Hb1
zOkN2MRHog^+_i_B-iopLay)#UU=5$=x=76%ZtX8I<9-+~y44P5tU3!jDWM`6HA}^D
zA9ZFJX_8B9ZRm6PzZIGrhStU2xVu4Qr%&!`VkhHgD_-gh_ljqp60dNYHZF#71^vJR
z^Bw1O53_emVh_!5d2>HTqzQCo(ZequTm+0`uNoFb06Au5`hZ=%^fQh4a#kHOf%yww
z;Y(6PXjG5PZsi2OL{`u~QC~?OSa$M#tVhRp`feLDuI;QJENUAE(<<(@!_)NXJHq))
zT!&8F$!ohNzz^D16Tq#~Y@#UMv%?zAvg0bt2hC8CV5f}KV^q4E=xPG`;U<0%t#)%y
z0#QT;C~gHe@CVZ%wPfwIURj;LY^?;N{|Q2Y0i5YA)$FY|pcU^)n92R{c(*UD8rn5_
zZ}*uL-Kzc`8_QG^w+a)4TV_zwgu89`#q_Ds=(@*ygyY@`JZp<Kq;BGH1D?{#VY>bZ
z!K4xCX_zS<Qv^lNNX06951;_pIUCI)WgIAO^$qbv7&0}7@zr0?l1;LFvk@!2f5Y&l
z^|k)!hKf7Km$>AO+V`ptPBN^?8e$wKXV_*&WKkM9;)WqM&cHf%Z!pKz;MnjW5pVx6
zO2wHfprMb1THX%};FQqQYlxZM9{F;TS$_`NkaAQE7d0+6@i>kGV$i_{w}P$BFgPul
zA+VK!wNg4rr-3gs7~9|GpwvX66^ZZ7mWobT^J>TXCSX*`c?NWOkSJK2Tm}@^L>#?O
zN0$!kg#(bYQ$YR0nE+n!Pl?-vWTv@=Nbdq1r8P?cDJM-sG&u-^Sp%s3{|JmOsTIgo
zfsriXLO^CHS2$>rS64Bhl<^y~3Pev$)X77uuB3W^Bg>uj7vHV7R7jMNKD?GmqTT0*
zp<Q8o*a4v)F!KN+-CFx?><vGY@)S(LMvmh;9wU6!PJG-7amc{eroZ+x9>fGA2DE1-
z|5GSH9J*DCC(l7+O^KDH=-xYlI;JLo*yK84h>m2M?(?h0CKLQeAP@6VIHW^8iuYX=
z!el<^>1M6s04ka2<0OV~*_DgI=6n7Uy}RpfcG*R8hd$id+0XLpSOS9w-Oe95hn#MX
znQ@#&Q6eDGID|sU04KA?dVpE8f9xicySQ+aqmcR=LR%^Zq_Fo?*;PILGK-Nm9L3U@
zp4a^~$Ae4VPHCr>QMX3wEuhAxy?<CXBgRw$8@q}CM*VY=zs6R;5#Uh)%N*BcsR^u&
q=oPlY8S(O<bBPsmIKTCyAHGv0Q$UdV8-3>&%IFZ1G}y-Kp8YVqseE|=

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_read_cntl.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_ff_read_cntl.v
new file mode 100644
index 0000000000000000000000000000000000000000..8ee8078c3ee892cd7327ac7fc72d2bac757a4b34
GIT binary patch
literal 9144
zcmV;pBS+ke6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M1%B_0{;5B$>wF|P=tfq1x^%Z@0E>qM2!
zA@*;tZ|}z6nQ=w6Dx59uDq}9%@I0~^H7w|d+u6c6M3c!wD1H)6-C;R}_tcD^-|%tf
zF<*XPFS?qYF~Ky31=2}`9#WBgbY@EwV%+#|2jV>(L3D~knx?VW%6?Uu5nG}Cu_t*D
z=<;TzNTXh05fBgG*JAmJ+e@OFH-e_;hlpaam_yp5yzFeJRo*-)X7(_vNs^iCATjuD
zEuk6u-HBNJPw()(tv0)?3-+6(_NEI>X}EB}kn{Y=saJvq9?xt^-#-ns^T$aWRlwgv
zk3SY&Oz7cI;v%Vi3y<pd=z1Y5mlk?<Z_<l|zfz;0XTy^fp@~Q}BOpcaN6;D8Xi@({
z!5vI{XIzcnE_u4a@K0CxPI91BHl<PR#a;O(d-a`HyORmv174A3KxO780*E-xnvX!H
z^w*_Mv}?eB+)7Jkmlykg>>cs{mX}LBysP%%Dk9g;Lsp7|UD9a<_p1^BtfE2B5?m4<
zC-0cKi%N<iuNzOdwfEX#j;55$J7vld9hF?t1xJT@&T~>jw+j173ETb~7a}^c#iT6n
zH*ZG%jjN0Rd@+vEXuF}L3feq(@*Q0%k0GZ4u)K7|n=X$%_2Dt-4j!3iwVL3i5#p%1
zq6!eo_ub+&-O+@-ukYYpKj>NRroKfa9DF5S`(0QwKe*1BH|l<uqq|Q%4Gn1^T)wo~
zsEi}9Ds{4w25SSxx&4X;6dSIj946B4wIFQ&3M+Qg_XsV-tZ^S%Bnm6}PIUCL3oN?B
zD$Lgirz+^(-~uUxM|$H9rFXU)&ua;;NGMX91p=}o<%Zgu(=JOVc~3y5dR=1PY=AAx
z+N#$)lns^-NdASqZh52W@xJ!kwOG6Ou2xK@-<|>Ea`Uj;SFQ^TAei7N-^=5w4m~g)
z4HwN$;)HCSTk$$oJLL6s++ISgp7rY0d6L<OtEoYL8R{dHV2hfg;oD65Mml!Hn1Lu&
z8H3rXMLJ24A<DfNCd<OHsJ;mcf(;&_z!l0$)7)|;v{{|3pxK6&s#*O5+`{KZ3+GLf
zeR2Iw5ZN)dSvSvXU3I_EU+yB%8{HjCA?cV>fOBDacW$iTAZx{y6<t7XgexH?LUcYY
zH0qG*F`_OG*SVD89Un`2{sf&9I>)L|hM}bHe9n_M>=^@Zk*|5EXXIAN0V^IdvEH1;
zc}$!4ZdJ9kn1airmuhn)3}U%Q5S5ftPi#pkBmojkVJ7?ytl@jK+_D_CWZEHIGQD(v
ztfs~2%mb8`>pN>$@dc7k$_T)Bg~z3IP2Hh`n#ADx?1)MOe4o~jQ;7s9lj>)vx4*m1
z59)DmSxj2Wc-m4q@!wMyjpRx<qw4vD%865EtOLuAX|cZoR!uVmB<cyx9fp||8y_`^
z)avSj{Sh#2760)ma=saFTq@Y$XK7)qFcJ3X*eHCMwd%eHQ=^`H08---8owQGtUoa=
z2!~$uH?YwucdU<#L4m@XGqFTX4^T73u0qK@X1Z%^6N2X>1T4%3PbJ6b^bqmtTUkhm
z@2!9QI+j3kJN&mhu+&MkGzFys!K;LNZ8TJ%^g&g1stGB^Bo@Gq`f2F&{y~b=4t*YB
zU@Kf!A8VXCQYA8d5MCGSUAn@~tG>xfksM0{7Vp5l3oR>~UFL9y$*x6Vko#{j!?FfM
z;pwAG$x*zCN)aR`6Q(V45$j^XsK@xBvWD<KrY7wyZ`nAhI@N6zDh;MklVY5^?raZO
zdz&&Dbg)x&V&iIhOeE#$*cy9;)B7zREjT)A&F4>2SH(l<Om$u;DS`KNco?Odk0!&$
zV$y?i{xZ>=a|^$F^~xjauLiU+PHaP~%Xt4?Lg*trp#-08CKcyUh<`8^0o^QY&<>!%
zo4ho?M7rC*c2303`k64`%R+c;+OlGkb~SVt^ydp+){H2OG~jv)_7mqr&%Gdr+98(A
zv~Wn*U)4^#i7fA=3t9nglr%bgN>0a#5BD<Ste9M(q||OsZJdNUG`;%%&5?;B?sdvp
z6lqtbM>T*~*n-=e+VboGYmn2WpoqZ7|9N1fbw^J=@BACZ>aUtJdN`_UoDwz8$uH%|
z0QjS#;LsJl-N|i8#<j>vcNFeM&71omYp3d51)VH$0X{R;EA=n8qu@}F@W7@r;!3C+
zM+B}095R0l#&-{%A!>Iho`hP;V0vLw9y=+vTgThX(&39}Xi)j+2cQ$q9Fas#OA-&N
zGrN5v(Xv#D$3C!C|L_{vHw3aRy47VVAjK04j`>I0I#iYWd{*>iJaz?(vLSh*A8O@d
zmLm#@F%_$)tE}wE<Cb0EVE$(?(?F$4Tn=cZ?8c*CD)%0tBON#I=jxJWk+((`c#x_q
z$Zg733%FA+)SF8gd_Z)CD}B&0WUT`8V?+)XExmsaiUv-=54ea|MjfH~x~oy~3tn{*
zY|Ri7Xd=Pz4nZ@f?K8sVNmUI&pP5E4W`-6D*dt)gn*gE{)Ok~|399(6VXC%_WK#_;
z?*U|`N>wghbEI0gFjitDy(IAG@*9wxb(6w{h=%@Hr;tAsTiA1~XqP{!5-bL|^2;Vg
zveYY8Q9M*cdpVLFT=1exjZ~gwh4&SZx}cd@KA?tp2x@oT#MQu2Gl)kgRktWQ_*~O8
zOG(fEKv4_svfI%qK(K%HWPd#ThCKE5|Gfbx6fzn&e_**SVzOwp(890L^8XA30NwWC
zv;ZQh(|H1}u1i_Qg%!2#DOd?8efoIcY|X&K3n}(9k0hE8?0=ToRHlpCsepE}i-@m1
zFG8YIXNk~o!qAx5?zC=x!C5Biarutp7FG0nlw+BDm7Y>|<?-40FlI)q-d;1#q;!|4
zKUCsY2Bf<!4a8c<t6#IQ4G(>aggYV_O(U8p5Hd6%r|j9;q1Oe|LT6ANIIrKAhRQj`
z=(dmIeMdF#FZNNXHXF3f-JiTJnI<fXNCqE@I3m{!cC-9XiaFp;=O3rm@(dhD+B^vW
zZCpvr^x*WVYJ-v;ocZwZ(KHx1?&oaLU<XnL-QatF%$){u>E(>d;WErdumIT^Evo-0
zQSox}K%xrmjzU!H58G|1(2=iY_o<M(T_!#O{yO@=TJXb58I49~Cgot`t@GadxLWTh
zgBDfBp`jp879_oOUExaCr@&5~@ot6?2cdelxewD6cFBi-7F?hOa3g<o1v$JXE95U|
zb#MPjgaz=300cXl0!nU+y%~4rIyVk3FUDVhKcO(At6k=NDic+UOH%|E?9#4{`kSk_
zmN~T6)$E!{$X5kH8W)|?v?IW)Z{2K8hXk=jO_B?htchU$`t2~7;`7}T1vgn_Q#6H&
zM=yfVM|%mohFXEJ8KE&RqKfV=h>2VXqa(9!;uDySAH+K?l34>!+-O8bwi42I*l<SY
zQLBmqWb4jROgqp_p1{hNn2VTqsDZ>A+0{{)T-&z=`*l@Gd!pAY@B@gj_P~m(fN<@9
zQJTac=9SF>&AdhO#9EG60*%wLBiSwDael#1>QHnOxHO1^Og}Q<z$Jz;$Z4ecJ(Yg6
z{>CSv>8yDDa5IJ?@CcEgGq-=(fd+3uenTK&!Xdhp)1>)$i<4aaY_1R^R$wS#g#Im4
z?HQ``*z)}m=8H<mskj(Hz{`8n1B=!LiUkZqklvx2!B3^F3`<14+qESelU@xRGOVwT
zNb_7%8!+`8;fOdDkUX6*O0sbnQ1m4RYdqAo{vJHzKVIx=0c1+qjsW3XPY|F)JwR%;
zBLM75!fkg!wsJ8c(<bl`G_B6l%0(Cht!HT)?V2g77yNQ=qtGflfv^1qEa8_-$7}3g
z7?M#=M1FsYL_(2Qy|LA-J!}<P0a{Mxwkx4tw%D`$VWruV-<MUd-Oq}oQLe}L`ARhX
zuT1%vuU|J(&3W(v=k4I3iC+!J6@NzqvC7;z&kWz&;%RzM)=buf?@NkTfb^)vvKZeh
z<H7iiL&wnizD+k>F(Ahd^u+4^A$Rb@BB+G_oa>gi_ELEQ0Qg$}AndXp8Q3DoBLzC@
zne<-1iAB9Oj#^flr_`%N_;#PS(>eEnkAx1C=`CdS5DTqZTB}8n%k7OS!s4iEg85~E
zMp^~Pvxk|3#h)Zj#h=CaEfX9dk0ANOyrH+|+-BU$&903%unrK-GyrF#AKNPqVT5hy
z`b!7F+HhP~9KtcXan3W#n}a7mvqw};FV^9F1tw)89vRjU<xbzTy@Fng`2Ifyv>sMz
znknA~k!|-Gj~gjrDLOZ;Q3B$8?+T;YCrE6R@CJBp28vM7vs@ajB3vHMlKp~D{2Fd1
zGu@|4!}tMS^2gBlHs$m5%Ep-VGE530E--tEuqcF&Wn@!<--8@)NPa(BzYHibF+!yn
zPa7F#M`j6X1W{3Vf!$m=_q)kYH?SZg##6(EVI<ELf}k1;58TolTT%CwpaD;C;~R@w
zwbbM)EG^XspOIqCi6OEqEi9AoXj7wBM;iJ>j*syIb?`H8pPtFiPc~j6zQ<5K>$q<o
zgW=hQ;!<UKAJ&Dmiu)Mz4*bBwLkE2GX0t=acDOE}GTSuE4BC0zXRvL_g!(Z&cxcmE
ziCbW<^JctVPj}2<sj&4_SciEGi}tV43}!F^!^T^qCUGrhSbcsU)}%_M<R2J9z!u6j
zcHgI7R)0UA3$vqQf8@kx)!bB&@m-p*R1mAtNL6n2VTns%XIG#&et-k8IyxU@IOv35
zg86W2LSSFcX$osfI<XP_fqHYT<VK;j%R=`^GAgl~r<h-J=u&!<5zsbF%8a3Ig8aSL
z{XnORw2h3D+H)knD4V<Xo~;WighX>qFsW5aE>QbZ%ifOeM3cqvMf!DYopi*x=A2LK
zODB5K{mj2>e=^kG%e6q^h2*Gb-Y=;Y|F8pJLk1P~F29lkxen!$@O2|ii5n>4l%S(e
zFF&#Xa;d%kyRTVtU*uxtWN<h^bJ+-gF>9VtA60~4fHNLT2YiaEnbMI#t`lpsV_4eO
zT%-r<84e@72ujJ<lsxC(;nXC0DV`H`7b(B-mYuz@;g2b6b?fA4S%7`>ujGeL^|pzZ
z<aTOHnVH+~Haa84q&`_#KORtRK4pv@bw{NsJvF<y^KaC-e!9r4dG?$IMz?=LV7!{1
zmp7IM3=Q{)E|jJ`S&iSeQkWs5t$rZ7RrBr}nu}^!?a6-MM#VfPD2{|0Rr(|$0o{Qu
z(A2i`{M#{0hPwY8=@~Yrp_Ow0GZVFE`23OSk@a}o-5d!sre{@`p?Q}nC~!mUNN^4Y
zhbD+o=~YVRp3W&srz<m9Lh?Q>ohp#tpRq8YLpaU?-6&|`Z6Bx?!o6>&Vl)X*L?}?I
zIZ)0U(+;LuUwhMY>#8AnXHYSFmvB?I7UTVz?&Tub>~QB-0s|_z`+eJ%EgF{7Sk6cW
z&53;xKW;n*Vy@Ca1H3>JLFo^dSacOQGX)>N{6832o@gq&U)L%c8~C43$p4TLXasdy
zl3N&T1lQp(i*a~sa#fnu;@w&SuX)2pp3x6!Pl$#xYCG6pM54BJ>ZXDVQt&&Ld_Jtj
zLqMO%dxht1ZuR84_LvRjBhUaX7dVytVsYV)wn(kk82t{qdtz)ONDdp)2{3XiTBCE!
zXH5o5*fT<=DHG|&z_S1#oep#}oz>yIfW<soapSsJCnlUSX+ydhx+tcl@eJNy<_B|u
z+-gp)MFwhPqJE35Sw33qS6izWnK6$pn5Gg`=sHHini1N-yoWSBrbc~|FdhU%QryW~
zG!d<MqiNsbnO%_RRi*fUk|OkntaVZl<|Y9~i~_et_!4dq=UE*)0eBX$khJQ9e_GwS
ztx!m%ijq#EO@$$8W0(2n{WeC`;+Y#MOrSEY7*Dyvl4u*iSAs-#DjDfHM2ZT);cWg*
z(20n6R91nAoHEhq$C!ClFa>9PeVU|1E#kTsG;|;{OXR!SOSQ#@WO5-uNMX$VabJ0H
za2OYPYPzn3Db3}WkrVos4o_fN6n@TS2ukL?AOx7<(GNeG-9vN9)@K9dr_m)xQup{R
z9VBAR(Z?c@eZB0-Y{%z62?wq^s5)g>h1KO&KBTJee$Le}{X=6DH@#>VhCRmiN{~+3
zTzA)*K@w3Ssw}C0UFmTJAxz$!7b!5p-U2y#v!*{<e*pq>lB8__F%H)u{~>8J%nJnz
z96iBKJ$H8*6j|ZZ;>+Xbb2b!|9lbu;SWG>vb2m5mPB>;_8(b<hG+-JN$W0dTi!tLB
zflWn%$9I}m`Uk6!6<_*RG2#mGvI4WZ==>m;SZ10hpZ2CHUt)O8(#995L=T4L-uI!j
zHA<3JQfQglR0YdXe-vD~fI2pbj0Lccf%xi@PYEZj-Gk!ZW9!+gbaI;9Zt(a5Hm1Z?
zpKdZgI4xUgtIMVp##8Bcsimm6MAz9KOl3TBkCB%#FP8Xr7Ut2!Zbt!K1TD4d47j2J
zc12EymIaY2m6LG62&VcEvUUPvrC=e~AyaqUC(jR!dx}u@I$eHwW@Tjc@pz2xsIRX+
zBtK%ia)*t(F+aE<U8v%r=1VeOjt0g$9>>@v9jshjFw!2(BMo-yl<A9n1^q8q#$m+}
zJ<3CJ2!)Ct3b8e^74Gjrbk_pnM(iB6{99V1G|(XT?N03!uqB6`kG;QK?t$npP(L(1
zk&gS<S;*y@&#*S61!-?KX$ni<voo2VrW2U}%$61SRrWMql{S;#MLfNVM3*A2hG3+V
z93d5EHM&BmD|*oMHks(<zb}pApUDHpwre+1U<D>9PT+K-?~!1}M|L2%&-_mwh}2C@
zptaG-e;mypXXPt42eZ}dh7|&Ga#VmDP0`!kBnxe*6jAAKEbBT{DGWv+4Uu=>Snz=(
z!ig-oEvi}EMOux=4{U8~f1z%@Y2H@M<s@*7KsB7_<Y36aJ?pu>UDgV+{bwr0y68GF
zW7IBUSMP0VE!<Wx6+ldVKv%n?*dxQ;4`skt1i=#{!VZ}Z{3P2p0L&&N=W2q+*Gz~V
zbpR$}K|7C&9JABKhwXh}`^{lO2Gm=gRz3#2D1eFG3WwtA0QJ#IHn|1w265b%o$On?
zsEdhu9Izf*dr_md8=t1x12$WQ+<EEn53Or#+II>wE{-LJec6^T`|(5LNiq%o#?-}i
zUb4&sO$U9l+51I%9hUy_g+hzTNYKwdZ6~0P1)$Q1GIQ2&9I!Ul&N}L<z9!cDNEa7c
zyj_gHYCxd7#k<BknaLq&^`1i^p957hc*|IYwQxC9cX0v6JNBiX64O0m+U<#ih3Icn
zur}l6#{0zwUv)}wso8=6llm@O(y^MwGT|j;6Nmb3OKIL<8yLZ%2jQKMDW}h<a~sYq
z%8TsBZIq7(6w&_(4TQZ{xl-k(V@E)Iq{7%=|H0?cmKpyXBLUHyElGjIcugQ6*<=lb
z>HtegVGx%&M=!;x+-zEb+q#MXq-Ln9$Pv{`3~i&JWD#S(>cSLPIXi-ydtH~uE)KF|
z&aWhms@*L5^JW6vxrq>e|4h~;s+<r~h)pG9zBh+Ff)XJLbeenn2`niLH!w&*_f8S`
zj>obietEg3qcqw#oOl*?{BXV`3N9|Ij;V;2OYc(q?g%hx|7`8?l}!{YH)A%Vo9zRX
z_JOyXsqx*fCLK$TOK)X@48uJK1({}+wka!5)Sk8Q$h|jluS>-vYgfA%Cc|#l;~A7}
zC&EEQD!2_t&%HG$xirtYpbaQm>%kt<2B6M*49Zw;^18(B18}BP{<wOB8DKbjp^UcV
z23s3+Qo)&qxhD66G7rmjTDLyoPoX~`=}wPDQOdZM`$vnPOIjmaE-rg}z2k&-s6zHs
zjl-+mM!yY0Z6_~Z<?^!o2SOcjG0=y78=pX2J<CB(0ROO@a?+e9f=Q3%QVFiogJLFr
ztGDj5BPsA?bjziitGGmI+;)40B+<G#?1<(ZV85CE+!+(7@B|2vFNW8}m?9Y9A^`U3
zJmYfNe5Z%*u6Pwlpo3Ja7lb*;3wrv?a<*DPH#11gG$->-#C%EyBi;QMGWPVSA}Wr9
zEUY9|LPis1)GdQEDqQRk)oL4NWf}ht74((`5<a5Bn6ajEb*B_3jN!va`rimIh|fle
z(uCo}_&!$&_nsjPMxyeB^9zeq=cvRwRf~U4gFBPQ&kQCv;@F7XNmc5yLWM`2793@j
zz>;Ot)dm4ggyhr)Hziz3O0l`OhlVq*mE3}e95#ElQJYy38g0&r#f7)~x-D}$<Fv<I
zaMJ0%I-H~Jr?FPhv_mmLg)9NFbH03tc(kID+jWO*zN;Css>hJuzUlsvmvpsD1H3<i
z8CWB5`Vr>;5q_~sJqvI4M(pa^L{q79X$T>5@?m2bao~<7Fpz;|=+Zf>w^3jCmnpve
zn>M-ZHm)vvCP|iQvxKcF3T4P97NyfE-wZgi0KZn|4aCVn1|hboHi+U<Dt1v+c}1rY
z5u2@H%~^+dcSx!RzylQmv*V$k#ALV$|9yqwUc%@$KF^PaG%;q1n#rXZ#rtQCCRnBX
zdzJPjAjP(^lfW|XPZYS-G`rU`YOrfq*C6*-{qA$x#HIkmo*uxgRz?d##O<4$erv0)
z$*@f&Ccfq5j|MKn$$lzsD3eNe(y`(25lJkyVO1^k5iYj)k*Gr@HIwk0ZkW@fPC$sz
z`($2%4)1snQ0AV<vF<#0_}Z#!LvPxLoZ2oB2iFxNoLtF)UUPJ9M;F5+C=dvc!)sH1
zThkB3k;cym5eV{kSTVKP>qtk+Bvo1t0ls|G@NXY<PapBLRW{_x9@iT%bR!|@$0#YP
zz@j*7G9Rlyp71DL;8(2wX-kVdeG91C8V(f2X%6&Hvu|a@DPU86FG_meVqlbpB8m)I
z&AbYp<$#i6Wsjd!(F^D+TM(<6mdzM;&vUp=IeOf>8q)52N;ljq-~Ob0WyICgXD_LY
z8O}9plagUXT+GtrECt(#{>9F!1@AX;JRyjp0Vn^oVw;1biFiUA7b!L1?y$+VTX~iP
z_jqd+k3jV_J28B(13P=9;3@Izk$I2!Jh>ZKF+IA>?pq$9E9rA@0?x;2EA?KM-MP=v
z-mRqvjl;PW{(h^5wDX^DgipeF${a*|6S!6Ry3I;kk!Y#!-|IF#w$G}^nKuusp7gPQ
zx`BMfI$E~+@7|(W8_h|2y<L{nDl|s$hVm9gr9*ig->o2nPmcNNs}IiszYFXa2uVB3
zOz-tAXT3Ix6@u^!Et7z1Zv6j%KunN7768mFv+(owU}prsz{kM*I$>;{Zk7Uvqv0-9
zuAvJ1<hx&nK4rr?6(js0P!mnc55I7(s!&|RcKSa(*)LAdr-vAYJd7v=AV6@D%j#cd
z>nva`Ie;=W|6LI%_^a`uuPmYeNIcUz?&ymIkv*#o^(YgEMtcLBN9x62T!aKCsLGXK
z8Eojdd-E|^f%mK&h}tHcLpoy4%ale%OyIF(+LO&?p+86DsYbph<`w3U^7;NtyWTU8
zuj+nwD~t)4Dl7|6qo`{RXc*cGj2`HFtD9ng6DyF)dlG+#Wn@547D?Al;z?lvto+jh
zspa`IHQ(JDqbhYAZm#g(9<K+PSD91Kzu$!gD#w^UssTh{Z5de#-3R~oYmmZ?oiCRR
zyGBXYs(^mMca8ROPYiE2lL@8Cg$Z1B0atAlO^*4MhUDP956OA2g#u~BhSj<ApG%Oa
zx8~1USMaPm5rz@pCZR0WOvYoHx8#Qw&qPtpFh5#O7$Vg8lP^uSfLP8^UHUKxCaQCb
z+TG3vQ#ls+`4B#oMxdain(fz0+qJQctJIgmCbSuns6GRc)}kn@T3X_?CZ#z_Io75r
z?K~|Zp8W+|(x%ul)Ou-XryGrleHt$`wBV{zTq|iw1PmVGD+M2p2r|lO&vj7>701^u
z)kn_7KZQeez=MG^{yI4ZHsrWYikHV8POd8X6e`gsDh3TkGjn3i?3B{Z_ma+YiKS^l
zrD^e?5`eSEFy~GKr#4AAddAfV6*m?l!{b55$-iMPmv;?TmHwkM&NhAFlVJ2@U7pv(
z_hdFVT<V95SH9gBR+7PE)N#!qH2n}dJEir&2bx&nqThN3R`i3#^O*H0C=!{Q!mdsJ
zxVkgekMA+4n%2Co8hN*kVC#ZoZ??74bWzlnr^in8Dg;X+MWy;qW(=R~|3WnkarY4=
zqjA(W{eDpB|CAt}r@JwdD+D651RWFlwu|I?;1RHgzr!3CCilnv+@jfGPGXrEP11d#
zbOU4kPKhl{KJ2XB3)OB6IQf@mq2-jtxqVTU$B*&SN6ra!vkgI0ItayySlEPJSbFey
zyp+jVz4eb-W3r`~W*uu3ow0F};<)40*2dY?U13bTX9ZJ%a!Md58Pe|jEBj514Gln<
zxlA?hZ9w~kp)abtA7L~fRePpqop2j`b=NGW+w||$g%@y|S$NLHIT(9+Pm3avC;<8P
zZu8*ctPf3;g;g$MvBKkJc`W_Ejaq#y4g<<2m<AZ*6~C+4$F|o{igiZ?Y0sQxlKN~b
z1hpqAW$TSTPEdkN2J(E-m{1D;B9+2v7k8O6d=SywI9Wqi(Wj+EIn^wmvEWq2^LT^*
zSm<hBfZrN|=;#FvS#2s7$if$4eb*4kb@S4V`B_N_z8tYGso5HoSt4aY-f_zPK`!OE
zr6P8icN%*MFF_Cz8FGX|Rg;Sy84CMwJATCkU`^&v`~q`SUAHC4@_a`noQDl6uidXS
z1|?)&4!J|vlZJ|Gs%b*A{1*Ve^iv%*R!4Qz)E2iAhQ&L{f1catlUaKku&YFRZ8vQe
zNph1l`yk+$ZeOeNQq_*vmB8Uef*l*hqw|1kKLxgiU5@nFA1h+iIxa@r6pC-#R?eQF
zp%Il3Iw8y-5t=3;R*%1t{r6Wp?U!dwSS**Rj{ygl%aM*SEV>l^tY#(Es$zkxdVf@8
zdYSl?j$-spuIN%@THe*!y;op{y-6r#`d)}ataZ74vVY-U2~TPTngqV|kw3KdrpY2h
zlJCj1E!)RJZ2;V2@tEO%*&&Ct%__*|K|D_N=}F(3BHfhtSwr$&5Bcdhed%@&olB6m
zYP|4Mip^5+xsjFc{p$1P$$pdeFotI{RErAUJ%(G@#`ka0z{Jz}C(ta;VCXS!WvB1*
zowk$kdaT>t@0V34&qY~rK%eVpSD_iZS>sr*J%8N)DZE!-^M7|BwE0Ch$9j&OjvkCm
zKHFpxK1E7kTM2aoE7!t_tP_X9e<SjllSyBG1A{qQORkhy(0*yvoEpv)i(xx!3XzZF
z(uJe~@C{~9fd%~s7y)BM8vgMAsvS2V50b-qEu1oQOFQx_Hh9Ko+=N@R*Fi@@19VFw
zlg*UFv`1FEjxOrRIajvgn`2Gd5OGbuHZfZ1aLk_|O!01gLN^>1BD@{jV$&9qBPU7D
z0-rp=BzdvK6uexY1G_n1!LoDJVX%30As)X3%1eX?1IQr%Yw6XjX~IYXDy;l*8)7)p
zmQ+^AOc6!*mn%<~>kQ93u3__hkPc-7gT$sR`f2uaV;}A$<j4CvF(m~}!Ps}XZ3NXP
z><L_oK6Uxxyo;B}w@d-*Zyx$<ML3n3tB3XgDV2%)pq2)$)@b|xh-QS;pHHa9Uzq*J
CW|*G<

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_min_ff.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_min_ff.v
new file mode 100644
index 0000000000000000000000000000000000000000..d7117253d57b466547faf91a26f9848ab824cab3
GIT binary patch
literal 25376
zcmV(pK=8kd6Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}TIy)FK?K6=-;
z+tvF>#~5W3aU<`wwFmRbQ5C62=toGfZ6@Fm4qj(m-!vKNIbs5j!UKb>cnTJmv5V(l
zbJlQhyO}b_v@qCHn`cVy^ytt%W-wEUiQ%w}bT7re2=3X0+Ba~khej9>8R=-juURzr
zpBOwIv-I&XrfsSDG+tUKSd^aWb11DZ?4mGZFGXeowbd-cF6K{8R#-ctifds*zF6jk
zuJrnArohe%+OD$s-s1ccYU$L&u2hF>hp||-%Y$)qV7mU&LN?djuo!<0M7J*l^hOgd
zG(hc%qN>wv68;6s5pd46q&y2LBA-lGTR~CV+$R=*@2I7|aTZefzPc7eTSB}DK5s9z
zMYMc(rioHGoC~coOoXg{m50;05k#8%!>|hOF<D7w&we{JGW%$v4gRvX-VA+>nuC~{
z@$%p|=K^FY^QCBy_xjTB^gTeUcJ8q5b}`7m(GVjHn{9iY8&@Pt+F3q-foF0o;X*&)
zd^@chwb2p<i_$#YsQwfS&<_s$TkgxihlvoLgx+J?|Jzwr+Z=%Lm{AU)@PbiEF3tK1
zo9`&~?fo9$XA+?F<eXC*1<4>Zz81?}tb8QH))#?-D!-Wz^pS#fQ>YjDm}}3ixG<!!
z;KCwHl$t9dC+BCq6$3iR?&Mx0YK#nF2&n=O>^_n}6}jUYhz5FFdmJ56D{tnxsBp{e
zHpvfg281NCZUEy>qn@oZ$w6NuwY?r20a6bzd`P1H$6mO-@meBPWhM!ab)5Tpj^9;T
zwK4}^>-)Zj(hQBv;4~Q-ZJW~yMz-|TqA6t50UTa5PdMi-M`Eb+SkVQzDb(W@_DhMw
z7@O2b?h@tC9%TydSR_nBTQh2t?km=A7`j9;n}j_$CS(ZS`!OM8_lC2m(*}uiygxMU
zcjFIW0@gJ>{xINzO0ro=1XcX9@EJPOAF^x;i(Mq0NRV#>Gcl%&U8u0lWBqrdKgeFM
zUkCWZ)4#<+*66oYdZIDN<1sL<=LlZOcOwkFrq<mqf-~aLCiV$t0SA)+-UmFWNm6?>
z9|2}h-j{10Ro%61E<-tEI<ASJ-@kn7i9>Zz+~P8`Y&DkduAlU@P`<rugL4M?hI@)p
zg+hwD6Ur{5f4N^=N;cEh%tAi<nD~CHs}VDDH6(Km&>bs+GO@X}=W!YZCdsJFK^{_s
zvaN)#{hVuK>AbHLG|74BmqASVd;-AFV;&FA_ILE=)|?Nki>&7*q@87TgY%-blNU$P
z7rOeQ20)&5YH7m+i3oxnEOYeFmA!<Erkz_hC)!v6vu8vXlLD7+*l8-n9%ocq{776;
z%Kmzx_DxsO+2+M1f-CPk0R4U;hK%2|3nRs#JJ#nY2fFkE-#WnFkp$z;K1Sb2;LHHe
zTIkyzpJt}0SzzulkB(=|Cy1ao(Z}Od=X@m0@NXqEN`M*(PqSJRJgZfDbX*@2<&b*5
z4vF~8QZDhpO%MhB?~2c2NVRMr-$H<TH|0=3hez?IF!MT>`jt8nw7$Lkrm=3Nt$Qrj
zc6=;{AjM_<MIdd0Z6>*LA5ouQhcdN>bZ|}-)bQrPmfpyK)=Lq&kj$Z$a1P(YvE|BS
zHxDiHN9k-PoES$?rbOsMUH(bLx$E8&qG+pq1Qj0OAn|8PcIHe=J!w~^-GTX^t?aU@
z0+Omwt6$XdDln#$TXLCS8>8;mBDHYFd)1lKxz1Nx`b(^fUWy8!Wg~dFEn#7$)eLRd
zWNm-$MEiW~fEZtw&v-~^=<u9ajyO#(48+v1zu<$vYRO1Mv9Uwe%Mzq*wse$0y){5_
z_9^@>U`H>c`HD0W)<gG)c(g_12Z<L#!RiE+5O?s^gQ{zYv{PnR{mAGo%r<yMg0#>`
zrZ4u9#Tsr9rL*E;4>Gb;bMJ238v_PfgRp#)a>sic83ZO4iBgDfM%p2{!~gKe-1ow5
z?v|eC9_q23rBfsOEFVMhy~TA4Hr`DEKn3rs0jr=aF^)CfGb`Z`%#Ktw$LPkmfS~ff
z1d3NW?{<-<GJ<du;xdde5fLLN2s7Adu4-M@lkiJst3k3tguy+hXhR7jE@vs9FF)Bo
z>PPo$^KX^=Rp#pX*gU0`mTrB7HH8bb?Zxw0x36|y7<Zmg92n_T5_gXh9r7D-h5av+
z>#gJQk7%?JTRO1U7?F$QLd3wi9>OBnqz|Mp8pg%{wgp`T)M4~m#8{V7k2M8#$v+qR
zibCgFhkX2`5@`m;6rf&(G4w~hU{J>z?ubxpX9wd@m$EEV(4j_^UZ*V^Ep#1g<eWKi
zfp!2*$rJAao$Ooc<S7X2e6Ze>HPV}KDwK)fN1afw`Wi{2tcFi42Ce<wu}=T4dA?G>
z6scDE>s&ogNQ_YB%@fh!i7`=taN9pR4$|@htp!V<y{SG~YXi$68crePjw_QTWC)dI
z`+9wx8h4~L8eASh++rVs+M}KEf+|Sg6QD>CsyFUyjX%?4gz3$m((257Zh;x%RlM!t
z@;$F5Bfyqts|bdW{BQwVk^5L$2Zt#ja`AsVRxE0m8;MRPfaE`8Hj)F*w$}dAWOGiv
z1Tx43Ww8z)a>VXAgW&kaZwPPtCFN>{q(RKZldQWNdrgEVG(tApO?PkGvVQFew2Rch
zV<sPHs2#}AK#!j)dd@k0;Wrs58A9vX2WxisR-rWZ8=II;!4f7qKv7EDA|f~N%tAFu
zBoammrI3kQs*MR$r0$0s!9uv^jp6tw5?)n)eCgR04I?lZCGClD=@Ucq=0}*&WWQ-0
zIQGDgQdYW}YyYvK-J!0i?kUABSF|W8ykyf%_FBt?<fiEVU9n=a*diz1c64Z6ipFkC
zMREbbPdEKsEjN2Z>=QMr7?sw3E>@Orwo?_!y`H#DqKTb@_6snV@t5ArV$)(lC<H<L
zHBPHX{FloJH2|#`<H=*wgOa&V)a_KpxbxXf0AT;~z};blS#vhRNy;wZX0=--G^8D-
zO0$V(Vi`u=$c114uu0m_CE!|@nLE9=dMQLQL~ewMJ_RFyf1Ob(DT0b#vKJPq*`8ZP
z>*i2g)`fbTR{INcjsy-0ZPY34UXYWpYq#vPOP|pQ!tyR$>a-+>EcGN_J_d71R+ya%
zU6pd98)WAe+_Bba@T?(ZZ^)H+K|{bER!epy#OTrhU!hC8B7IbH6^X>$D5AqRo#99*
z+9>}hRW&5TwfzHXQ_*}=tZxZvhM3Kl0Nxl2wpv?i4H({RnmpnemMMbqXx@4=KCH74
zqjujKQg@P4=9eZv?bQ$lxs|a6LHBnnwA5*_AMi@vQy^V@^Y+kDWTmYO?8?%5K!?JP
zU~kO#%&0f6K0+Q?5lJEXsV-u=OzN?s8B%lZXD|N>*zWI+4zUA1`dPSz@%;OMfErVm
zx(_?N66k%~i6CBUmTm3PzqG;Izo3H>5+(W_DnBtu<k-`?FG~h$#7)eUauyu>{0X*K
z2scts*)GC&t+1qpzi|?e`Y8}#>g3n-Wi~42iE_2ywGd1YZ3)O)@~z>^z<NFS9|+Au
zW4|}MH7(+@POY9o|3}nk0Mu^+)r{hTRAy7!bo^FMCuIPoFDQUEb3sHkVv@H-lx+5B
z@VEXZr>t5iVaF)W3JP!s*B|aNb4q6Fah`w1{L+F*<>0O|EtyYN+1h*{48YkYJVIai
z#6B?+jhSG!vrpVC9yq-#;Jh?aoBk_+&kVA;%F|B$*lW#@iW>Jld(M0TJS2yh(x~#{
zE<J}xc(3_5*y@xoMacc$w0l2|zZV*tU=o&W`$2V?46%b>%w2i6N>D3ll5G7L<3wy2
zv9+<s4Va+CG&4Zp;C=#L)n(RcN`8(8AZ?ve*XFZ$eNFh1j_;abi-hOsEG6)ru{mIL
zIX%U{@~g+jI0=M4(^O~Zai{MI0O9)a&U${uJc13dk@t8*#jLp-`VVe6wX@V!RsET7
z$9G1)$_z!zREAIloAB~$cD#2I>n6;QO8Q$h@Yn4Nq9)>NC>2t5!0lG_Qjdp{0!vO&
zN5;|2$H{0*v>LVC6CTPcB%Y4~tbikaeU<|aGfP2_L&!(-XpneMP-(|De+JTXM#fzV
zHUHskdId$46gK%ZnD_%~oNnbMoIX%bZ&(PiKF|S+D~Gb2W?HC&&gdgapsK%4Bqj5z
z5PTzl=XWCGnkoz<FkJbOv;rQ%5WRhZX9Xtx3QCEJ<Vt?bt7!S&KfBiIh!66Pz^)bO
zQv}sc==A)!(rr7VNU$LTJuV}%D?FvjC~;{L_x`Sq^^997s;W_K>Du@HUb*MZnZxbO
zGvxlE40W0t=>!Q!11*$=(>&b+&o%Q0`J_FZXU5=Kk=4bnw0W4na8hFPlo6Ao-ISqu
z(xtIPd08H+uk7R2LAt<Y^7!V|h!C((7+iHe>WC_yxY;*h-R_L>$GuENgQO%fz@M#v
z+TgFZi?Ii)zCf}A{u{BX@pa;go@_w{4B*}8qZAFv#%b7xcysGCO8CTXNy!ojginIG
z;~D$FD#}3xsvj>Lp}Z5yt+Y=#D8nM+=aIAQ#b(dC$>rr4!CokqJc*#egaM2z7X>HU
zu}v<Hw>%h*zV4A$m;Kx%`rwlVCH7NjI2QUJ9SCYHQ+fD&wCRc77|g_jR$E%&NikRN
zS)Bl!mU9s*GjwD5nBk0+K3sjyc0fx(C{7_dKwBBCoo-TD*>Q6j$(zy*?(~pjlG(o=
zAk;{hL04OOD$75hlPdYLm=O-@&z<;lVz?&P3x%u!qGKB$_7tJKRh92yxw-e-J%opM
zJ?7FL|AWrU+Rp%bS@KD~Xv?ye*?CDDTG8hEU0Kcrj-<C}?CP?4$_5F_4G_AzRHkn5
zme-bXqDX_FZHF1YcK8CaKO=5^6Fz)u{Z-IJE2^-do{dVTozKZ72H$LEdoVd~uH}D(
zk@d#&NSR<3rs(zOvwzQI!J<h{ZcPxcRD|0Wwm(QtUU{_nPq_l72@E(~Hbc+@z)O!?
zn{KLFiT`BI*h+qvhh)Yapu_ge=C7gGw_V$2AcSw^&iE^J;5_b!K-H^SG@Myz%oZ4G
z9t3T?&idp^<<vzEvXacNwP)J8*H0i3r1w}db!Gb85g_W7cL3;N3|>JkUli(GeM73Y
z)fodBlqRv0<W&Jm<+x}+8-1*j|A)vDS0Fh<_ev6cB*niqlWD<eD!v%JY*=RCVnK!5
z&FvFfeOA*TP&rq+?aqzhdy_R*P{kT#GZyf_nl}$k07V16sV%f6vo4K{Z^aEI-=@FK
zspcT8b<6gb4t!YqD=^NwK)*OA*9^h~u+1we>KP}lW8z3?3ycI%kt)vPlcQhs*bDwG
zY^rWB9brI_uWj=-)4QVCltZ^vg4HjXd#yCe#y2>E9c2X0DA7F3y(5f$T=o~H1y1!{
z)bLU)VpTkBqY)|&`{~Uff^`|eBTV*uu@~U+yULnt+czU*Y?4zqNY^~efIwbGHHXj*
zCmxb}aZjH-J?iCN+V{oGt70co86iUGhVp(PUKhmnjnzwDGMO3WSQL!rxZ~PX^l8gO
zdLgIf^{Dd8oHckQ3Z|N^<9poBeYHtjm4=#}z~hKag)1tltNV_Tp3Q+%EY4%-&Z43;
zl&Hbk9Yb4tt!-H;1j%5A+Ix-5*f#RP1Bj6{bi3h#7+5@FN%+v-hRh=mGKWb9hLG@$
zLNTLLzsu{CR;tx5au%jXctQd?dv<7EpuE73``eat8;9V~Q<hfjJ1PNJlmn|osg}{N
z5VYuKJb|~|_hA*05Jq;{j#fcj^^aNc*tbMKv%s#|O`@GEa2;CmTGy^5#(wjMzzflr
z1n2&mu1!?qRy#T|C^lN+DXx8Mnp5o>q$bADZf*AE1_40f(ftb$_7>E{{)m2pN35fA
z#$=t#TcP-L!Q&~G`K7xT*-@}pGBnp{I}7rIJm6Q`lN$x|BykmQwmoA!N?KY*3w21m
zL#t<F@MJbocC5vR;z=$CsJ->q<qGiRXUE4x9S6w(J88gic4m2j!4sL^unLoqoACP*
zO2|*ce!m>IV1f$LuMF90r2MEfPflEoiY%eBO$bB7=Y1sx(BTvgr=XMQf_zf>c27H1
zSjaz7bsLIgFvoRxzWY4s2c(r3wHtR9k1($Osw$7igJPpT{WhbgTmN>~mHo?-2A-M#
zdvXiOzd2n6{FYJ5yhL*^Hv8X;`Z)Yip`Zga8$2NtM_;IvE5E;fzyO%EIW+<X0V+ox
z;r)KZnsjLqg(Iaw7N+rmtML<+E|IpRg$Og15VHbYtG?heIK>l%^=TXb<m<!UpSAZ_
z?p<iV;(L;Th}*1R!f7DtT)%dm;2V^?C35}urBpx!be#rnaFrgz8lNB==G$_#WVFx~
z-VCSpj^`3*-X$pGR5TM`Qajqbu?DC%+s+f%T_Rg+v!J9%w9FA++ZBX~qt+!fGyn7X
z$?-=k88}3DTTk|lY;(i5a%52!b@5QDwfi+%JPiGCT5`I(&DlwZ0FfM3&{G2Sy)=^V
zg}7e7ie_J~DHn9AZG+1ohnL2Ou}36#%y(H*;d_W&6xg?af-2&)LSGDa)J2vL;G6Q)
z+>Q2~AE-RQ0^e!WO0*LpJ{>f>e%2kWrI$y?JA-66IJr?u5LwE~&nvT|+KG2Al8#{^
zXKIR{fSkcY{ar5f<U^DalrEMwE&C-Y5qofL7rIw?(8yorwr7-L)`wZC<69ef*!s7!
z&=!%7^)xx(@&Q}>v+*ZA^8+KYG2(7pAiU<uH6hj857mX41c#{hyNVyntL%}}G3Q%t
z_ZjTT9u6$`nb)@y;!M&AaFRC5j9M_N2NSB>u7u~YoTMyqyM;T5g~3(>To0>)>BGbJ
zETKMWJ4XZ{BH7%tczZlcQUU;Ax4tutHSwT0)DI-tfjXETZHYDoiL-8lL<550G1&<A
z3OhOIA5Zf<fyTKyF?&L}a{qT**SR!EQS3w9r04^xZ3@@todD}V$VgdjtaR(n#_{Yj
zl!N;XtuN9-gx8yzi;Y>gPu$N3!OyA>uCGFDj@C!=f50GY1e|Z>;9R3^*V`?_R~2Ca
z0YR>hMxToR$n567Y;-ICE^t4=6{i4NfKMB88))QMylx;2iYc4t00=FGef@ST)L{oJ
zVBogqEZ4+@5uJ)i1}L9Ee$1z2cd*s+g#Sj9Lh-?FMhW<OAgBf7vI&CHM$i7*lX0!g
z#4G#e)U+E;cH2N065+}+<H=;(>yg)te!cTgR{6vdD+Ql+{+Swgn`Bp+^+60#>dGQw
zCfuC@8?dhsiinDnfqSY{_?509M>-DJoa{F`q>gXBxwBMtWdwSflM{E2Gj_UmvbeeX
zZr9ZQB<n{ggf$dVveKr9XqZ7R-Y*Fz<AL#4U6{z6=Y~6HvXRbPf_rUA_Vsh`exkaX
z6(V7mm9O<pO^9Z@oX@mYgSNm@F#D9@H|a3Fz7!tc$;rbhA8mj?gzR#yR=XU?lPT@5
zTtgnKpi^r>6}>^WdI|#Gt5^+E0P8qAaqHF`NyyDJCg~xnRZ{8&d^O(BsMV6M)B`qk
zGqqE}yY`Iw%$5E^d#QZ(?QqEScFUGh(hP+pxrVA%&(ij(Z5w#8QUk677Ux!Nt#qww
zNyC|}LT+=LON|ei0w#P|Ocn}kNVQ^?+D61CVDr8bqwm`&cpHS&3TO%vAxJzqM0@@*
zK6DvHPuiRB<`ViRJZ6;(Gy+0X8BVn{FSw34+Tb7mhy?{x3BsCzJh5StH4^#smIJrO
z{h9F+di?Z7<=#rtaNOC5qmRsy#`n|quu_kGmH!Pq*Pg~qXYC@<+9{S5WFJD(soOD~
zdK(V<JTHWyewtur9y7_ijjb$R$2^BNaU!-5VTfgUGvm>}t&d-YjIb=7&SDi?^`dbE
zvg>dvU{)>+Gs*E%#x?>uPQwA&!C^CeL?uCM9m``ur${)LLJ`hkA3$<t(i^pOQ+&iF
zr$<VUj#Krv#tUIshW1-)i%rWq_xlYqX3QqWO+9#|^VF^5Xe!D091L07n>M+t-vfnr
z;Ul;4M?9NV#y-%cRUb6GgI3F89fO<m<oMxolbBe@w~24jD*Mj2E-^+gEhH*4BtR0Q
z-QM>p*L#jk`g`V`z7#OX_X@A~v3sJ`w>~}RR`xi1a{N?cWCB0STW)dVAAG`fvNmdD
z>EWr%_rS%zgw7v9LGWH~{CpwgM08yOG{IpD+wktuM!;%I9-wJq%*3fXA#<B+-;80R
z%QR^ay3c+L9~J}c`OZvJL2Y>UwtNPrx1}8tCQzforF8#CCnC*D`f7uJ$M#_;7C*_<
zQxG&z0#%|=odzL2*mJpe0!C83Nu9T(r6qDjfQVosyD&YzUp!>zMMr|}&0qsqGv9<v
z9r~W_&gq{0F~1P_ZnEV@vv#yr^Kg?(bfBrw+aH3hp1;P3EE(Ie5hvv<0zCDyUZDxh
zIU6vIIiHd(M9k3zjK07=(&XcvF4Vj?^KkixPVcpEp(&xcB^^L|BunG9La&&i6@8Eg
z-jCt5z@$s^olWAuN8}gnrs2h^hC(nx^k~k=SfnsRYRURg)PFCPbi2Zx7I2dVA6*;m
zcjiXN+2X*3g;OzC<lA$aA>UNKtKCKwL#K$_U-pp&X5Y0>EzvGDXU#6A6EL_f*E4*I
zqTZonFpD(#$DDxvz=amXsKL$EtcfJ0u(QHA=IxqxnN<f(M@a%qbHie*DfAap<@V)|
zg9wU`P;{zaRnc^{nF*M?!p(r{&aS^rs~|Q(b58h3;pM9r3o2Du-Jc?ByiTcY(*ld*
zF3M1wT>Dx`gJz7N79R&+-Z2xjBw#d!R4q)5eKdj_05<*+#SX#1H6T8=l+%5AxSw9)
zKNco8HNyG-RqA2lG2BqJffa!|4vr^G=2D;ILJCP?_hkZGnkJE}LlO!9&DTM@`mvSK
zw8CFz;DZKq5sR4A?+^9hF&ZFhK}F0FVY-(;Dw;cyScA0e+43kz%ojXHGD~ely)tx(
zf96hYR-KE#l>#2f$0Yws)^}J^nOiC%w>>e_nHXM;L|j?Jt-p@#HcL9H_d(FSvuB2b
zSBA8lyT>g}J|a^2ch*AN`5`i`3qJb@&YtuLLoAGH`XRc*u8E0gFa3cE8L*U!i_d>Z
zJ<HiF8<%-Iua9ExWE{vZNZ*C0k}zXb{PV?aGH%$B-8u>|tQha$WHRMToV&>oUIPLb
zM+Nb^aJo`Ri?`zv#W*J1k+|Q$z|E<!26yezG23uLGJ=0b`wy=1`PStN>TBp*dV8q1
zf05-d$UmlJ{3iSP^P}9uuO~aBpmlLB2qrg*ZDjF^F|GWTJJ6m%+pMw@8yj*LEe?gu
z;|(AzJPh<Y)sO6CN^ffJ@u*PgIqlh7VqUUfwB`y6qtEyl#3J$?I8~;UPJ;n(maVst
z<^x>#Ek_=q!fTaKzG`FF$q4r=w(U5rNjD$zDs?My^(n6}%1XbMUgT*59>dwa)<ge#
zC9+K<IeP-KjuW?K8i*vsMK{)?+KUP$?|zaioV2$uu=Gp3dszx}L#Zql-1p+a16A3O
zcU>o0taxHDTx*2tz9Krg>9q*$4ke}A84QQ&EW0oeK72k^Ff69_)^I<FeTJTEq-zNg
zj+am5gba=>0Da^AqFEejz$k?+aro|}B(*@9&&=-$n=p@q5Qovo4jvVOo<*cs00-8^
zDrEhrY9cLL!tE&<KmUnYLA0fPa?!c80&#ct4nH!{37~=anQXD5k0!??bbWl_19i9J
z-4gkNuN$qV-VA^>6)Cx+u=xD&$bo>^S^{N>4Yp>-$)JjHyBgMJYV8%5AW9q`sQ?Sd
zHgerSH+3|sDy)NoFE%d3hdA_J_e0~@P9+k6xYPk02Cw5%4$y{Al{`!CiJ=#6v$4Py
zXti4zyS2;5mq%G|TtF}QyYiiJiZTTH1ECq?N*>t$6J^lR(R&I5q6xpa&}hUs4<}__
zEfg*#4(P@b!Ceu&S4oAg+K1e$a^a6ys{^7aIJ6uB+Yd={pXt7zYKQ&Rv2H}x0vs~f
z>rN0kk!?7+*m7$F7|I1Z#Vg5kqLnem^PDy@0@ciWgG`d84FKl8OoFJaF{-={%XLyh
z0vhiQVI;+9Dx|j*#)RyV+lR{v8f~q2%Byk0-;Dr3`#8z>EWNbLgj|7dyo^d&ikjDH
ze;)xq31_6)eb|)kvQ}^8QmnK-O0o}vmQneHLxcKKVtlWs>=&`k3+#SPQn0Y94pz3b
z)pcx&<ct2cE_-%JnN@^|+Z@bN-d?nS#y8ouD$K%A93w48tSu0xYM0BT95{3T56?dJ
z`YFg#89LSkrztA%rI1}m7*3zIbo_)3=ERQ~1^>rzUf0ADSDPD+MqvWTv$t0fQ3%(W
z@5SCpdbGnMx&3}|9HIJCxI@UE<(Hwo$emi$HuOSO0vSY@sLLFjn>Hme7PxyAAp7v7
zJ1_M1)vG`qcv~GpX~PJKpB*qEU^<>jg^h42KbWTRrb7cV=;~rxz?&|^Bu$KuIUC40
z<P-8aIn4jEOf0<e&u48xt6<!)2=L1T>^OwL!@M9C?!mD4U%u=Mx!atQ&X(hGr5cEB
zrN_Z1+JdB-I+ND`Vwoj1u`D25%eCZ!S)lSJ*w<nen~qCSfRrrqEZ?6|K&AAaNoYKS
zud;00xT}K<VKNDbwF$c~bCCL2;(A*|Dt%KK@^**lftY_j)&a|nGP9qu&>Rs)AF&{0
zqc~UuRfy4bpAIB2mmcne<Cg5-#{1ImjH><I8KpGq;UQg$_0d9S$_jIYio>(6oPT7l
z=C%~!h}dt~3yj;>)k`7lSKIO#Kq?fEBHa1~mJz)vAe8|o>$~#w6?6QU)b-@2&&(1C
zJ%jlkW{h5}Yx1c!&T9hBJN#Y<*#oZ<KZQObmEJ9iy+wH~lFVG7VmO8@+#$)H*>TD+
zW1*9^KJ&yzWlLohs{+RA4Hxsypip%2xs0=<sgNy{oO(>mPgSm{4v$gYjN#phsMEMO
zz}-a0_q+$~feVJ!VCKk#TjuWW7$kTD(luFtx@rfpQwH`v`JUhH1@TDr>L`ZV)Oz&K
z_Xf7!$6(W(LL8!)508<Y%a<2#hKWphHc)#0#NzV~-&Hdiy=lCcN0GZTb5K#ht9X<@
zCI*TDV~WKcvYe=?LI(5sHjYGFM~%IW9rz?WAs8NV{@0^FRc;v!Jcc6><^55rZ3h2^
zhUnDS697%EanM7XV?=GrtwTz-mk3-DZJfHYoJ+EpyAg}-pt^!Dxu;r7wTde1W>B*=
zNI-eQQ;=^&R+6ma0U8+u=VbxXezNpVOryKSaGJDr*9dvIYLVJT0Ld(H!sn(m{R_U!
zc+bO^VqkC-a{*2nX*OQqXb_Xr3>;tH-~XdOFi0g?JWDf53e<w+ZQG9s*`LzCC)hIF
zrnw(@ih8Y^!d_hE53^&n6)|;-AJa$Jh2}{Q8j-o}zOqxIpu8%|6qniZdO=p6K=)@T
zx@v2wFLhkjdcRe+=%6G*BBLiS`62<T43=gr6*T_nz|{^|AGz^e6LG|KPZr-hU+eYW
zE@65hiLMvdwiyf@41+w5oR5}p|BxR)GBz-Ux_u+;2^!sJ8pjsI5WKUD-kMrnlF6Sq
zQt4AsdL<!Z7AESC)5_Dz@y%DfgCDsQYu=_{aG~_t_H$n_@AgJ=s;JM=RmoJQTCW)H
z1&VzI?`iv}ByGF5mgP!ycg^>Ueio{S4K5OUJ&pebJzee^3iGE;T+)tB6+loB(Y|9x
zA;wxLy_OZM1@l?czynV#Mb?^kPOq4?e(i>8KvSq_#Fi~8w~(~qma(Hd;1L|fpae$6
zVQ9)vZ!xrP2BV?jT4V27-c60C;@i-69H4ZrR0r@1P2O#J<Nw+lh+CJQm>r>SZA3;S
z`V&b|#~KmkfQO}oO+dn3<Bfpz^vVT=5z)&se*rR58mpy66nCU|g8q_s$m;z<xJR&Y
z{(CEgN77J~Z~M<U4j#VNE~vJlzC+|5RB0whDLtt8X#>#bdur{`lC)eEYLO)w<*#>z
z2J=p4YNYkdEKE?*t)G;n@tG%+XXVMIraJ{o{K!V9<)8Y)qj6VhR*h6$>U|#A=cA7a
zMrS|YAHT4d2uQSb6Ci$dI03sCi+iIAwx7Clo0u*&VO<Q-?9AIJqN3Px4Fh^JJj?*g
z{(ndgi6MIAYGEztZx+1w2okj$+q5RrWY4J(qIVP53Fyfk8)J#G*mny&O+hnNI4E0U
zfzhQZe;fY2HID>z>k{B#`q6ZU4HNW;1PzMnMCTPb5t1<b@9`wZO9gg?kc<U?8J_U&
zJ@=_KD&BdFMF4|-Ugve*(OT-5POPwX?JWxaW;8#TL$#~m{`Gn~joqYM;-!>AB#3R5
zjyEee18P0v@<VK;RpH^2q;13tlljiU#tDC?Fm`I`>@lrVM63wP?mM^en=X#Rv<elT
zIUf9@bzixXZU0M|CLN953dGCt?f3r1_~YL>;;mMSQ#P>)T%1xex4IPZWm$(MVs!G^
z-9}M65(_>?i?(~pju91S?`U{egx>K$^uYbgiDpylXq%QkRc<J7b3V0XU_(;M7V#ac
zndEp|u_6bvNFCM50mU~4X~E4Xaajs^Rr%W<c871Ewao8eNK7Whs&boxeLA5;yZN`;
zWzhcH5uHKFuYT-C2Jo~SR>MnU>+?IPl^*CN>K8)Ea3ARNbS;*$ADO>c<2JR95=J(l
zuAX|z=6>sW;R6=awcBOlFtm=3eq@*fmHGb!bMhggDrI1jE~0<{#Sg7`{)4EE9YDRj
zdh!tIK)S#{pu!@V)m<4+vbo=z8(R4Pu*7?y#-Vpa1cK2-l_+eezWaITjSG(*F0LHc
zZUyvSAnjK-ioh)&a8&H~<+=RO5lGmC&7ELpc9ly9Xpy5feBRq+aiv#t;-J+FwoLAP
zkTFMlfG>-DwFYH05pJlKS0>k!ExhjqU;ueJV0xdp8x=L-I#k+j>Nw!8W3BazN-r}X
zJ;28N)<X?xZhr7o-QxI?QSe!ULDP*f3cJiS+!gB4oK70L{=Pwrol~Z#5VQ|rOEmR?
z+196rr9_nr^<_owM&wh(=#A+K8~8cM<66#}{Z!dQa#}aF<&?<L{rKk^YdLXWc*0u2
zt*HpmU?$%wVHgyJzCKjC?J7>hhk~z&a_q@&rI)qTDF1JjNrt9v5fRm|V)&Gok05c%
zxG`ObhcX|2{W9Q``+<C(X6?T+{k(5-O$wx^DNPfN^M^NoHhPImg$R#u-l8__clU;s
zbDNnGoq3kRAmNFhn#<#I@0f?`v$e~6#J4Ufreh5(R537eTmd`Cld<*E05r`70mfib
zPmM-350_5&BieGX%bsSr%DcGBv<TkaQa`mo({s?SdmV!m<rLO>S4V1{C2rr~yCrQ6
z+iX~RFhwbGQ%VA_E@@B&%hs<zY56$P%1OdtACU^AM+13{1lkd*LQulOOK+h<4CiXe
zT~2OU;eBu$s<y#l=?-&J%KYZNV6Mi|_PW@?%sf0nUC>{OoZ!z6(|(Kr{I*niWiK9G
zR}3+Ol=NE^)!6@XvTO#RTqVg*NrQO#Bh-LP#zYCdFClT2Pu3Vz`NVbAvMRo|X;#fi
zlN$x{#4;$H9<R|;4_D&&9K6OYi|Uz~*wn-*(_K~cO)nSg5k0W)Wz_p&%Q!HrwHe_k
z;^ZX3H5*fA3u5Y>@*Cy_sFRZ~qD?nffssm$krT+r$`h_L3ihg49au&lWx6dk&EDMc
z4t_}Z@a$)tT{RNo##Ono=Bc&_px!Z0_Ox&bgc6tTHWEnfpBL#{j^ZWpV?IL$S>Y!b
zxW`{VpNRLtW$Vr@OYO%S1D<zZP%&@Jesb=UzMt`Ei1j$kA3ayR3BGyRI)(ytayQsl
zVz}u;g2Q$5%O(>4Nwwyiw#{rcszS7av@lJ{hhy#Q^stnIR(4r_B9>Ls_7$kvn=+A5
zg(Oc`D;^cGqlda14?UCP6A2r0)4<Wc^@}SCZOSR1Za+()RjUf-l*Rkl+k0;Kk}NM!
zgK3ch1zOq7HKf>8XBoGJ{<$dbWMIV1Tw?-w#5q}Hf|Fc5A{2X8)f6ajXI+F_D$p5#
zcI3s>4YZ!%`XcOjm!7$$z=xj_2R%pdB5cxi<6`e;Y|V{R8j$Qdn0jKVi{(B;;aKjC
zT1YRXS3S`r9hyIR&N`e3tDm=(DHX?)>~0Yn@I_QS<jOs8=R>*N;#TmB8NOeu@`zLB
z>C&HEBvrsZRWVpC;<xyYy@$!$z!!@}kG4Xx`ltZHSrmBm=7t9<;b9o-aZssId+odo
z%p-X=N-G_@AMS0_plWe|ATQ-6^zTBRNCyf1nIG#yId2ST;;zak*-9F*(E~qRdndSR
z&V7laMa;tjtnf@H{TH#rggkxRNXXpw8Qh4B^~5wn@wdKqRPDr+4u~T5O$`;SE&q4e
z(9na_;ekEh>-|v4?iq03N{fUA)ANpZYDNICAoS%_BhK1XfuJ3)_5ro0yV5#2`P_a6
zk}U0vR!g{Hd|FHS|F3GykR3_v*lx7=h+UP<_w*%2-ni-6Z@d(1THyKg(^V;9QKzjj
zbzo?Wa^^pxp!8H6FOa5EgKN7)sCF8q6Fr$+;I&^>BI`iWqugEVvxF;!OpT;kciz@X
zE(!|L0BgsZ{gXvIKgHr}mLOb~8EsFv!s{LC?;4q@d0X@6i)CeAn~{-@&NT(%_GvWf
zW0j1%J>`DbtDAPjCWtUtCe^=w+#5c{HH3tx{~h7n8H6#9-At6OBNl49bkwI5_6XV@
z*W{E1muqa^i>3+e$T-%&hVbtG2Q^d^QLYqi|NltC_ria8e;-B6s4;u;M(*r#Y(Z)2
zqno0-$8=Lyp&ldzQ@J=tzAXX6G^V0s$I5Pq$TS;CuyCSmV*?c2I1RT5fp>groXL;j
zpsnYqp5Vm5nYqPwZ*Jj8;=uybadzuAoVXtY-P6_(i}pKDHXTwj`;(uZ1F>K|IqlMY
zxsh(i8p0rqjMur7$wU4g+wAAG`Z6_N&t=F<5c<QF9M9O1T9W3SV~eKV2&`{+l0g8m
z8FaKbPMej7Gzu5|ODs><P5550m9oD!q@0W3fNsO56dX!0J8c;;U5|@Gv4#MH6v9g{
zj4D!ifZNo0hE0M~O-ZW=OW@*h5*k$_{EMfMxd>3P$zp3PG!~e66rz(i#x^r4ue1)e
zJQz1{c7;gtWrtC4v)5Jc>=Cghz4AggSD|?25UB-qvRuz60YL4Mwp$H7W<P%RKvcF~
z%WHTnfh|ytPGzI|*fAX3C^nSc_xteLL;A4tgjejWRTwUl;3Mp>%{<nkTxt06O)V86
zI$yc7=(vQ~j)K?T`g^tp2fTl=2_+yG?XQTLDNJ0Id;+pUcLBmrp#e0-RqR><tA17j
znBmw{h(XYVGz@Dfh{1ZhArKWC{qx!a(UL7uUemM(?5xNG@x8DcQEz(6Kf>3Z)#qR6
zGoZ3K+e0O+%>fTE%rMcmak0EE+EBgp0}vkNW!I(x@HssoWJA7GT<dJ@3a&atWlEnC
z5~}-1+gANqd;jj}XsBL|J-AV^n|z|<fm&!>s0h4kC^}x_X~i#OYi92BB~+;W^s>Yw
zazwwoCFMbY3JTXQKszz-T!q3jV*S85kbo&;vi0?mat~%Tkl;FaT<1ac{Gb;0x)7J)
zPYg%>2RTgiZt;#h``#}}?MCDActGYl+!u20bn@ByG=>t$p^<1k;-BHvoViZhVoz+g
zwmq*%9l|F`*y>X9!YJI`Z50qnN)2su0FGPt@I+^15+zQ29(lBsK#32=@C38oUHaS*
zKIX(*hf8=l9-gI*-Pe^o6+HVrb6@^CHubUaUL$!61U;*2t%Pc~6f@Irz8S=$9w=zb
zYo@}9<+nN0zS-7v3h;9EkJP6Ym`wD#$ON9K$~%%$w#@Mx=PG(~i3=4b!EF2fAv02i
zx1UHKJ%@bX<Wb_2X<X>bSFLg4nFA~eLnwKI=+UzpX-8ok>tsPY);YQyJ<-K*SweYa
z{$%Kca#-_8h7+P^gP|@qoz$U-J`enV<U!QFq-+$B1;UXsR&HK$VmVD}kxz@sq4%g3
z4qA9)Llt$I9Y)15ilHT~#xuHUq#GY5B&P1<wAqJoD9ec&+AI`jlHiyRRa+*CFw#_<
zbU58<{R9#ti{-o>@SzC62=7j2q$-HY`aTmnBJ1)bO;e4_<RL})N$Ba1Nyuvi*a3<%
zAsS1ORDeNK$M(W%ylcW5puymXy7=_mSzf+o>AkUx%T8+{a)g;mYfMKs4hIN$M9@ku
zx3f9`Rh&VNq0rsPz9KmGT?Y55o+1ilWvs44?geV*qMAvPX7Rg@H(=fUMabMa^VVgN
zvP_OyW+Z%uGsu7~?XxS?_fX7NN6{u3w&TmmIE-y3039J>17X#`1>J!BjOjl#_54zX
z2(~^M$SCVH0SD&wfe|E^2Rg0*PuA5ZMXqtU4Z#BK%tS9ZkQIyeWe>46III{kv<5-d
zl`756Y-C##g4s;Q_~~rgasY66>I61VowDe229T;rNYAoL&Rl)=1>^2)?+jXmazYIP
z)r#=J7PDh`lR0)3jKco5<QMKH2q2RfS>ABmpK!0ky|0I(x|qw!ejq{MP#L%6RcEei
zISvy}B=yTV&r8Rlf?0p&=fnIm=<wMrdYb&^Z_QbC&%9#~e7Chp`+AL>7=N_(&jI^h
zUjdj^hmkFWiWh|~{LakkuV<^7v?y(m?B~MRXQK3MWTOBeZEk(M%a6_VA#An+TiWfJ
zeqHmw;m^HEdr%-d$_Ur%EVt{=s26Qk4Tpeuo{m5^sKJQxQf#BL)ot`jV8VUU4%PSn
z>WmY@f3QqaDR@b;lDAwSB!0h-FHpuxEdU&%{dAwhliUwOyAngjj_1L`E}F;q{x0E(
ze|aNc@)*_{#|w@nAPe_Ks6;tP7i}Fac}^A}F-SDZ%+qm(1_uEF1aOy{83^`VcLc{#
z37dy%CUpmDl$O6jney`38NoNGvfHWxT}lAen<Ky;K|rbt_o9C+Os%4u6n>0u-ySwE
zs_3j*0jIj}>%CLdD4>3_U^4kzad2M}(TO2D;pK?9!Cw$Szprg_bYcngD>GCY==&(s
z5yDcBK#{v8R8*7CV4qVOHild`Y(xTuB$uLP=xAUiU%aR>0?oGm<wv{STL}Inmqa7&
z*lqL|bU4ZT#WOKgiMxEa{ToZK2CVLLz!v?6{MsKq9&!*%^N;5ez*aAU8F!s0B8}Cx
zE~ZH7Vop%`u5`?Gy|Nue`a59BK_5|O3xJoU!;LAyuHJ(p4mUSg<RoZ~cW`+04#Hes
z28d=>)MD{(t1x3+wc3|AK76;I(}$G~co!*g5jR*Oa%PffJBJ#*<4@~EcR#fxiZ^+<
zMlBy2mDFv6BtYc@<m*&m{hxe3jE*kqzE<SpRx%`4c~f89NC28IPZN>UWt}+Wbr03d
zhhjYehy#AuV)t=W)3>Pi)V|{QChzD`zH!m+lVfXzsF@)~`r}Le9>76a&w=;D-?5w%
zYCe}4V@gpws}(3qN;u^-+pW`0G&nncACO!WGrG0na`Xw#nBtJ~bwH3vg65Abuidy9
z#}}rrt;Svn=1oTtpv1P=&8@t(fY%vLzDo}ev(yz6?BcvSKT9X-SqQIiSJ0+Pnbe_Q
zL^|zeAH_}(_cz+Jr;s!gd$CnOzj;|}mov-GGcH}>z!PU-|GZNv08|bdTp5}j`ZzRV
z0Ktj2QQItXq~gSRowBfTqHF`uQKPF@)}bVw1-L+4zyF}jR)bj4!6`g)p4qIGE9|A*
zYo+fP#5;aH07@1~#LxRy*cyRr86!qxX`sXA$k29t*B#hXObE|SDz=^|YM!CORgBjT
z{t=7LIQ%BN{R0^IOFko!GQQSGKix3H5`&FFtLr4-$c{|FanuZ6w4WE+KGxL+wa@v4
zAg~fPI^+VdhI)<D76wqm>j{*{!}3RdD146_AOELH(WWH2tui6U%B*o*gbXyG4;PS5
z=s|s9u$~NzcD`BXr0`gj$(eLWap{k`L5eI@k{qg1>?<Z3z~ZQ5=TA|Z0p4r5zL1IF
z%!l?2JEec4Z$SL<$y0gVE0wH*)af$RbyV7hTs>Ks9A?L-)p}g3W{4P|Uw=6mys7p^
z^Td!ir>>Hwb6cU}9o#;AAdh=h&nip7<OmA0p>(PF87Mnc=el!jsu^k~SgaJsk+}OT
zI;I_*p!#Y;+~IgI-)0PFYSAlbc6;q)0))$7Nc~EO-oyiaxM&>7(}Tl}C?x@<Rlu+`
zp$7K;kca=#ooY;eZF;>Um$SOA&8|Df0iVxdec4WUO)WVf0lN@YgkcDl4ww6c{>v5}
zDxARceiO2uHkz14am{0L!Bn-u^><Yo*-(`(1{qmniOpbNM_TmdhrGaGk&oirEbH4e
zRH~#NKowxEMr-JJhxV;<G@r}OMiZM7c#z<(R9B+8N@8fy!b(pPdiN}|Cah>!-@RBI
z=@vytuTS_gMbb7^ehi53WQNaqTWyw$Oy1l#13{Xa!!l*Scsv1$ob|Sv7Ze0*8b=~_
zZ1HA^ksr!{;9Q0G+GNv;E$8`3Pd%dYLzG)jPN;WxZ*qXe!8bzaW_Bpy3bAhn>*kX}
zmZa%+5-G5ZKe9-H&Y6X&$QpnziMOI;89;}3^ZHOU(7K&cUez@c^eVR|)%w1Az3n-B
zwv;utI#zJ|-nz7hWk0?aTqBF};>dusC*VFDNg@GnuvSYpa1oL0dH02O#Cq?1V6H{j
zZF#1+azS4xj<=`4^A$e?7Z<3F>&m;6iB-eaJ{B*1pJ!WoU*q3nL*<N#f&+?3uFc0n
zuw(g!0>uP)>YK{>c}=t~>|(}v%y!Nq-94}_f8`4U)%*ncKzA;0H5A|=G6{J6d4Wam
zBT#?X+Ky1Vhq{stJ5})em^(hn<bs!!_h<a#*3G&i<w;ZB#{-m-x83TBW0;j$N**mN
z$$g#~Z!ZpEkW0A~(5kTNFiVS|Uv|I2wB6q*HPMqZ>xBN#do+<~Z(}5A+C<~aHjU{o
z{v!>y!;g8GQI~ZI+35c)^qr*0+RWM0dzcE6o;u<V!g@Q3OA?6)df@Zs)KxrM2JUVV
zVayqV0VFT~)zdY#;%(KzyBS}N*9U%M^&Q(Uz3CR4Z<#(iJ^lCnznJ%2Q~!O6L-lP&
zg?ZW?fU-rX=*p;mez-c&FIUmX_fDpCCjEo?rG|)fN7p$+RMqH}h>r{^h`~0Sjw($P
zfl>G8*tpYCC_=y&-P^0W;5C;~SA19pjrKKGWW8b2OgVs2o0zfj0zX<!&xtA`dMtls
z><h>7@Y$xMh<91JdFfCN&UC9n8N^;apJ<w*+Eg_k55yK&s(mt4H8Qi~LJZQ7E&k&L
z6;Rfa%r-JY=P^b-jBn&F5#Lk>B0}waAP^&U{mKY1`KTAB)pdWKGKkR(^=xy&zJNBC
z2t2<KnMCOX7-z4xfv4O@)#Zm2Y;jFP+K?80seDcWnxDn!A7wqQYLk;n`eC#lHjKp+
zfCx%PPNnchdN96@`i!Lpk5pp1c@@C=+w99Z&|7tD3!JyeB{Qxj9mMmM;W+9u*#h%G
zU&|&OS~sR7dk}ua2;{zrwYlOQogTP${?&JQ2{@ap-n^uwCu-HnZBsxIl}tA97j08O
zZA*V@N&5Cjb_X&==Jr5GV}Ib>KFJaKSG6B$S$g7eq66ZxkjRPyVZAK&GdwgVp`8)d
zc+PT{+~7l8NuS)wi6uY^1zu5h2eUAt2%t5Ouw~rcHJ)mK<1_N}c&-y?bo<B?UMj8f
zlz%Ssd0Sa}XoSTXAoA9PGZ`8ez`2#~{&IsL_ozc;M8_4?rlHjCIHm&C!VlneWh8R)
zTSfPh?3@z`H3%EW#uVbczw5dsmqerIg}!!o!>fQcOG#!q8ob@OnT(0X*rXVk4g&ap
zbqT2bEVgEDbO8-9yb)dd-;;AD+vU_-+!I5W7m3&!yB)|c+S;NEX%<I^<b&0ZNezMP
zB@o&#L1%EbcBw*Q*$7p&*Ovft0e1Qp&4u0Ny+-59x@^WX9x3D@yJJRVahLyr<;XRw
z_l!@yLsXGsuE>n+LV|n&97}acRZiN8Ar|h;JTT#glhBO*nr{q~1{zkZFWO~@AK?2s
zEOHC86T7ohr;{`H=8XcKUzaI9AY^RbkF3~V<wV79ULEPg>VuLHLa86^n9iy{yYZI7
z*bGNoW8)<5kcS_EvaFTp6AiTDL8d{h(}eV=S*@9hd>GwGswpNiCUBRuoMeS)(vcIE
zT>C)~_pcvd;K*gWNj5(a63Wnek$#xKL<`5x^!cy(4^PN)>;h(0sUTRx@fmH<YJ;Xv
z0I&6anNi-?y(6ni`lxEm(M8Gh_Y?GG;IvhIOgyhK8Gx_Jp#71oE<igiI>fvcfhMgy
z4Yq&<h@itd%}SSxMmrR<C2sOD1$-9<3i8`~cn&J;86%32+t9G!r;(VG(|<si&8MjL
z4D#iBT7SiZz4!0}C1JE_885(e-@d<ee~ODdpsG75z}yw?n?So)?(|qHJe5-Avfv|~
z=sjGolq|4ML@?|apx0FQiU|SNJv!1S4PeN&X#_moxgUT=ZKR0_Zfw3Zo#VnzzXCGv
zjDk7NA;C>snPxR1po{vT%h_N_rhQzd{rEnHq9kM#=`3L1%pmlAjUI?FTb&BIY;+cX
z^mQTo?WDLx`aO|5eA(3XbRbv*voAB3-g<0e5by=9&p==8Np)qGy!1^Y%{EY0V#&m2
zeJ0EG9lUti!~i*C$uWifdub|%<TS;m@U5%N5Kt9$4U&H9EEkSX1ckRLYj5=joLN7F
z;D(R7XDPjqNIdQw*eSmPR3*<>(DoyW|0>IZXiqJx5ilTB!D5Vli%s?jw@#+4$x}K!
zN-*_JwG=1(yMIOg+TX-invRtNHsN3DM%vgPe-ax-Qo2Tv2eG3?$9Bx99pj2!&2cTT
zCZ=wIuwXOLXmtNR{Vs~=HjOobF=VLxeovX-KNH!Nv2VxeNa?`moT-Rr$~@hlkF*aG
z=6>Tk4gC<SSe6;wVodg+RG#IqdC*?c`3*p)LZ*e@7yxf~oCM>m#5=An0~PDo5Jfxp
zxhPcp@FZ?p&eT|>4%WQsyj36`pL$E+nOQ(A5D@8_LCkR$Y)q&QFi8X&c8mmLVwrMW
zHhBYtPnI+>*?UyPeTW$ZH?7A1q2%k03@8B+BktTMov-{tXnp3f7PY};JB6K}AfJ)2
z9}*=3xZ~%{O(q1vL;8X;pX1Z+Lmc7KCivj~^Wi1(rV`zyIM&Qn!5a9}dZulK_|Y>m
zzQb&yR!E>@jyeTV_kPQA=Qnp$Oq{ZJh*?VVSb?)3>vyhS$&uwDVGQk$Q~5FB1x62*
z|4-nV%ekwYWf&x}Z=CuvDR`){Y(UpK1I-Kuw#ZzVf2Wlfh|j48ID-vuT2{ce{v5j9
z3J3!`0J3SF`5+3<WE&=$n^Xh4rdj1RzOxY~&QHqT9SdyJZ1#XH(fM-UYgQ3wLq{y^
z5)nZ9L+Ln%3MVVn*;460G0|l4jqCVRo2KIq+eg}i5^y81!wWsYlp4Nn)#Pv&7zN^h
zMAU(D6u4JsgBJhfODtOz5!yID=4851O-CU+RK<(B3>M%)i!1~$7g)c&&&@N%mYGlK
z*D3X_dldU8D)zzC?RKI}QJyQbZCJD9?N46sYx@&P1CnmH#X^}bz_pF-DT)Brw-$y4
zyCSW`pfte*Xd~ShVVJHQ`llhPobX({LT9?a9$$?tQ07h~|K#k<qBQ?gjs_V_@#NV{
zuwB%H)I2OWKOusVjc*Q34cS|EWab)F3#^Ug%}zJ7qN_(&%a@w25AO(hQtSOs>-xw9
zBwcmL?;ve6y^te~Bx+j1P6Ux?^Kn~MKilbS%deBDBnw-nXe$u*742w9nUp%di2vM=
zhnQAEJCuTd^t$loRrPL}>;$GQ?{srhp^F;qv1A!cgM^Sr#k;A0CUc8B{VUDMOGZ*|
zFB;~f&nSqd=;eIk8GnZue9jdJm@V1vi-9_B%P;cef6Q)#O^gMjKbB@agdw+*je+c;
zpR!pxZg&vCw2W%j`S5d7{AmH-S@aoI)^LY>cWFC<MLh{eY)#Md-t{pHhVa_c|Ldk@
z5Lv)OY2UY=p}t@@TPCyR=;G4t!jM#*#QVCSc?+uG{g`;ZH&(F4{ltA=>9(fyV(sf5
zO>Tb4>cb{kSL{L2tku8>;_R}^pAe0Aaa}+4UMPyA3L-+5zlAs?bFYO{BdL`BCOeDU
zu)XXBlRr>?UGwQ4#b_~~o8^L+pg!L{*ccW{4wOak+wd`Eh|~Z8D#XcjWGZ`8NGd-L
za8w_m)KNm5lAFQYekSg#ON9)+)&InZ^zvxD+n(|NJ_d@%hl)f3^9)*zowsf=V595D
z&WkfrexMB&d}f2(bu>7S%uH!#8HOa`QaL8hHQ_~1KeB4{g=<sSKt)R=k12+YTl`Q6
zi~<4!I$#ts>V^M}X!P%~zR(f9mbku)*shGIQq(z(z-spdymW4-m7WuY{kE1^#V4kT
z!4|lDLegkHjQWdwxl_z~TMh|?gx07ZqN$g<U^qHY?zNI^M)aXMPM#D#9PGvLuP{0|
zHv#~&4c}FWJndQ+Wu-#XQtMxRNW8byb{!|fG52iocZVebl(c!Xp1gr0w<Wz=lW(bG
z%Ee>a&gn?j0PB4M0vGPpP%R=w5qO%vR(97Gd(H~{`c;3{fb&MbhEZ_g{d3T6FYFQ{
zLxo3V`{A9K&s*85ZnWf<1*nuUeVsX?r&k*0=Zx@G1(85GKK0eI()dzybU1I-hrsi5
zrj!Eo1|9sFy@wMD%oK^I)hE{NkEWQYmfiD|Jb6H9P`-&bGw?;vi@H(<V6JOEQ!@z%
zS_^U|)u~E}m3|*Rk{80u-Xn0`4JeP_FsVY~axou*HEPn8W>n$1<wZZP)&<h8XLT$?
zwu9Ts_#^Cr{UW3X((mn^v!|4?tc^rPoP@27D1!ER59TJ3(+gLF++i^KE<)1Mrlg2N
z**8pj!MRi|dx-Igbw+ba+J_J2BXAx0;9lo^U$^-}LtW^hgytNbP~AC{K@6|5QNU2`
zIw}N=zTul75c}Rlc5t#T6F>kq%m#D`g|{A`s<{_|0yLMU71E~JzP%NJxZamPRyM18
z0V%fnh=!>t0xynrH|sC7wWAQ=J;WGwocej2W>~KNgN~q#TfQRBz9!1*S3`UFfc<g%
zRdGe%)E?#-wI76q9fv@fDBCX&s5azIO4}{FJ+e{>yhl!N3|=eVf8~udR3R%DSAEo<
zKo2CsNs$K$--=m&-T(4{S>??Ho4`x7zr(xPu1sX&W?|{i-HMAlGgf{nD!_w(6Y3DE
z0f+<rn_Eo`t-oU_JR&K46$P?NWOD(<2<Qy8^K%>iGB{H!3F4#?oR}lz@4b;Ry+6WA
z0HMc1iXF8UmyxND3c+?%l}UTWuj;Y5Zo%9DE1sl&i7sYy(+)u<%DP!oLxjt0rKh7y
z=MZtp-kReM;+Bzppdy7gQd1>#R}bWMCrhKy&ziN}fKrgxqdUlfX|3rKKHBhP%dD(j
z5{K*=pX}i_>>Isi<=Dyk-{Cw?`z>l<bOt4cCJDDzYa@KII>=2z$92_U|D#N=Eh00{
zwifjm7m_>83C*r~2t5(S>pMLk7NvXKl<meu^FTejmHCT$83Nc_XZsUN?k+{@RLZJH
zxj7a;!t{)e-kKLPG!SDm<dqpMP-+?zqFX(;e@l8d>}iVO;5w-uE0-<!brA_~nkr_$
z!EEe>Qk(FrMSvsljfCitj%Aq4LUfO^=|mf%O;;BK1*J+iziO<l#NH=iH#nc{%jj_7
z*bxb8s9jh(JcZiXqBwOvOifTgm0lw4c}%!j$;<p!&|pcmIJ_J?wW}>peX^E=jVBBG
zx=#WHZwC0_C~@Elo+jc*YWO*jlkMx@27NmnW>#F2z%bcR*zgGFBY>u(&g8UhLi5+2
zwQ@0dZuIzDE1A|!YBiiR#a%Ww4W<HOTJpv$La&01b3+XuEOA;Ab`Zuz$-y_(X-7F`
z+8#}?MA{NqYu9jd`^Ed4;}lf0m`NP9Yup6j?3H$%sTcmh=QXd0c4nnmf}U(!H!Upd
zdr(4VxWEH-<hFJ0xbTs(EF7}v?mV0CQ<dl1)Le4YpDeJ;pNyGKIOHC}tso=FHA7e1
zEn~f_P5446FpV=Cj6`EQiikXuBj)7p-P82=q$)rNb4HwH{}Q>}xhcL&Y&@m!hWztd
z+rwLUE81XNEN;-m4D%-ri|}drTaIx?2Ny3tHOA-HKbqweaaJ=bUo|xT7A|;gxE?a)
zUmi@f_orX>E56fg{eJ9Jx5nFGy-H}tfKxbG&&mFmVea`&IRS=e(WOZ9Y$T}R`YgKf
zuVrL<p=)iUsO*|fW-7wQjz;QV&N668??6vdIEJqM+AeW|BK64}-Fqd3I^LEZ2&9OA
zD9^NvRarM$;%v8kTF*5EF8X++Lsj=`fudcf^Q+ePY0ZgJBi-|3?+IgVp5f=f`HD&b
zdE5j7D_(&C)Ur6M_fDQ9?rHxh;&-AP54*}x8`=Hfv<vF&*B}Z68jx#vRS=fpt{kcA
zdMtDy+}}vK+Z5X{z`-g(WZM53#+ojsa4BpxAddky6Fb^KhIp>i`=8A^T38kiFOneT
zQVQ{#>D}64)5`Vc=D}#pYQ=?bP1Io`TG_8I)G^Gq7LQUPml13JpI0!vW1l0J1C*ry
z&Fpm7LBJ;V0hk2!P2^&QwS|~CTU-M_9@ge@Tt6C`$0pVKrD%06Ht5r9wwHU~BY{Yf
zPdnrKB<HN~Su|AK%&v}9x{jj(X|6@uSBOYWVvZfK4bX3o;;O8NeCUkIvZ*2#Zzc^7
z7>TDqiT>Zprh~7`rM{+nU2a&LED?_8b#=e&nku{_mNXyM#emi90=V{#j26^lv_zoa
zJZWQNyf1?M=$jFq%yv?{mqgkVTH;v7`;$H-_b_=*5!Y+zogMOuN%XwElC9)I1C@J-
z9l&~4jAq*Awyf4|CuRpbK`{Zh#k`<8Us(bIiZx6#Wvn@-%%h^x(cDhTGrjp2PjW%E
z;G}(ckWZe?s4HgM*@Pfd$6P+pwOurNF_}&EA@FF15r*450q#Z|Kb(?OTm$<!2^k$?
zGdY~0aNU#L4D!F1u3B%nIv|ge*?nT8t=HP38B4=JzQ$6ycJtr~_TY+xr*GH|+H`_l
ztVJN8L7uk2Lq^)E58E~OnZSi-S0Z`_N<h$#mE++?*hzIaMD@;)9MpbNVcV?gq_g*+
z-4k!T2B!VO`;W;4{UN`4o(qozZ`wfM_{$Sf`ayWiW&XLs-4~ZHbR{)U$>i(*DO?oR
ziV&>u$?eX+6(<+9A6ckje?&}xwt{!T_^(4><FZTgv#Te_>>VS2S@rtCNU$AD3>B9I
z+5SaaWk&NEngv=u;R9N+T$gkJdyJv1NjMO{0s8ra*0Fo4eG13tW7{m=zb00gwKVJ8
zYj7?uJk(1&I22PLr2doQTc@KS$8*$E+Wvo@tm@Ld#R<vmCp0!hUq$le<Dpv5$n)&W
zM2m|SLpzqLM+Bx@%)4-qiaDY%)*IiE*N>C0+MOMx0+f_|4pY0Jqinx@LjhLX=gM`S
zla7PNc)*h!_N`3ZCUJ@HkleKGwGCl|DWerwX?Uz7cz*ots1NYY7gS(-S5}4uHVbe%
zZ~KT`fX&PqU5;ZUW2;gmy0I|Vk@hWRHvv`bD$Ha+hStZ=L6Hob#gL>(%3Kw$0>o>y
z<-+X}Va)BIBWL=ceqVYL?bH<UFKj~+ZuuUh$5mab;n(Q3)-d$Dm>k|ia=KqiJ?GZ+
z?j5gXN6c*(-0SG*zx<M?FcQo;bIGcKCO`DWIL%lTBQS#bMxsZGeBI2ZqAi_m2pU+A
z(D)e+en(f70K_@J!3;a`s1S^j`FuLwrIpF_cGd^ysOi;NE#3|dC}27=-4{t1FV9!#
z!o<8cJmnsx`~gbt@Uo&UMhc8jlhf2xdv-xJ96l{`*+k>O=fo`p=%nRmnFWmR(MWRE
zv(Mk;jNBemqU{vm<J?O=gLN7Fg<7}Ltdwqu%MNV+MumOvmW@5&1oe<J_U`p<o5HIu
zRkFzPz;3D+3O_l4jDCc~&G7(iOl)|opteP#94axY|K%lEa&GO`Qju(WWNF7okm`WQ
zKcGUXI7F*oyUz1@H6}h+TCyaJ&;uT-4_eGMFa|jj<h80>i>2WLlMmZu8Qu-IDna7<
z=1#aKilKnf0vV$r<K$A;WMbTc#^#i7QAP?oaSkLT@k9X0uCtHZj0(Em4Pb9=mr)4Z
z|2xlpVZMd+5d2({wh;tKph+|YD%q)BQx)qM1l^6gC!o7sLN`eh@?%tJ|7J_uBc9=%
zI1|eCzd!(MogaNohvLR~JGqf$x+3`SMRh+IwCsX9-3pwUp^u{aD8mI(0<x=Qo0y@+
zA_T9Po74<NCoZy7l?|HkYzP@7NB~qV&0Qy~<aKKeD9-Z&(3)CvXJ%V%aonIs#mj)g
zrlL35=DKchionev-6RO|Tyz$qW*c(TcKF>Q+w2W<i`??H#63Z{G@58tl1>OYjBe%k
zI0m`gdz2ow(wnn;egTN1rIx_OB|JU<v|7$=+`Q|p$>s<uO>5_ZUU9h_yoKd!D`%$)
ze+VOi`E|ZpOF=C$wYX(wJF2)x3PpwT_zHHjV9A4OtpBu|_y~u$ZM0s%GaM-(-RM{W
z7y*h7t)5wFiW^LruEqtIJ|PY1EurGyIH2E6wK*I}O%G@69HvxE{330$E0qR38<^f)
zfF(O_EzIJe`FML`yZK9lD1W;F%~`ulNS+9~qh}7aKm%Yo<r9Xw(}EtRjv|^103e?i
z46S&wBnIS$R|#v^s%zB@=`$zce8nGV-YU3XTU0onrmSnuiP_Dg4kql&O{kdo&imlV
z!fAQ-kOlazLba@mHZsm&|Gti|vNn6nc56DiPs@>216LjiR}OkU70~FXAEa{Nkv0T}
ztia=+sdE}O{aFrqC__Rq9slBUe<IN<B8@3d+xE~+5WrkPi)gT558_>lcsm0NbTc5J
z^}>0Ef}(;R6o~_$Bj+l1f~6-*FvvgV3f0r<OR0+e2ohj;qmQ+ZCiv%sEv21qX+cx2
z@$iFBfY+v#;QXc8IY-@>Kc<GHYI7Fx`vot2gEwOibX&7PtjQU|Wc1&PI%;0<J7WFq
zrhm0Pxis%-TCdz`PqALTKr<VzXn9|dK@b|>@`XI3u-z&PadCXD1T89_!<fjEx=yHY
z&<<TRg#s4I<CnJS1%xHL8G6^(*D$v0_Nz!=@d%k)1Qxo%?@?3wd0}KcFYvh7aJGbx
znqG&*&T&^4wsukcOgxz)xdNZLfWQCYGz7C=HU78<S+Qs9Y21TAo>_Sx9Ee%0ZwH4T
zTL2&dS8DO#0Q`+U*CYh)LS`dk(#5mS7~`-L{CGbGYj?l*Dt5hXi9Qw3jSz{G(+?mh
zy`AkPIX4nm6$_2^0D&g|D1UZzQTx1+5tJaATrO+Xa3BdYcl64*G}i;UN4ZfnGgKJg
z8MxA-+~I%z;{l{pP<j@N7r{Wmt99RVYifXm_liI}d^@=748@uG0q-@CH<cmRf~;`G
zQkXxR^MT4)-vB!+bWvng4Tna(KG{>AISzwoaJfo_vWs}T;Vtd7&)5(8RaqauG1IzR
zl^WI`vGCukBr3$_<ufH78|g|vcM>R{_$}U8Soh*u<_5@<tmpna-mn_tXX*Wo8?m08
zycMC(x8TAEkMFUXefCCe93SclNWMSv>nG`{m3M^OR(=VkNm$GlIn&7cNJpM+kh1_@
z=fY2dpNHCBn{MD9&6G?wv74Ly-!$<S7nel-8(u}zw+>(0^p42F$q~#40KcF00QNJR
zNI)zy<<~gc0Yi!1r{SJ4VM>BX>oC!!bF?Hl_oyJzr0`78&KWSxga3lK4u%c18ZYsU
zHnmFz^Gun+xrsuF3k3(VrRHpPtSPtT=nP?bbG8}K!SYYw+Cg@DlVGg6nAy6z#QhbG
zt@?P$*3np1WNH79F+YCPQL-xso#t~VYx9q}$^zXbmrQJ_kEPXJ@y!$yff-@RZX1lK
zJ?*vve*cS@Ia!G5gj9w1*Pky{n#=MZp)2&@QyI#oyB(xEmu#1X?(*I{eEK88c@gv9
z$+cVxGs3&VoDsP9iUgy0Ku{*hgRpY=AxQRX=PkP;%50mEQbKuq`n9(kHt#uf1}qKv
z;fN38ZyJi(x9m+Ef)6uv*=E)s<=7)9AJ7CAmd2Qw&PS@bEVBZLLC<Y4RqcA0mJ0lv
zzJq1S63$$F^~#lSg~8mQy^8Al_sxF@g=;`t%0+;NPl6^Y4m2L}Zd7JpdNSe}o*^RF
z4>NsSer-f5Rqr_6-p#Dfu%rjQf+0;z?Ux|*_UY~oUWvc%$wY30k#uS1PX-uBQG7GH
zq-M1Ca_Cy33C=(v6#>b8ARyi%7~ILJn3=PjzD?#nMSwm-EIt~Pn0vn0?JneET$=bR
z*u%bkx}o<F2;ji11+mB&G#WmmWK5UWFbAowV>*YwZmc1x!~O<^ZG|y;??tajoK5$W
z71!ADHS)Itz}jRd_x#e<nxqyX-SJ`2+16KCO|94R6Ydd%0QdWI4U`QYa@sNu-<_&{
zMeqYsDJbVds=Qj=(v3<Ho_9(>-##yPN(b{4(ZkS3$A$od{yF0TrBld@{$E7@lQ;s&
z;k_bzi>D2UV^HA52FLRe+wGU(sURo+Oo>Q3nQ0C!x_t4Puq-7>($5lhFo3&If?@G}
zL^uLXrOQ^H1W9%8;SOG5?&v7d*jsBCzZXjw^wIcYToUfXg`t#aB9pG}^XP*@^D0A8
znX^*pOIBjX3vti6yi@IuYTS0OC=+Elk$u}J-#wo4iF&MSRK%`%13$!^Sm!(W(MU1y
zNwg}FrVi6U-N|N@Qj9%k<uLQOxZzrIw}Y<KM)0p%79r=+tKG0n%hfu}!m|F0d~7EB
zJdIM3K6Ew6Fi3fqs_Q#MkKn$;vO~eR_N(jAxt>u#bze~m4E%Gm9q6_o-U?i}9vjtk
zK_A9WbNtXxK{;yl`+RHR=rvSO>{{!nbic{CuL)&jVjO&qAE{z&1dIvNWBBo18T|Z|
zpE{$x1~kEjdL8|y8=?Mt&Z4pvav+LVd!L=ye21nc&sW#UAu?xMfpM`SldgfekRvtA
zn{|J-*v9KzC*PJ<m6>7K|21`3lZ1;2Jpb*D+}?@Gc_V6$y>z9`E<WjMV-RL(srqRN
zzX%=zMB9bWH{b{yj)0zQ+(udT1A2hLH}#ttgOnjzwFwQ`qVbUbiatN`YKR1%`2$Mj
zSdGb1M+{>fxnJFSDdC?p>n}^QQ_ZY{)ByfX0IeQql0lI}kbQT4Xou=X?VbSaQ}^!Z
zFIv7V_9(j2FoG#f8h~Iju<OkPK3I5^q20ap_l+BuR4i2B)toR9sTotbc1*CPXd|4S
z<D!4$S`p%QDQShnCG+gWohs0k96K~aiElYSCSBmg1zsa!_u6YzKCW=A_1&(fRaI*R
zAnwDGVuwI4w++XzGMIIUsM#qC<cmJ1{RC(sXb8NE9oB&!oS)3vZ93La#i}yIS_CTE
zJHN6EK`_QAIXvVy4M0>JnsXHu_Y5L6zi;N^;Iu!JyH)U**(wPxCh+VmQ^;@%<zMFM
zaN^H;#!f~4^POW0<ei1LLcIW~3H81faO)POc{Hx=;>~lD_yh_)P>zQADAe~Wmf0;b
zqJ}PKOE~6p<^y)k;EKXWLSB+j`_<l!p^-UooaR&ai>#cIMVhgcE*yP=uZv9wb`61}
z`s4bC&LTQ73l$sf&V6x$K;3Jsule~jaE6sDBd7=iLC-=%)4srr0$e7NQfItg2fV*7
z{Y|kM+HrePD{u`G?-e;<>h)d)OEV*sDfW7-wZDxC>Qn(L6S5#_(+fylrrcXnn|Er)
z0%nYs3K*7yrl8;%ZcGQ;R>gA(BB!ZiP7=ZYQ;BpD8WdaMloEneL2w-K5iv%CEe*a?
zFDC1;C9Ufg%BIbN_(9N3K1^4&u&M!oCGlCS4^=3P&oaHkL|B-=Ofq|~7Jk{#rV>C4
ztq)6M^#z4N<y`G9!>HEzmoYzllG<xwwL3g+0Kjdu$L_U?qVYrl*t!^*tHC8#Z|mQG
z{o}fGtDQS)H<*#j?7UzF`&&#403|naX8~85Tw#_l>+^5yo`=29CU|yy*y=_4!Xy)M
zw`q?G_ZMl+JvsihGhVD-cE}XEn~9h%VMY{-Br;0YL*V5xMXoKL;y|n;H1*tR?PW|t
z<KZW-_gBz&qK&x41O-TBjnN4O*dWMXACI>-!Y8RSwn<`<YeVu{aiFkrvzEnaf%=CT
zW(Xx)NINs?)ZY_S5`k=7Nx^RrVpkf&t+R#lrEel!D`(}nQ6YFA&8-v8iK2HvuwsWb
zI}{P+x}-?J;4j*VN*z<JZR7Ml$rO1(N?^v3VrE0#;>~-9@snvLM%LYp)%pF*@XD{V
z*}7smjU|z4iBRm9h}P*79t4y;X{j<@E)LhG*G`}=xUUi5eYj%?V*cjgG~c-E1VDhQ
z1b-u_$#$BpWL);?+=KKN?{?fj(TH@FkhC{jmwoj=xKY_g*vC){a~k{?e3{MnPvG5&
z#mIBzZr1k~S)t9=N)l(TVJ*Oc`~yy1+kV}4T>`z<dKqQ+8zkORX>>xQw0__S2tV}y
z7Af-A1#U_k;IJF)X+uc2u$=>AOEf%(St3)q-kn6KF&Kfq;?6>>z(BNzaqpkC)rk+I
z&NRQHmCnebVz^x;-CD>Vqq}H%N|wEpRwuavVa3FO=boZ5=iNZfScqRY7Si1&kCJI2
z=gZD(p!Y9@BG$K!3lgFC%OL0OzZteRB(38kt49OJv>8YqtHwaRE>LK{kWP&Eu2-h!
zUuX2>7H8QKjF6I>qk)x3R-5Y11$x$JBT~U(vHKHVN>fDETdXio2v^{agbVc&P*4}=
z(gg&z8AG_z!*Q8vMq!!cNa%R8zK2b+Y!s>?X4$>>wx9nUqW~2-r+UD&OPxXyxSAes
zou;oHH65k`ph{h>NTe3Zdun3I8nUb9{*rxnxi_UP*{dw(ScQtIha3mkoANtxYCcI3
zLQ`8yB@UgxV(vgE!}>{DHFH_)HhN_J5=sZIpp4(CqY?JGx0}4#M>j%8oAT7cUUjr9
zUUbtNmYL&?Z(q1?%-nEy!xn3oo>Wl|woY&=?(kc0BUzovbty|83^mab*$f9SkbQLH
zh;(hVSZ^kG48VH%oLV8)yzO|&waQaH=0#3D9+EHq`ZFXprjsKdj>g5S&%sm9MC&*i
ztbF(LbkJLN9gXb#+Tl3H>uVQY1|lS6zIvvwoRXz*g)qB*;lWj%@)mv{Yq>$}ib!{?
zo8ieZBpHX#Bj^J6*4RcNriGvk2XRS+-;aMG@xJcI;LLHJBMK?iA0uR(qUYk=M-DWh
zJYC&=((%i@d%m|bDBQ<;kQ6Y@sd~-?Pdy|&;Bt{HRCq5g3g@Y{thkE{+~4)Yd8vOY
zgm9jAK^5C16-t6*vrwO_WbmD<GCbs0ah+EUCLx4&<+w96WJ`8Tj{|g#jOaWO<R*ND
z%&uvs63NwKak3cUl2|kyEl?1GAXB|l-|{7#fRg1#zWiF=R=-Dk4>cY9yxHP^*h7k1
zhylITBzBrjEJJy2vh@x(Y+OSYQV~`9Fb^k4J>YDoF2S-mlN|<%MSd)DNjiks%RKe5
z`HTiT1WLB$Eb&zB#s!^he1Kx)$JkR6B$sZ>12p@@8WU$yIa<rZxNkw|NUKGwwO9X=
z+(YM-H-{q&+Nas0>P*}a6q^#9jCKnK>@9c6bMsh~&ZCpn8`|TAA&-c2s1s)rZLICA
z-=zWH@v*h+J5bDmv}@<Rcm$D-{K0G8G^q2D^0U`Vp#E}qz3-Zwlgrp)p^FuNfP7k7
z9p$Zs8%OuV>ZCI|f~_lcT~*&%<Ww1^{|?1Zlkm^?Yv#=$Dhf0^g`lqcrmar)`4rL%
zdiT>|S$66n+~-8T5cj3ga!2Aznty|LBEw<IztdMPrdGx``ayi`+UvFc&)v0*<NRrq
zT<+NKuf-o#(z`FGGrP*&zDEOiAq?3C`c}I~s)0?Z>+_}WWq=rI0*tk4v}7{__PxLT
zt2|y3GVw)ONdt<R^b~HZf#yU!Ovq6?tq{AG(bt1B-1?BrE)RfYSi<aV^}SQic#)>1
zxT*|Lv7+!}fM+@b5r5>6m|SopHjDv`DIJ~QN2$TBMkoRv0o1V##6=ZXIU9x+{>F=t
zpi$?S?kXxax8en?o#53%z;K)rlSz~EQltA#0E#^E-yN1|BCnoTFeBOZf+QoPn>e(F
zPr>+{?MOX<pQ{T(uYHQj!;_dfSQ08yR)<AGr*q21RFr!G>Q8ywie;z-l8?37yZ-kZ
z$e0L|6r&3gi6a&No~kpfkM6ZKk1{gOH@ct^zZc^{n{`RQw@Zi+hAjebQ#;+q8i5Be
z`{RD~3jXe7zNSS96~v5stzd{A<Ea^_Aqrx5c#@PzL#hd2$4jhq7rq9OL-~MYyP3IY
zPviyfPG{oEmD$I^!XlBYp8T=<Xjs;e)(syYWwM=TT6URF=@>kfb)053fRy}b3`&}G
z%*efUG_a6j^2Nal1=(>Z2D_lI`DxFQL`t=f*PHWF#O>P2JomOd;A7B!7+IuQbH$_K
zYcZH3ICxa(hrhYKd{(g@pig?>FmuXUz4qc3%ygKBu}1f_bE#IoirZN1M2q*gf8|sO
z0^y8v{#BYMxTmlb%W7CqrcQCa9Vml`M|-CV*`-kAe2y?|WgT)p0;l^ehU$JvcIA-f
zaHM&Jc7}y02hEs7FTD<E!@n%1vtcTJrLfQ+%>J)rkQSoOFv_B9)fP}z<{j4>klAa9
zg<F1P5(Zg+Y9OgL_5`6(^6O5^KDiFD8}(Xq)OeDV$c)jjy6RNdlxB(t*oj0l_2;X0
z08IG~q#?0<Wc|v*z{dW4!}iL6qeSWiX>so)@@@CfqB~^-bZsb{vLnb!M@HLNRSQM;
Pnr^$q#byv85|9wKF8HP*

literal 0
HcmV?d00001

diff --git a/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_stat_extract.v b/libraries/technology/ip_stratixiv/tse_sgmii_lvds/triple_speed_ethernet-library/altera_tse_tx_stat_extract.v
new file mode 100644
index 0000000000000000000000000000000000000000..e3d3ec07214fd0ddea6f829cf6b0ef7c35ff4a09
GIT binary patch
literal 12760
zcmV;}F(=N86Pzyw00aO_mh3=5THERLsgoKaQ^uqSU=r2tXPIwXY7HYB#=Y-uEisC<
z&4>?xP^8D3^(tlTW7ILe@<k(VR4ww6q<xNmE9%a!Su^)N^W0ZB<qNb+Z=w-$oLzL7
zqb`)ae*QY6GY#16vhXBv1CCwN)*4w-efcCgnt<<SbJU3@y!FIf<|};j{M?9(rtyy=
zxIBS%*ulLJ2dB?1#PL_lIWALx@h|nhn?-kU;)^r85?Nw|n*=avZsxFbj87=2KQZa$
zIE;B!ufi<UUf7yX<G(lC7n--XdwAWc?%JZF!5m{p?6fz7nvbM}*gX}C#^lK_O(eEG
zkF{+=H#bFP47W&WyJ=V}h&$2oHPX(R6g_v40yb}BOt_hi+<DqvtfzW9_7`6FU)(&=
zCHXvSw3G+0?9Z)Wi&S*oy2&^_5unu#BtMK{ALeH|wd-eEt=UYeB}`k9n9g-1Kryj(
z?=x4tDksPFD#VIgh2Q{EfJLKxjZ-0E!|M3lH0U^2X}Gkv?M)2uSv}T`2Siwrtb`Hn
z&)Ez>d`4i)CFeE5s5r?9<+c(!2#;O^m!-$To<9(eaggC?Z;Q67a<}H6s9yS}yO2XX
zTK4x#0gPAIqTV~e^Y|&S+!sj?U|y1gm8GhrxDsRxDN&>}eN2*yc`C^sGdO9`>Krxs
zO4?d8iV%755$SbU6|5fy)O+-&V63U(gSWBIIhmY-1=;NyZxue8`ldY8H=D4~uH5+m
zis#!;HdGgg-Q~5RolPi}el*i)U>3W;*;KO!^=7!11)Xr`R(G`&`Yt2o8&@ZrNDGuj
zb3HZ|YTbk8sE)|Q*S?UoJ8f8aqRG42wIZQ12MJSBJ-lF`bS1zpCyADz#*D>gj)=jQ
zzGx7PDl6h?VBkG-g|rHYLIq2~62vs@9T&12J(Qi=KxQMKA;#{^BLj2S*=|?YPa5$^
zSLS2&InYkG^8;__ip4!gT(jXP0oco?Ll71<qW_u2!gVU?D&*7+WiRH~WKlO16^pdu
zS=JOLdSWkCXe1Dy2t{%8<HcpEWH^$wFwp?sz*zXseWv+7>wzK`@q*erF5l_aj7257
z*Z%-RzIF_8ILM?Jyd92eJpr;x>iSOAqOdH=7x4CVgXt!X_#JuHTh71c1@4mt8-T;j
zGCr*g7GFp6*SEc6E`xQ84X{V2c`p5pU-5<Bn<GH~8D*OI%GOJlHKelAKIY2vp#E0(
zGom=2x62!loH_dT*x?l^tab3otex0?H-T7ynO53COp=iXonn`5_I8I_Y!ZouVYaWK
zcAJAsAZvdmPJXVUs|XF7;025NjY+*DI=|YAfEoq;#yl48n036qd0AOWD(n>4z)k%d
z$&eP;1wFr7iJmq)dIFXAM}_wjp&h<e0sJQF$i(%l!;U`5v^8Z>?3FaJ(4^vdU#-oT
z#cHr$7>z4X&m4<~4Cac1Xpix)D5qORuB*tQkKcY}@!cJj*)c1plE$xkAznhJCx;b6
z8=+f2?y@K2pfF0b>raY=kUW(bZB%<_DI&>-#szmcWb>gjO^x2>ks4fLYgW|J`P^AO
z(|;@SpreQ{qUv#F)Ie-`IELP1Hdm(g)W~ZFd_>DRC1E6wBL9G9ryQ`6KNhQtCYPE{
zE@4Ll<z>amCnN^^n6{aZb-pXL7|XWc!5(Ur^=DrkH8r>A$<&OtF@tB?XimG$L7F3?
zHwrMVO|ZIlt()|6UOVb8uQl>7I(Cp3FOuJ_V&<zcS%Ak6P|cP2fK;34{dJC--*ad{
z=DE8*KdQ_degEnnjU#g8!C>)0Vr=0);?Jen3?rT~z)7tU{7$a>8j$nE7R~WyPpm%*
zKOGGD51KoLAT=~Ca6!4dlT!W=d+W{SC1WCV3ahSU@oOezi<x$L<%7IZ%iPRq7zT+)
zxuF~>f~f!6t5b#9|H{Rb(UKj`SI9iYpJ@tIFBU@4o(9_taMpFsPlswBiQ|Y^@qw0C
z++@Z{q?bfMO&Q$bmhOk}b`w11@XluoBG!c%73X}bnFVlr`~zVmpj1-LQl<K2?e5!X
zB3$g#SRB19&x|cpx(8Y|dUwcgry97hixKlRCVgzW>ca}=su72#_8NH~H>PNnhN2AG
zWo4o;h}7UeX8~x=_5L)DFO|X5w2U(q)l4<{C~r98AsOB2q>0fo@!zg3h#l|tpZ;6@
zW^p9k|8B#XaiRieSynFbSaM-55-9a6VxZQTHf{-;olkK7cBQb31e?mD=JD->{$y2?
zW!$?elz*irx|fRuDziGq1T8~~{vh0_h%NMJj>w%3!9pl-?+AYwbR5YmH&vd^RH&_)
ztAqWk*y8gkCM&RwSEE|bQcRJ<4|)V#$>!Ywu;<aevH+hdv6^1Jr)NFU7Oa0H@^tg|
z`DjePXk7+zLkQHRn&HG*VuGze{uXm9`o?~3&cIcQ+ntPV^{81fATjg?g^mva5BPm1
zXC)Oc-Vvd?vNTD~+3TAak$R(*ghWQ#MwUlAgd{#e6S(5QcV@!n;kC%JB{nXn0N*0K
zewialAQ(H=`k?*fdf07vD?Tl^8bW~SfYNZCxLFOTI5z~|?!eo$)F2FMqF;_BYT}17
zYM8|t_MtzyGprHp(qXU9g!;O(^0p5v_do4&Hcva%=^IyGv(2XnZk-v1$Q6A#s+Qt!
z<}Wd+)u{d4j<S7i(TUaBEvgU{ZscTT4Kd`zdPPbQsm-~1&HFy)LKLcD0TD6H;d5Q+
zcdgosB*tIK5HIYw=kmcD`l&yC_Ut$F*`BaQW`q{u$g&){8hhGrX@WRxhW%iLk;*uq
ze!fjOpYHw)unx@qne7yvYtKvmCO<+nM$7uH59KzcPW!%TCJ2Y>;BLFXOD!SfM^Dkv
z=-5q>@m8sD#lvZaMjCR(6uu`!Z4}H5oQ?E7jJZG0)`pR5`hi0zVQa$3dR0ZZ35lPI
z{PzY-khXj)nGWN9=?UBjra#G?#vIVi3Aki^Ab-Ek(>v}(J(hbzxqN$&ACz7rDJwbh
zxWEB}YcU!rrxXZXtL3qhD>Wq&Ja$`xO0u*N0Re|Tw>@U!x}Xo%7+>p&s8opNrAyED
zZ@^Rp>6TwK=K-slYN=hKJr!TX^IzeCy+`Cl*~4Uw`g+S*r1q}DUaQM575vf<Qc5Il
zgiCCxppAfj^;y7$%3|ASw_Ng(X`q$biwBI}Cl1M#>Ht-fif~<XYoFCA71I%1%GSQW
zPx)zT-n-NB5QWABBeUbrw<-!O_}gq0-&4{?>ae+vlr)~R59VAkvP$s176T%ik3%67
z0?Hj1^K@E+CGY7Wb-QD|lw5Ub;bC8UJlo##1(oUImq#eFU;9s|dmOYTgH7>{hl359
z2&0?V{7V1P!VqbE|Aou+&Osh`BMiRF)l6z7jog-NtdDA&4O#T;_t5n{zx@b1+S!C+
z&h0g#h)6@~?ZjXQ7zqh>|1ow-G?E2MWbhwk+Ywz!t+u~VmGdwG4YNSY8}LjYw<!PC
zWS%h%4a@$Trv)P{H6Cz7(zb|Y#7;z>fiN^Te-^*h(7s^`zKu-I!y;hVw(s$Y(!w>E
zRryh3kSnWQulnd+jE2$dm;rb6TnQ2zBw^Jed_XqsGdN%Djk-t-S$Kp&$lje~a^OM5
zG58`AzX1N?7t!b{N4YusA0Io^IB&q+_L4QGnJ{3_QiMG5;V%|U@B;L8Uwhtd;Soo?
z>53snO@cp-z(U0_u=0#x;OSSYH`1w<&?7kxRmzke6$1Hk8tD{9jeh~Vr(q2~ON|L%
zo1Qy!;h|*w@<7W8ara2gqfC&pWKdk<nvA?&Vm-{$g|+tWt$3GjDm4B>Kp@f+OIA^3
ztFwp~tn%ceO(#B%k36m?M-W+lW2ZsuMD~m&!HjmV_c`{Rjlgc~9KYKeNXv<HtcCT3
zahIIPvEX|L*yHUHqN5}U%5oFwZ8XZ!uJi`1w2n2zBo6NGtE!!E)>p{`-;ML?RoXq>
zv;g^pIP1zcPC8K=W)`=tH?+w1da~s_s^Jyj7KDx3VBf$YZxco=dCYukDgZ|$F6j>4
zUHz(ozxA6dWk~*v=d1%P{Cd^6-57%b;$K|Yzr07XdZud7Rm4IETMET{1(J^Io>8u_
zF;yL~7*acO^{P2+G=S!Cvp$|#od(iP|3ddfJ%C_*QDEe2OV~WIoz~R0R$fw3a=O+k
zfzPvEMdmy4K_>Vs;Tv$t9n6seqiP}-zds4|7&AmVo&z9j>!`ze8<Gws1G>dbCj$a|
z_k~^W!bPG4npOWV8#1T8Z0t#gvzH3v2)m9xUr>P&?{w)95EmE>Pr6k5qrR1sP6=r^
zQcf~L;u_H3n4$_MK+X>cqd}S`q=m8h>-94Cik!6w9}gmxPcxDAvzG+--e?9$5va0*
zv!pI|;>v5jH64Vg^SE?X<}o{KQ5{;`&a;?l5B0H)Qm&|HplzoY7cP_lpM2K>?*7m}
zs7%68ZtV>IB9<>8f+kS_!x-fjezdlbCVK#wAo~;k!UAt3+qLY*sS?>IF*caJWx3~~
zVK{o8!7s{V$Jmp8i56dclYM=jhxtd;JtGi0%Cwg3*Si-EyjT=$a9&ODD3qFrtWU_w
zOC}Oly(SAfu?gwLAW;quF3M%q5!k9H;CptpMjKkj3($rBlLl$Btcje5V7uo@JX$eW
z;rG>u)M#yB8vrs!G$x($S@@H%6a<;!b9xLILT1F67WQ2OKTr?|l+`2tV^vWg(xmb+
zsahN&`h}ZR>Rj0e;o?93H3Y=AA^?;S&k@mu$2Oo!LuN4EhuQ~|N*cHgi8yK^MvW}Q
z_4kq}=zK^t)>L4WFNgW4?9ok^PNb`SAt{hN_PT{`CuVRTn9_KjY!B#c#ehI-=2OK<
zX-0vH9Mjce+$a*nSq%AAv(Xb=v^GJxzATlfP#=FAKBv$!McDMLVG`{bOpl3lRkhO$
z^}$YO6yny6NI_Qepy^|1VQS9+`M@Q99?39kW`reY8?4P5OW*!aCGofm3OfPu@yw&2
z5D$%^d4bScdO)eizWpK0a&IcJju_4{K8Z>9-rIKUTIA_IifZ>VL%nkhdO(m1M4W!W
z#t=)WlfXNd8%ydD{P2TYm=0=Jvl4yk?kat!gtkQdR0P`icj6Z=^W25|W4-Ztf|Xgn
ztc+IiYNU|8D3<lmP>mX8Fw|&;2rf#p>RbbhJ&?;>-8)xq;R#W)IED><sws%=;c4`I
zp_~Cr#OqzNOw@>TmIe<1&B+wEhw#B_C><+FLv={^j)yWR=Y^?(!iZ&Rw>TXcD;xW{
zv>^csO%sgSmFHB59)44w<!@Jt{QtzudG=x1_;{b?8z_G>hDw$_d`Kb4$_jg;4#3<m
zfe|N#E!scmuO<kCn4PyF@2av1j|_J3X1Xyd0edMNALO4-QUJn<6KX==*=5BA1Y@M9
zyYUt($nHNr?hyqk=>HgqETUm}OcI#Yfsf7S6z<Cfmu6{8D+yPp>5ki%Xv97pngGCd
zcOqyTz2uzz5f5Mc(YKA^CsR{h!MpuR^tqpZUwIXlr^-=KOI-uh=0Y|6K?4B#&fKM@
za@kHe9IELi*C$h^{^t$<HIr=Vqx1EY>{ptU=~V-Q6vLMlk&a)xevf39M=qh71ME<4
zrxm3bxBcHB{Qo`E89W0YchO2*DES+&L+n?)|C~Y~7ss6BBEK=!TFB2>I5uy8p1f8j
z{IB;|=-Crv$X3XsjlqA(sN-;9@lhw;QqJe7g$mhS4arm5iOySGIv4`6yaQlbwS(k<
zEut!Ui~9hTxZE%&5JY8kHA0D*j^hO`ih;qy`p$+CgOfJdj);!pRf-@?F_<+WLNiv{
zS{q+yz{yNPHu)ks$ylN+K`8*O^roh7EYvGCUr(~eZz!c_2F*GIM1C~V>u^<zH{Eyc
z)QfacYB`P7i4p3(HgU8B@(Yd)WO^{^*HdUt0!{Q<H+a|j)J}-bYJgAcBIJzrS9^48
zPNA8>yZO);?aSJW73^@?{^DZsC7QZG7?8VVjZs@FJp}gi@SjdQ<k@FzinjC*x-m=(
znU_D{T>*-nHGJb>kmb-2v5f}yJ*lZrk_Q5?{?9o6_?C}S^8qW!KGYFL1X!H7*!*er
zbhPe~yyN?XO2&e(qQp>%X-`RzpE4?H%sFr}StS+)vuULV;S}K5QvVWBsV}A`J~prM
zg!^baPT#Tv%3Dn-voHaTRY4X@u}4>`Z|*yyY&i4ZjUV&Ue!8#+3LH$p&{}8VF7UUC
zG*%6ZDxVcF7wxpL2B?;BpzXqCF0F^wsv}5U3RL6b^y&Es?)rXNB^^rq>a%HUfz$tI
za_@iPBKJyL_a)fbyVp)Pr6>{AEDg1-Kk_0#LAln+1S70wJx@<vxCLvYuW=sgw?JpK
zfK*0s26TfV#zS?I7-junOV=tPF|!-)IwrJdb!kuhep1O$mp@iVoRsE7qQ>wrrGGCx
z!Uh26a||p!bsJ)wf(grH1<0r+G0VXtYke@lWBNmY>MtuwY7*KJYxVNpDJ~KU4Q@Ed
zAVSCMs(8^%Fdv*n0hjR&TjeCezSoq!#|gRT?D9Id2Wk=uJ$SUccxfJj6~GKssPdon
zgQ<GS-DzlwNDxs^!X~adV`FaOd=$+)`)Xz+4|P*B#lY$TjDOm@u#az$148v?`8>K!
zz-b<jH4e6LuSXa+OeYF*gg>Qk0K(oB$M0~pRXYZ+Pj(~k<JB+bKL(g9FBvCN^&B~%
zeE)srHl3WKD*4YzF_`f&1*@|XYqMkl3*SGW%heI?b^=@LQdJ*~??LV>7M^tH4YKGA
z(C+#}xjQK$1tc6nO<x^B-Kp)?dsFUlsq^f!t?M~O5J57Ku!(I0VcN6|%A3>C0EAI@
zFAL1cFNlO7qi?qUGtJcs0uVNBrn28BeSweKGn}HLxCggw{SHsj5Taxmp}Ji?qy9VX
z{UA<PV*2HP($^ZG(tqPH@yXn?4coYn_MZl{Zk#Rj6F%T~n2i@jj(*McPHA0<9wK*H
zX}r|UJ004v!JC*LvEbidEH6zh9bXK+7%IAH<F_1f%}Lpu))pNz3tOG|1sn+RRARZ(
zoiynxzo4=>HL*S_MKOhf=O~_DnkxdT2saN#Jn2SsqzU8uBWBt`NNhs8L$N|ADz||S
zViIVt_FdZ$RQ@v)f%c?6JT#A%zo1R6f$>)OxU%k)JD*+CTv|H+n0$|)x#Vc7jlIY7
z{DwoUV;8bEg`qV*8KFx7hZB8=x;iPNP1)Grtk6EWelGv1Fjps9ZEUo+%`tiHTncFg
zszw8LMQ|WwB+GM;q9tvSzkG6Nu^8Gp4b8pgzdfmBBZiL0)5U@Ycl7JVTOKb}f^UaY
zS)%xdBh9+m{S$1*V(vUZojXnY1XtbS8#8Z(`!?ME>0hElc@z(b5Dz~{=IFI~H{Hu1
zJ2=K2Y^Zd>?a_$}YDy1)RjmHI+Al^l%JswZ@8_}?Q^i*Zv|`y*agkA<38#vm4|EO+
zJq<n)1#qu-<;6!RIiR0aUi0olEnHPlp63G8?p!M<4PVj#9!p#T<CZD&O=$k_g3(dP
zHwS+_YQIK5sWq%!N@{$7Ezye3YQB9<*obV!@1$!ug+lw2W<|C+!YL(g`!g@vnOJT<
zki6t8_3z3es4Nu$38f{j#p#v}B)n6la2t^;KY?orvq4gbHpHQ7%7tYFt&F*<UrSf*
zLsQ)rSBWQtmMv!+rUCLj!czch(F^FR`nK+rIdf&xq(iSO`D-u-8n3n->l+wKo}yGP
zVDfUl!6Jo^+>be{yPVRBt(mMcpxh+TmSQk9LHeO}u&KV40D4zS7mOkc4)NQIeN_O(
zP{u!{0Pgbsifgopn79j?r4sKm{x-8{{62pj2syJKbR_uiop3}t9M(~F^xFJm_a@C2
zX9Dt2Gb=8CpPfUE%9`AZnDz5g#!QxYtE(gDsMu04z^U$7k+nc9k8{opFEdlgc%f;u
zSR<F%CWi?zb8h9M#^je-^wN9+qIdb^#?xoQ5O4W$;9<|UwT|@(#a(J$_Wx;|AJG>V
z@daGVPP7|xAvATd`B^$j0JDWtwo&+pb{i4cP<<`RAD_d|0kw&-1u5%coYJ$+W7zd{
z{%iV7En|S~>onunTfx{ed;w~ALN%S^bb141U}XGc$||T!H1(ChlNr+d|68jnjGh@y
zGsu2lwuzmZY2N+wqY^4aQE`*u=|43nh*q6-o}?yqsx9F_-84mjv;`Xj%=Y&!(ygtR
z^r2SrQ14u%ae7w4o4mGagnXos7lYchyHNL-fk^blH;t6u4J0N90U?tqN<<c~Ho{ig
z9l%F*9AMv1)cUUD3HY&a;qu0E>ieC9=~;88J#dC-&nStp;+JQAgeMW4i0~H>LEEm7
z22~sHHF?Xj2{<~m@2LASk}Nu_mCS<!aud_iP{I;Y9kj=2uhDt_!-6#HQbub7-SGF{
zo5@NEEn}4@Us?`9UNd>*j$upN#m{<!lls~m5KS@iJsr{yL5-8qpGUL{g&^(IS+WK)
z_IKW<OFQ(^9Vub+Eo!%!gC<dc#BuBhy?PCd%c5PHAe$LCyR8Yl3*>2pxtj@hP(;m9
zB9%J&?({06{9|Vz(<O<;JFzEXOQ!B3Q#@wg){34nm~_Gi-V|JWNB&Et{=&d**b|SD
z_Pa*LJ=0LRv#~jwzV2zzTrM(W^B&y@l9uWrKZb%+4xgEJB1|`@K8z=RG>-KLVEs?i
z;dvhH6W#;+dZXv&^&Q#IKcb{EPcK1s7kZ**ofROxO!JE)eIURL$oqTQlHO+S-P%e<
z1(9x=7WH1<`=?XF`O2?dMS#mu%zx11%QB$M*y#^Ewu2w81l#p^b=VG$@l>?+(8#3p
zF1hLu9nM{r8g~ke%Z+2oHK>>^Jf_aV?Kdd<2$NH5g6oz7k4)WV)G9EomdIB(Z)!_>
z<Kq$cF_I?PLQ?(%A(s|PJ*^}^jwM5z+S!_4r5j-1)*hZ(DJr#)>U)x^AJm0Oad`k*
z3H}}TJt0uy3)TPdSVvQPZyuVZ{7eg0j{sh;4(GQviPnU`xVCWK7mEJdTO(<ve9ei}
z5g%vf6E)b)F^{m3$IARaa6(AqtOVLFFICWSwWRV$)b0Q0FOvO;AI}dO+TTFc^V@<C
zabwsBa6qJ+ODI2vDY$*Eq%b!_65N3OHYBQUFq7<_%3>1p<2up}G!)cQA*$YyYbR|0
z*8Pm0EzJon76-0~diKv!9wXh&%mP}88+WOtYN=}iZe;`aCP)M&7}#5xfOA}h^Scw#
zz9^2cNHL;^<9UEN%Dhb}@CM$oO(j6wGfGW+FP6^vn*QE|;Lp!#P3~fD{PSJ>XZ|7<
zm|LUi`U_i1K^&U02CELp8v+!1HvNCgR;@?%&s&0fVh}Dk?Ji3lHBPt{WfC42Gme2#
zm-P46v~(g|uiF`)e=`rlv7*8L2tL~YtcRl;n#v;Rds#@7PypI`=fz1*H@6JBx?eE9
z7^{JIf^ya5T{=r0=-*eH`ydYT;x%=zxiY9g1c*6YZ@f!mw3-=`gEpcVx^8B94Pp|t
z0N!twtD8|;1ZO*daMcCzpTDCm7}bs&&o9{g0ADgm><C2yk>hcb{ogTqgis%BPjAn&
zg(0c>#d7OT1cGU}t<Gekcfu(Ht24N1dZb}RSH4KJohccIqje#zR&Wk7R<;%K%W^8!
zP1e=55!?c&ZaYWbksQRtq3?-TO6FnLc$O2an3@2m=UzHtlMPUz6yhD<y_Y^2x1Yxz
z<WFZ!j<Szx;@exSB<B&fnlK3M9Nb6jLUnSEw?J-84`?KF$n9NuL20pl)yCR6oWVyV
zt<t#2?~}sAYAb+52$wC16O$8xrIFsdGK28cRR3z5XN2%C6ZhsriXk;Bo1d?C#iRei
zMc_`aw1uAad!hlk@_!iCOkE~B{`=pYz!;c34$EhEdiJKlYX|*#>fiqx`%9OCptpIs
zEMX-?^=M#rR$<^@jDlS6d?8+ZrScfzp{Ot?0Cr@0E-diq6InYBxv5U*jFU?voaf-;
z2B_RB95-0~PUr;EbQYJqdcS3U7mosXDn=blXsv4AMG6e`))d+8nzH+335*QG4}!rV
z_%@N0H~?KGN%C}Yj$%o#)qE%fBb(55<7^}e7V%zos3+L>Cs~F88;5qvluZJO{WD^I
zb*GwE+RB~~q5K&BN@ONn%m!;k<20;1g=|SBT3k_1^x1CGlrEWf^=}XdcBOPhkS?a5
z-E+Fp{!V>P%ayS#sK4wCBqBjy(*U-TUr3&)QA}RUET@74LUafhy6^u3UELvWZI;Vl
z*jBSAt3bUH!+fv3g}}GXG7x(%SzG2`goWn9pl4jYluY3Yt|F(EJRbh!m<}Rg+$nCD
zCs~0SPYwkSU$)!h0rw_sJgZ>by~p2>oiYdDw&@F~n%)IL%}2ck9#`G*Yo3HCcEF0Y
zvJAkhAc8Y7d6y9Bq|JSAzOY}48ObPcO_2Jbe|iQcA*C6Q7u=x$?6R-GgH_qgjSq^d
z&xEIGo?E=2GzjGBNYl$%JQ<m-u|4`Z4E^HTAEJ9bjyjB-g)*(Ip{>k(IcG1zJL|T&
z8gy59n893bG&J7GUe>~8v5w|ROp1*+7#;>WX`q~bXc>^}D~GN*vBssv_lA`_X|G0U
z9J_b}*DVrR`rpG1Qkvkd9^dU9z@0U3m^~xR2}U;e6<#NPS0$^BL8*ha026(g!S%bA
zPOf7`IFtZ`>`5%^n|61?6=^^Q=&f?bfheW)#VDr<B$Gy)RR$S0S`&-XU?tOe@$ZJ`
zIu;Lg#TN&T5pyszF-a>`BeGGhh_d@IrLc_lL!MR7JiZ;Y_JYbG-UN6OL?2LGL!Z^c
zQ3Z#S;<(_RK~B2#J|F7}PkN+;>sGPol~Kc_&+0FGeg<u+vQ|?}Q#q8;jO}G)tu7K4
zjLO1HX$zp-{5ONp6NgdGzY+tMuXg_jZmXvo7@w(sql55=RDz#Bad_WvKBtEzgIB)U
zk9><gEG+_hAooC9p|{GYMb`QTQfl<X;Yg_)RJ|UM0@pb2rlYL5om$+@_N;MY=Rj;?
zFa2QK4{TFDl7VV)37}mYY}SM=p+&P#aDE@;+2&Iiw<+FTGumR}6%HT@6q(1IoFRqX
z>7-|V2Z4yoktI^$G?SamCRaS#Z?H*a&S1mdRTw0a#^nh)Q~=*qg7zuF5f5PJQ{CMC
zHf4#n$?o`Q{jan4WI`G=efjYIs_l?z3&5MR)XN6N;ICA}MYDLM4xS-_dZnMhghSl~
z0LouWsMhXsoY=yV4H@(2+PVdPG3A0A%nrQIY>$$Jjc8JJbab@sWN#+WObpwhX(wes
zni{Q@BlzYT0$4+O;kU^h*x>*kRgv(Es<ZhRtd5){ls@2xGL>P*uhO>hpta%{T?ndL
zK4(cm;h-I5pQ}eGR$5QEI5$Lw01@*tfe$kX%;5Y9Dn%E%JZ1_4J<>L+G4Mcw$8ji{
z7f%r$*3Po*!Cgl<N;whu#Lo(~h-zbDTbs19%<W4hIJFj1<(2K(E2i`&ZCFqNyL3CV
z;E*w$TqlX-I}cV3P)=V86pwwy?e0@CW6#?Z%{YwA9dsX2_>kO>`cqo&OF$#p+U^Wm
zUb{*C!kx#w3xuoVOKcr{bo0lX4AUo=jHZousZW&T@V29syWUzA@A#__x|A6}A*d)L
zw?$ww$1qs59UQ};3(H&=GPgyPHyFp{KA}*DLd!-h@ulmGzH8xZuv|z;D*B$^If|6(
zp{7-DFw6k}Fgdke_2cP`0*$J&t7Y-EM~Sy$FKE3l)CxlA&^!9+JZvbtQZOPX>~|0h
zDlu#ApACiO?C<!-7+AUj0h0Z|A|Zsq^-}|fIvyC#leOsn!D~ojj%@OZ5Q+5S?@JQ<
zN}H>4Az*TMNmx$E3qos?iLt%MS}R)V(S4ClwKQuZ%7M-Fnw(AspgFl0l6Qk8zY)5S
z+KEX>v1d{YMs-MbKxGK{&(j4@qW$=qFWIAvlzdL9`p(N;Mli#%-)hAnPCkLK{xCW@
z^&-LB6oJ`GpnlSM^p~nfNIz_>6&SKu#NeawJmpX17ui75f{wz84dzt`KklB#^u2|c
ziB^h1#ZEDghRLf_gvnF@Jmsb~H$wV$xA~+8n{Qnpyn`(AE+(fyQLt1DX-#$1A$e1L
z5w|bT>&9s$-3%Ud(dig&BQxE{$N3?SbV&xM2~ihHEz>uU<@nohWuXP`7i_|dBU2Jj
zK|Zc$QVi&Vf)Z|ensn5)0WJA0OzT#347Qfy4?zi{Oql0hVKeR)*C&#2YilCZP9v5?
z3m93=kH4=5hjd2e!iT_V$x=kjfyIYQPB8xq(?5WT7OpH9=6Eh~kE_q8uY!A893#)T
zuZ76))y%PvXf+19x!Ovj6Wpy@TXjEC<ta#?`()V}xH5Szsd+tu`Zs&p5dL%bbiVwx
zmL0)8$Co7X-U<!1O!Q>L%I-BlDZ{8r-l+)Cy2%upDI&Konxz|ko=Y{0XN=BQSZs3_
zew@LEyV8=c$r5S7{ka@&LB;!q!yh=)M5QZiN;r7NG0*Y-kor^1)#JRQ<=No@q{R7^
zd~qP0HuVC1X5@Gv9;5Z^qnHo#*}ec~4hjYhfkcPSIQ__E(hMMrC7L5)x*_%5v$I2F
z13X6i1M_EA%qi0`3^pa(Qx>?sRScJO-r1^#@c^)Gyd=id0zB$M@fYfrZ^Pd+GD?-Q
zRUQGKtld3{4}vydCBM7+X^%5qSPIu}T>aQOaLYbDJR6&3)H;DiRwyrGTbgoLX!W2P
zzJ@xt?J-zyQ@n$fXE$b4f<ABvbL%TJu11N+qJ(1I{b5mi$bgvW<ZQnCRa5q|xGbG@
zm+0jGDHomaCZ7<JmbpE=B6K01br-PX6&$)W#!Et`oAU$jE0YHHZt)&xkE<<;0FTig
z^KO{zoTe<+shsG4{c7}qa`@wS?^J5_^dO_w93qm*nlsOisR)A@K$DE`;yDdZ1D@(-
zXzChl9<Ya1v72_<p$}PXTPC(x`a(yNo3eeZxLQe))_AmRG>PRE^+_B*{LxS|<U#MK
zAbK(4(~4658}H-q<6R~GdjQlE7;3?VJf+6RT$7gc+J`LjT4Y8jSVo}?>27s0#IfMw
zZIve*uX<nfVF;7#2`9uJgK#wrbqS>p?-~%NvRu_7zEW{DHNT$Sn%b9kLR)@H@w&fL
za4jzm;@h}GertBXTw(hF4WV7`?|6V+d>XCIf@wzaSKheBP{BbTY<4G$NY?ZadkRA3
zb`)Nlhm^j^0+Rj7xT1e@tdv~<zqU(G%p^tjl6)O4Kr7>g04=ESeFlo=`$)M1#z%Iu
zm#j@Tsq!@MQB<xBM@+Z2$GIr%F=9OKfyUO=XX_~Upd#X4h-y4ufK`e5{=k6Lr2B)`
zUS*#Nqjp@R@+h2f>44?vo@)XS`|gz4$I!LTPdP_9;Sg%^Hh?wcc)xX#fg4I$Yd>Ph
z4@3l*-}@<T|5Nnu+o?3<rl&Y57*uZNz`Q4)XLy1*XT1aH^D-6*WWl^TFq2Nx&As2Z
z^kZayQrpD$p!o8I8ipuZH7_QPm<gc>C6A}Q(xW=D<i7!`wNVM%SLb`|Zko7fDPAlg
z9{Ci#zo+&r2UtagmgD}5P2$S0!2e0qD6R{+8lEK%)m6Ksoc`qLL0RVq87DPmZ2E1o
z>R!P)`TWF@)ihO|Lb(PqNiof08M;_F@V4@1qt5RXu@s@^ed{cNkE_!118p^{L-@>9
z$JmacM2-Tk${hA|x~&x+Saq>1r}utrOA$S=0g4Dm8P!>iHeq1VQ~_O#{roCsx>8#`
z;7<ACC=G?M_wlm@b`TQ?Br}YG)|FbJgPconp5}8)O0wKLc88=abA77SKYrwo@c0DQ
zAO*$yo3*a*PPnc~G-g*lLi~B+_@e{sXJ6XdbykLdOO}Ii%2pb2a=G-TlxsTG65X^>
zu6*u7>#g&2DyPK3^jJ_`LIFKiI996CH0ptXCNL#L#qggM^}mNUeNf5Nk0Jyh{yLVn
z<)SZpv*|8b%#DXTL0?v~$;F^lQ38zVuiw-3Yj!K}6B75^!$o8;5P&X2p>f6B>Q5_>
zo7AeGe9tPMQPW0f)fjnrZ4N7Lqzw7=+rMp1!RP4;7<e`HqCcQg=>@%fp3(&-DtK+?
zjA+P?7;Ga@>*AWUB_jv`frf2ccdO_dcpXd@LIB~)@Pp{-D#?zeXRBF_H4zmcudJd$
zg}1=J2pnw8KY;v(p!#z3?f|ZCMVo&p<Q~MU=IanX5^s;rC=KVH{I^rI78vYGbwK4N
z=^n(*oPtBa$H@OxQf}zXljF5`&nUUn3hFkRH}0*0OugqMZvsics9o~Hg-MvTwYb-<
zT({^3y|^Q(yT^iAIueQnVtRv%8rF;!Bl@6|wzM54`3qkHoDvP7?4mw9%RcBmh-T*(
z_!tbR6gTI`o1Yr0{-Wdw^qY1}I;PFLbNM{M1S|y+vT)5h3@O`gy5Grk9c0A&oR8VG
zMrfX}cK$GJ`3rUkP9!jJX3F}jD*w+J2>I9$279+*Y;<)5<{G{eJV$flBVB@p<NV0K
zwDI8XA|{X=CME>(D%JP~FXiWWw@Lm&OW7HCNxFZU>Ezu!a;SAd6k+wy*bgqaf%2ru
z&9Ez8lT0_R$<WZq_2joh9F;!{kXtr67jXhpS{dlpC2<qbCU7;H$=_J(F7CMr@AU@0
z-%i+TPLC<nOJeEe#p^S|DnF}6!aM+!mI;UHPlfS~_^=a$c%>W_ZWuffZEdA}sMM6k
z*rrW%S6w3}?q8J%^ic)~jdrt&`>#htz&WlXc}o1ZVEVM1TBcK5?(6K|V-7-q%-Yq`
z`azWd^Zp{)sx<caEr(F9vYr*}C<4+<A#Rh^o+j}ReaojDq~M;s_0{s3%T@nW)8>h@
zg0T0~U0ZZxfGZPP`yn>5dBaSzq=i-LNO<GbFd*0?V95EoM}qo->zTtb$HOzs*Wmmq
zcetM~`-G7rMeUu~D+)kt25LL(uh4V(Zr{UBzF>YQq4P&$3OO#JcE)2~pe5y;Sp9c|
z+gWWw>W?~ruyhLW$2?|$@rbGQuC>$Sl2+BwF?UgUE2LoCY@e}gkrzBO`a`(Vjp*UT
zHbK>nTf_R-)`6RaiRKytAu_!*S_x#{+@)awHWe3U=X9=yr&z=x9946L!Q|BIeiX~X
zntNi;J#<PPBjyJE48{mQ5Vk$!3`QHwg0M7whci@UirM}@n&^4^o6KXhRc{>QQ2)Fy
z7S=W?*;cbYhbRX4CqO$3S(giuR3N}1oa7f_TYRBntf1Z%NDlJi`mwcVej!O$oT<6O
z=vX3u7Gl$1<I?gYiuID#&N~lrI<6Ku>7r9Qwp@pjIHnnaLi(V0J!jQG<Oo;Pyc1kv
z#aY!D@Rrnfs+I_~6Zn8)-iaJ%mL_D!OPkqOKofif%0gs}!Sv;|u=1p1{37mVUjwG}
zKU(5f_FFaS|8~q)yMP<*KbokcYKi9R-k?NxnEz`?6NSyt!<-;ldS?gXm>3q;vHl97
zg8zBQetlTbn56ROSA$Hd3bd>^g}f9b=7RC_)z%uvG-`t)btB;aE5zI}P4nvI2izh=
z$><RfET;tg7v+dP7^uzJg{3qK02g!Z>awtoisQ^Ismq%1A&d&s^sJ;}O<P-!)_}p@
zMQfI-^@0<^G5V`^oGZNz%RY}|?WT}Q=e(QJ#=ul~ekf_5?N0mGWmLe4HPbb2zIPp(
zTrXSCSh_v`4fWYQML_5u4ia`BBspLy_1utNK1aH-4nRsc2s-%ty8l^ZCp&yNPs&|Z
z8P{K(S{3O=O#hs8k$B9GgSYqw0p<hU$h&5$T#w4mhdiaa{e0Om`6ICd_%o$@RJNA~
zZU60yIb5vFjPPlBDhNwjEr^&?xrAlPS6!X6hF<&HNV$_NcKy}Alu^dbl7e3)7Gs!v
zGKHSxcpa;%F@2E#Ls5hazI?}p<v<8U@h)>gtDz(71^oiebYxfhWy5tJFLTblFY1m1
zqblWEAq+Y<(*@anin+^p>jC$k{<N9UgEP?jqw=l7RZLz9aIO2xc6aaU+rZGSahw%_
z57f9`{jN1Ayi%aJbwZ5t$zI?u@k+Ijc-}8rvn2+y>I69z)}zqL>`R9n1e0F55x`cq
z9H9f&Xe5g>I+g^wCSW3F<UL6Qg=Yx!>;l4m+`qbd%RoIp2Rm&Y1apFFCB*XJv@D77
z7>NYRR$#?h{8^&u;_6q1ZJmd7i^}VO#>ABZX;q9hCne#F+9v<`p6k)MR*wV(4f^wL
z!Q=dB@W2bQ<*ZmraSrhcHg@zMOc}Qa5kpI}&G;}FdGVyC68)A4bzOj=^wCs|>4<rY
zsKNP@ZhvY1d02qK763=nu)t*`=`AA70RrqXEg%Ra;kSQtdUf?2*Xs4JV31^d7VUGa
z5}FCWUqrykHKpRH|0cf8`uNy*k~8hLoVb$80?kCxa?%A6QLaY;c<gKdKw3phDUh@*
z<KfQtugi>if1v?Jw#(i^N-#5w5GShwyH!3u4@M5J*o)kz7k5GG$H%CRBXxYYst^+h
zrUFS_0-|&%uKa@$2Z^R0C6eBm%P@IGaJf3U3r;Oz)SNU^r1^dUD<{)74A1}cAY5O%
z=eyaEibC`jip5M*jD1_>P!ScXeU=L@RS0Z`BIRGoE4+2F$0gWY2XT~VFo;b%0$7aS
zQS7-c*d&mg>^-FUD;4|LFnix)I-|c(UwXF~C}%SY`R*!q%Ptt7F&Qq>SXfpoU8ln|
zV&w71d`j!TNUxjAEfT~{s%Xv6?U@s)!?8^V{J9La`APMb^%1MGQgVOCF}lj5*f9uF
e-H^O*9g;(=684;r+LP>Dgc1YOm_qIAa>88mI^tdc

literal 0
HcmV?d00001

-- 
GitLab