diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
index 9d7c70a8a7f29d885d0d6a4652b579573c5a4276..8a18fea17ac82b480a0d65f32b99fa3f1e764fec 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_coefsbuf(stratix).vhd
@@ -22,8 +22,8 @@ architecture stratix of pfs_coefsbuf is
     intended_device_family : string
   );
   port (
-    aclr0                  : in  std_logic ;
-    clock0                 : in  std_logic ;
+    aclr0                  : in  std_logic;
+    clock0                 : in  std_logic;
     address_a              : in  std_logic_vector(g_addr_w - 1 downto 0);
     q_a                    : out std_logic_vector(g_data_w - 1 downto 0)
   );
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
index 9cde479324b2d923ba402749aa9e2ea17b934be1..eb483ea066f3b0cbe85c37cf8eb9362810d9718e 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_filter(stratix).vhd
@@ -8,73 +8,73 @@ architecture stratix of pfs_filter is
 
   component altmult_add
   generic (
-    input_register_b2                     : string  := "CLOCK0"     ;
-    input_register_a1                     : string  := "CLOCK0"     ;
-    multiplier_register0                  : string  := "CLOCK0"     ;
-    signed_pipeline_aclr_b                : string  := "ACLR3"      ;
-    input_register_b3                     : string  := "CLOCK0"     ;
-    input_register_a2                     : string  := "CLOCK0"     ;
-    multiplier_register1                  : string  := "CLOCK0"     ;
-    addnsub_multiplier_pipeline_aclr1     : string  := "ACLR3"      ;
-    input_register_a3                     : string  := "CLOCK0"     ;
-    multiplier_register2                  : string  := "CLOCK0"     ;
-    signed_aclr_a                         : string  := "ACLR3"      ;
-    signed_register_a                     : string  := "CLOCK0"     ;
-    number_of_multipliers                 : natural := 4            ;
-    multiplier_register3                  : string  := "CLOCK0"     ;
-    multiplier_aclr0                      : string  := "ACLR3"      ;
-    addnsub_multiplier_pipeline_aclr3     : string  := "ACLR3"      ;
-    signed_aclr_b                         : string  := "ACLR3"      ;
-    signed_register_b                     : string  := "CLOCK0"     ;
+    input_register_b2                     : string  := "CLOCK0";
+    input_register_a1                     : string  := "CLOCK0";
+    multiplier_register0                  : string  := "CLOCK0";
+    signed_pipeline_aclr_b                : string  := "ACLR3";
+    input_register_b3                     : string  := "CLOCK0";
+    input_register_a2                     : string  := "CLOCK0";
+    multiplier_register1                  : string  := "CLOCK0";
+    addnsub_multiplier_pipeline_aclr1     : string  := "ACLR3";
+    input_register_a3                     : string  := "CLOCK0";
+    multiplier_register2                  : string  := "CLOCK0";
+    signed_aclr_a                         : string  := "ACLR3";
+    signed_register_a                     : string  := "CLOCK0";
+    number_of_multipliers                 : natural := 4;
+    multiplier_register3                  : string  := "CLOCK0";
+    multiplier_aclr0                      : string  := "ACLR3";
+    addnsub_multiplier_pipeline_aclr3     : string  := "ACLR3";
+    signed_aclr_b                         : string  := "ACLR3";
+    signed_register_b                     : string  := "CLOCK0";
     lpm_type                              : string  := "altmult_add";
-    multiplier_aclr1                      : string  := "ACLR3"      ;
-    input_aclr_b0                         : string  := "ACLR3"      ;
-    output_register                       : string  := "CLOCK0"     ;
+    multiplier_aclr1                      : string  := "ACLR3";
+    input_aclr_b0                         : string  := "ACLR3";
+    output_register                       : string  := "CLOCK0";
     width_result                          : natural := g_taps_w + g_coef_w + 2;
-    representation_a                      : string  := "SIGNED"     ;
-    signed_pipeline_register_a            : string  := "CLOCK0"     ;
-    input_source_b0                       : string  := "DATAB"      ;
-    multiplier_aclr2                      : string  := "ACLR3"      ;
-    input_aclr_b1                         : string  := "ACLR3"      ;
-    input_aclr_a0                         : string  := "ACLR3"      ;
-    multiplier3_direction                 : string  := "ADD"        ;
-    addnsub_multiplier_register1          : string  := "CLOCK0"     ;
-    representation_b                      : string  := "SIGNED"     ;
-    signed_pipeline_register_b            : string  := "CLOCK0"     ;
-    input_source_b1                       : string  := "DATAB"      ;
-    input_source_a0                       : string  := "DATAA"      ;
-    multiplier_aclr3                      : string  := "ACLR3"      ;
-    input_aclr_b2                         : string  := "ACLR3"      ;
-    input_aclr_a1                         : string  := "ACLR3"      ;
-    dedicated_multiplier_circuitry        : string  := "YES"        ;
-    input_source_b2                       : string  := "DATAB"      ;
-    input_source_a1                       : string  := "DATAA"      ;
-    input_aclr_b3                         : string  := "ACLR3"      ;
-    input_aclr_a2                         : string  := "ACLR3"      ;
-    addnsub_multiplier_register3          : string  := "CLOCK0"     ;
-    addnsub_multiplier_aclr1              : string  := "ACLR3"      ;
-    output_aclr                           : string  := "ACLR3"      ;
-    input_source_b3                       : string  := "DATAB"      ;
-    input_source_a2                       : string  := "DATAA"      ;
-    input_aclr_a3                         : string  := "ACLR3"      ;
-    input_source_a3                       : string  := "DATAA"      ;
-    addnsub_multiplier_aclr3              : string  := "ACLR3"      ;
-    intended_device_family                : string  := "Stratix II" ;
-    addnsub_multiplier_pipeline_register1 : string  := "CLOCK0"     ;
-    width_a                               : natural := g_taps_w     ;
-    input_register_b0                     : string  := "CLOCK0"     ;
-    width_b                               : natural := g_coef_w     ;
-    input_register_b1                     : string  := "CLOCK0"     ;
-    input_register_a0                     : string  := "CLOCK0"     ;
-    addnsub_multiplier_pipeline_register3 : string  := "CLOCK0"     ;
-    multiplier1_direction                 : string  := "ADD"        ;
+    representation_a                      : string  := "SIGNED";
+    signed_pipeline_register_a            : string  := "CLOCK0";
+    input_source_b0                       : string  := "DATAB";
+    multiplier_aclr2                      : string  := "ACLR3";
+    input_aclr_b1                         : string  := "ACLR3";
+    input_aclr_a0                         : string  := "ACLR3";
+    multiplier3_direction                 : string  := "ADD";
+    addnsub_multiplier_register1          : string  := "CLOCK0";
+    representation_b                      : string  := "SIGNED";
+    signed_pipeline_register_b            : string  := "CLOCK0";
+    input_source_b1                       : string  := "DATAB";
+    input_source_a0                       : string  := "DATAA";
+    multiplier_aclr3                      : string  := "ACLR3";
+    input_aclr_b2                         : string  := "ACLR3";
+    input_aclr_a1                         : string  := "ACLR3";
+    dedicated_multiplier_circuitry        : string  := "YES";
+    input_source_b2                       : string  := "DATAB";
+    input_source_a1                       : string  := "DATAA";
+    input_aclr_b3                         : string  := "ACLR3";
+    input_aclr_a2                         : string  := "ACLR3";
+    addnsub_multiplier_register3          : string  := "CLOCK0";
+    addnsub_multiplier_aclr1              : string  := "ACLR3";
+    output_aclr                           : string  := "ACLR3";
+    input_source_b3                       : string  := "DATAB";
+    input_source_a2                       : string  := "DATAA";
+    input_aclr_a3                         : string  := "ACLR3";
+    input_source_a3                       : string  := "DATAA";
+    addnsub_multiplier_aclr3              : string  := "ACLR3";
+    intended_device_family                : string  := "Stratix II";
+    addnsub_multiplier_pipeline_register1 : string  := "CLOCK0";
+    width_a                               : natural := g_taps_w;
+    input_register_b0                     : string  := "CLOCK0";
+    width_b                               : natural := g_coef_w;
+    input_register_b1                     : string  := "CLOCK0";
+    input_register_a0                     : string  := "CLOCK0";
+    addnsub_multiplier_pipeline_register3 : string  := "CLOCK0";
+    multiplier1_direction                 : string  := "ADD";
     signed_pipeline_aclr_a                : string  := "ACLR3"
   );
   port (
     dataa                                 : in std_logic_vector(g_taps_w * 4 - 1 downto 0);
     datab                                 : in std_logic_vector(g_coef_w * 4 - 1 downto 0);
-    clock0                                : in std_logic ;
-    aclr3                                 : in std_logic ;
+    clock0                                : in std_logic;
+    aclr3                                 : in std_logic;
     result                                : out std_logic_vector(g_coef_w + g_taps_w + 2 - 1 downto 0)
   );
   end component;
diff --git a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
index 9569e84d0be4f41bd881e8bd16ad33baa9efb94f..57b0f46def479bb8970f51d3e26c54ea240d6343 100644
--- a/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
+++ b/applications/lofar1/RSP/pfs/src/vhdl/pfs_fir_coefsbuf(stratix).vhd
@@ -22,8 +22,8 @@ architecture stratix of pfs_fir_coefsbuf is
     intended_device_family : string
   );
   port (
-      aclr0                : in  std_logic ;
-      clock0               : in  std_logic ;
+      aclr0                : in  std_logic;
+      clock0               : in  std_logic;
       address_a            : in  std_logic_vector(g_coefs_w - 1 downto 0);
       q_a                  : out std_logic_vector(g_data_w - 1 downto 0)
   );
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
index 061f75cf7b4421b8e96d247309fcb47e829c976c..6fe9a16e9b801fbe5337eb73d48efa6ecf401337 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd
@@ -71,7 +71,7 @@ architecture tb of tb_lofar2_unb2b_adc_multichannel is
                                                  5000 ps,
                                                  5000 ps,
                                                  5000 ps,
-                                                 5000 ps) ;  -- transport delays tx to rx data
+                                                 5000 ps);  -- transport delays tx to rx data
   constant c_delay_sysreftoadc_arr : t_time_arr := (4000 ps,
                                                  5000 ps,
                                                  6000 ps,
@@ -83,7 +83,7 @@ architecture tb of tb_lofar2_unb2b_adc_multichannel is
                                                  1000 ps,
                                                  1000 ps,
                                                  1000 ps,
-                                                 1000 ps) ;  -- transport delays clock source to adc(tx)
+                                                 1000 ps);  -- transport delays clock source to adc(tx)
   constant c_delay_sysreftofpga : time := 10200 ps;
 
 
diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
index f3791a4aefc231661600fb47d5204ec923acdf6c..c1689b31e3340fc67f895d0cb3cca31b3e949c44 100644
--- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_wg.vhd
@@ -76,8 +76,8 @@ architecture tb of tb_lofar2_unb2b_adc_wg is
   constant c_pps_period          : natural := 1000;
 
   constant c_tb_clk_period       : time := 100 ps;  -- use fast tb_clk to speed up M&C
-  constant c_cable_delay         : time := 12 ns
-;
+  constant c_cable_delay         : time := 12 ns;
+
   constant c_sample_freq         : natural := c_unb2b_board_ext_clk_freq_200M / 10**6;  -- 200 MSps
   constant c_sample_period       : time := (10**6 / c_sample_freq) * 1 ps;
 
diff --git a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
index 5dccef49598720ad22512fbdc359b0e87bc5914d..eafe4669a300ad759180f02827acf1ef6068261e 100644
--- a/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
+++ b/applications/lofar2/libraries/sdp/tb/vhdl/tb_sdp_statistics_offload.vhd
@@ -249,7 +249,7 @@ architecture tb of tb_sdp_statistics_offload is
   signal dbg_c_crosslets_info_slv        : std_logic_vector(c_sdp_crosslets_info_reg_w - 1 downto 0) := c_crosslets_info_slv;
   signal dbg_c_nof_block_per_sync        : natural := c_nof_block_per_sync;
   signal dbg_c_nof_clk_per_block         : natural := c_nof_clk_per_block;
-  signal dbg_c_nof_clk_per_sync          : natural := c_nof_clk_per_sync ;
+  signal dbg_c_nof_clk_per_sync          : natural := c_nof_clk_per_sync;
 
 begin
 
diff --git a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
index f747878245e12e7f094da729469f486ca0547192..b80bc1c49f134f86272a0ebd2c5fd64b42e15d5e 100644
--- a/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
+++ b/applications/ta2/bsp/hardware/ta2_unb2b_bsp/top.vhd
@@ -31,7 +31,7 @@
 --   . M&C
 -- --------------------------------------------------------------------------
 
-library IEEE, common_lib, unb2b_board_lib, technology_lib, dp_lib, tech_ddr_lib, ta2_unb2b_40GbE_lib, ta2_unb2b_10gbe_lib, ta2_unb2b_1gbe_lib, ta2_unb2b_mm_io_lib, ta2_unb2b_jesd204b_lib ;
+library IEEE, common_lib, unb2b_board_lib, technology_lib, dp_lib, tech_ddr_lib, ta2_unb2b_40GbE_lib, ta2_unb2b_10gbe_lib, ta2_unb2b_1gbe_lib, ta2_unb2b_mm_io_lib, ta2_unb2b_jesd204b_lib;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
 use common_lib.common_pkg.all;
diff --git a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
index fc2e86fa113918d2ffe5180e4c2cf6c5a7414644..7dc0e2687252b159a55d80a3fcaed4544de4ddef 100644
--- a/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
+++ b/boards/uniboard1/designs/unb1_bn_terminal_bg/tb/vhdl/tb_node_unb1_bn_terminal_bg.vhd
@@ -79,7 +79,7 @@ entity tb_node_unb1_bn_terminal_bg is
 
     -- Mesh serial interface (tr_nonbonded)
     mesh_tx_serial_2arr           : out t_unb1_board_mesh_sl_2arr;  -- Tx
-    mesh_rx_serial_2arr           : in  t_unb1_board_mesh_sl_2arr := (others => (others => '0')) ;  -- Rx support for diagnostics
+    mesh_rx_serial_2arr           : in  t_unb1_board_mesh_sl_2arr := (others => (others => '0'));  -- Rx support for diagnostics
 
     -- Back serial interface (tr_nonbonded)
     back_tx_serial_2arr           : out t_unb1_board_back_sl_2arr;  -- Tx
diff --git a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
index b0b46e9cec2fd0c75a4209277f4670b069899da1..980363e3dd9ba566f21e33c35377503f20d6116b 100644
--- a/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
+++ b/boards/uniboard1/designs/unb1_minimal/revisions/unb1_minimal_qsys_wo_pll/mmm_unb1_minimal_qsys_wo_pll.vhd
@@ -110,11 +110,11 @@ architecture str of mmm_unb1_minimal_qsys_wo_pll is
   constant c_sim_eth_src_mac       : std_logic_vector(c_network_eth_mac_slv'range) := X"00228608" & TO_UVEC(g_sim_unb_nr, c_byte_w) & TO_UVEC(g_sim_node_nr, c_byte_w);
   constant c_sim_eth_control_rx_en : natural                                       := 2**c_eth_mm_reg_control_bi.rx_en;
 
-  signal sim_eth_mm_bus_switch : std_logic ;
-  signal sim_eth_psc_access    : std_logic ;
+  signal sim_eth_mm_bus_switch : std_logic;
+  signal sim_eth_psc_access    : std_logic;
   signal i_eth1g_reg_mosi      : t_mem_mosi;
   signal i_eth1g_reg_miso      : t_mem_miso;
-  signal mm_rst_n              : std_logic ;
+  signal mm_rst_n              : std_logic;
   signal sim_eth1g_reg_mosi    : t_mem_mosi;
 
   constant c_mm_clk_period : time := 1000 ms / g_mm_clk_freq;
diff --git a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
index 794e9af24261d28ad1f61d0f97b86a7b8a995d7c..6f2d2143cc9eb0d0e1812a17f75842a5cf164387 100644
--- a/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
+++ b/boards/uniboard1/designs/unb1_terminal_bg_mesh_db/src/vhdl/mmm_unb1_terminal_bg_mesh_db.vhd
@@ -138,11 +138,11 @@ architecture str of mmm_unb1_terminal_bg_mesh_db is
   constant c_sim_eth_src_mac       : std_logic_vector(c_network_eth_mac_slv'range) := X"00228608" & TO_UVEC(g_sim_unb_nr, c_byte_w) & TO_UVEC(g_sim_node_nr, c_byte_w);
   constant c_sim_eth_control_rx_en : natural                                       := 2**c_eth_mm_reg_control_bi.rx_en;
 
-  signal sim_eth_mm_bus_switch : std_logic ;
-  signal sim_eth_psc_access    : std_logic ;
+  signal sim_eth_mm_bus_switch : std_logic;
+  signal sim_eth_psc_access    : std_logic;
   signal i_eth1g_reg_mosi      : t_mem_mosi;
   signal i_eth1g_reg_miso      : t_mem_miso;
-  signal mm_rst_n              : std_logic ;
+  signal mm_rst_n              : std_logic;
   signal sim_eth1g_reg_mosi    : t_mem_mosi;
 
   component qsys_unb1_terminal_bg_mesh_db is
diff --git a/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd b/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
index 26d6ca1ef6810a5eca70885a3b0db2022ac7af5c..63962939ea17bd9ea8cf53fc325b65126d2c2d39 100644
--- a/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
+++ b/boards/uniboard2/designs/unb2_led/tb/vhdl/tb_unb2_led.vhd
@@ -38,7 +38,7 @@
 --
 --
 
-library IEEE, common_lib, unb2_board_lib, ;
+library IEEE, common_lib, unb2_board_lib;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
 use common_lib.common_pkg.all;
diff --git a/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd b/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
index 9fa20f22f270bbbff4268e3f7596abc4a0f91509..0890ad356b63a63d02c07feaf838c0d8ee6b7fe9 100644
--- a/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
+++ b/boards/uniboard2/designs/unb2_pinning/src/vhdl/unb2_pinning.vhd
@@ -649,7 +649,7 @@ begin
 
     rx_serial_data_front <= RING_0_RX
                           & QSFP_0_RX & QSFP_1_RX & QSFP_2_RX & QSFP_3_RX & QSFP_4_RX & QSFP_5_RX
-                          & RING_1_RX ;
+                          & RING_1_RX;
 
 
    transceiver_phy_front : transceiver_phy
diff --git a/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd b/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
index f554bfe7fe4fe7327a4bf13b415b5252cba6a4cf..670f3ac26a9cf696631cf4b1d420f9d6040abee6 100644
--- a/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
+++ b/libraries/base/axi4/src/vhdl/axi4_stream_dp_bridge.vhd
@@ -95,7 +95,7 @@ architecture str of axi4_stream_dp_bridge is
     dp_from_axi4_sosi : t_dp_sosi;
   end record;
 
-  constant c_reg_init         : t_reg := ('1', c_dp_sosi_rst) ;
+  constant c_reg_init         : t_reg := ('1', c_dp_sosi_rst);
 
   -- Registers
   signal d_reg                : t_reg := c_reg_init;
diff --git a/libraries/base/common/src/vhdl/common_evt.vhd b/libraries/base/common/src/vhdl/common_evt.vhd
index 69706e8b87a03050db4efa910a444463d8da14eb..ea7fef958b777da30fd4d92cbb43fbb71cbd2747 100644
--- a/libraries/base/common/src/vhdl/common_evt.vhd
+++ b/libraries/base/common/src/vhdl/common_evt.vhd
@@ -76,7 +76,7 @@ begin
     p_clk : process(rst, clk)
     begin
       if rst = '1' then
-        out_evt <= sel_a_b(g_out_invert, '1', '0') ;
+        out_evt <= sel_a_b(g_out_invert, '1', '0');
       elsif rising_edge(clk) then
         if clken = '1' then
           out_evt <= sel_a_b(g_out_invert, sig_evt_n, sig_evt);
diff --git a/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd b/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
index 520d585be9ad53a73ee97e3de2209ec7c69c7c63..d713e18b3d53f6069cfb908ffd497d2608071dca 100644
--- a/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
+++ b/libraries/base/common/src/vhdl/common_network_layers_pkg.vhd
@@ -374,15 +374,15 @@ package body common_network_layers_pkg is
     variable vec : std_logic_vector(c_halfword_w * c_nof_halfword - 1 downto 0);
   begin
     -- vec = whole ip header excluding ip_header_checksum.
-    vec := 
-        hdr_fields_slv(field_hi(field_arr, "ip_version" ) downto field_lo(field_arr,  "ip_protocol" )) 
+    vec :=
+        hdr_fields_slv(field_hi(field_arr, "ip_version" ) downto field_lo(field_arr,  "ip_protocol" ))
       & hdr_fields_slv(field_hi(field_arr, "ip_src_addr" ) downto field_lo(field_arr,  "ip_dst_addr" ));
 
     -- sum up vec in halfwords
     for i in 0 to c_nof_halfword - 1 loop
       sum := sum + unsigned(vec(( i + 1 ) * c_halfword_w - 1 downto i * c_halfword_w));
-    end loop; 
-    
+    end loop;
+
     -- checksum = inverted (sum + carry)
     crc := not(std_logic_vector(sum(c_halfword_w - 1 downto 0) + sum(sum'high downto c_halfword_w)));
     return crc;
diff --git a/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd b/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
index 4990dd067285fced733b6adc3c0b5ebf056ec803..c9fbf5bb56e57acdd91476dba4e083ef3780b5ce 100644
--- a/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
+++ b/libraries/base/diag/tb/vhdl/tb_diag_pkg.vhd
@@ -174,7 +174,7 @@ package tb_diag_pkg is
                                              signal cw_dat               : out integer;  -- estimated CW
                                              signal cw_noise             : out real;  -- estimated CW quantization noise
                                              signal accum_noise_power    : inout real;  -- store noise power accumulator in signal
-                                             signal measured_noise_power : out real) ;  -- measured noise power in in_dat
+                                             signal measured_noise_power : out real);  -- measured noise power in in_dat
 
   procedure proc_diag_measure_cw_noise_power(constant c_fft_size         : in natural;  -- number of points of FFT = number of samples per in_start interval
                                              constant c_sub              : in real;
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
index 983aba1db11a3cfc719ea8d78ef550927b898f6b..545d9c06bf0cfc5f2d6f3d1e65cf21e31546e41b 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_bsn_at_sync.vhd
@@ -121,7 +121,7 @@ architecture rtl of dp_block_validate_bsn_at_sync is
 
 begin
 
-  mm_cnt_clr <= (reg_mosi.rd or reg_mosi.wr) when TO_UINT(reg_mosi.address(c_mm_reg.adr_w - 1 downto 0)) = c_clear_adr else '0' ;
+  mm_cnt_clr <= (reg_mosi.rd or reg_mosi.wr) when TO_UINT(reg_mosi.address(c_mm_reg.adr_w - 1 downto 0)) = c_clear_adr else '0';
   u_common_spulse : entity common_lib.common_spulse
     port map (
       in_rst    => mm_rst,
diff --git a/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd b/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
index e56d4b1444a6b04d3be6fe3e052130701b255170..c44962c7f76985562412e3a59c3125b9203f28a8 100644
--- a/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
+++ b/libraries/base/dp/src/vhdl/dp_block_validate_err.vhd
@@ -167,7 +167,7 @@ architecture rtl of dp_block_validate_err is
 
 begin
 
-  mm_cnt_clr <= (reg_mosi.rd or reg_mosi.wr) when TO_UINT(reg_mosi.address(c_mm_reg.adr_w - 1 downto 0)) = c_clear_adr else '0' ;
+  mm_cnt_clr <= (reg_mosi.rd or reg_mosi.wr) when TO_UINT(reg_mosi.address(c_mm_reg.adr_w - 1 downto 0)) = c_clear_adr else '0';
   u_common_spulse : entity common_lib.common_spulse
     port map (
       in_rst    => mm_rst,
diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
index 8b4640ebd355e1ee14ce5af3969bb1e69f9ecb90..955c7a71843cff1ce24a7f1bee58ebd539d888da 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
@@ -357,7 +357,7 @@ begin
       v := c_reorder_transpose_rst;
     end if;
     -- Synchronous reset
-    if rst = '1' THEN
+    if rst = '1' then
       v := c_reorder_transpose_rst;
     end if;
     d_transpose <= v;
@@ -379,7 +379,7 @@ begin
       v := c_reorder_transpose_rst;
     end if;
     -- Synchronous reset
-    if rst = '1' THEN
+    if rst = '1' then
       v := c_reorder_transpose_rst;
     end if;
     d_undo_transpose <= v;
diff --git a/libraries/base/tst/src/vhdl/tst_input.vhd b/libraries/base/tst/src/vhdl/tst_input.vhd
index d56f662cf2eabe64a06c0fc71bbac7378f364c0e..e6076abfd19fdf9d97a90effe4ffb96f677aff44 100644
--- a/libraries/base/tst/src/vhdl/tst_input.vhd
+++ b/libraries/base/tst/src/vhdl/tst_input.vhd
@@ -126,7 +126,7 @@ begin
   process (rst, state, eof, sync, en, gap_count, msg, lno, rep)
 
     variable  file_status  : FILE_OPEN_STATUS;
-    file      in_file : TEXT ;
+    file      in_file : TEXT;
     variable  in_line : LINE;
     variable  num     : integer;
     variable  cycle_lno : integer;  -- file line number
diff --git a/libraries/base/uth/src/vhdl/uth_pkg.vhd b/libraries/base/uth/src/vhdl/uth_pkg.vhd
index f29b48bd53e5d3d045f00049c54403eb810e7312..b66e6349364bd6a65e9ab73622dea5daf34af58d 100644
--- a/libraries/base/uth/src/vhdl/uth_pkg.vhd
+++ b/libraries/base/uth/src/vhdl/uth_pkg.vhd
@@ -35,8 +35,8 @@ package uth_pkg is
   constant c_uth_crc64          : natural := 64;  -- internally use CRC-8, 16, 32 or 64, use CRC-64 for data wider than 32 bits
   constant c_uth_nof_overhead   : natural := 4;  -- header: idle, sfd, tlen and tail: crc, so total 4 words frame overhead
   constant c_uth_gap_min        : natural := c_uth_nof_overhead;  -- minimal gap between valid data blocks (to be able to insert the frame overhead into the stream)
-  constant c_uth_idle           : std_logic_vector(c_uth_data_max_w - 1 downto 0) := c_slv1( c_uth_data_max_w - 1 downto 0)      ;  -- = 0xF..FFFF
-  constant c_uth_preamble       : std_logic_vector(c_uth_data_max_w - 1 downto 0) := c_slv10(c_uth_data_max_w - 1 downto 0)      ;  -- = 0xA..AAAA
+  constant c_uth_idle           : std_logic_vector(c_uth_data_max_w - 1 downto 0) := c_slv1( c_uth_data_max_w - 1 downto 0);  -- = 0xF..FFFF
+  constant c_uth_preamble       : std_logic_vector(c_uth_data_max_w - 1 downto 0) := c_slv10(c_uth_data_max_w - 1 downto 0);  -- = 0xA..AAAA
   constant c_uth_sfd            : std_logic_vector(c_uth_data_max_w - 1 downto 0) := c_slv10(c_uth_data_max_w - 1 downto 1) & '1';  -- = 0xA..AAAB
   constant c_uth_payload_min    : natural := 1;  -- an empty payload is not allowed because we need at least one data to signal sop and eop
 
diff --git a/libraries/base/util/src/vhdl/util_heater.vhd b/libraries/base/util/src/vhdl/util_heater.vhd
index 4f5c3265af6bec07c0d2c787e92078f013c60da1..93ea856dfb4afd3a43d73e4d63275e1815ac00fd 100644
--- a/libraries/base/util/src/vhdl/util_heater.vhd
+++ b/libraries/base/util/src/vhdl/util_heater.vhd
@@ -94,7 +94,7 @@ architecture rtl of util_heater is
 
   -- Random input generators
   constant c_in_dat_w       : natural := 18;  -- fixed multiplier input data width
-  constant c_prsg_0_w       : natural := c_in_dat_w ;  -- generate sufficiently large random range
+  constant c_prsg_0_w       : natural := c_in_dat_w;  -- generate sufficiently large random range
   constant c_prsg_1_w       : natural := c_in_dat_w + 1;  -- generate different range
   constant c_prsg_2_w       : natural := c_in_dat_w + 2;  -- generate different range
   constant c_prsg_3_w       : natural := c_in_dat_w + 3;  -- generate different range
diff --git a/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd b/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
index 08315cb2b7366a2f43bb33901a920c8a00f1afc9..3ae04fca64d3ef0b74f736a138be3c3788fe6211 100644
--- a/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
+++ b/libraries/dsp/correlator/src/vhdl/corr_accumulator.vhd
@@ -1,6 +1,6 @@
 --------------------------------------------------------------------------------
 --
--- Author: Daniel van der Schuur 
+-- Author: Daniel van der Schuur
 --
 -- Copyright (C) 2016
 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
index 41cc6863b22d906322c05bca462a5a3e89d6cf95..6e849f6c02fd881904c5c54b94723ca1acc88f89 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_corr_accumulator.vhd
@@ -119,7 +119,7 @@ begin
     nxt_in_valid_count <= in_valid_count;
     nxt_int_period_cnt <= int_period_cnt;
     if dp_gen_data_src_out_arr(0).valid = '1' then
-      nxt_in_valid_count <= in_valid_count + 1 ;
+      nxt_in_valid_count <= in_valid_count + 1;
       if in_valid_count > 0 and (in_valid_count mod (g_nof_channels * g_nof_channel_accs)) = 0 then
         nxt_int_period_cnt <= int_period_cnt + 1;
       end if;
diff --git a/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd b/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
index 286003b00eecaad7334d953ae2d3998aeb2192d8..b9042998fbdfc3b20003b6bbf8746c4022e0231b 100644
--- a/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
+++ b/libraries/dsp/correlator/tb/vhdl/tb_correlator_dev.vhd
@@ -1,6 +1,6 @@
 --------------------------------------------------------------------------------
 --
--- Author: Daniel van der Schuur 
+-- Author: Daniel van der Schuur
 --
 -- Copyright (C) 2016
 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
@@ -126,7 +126,7 @@ begin
     nxt_in_valid_count <= in_valid_count;
     nxt_int_period_cnt <= int_period_cnt;
     if dp_gen_data_src_out_arr(0).valid = '1' then
-      nxt_in_valid_count <= in_valid_count + 1 ;
+      nxt_in_valid_count <= in_valid_count + 1;
       if in_valid_count > 0 and (in_valid_count mod (g_nof_channels * g_nof_channel_accs)) = 0 then
         nxt_int_period_cnt <= int_period_cnt + 1;
       end if;
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
index 477a78ead4b8a766fbfe757eac0ce9f398226b9a..505a615c7713b9b0f41b43f55c4cd67bb714f827 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_fringe_stop_unit.vhd
@@ -147,7 +147,7 @@ architecture tb of tb_fringe_stop_unit is
     ref_im        : integer;
   end record;
 
-  constant c_reg_type_rst : reg_type := ((others => c_dp_sosi_rst), (others => 0), 0, 0, 0, 0, 0, true, true, true, 0, 0, 0) ;
+  constant c_reg_type_rst : reg_type := ((others => c_dp_sosi_rst), (others => 0), 0, 0, 0, 0, 0, true, true, true, 0, 0, 0);
 
   signal r    : reg_type := c_reg_type_rst;
   signal rin  : reg_type := c_reg_type_rst;
diff --git a/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd b/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
index 76a064d7b0eee379dd9b6385942822fe29d5bb35..3012e51ac83b20f924d7ce51a103d54286e5cc1b 100644
--- a/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
+++ b/libraries/io/ddr/src/vhdl/io_ddr_driver_flush_ctrl.vhd
@@ -86,8 +86,8 @@ begin
   -- Flush disable control
   no_channel: if g_use_channel = false generate
     gen_valid : if g_mode = "VAL" generate flush_dis <= ctlr_wr_sosi.valid; end generate;
-    gen_sop   : if g_mode = "SOP" generate flush_dis <= ctlr_wr_sosi.sop  ; end generate;
-    gen_sync  : if g_mode = "SYN" generate flush_dis <= ctlr_wr_sosi.sync ; end generate;
+    gen_sop   : if g_mode = "SOP" generate flush_dis <= ctlr_wr_sosi.sop; end generate;
+    gen_sync  : if g_mode = "SYN" generate flush_dis <= ctlr_wr_sosi.sync; end generate;
   end generate;
 
   use_channel: if g_use_channel = true generate
diff --git a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
index 4b679a931fe99ec1132277c86b19079f2b4fba2d..45e5c26c4aa4923ac527a6c08ee7065d13d24a41 100644
--- a/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
+++ b/libraries/io/eth/designs/unb1_eth_10g/src/vhdl/mmm_unb1_eth_10g.vhd
@@ -231,11 +231,11 @@ architecture str of mmm_unb1_eth_10g is
   constant c_sim_eth_src_mac       : std_logic_vector(c_network_eth_mac_slv'range) := X"00228608" & TO_UVEC(g_sim_unb_nr, c_byte_w) & TO_UVEC(g_sim_node_nr, c_byte_w);
   constant c_sim_eth_control_rx_en : natural                                       := 2**c_eth_mm_reg_control_bi.rx_en;
 
-  signal sim_eth_mm_bus_switch : std_logic ;
-  signal sim_eth_psc_access    : std_logic ;
+  signal sim_eth_mm_bus_switch : std_logic;
+  signal sim_eth_psc_access    : std_logic;
   signal i_eth1g_reg_mosi      : t_mem_mosi;
   signal i_eth1g_reg_miso      : t_mem_miso;
-  signal mm_rst_n              : std_logic ;
+  signal mm_rst_n              : std_logic;
   signal sim_eth1g_reg_mosi    : t_mem_mosi;
 
   component qsys_unb1_eth_10g is
diff --git a/libraries/io/eth/src/vhdl/eth_hdr.vhd b/libraries/io/eth/src/vhdl/eth_hdr.vhd
index 981d34d3b95ba0a3cdf17bd5ba909a9be36d6a83..e183e1a0503660b12b3632df2a8ece638d75bf08 100644
--- a/libraries/io/eth/src/vhdl/eth_hdr.vhd
+++ b/libraries/io/eth/src/vhdl/eth_hdr.vhd
@@ -92,7 +92,7 @@ begin
 
   -- Make all header info available
   hdr_words_arr     <= i_hdr_words_arr;
-  hdr_words_arr_val <= i_hdr_words_arr_val ;
+  hdr_words_arr_val <= i_hdr_words_arr_val;
   hdr_fields        <= i_hdr_fields;
   hdr_fields_val    <= i_hdr_fields_val;
   hdr_data          <= i_hdr_data;
diff --git a/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd b/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
index 5f5067f9520f1b9b3042e41e0ae4fbbb8809ed7d..14013f5dbe205f45f31ff9f275bb9e2f3ad9cccc 100644
--- a/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/src/vhdl/eth_ip_header_checksum.vhd
@@ -17,18 +17,18 @@
 -- --------------------------------------------------------------------------
 --
 -- Author: R. van der Walle
--- Purpose:                                                                     
---   Can be used to calculate and insert the checksum for the IP header         
---   in a network package, if the correct hdr_fields_slv_in is provided.                 
--- Description:                                                                 
---   Determine the 16 bit 1-complement checksum according IPv4 to for the 
---   hdr_fields_slv_in.                     
+-- Purpose:
+--   Can be used to calculate and insert the checksum for the IP header
+--   in a network package, if the correct hdr_fields_slv_in is provided.
+-- Description:
+--   Determine the 16 bit 1-complement checksum according IPv4 to for the
+--   hdr_fields_slv_in.
 --   After calculation, the checksum is inserted in the outgoing stream at
 --   corresponding position based on g_hdr_field_arr and g_data_w.
 -- Remarks:
 --  The hdr_fields_slv_in should be valid on the snk_in.sop
 
-   
+
 library IEEE, common_lib, dp_lib;
 use IEEE.std_logic_1164.all;
 use IEEE.numeric_std.all;
@@ -63,9 +63,9 @@ architecture rtl of eth_ip_header_checksum is
   constant c_hdr_crc_bit_lo  : natural := field_lo(g_hdr_field_arr, "ip_header_checksum");
 
   -- calculate which word(s) of the incoming snk_in stream should contain the checksum.
-  constant c_hdr_crc_word_hi : natural := sel_a_b((c_hdr_crc_bit_hi / g_data_w) > 0, c_hdr_crc_bit_hi / g_data_w,  
+  constant c_hdr_crc_word_hi : natural := sel_a_b((c_hdr_crc_bit_hi / g_data_w) > 0, c_hdr_crc_bit_hi / g_data_w,
                                           sel_a_b( c_hdr_crc_bit_hi > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
-  constant c_hdr_crc_word_lo : natural := sel_a_b((c_hdr_crc_bit_lo / g_data_w) > 0, c_hdr_crc_bit_lo / g_data_w,  
+  constant c_hdr_crc_word_lo : natural := sel_a_b((c_hdr_crc_bit_lo / g_data_w) > 0, c_hdr_crc_bit_lo / g_data_w,
                                           sel_a_b( c_hdr_crc_bit_lo > (c_hdr_len mod g_data_w), 1, 0)); --special case as the last hdr word can be < g_data_w.
 
   -- calculate in which bit range of the selected word(s) the checksum should go.
@@ -86,9 +86,9 @@ begin
 
   -- calculate checksum
   checksum <= func_network_ip_header_checksum(g_hdr_field_arr, hdr_fields_slv_in) when rising_edge(clk);
-  
+
   -- register to know when crc has been inserted.
-  reg_done <= nxt_reg_done when rising_edge(clk); 
+  reg_done <= nxt_reg_done when rising_edge(clk);
 
   ---------------------------------------------------
   -- process to insert checksum in outgoing stream --
@@ -121,7 +121,7 @@ begin
       src_out <= dp_pipeline_src_out;
       nxt_reg_done <= reg_done;
       if reg_done = '0' and dp_pipeline_src_out.valid = '1' then
-        if v_count = c_hdr_crc_word_hi then 
+        if v_count = c_hdr_crc_word_hi then
           src_out.data(c_crc_hi_bit_in_word downto 0) <= checksum(c_network_ip_header_checksum_w - 1 downto c_network_ip_header_checksum_w - c_crc_hi_bit_in_word - 1);
         elsif v_count = c_hdr_crc_word_lo then
           src_out.data(g_data_w - 1 downto c_crc_lo_bit_in_word) <= checksum(g_data_w - c_crc_lo_bit_in_word - 1 downto 0);
@@ -132,7 +132,7 @@ begin
           src_out.data(g_data_w - 1 downto 0) <= checksum(v_hi downto v_lo);
         end if;
       end if;
-  
+
       if reg_done = '1' and dp_pipeline_src_out.eop = '1' then
         nxt_reg_done <= '0';
       end if;
diff --git a/libraries/io/eth/src/vhdl/eth_tester_tx.vhd b/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
index 43d049dcd8a677cb56777f7599019289a8586d71..265db1b7e96ce88606aedce9edbba518c6edd7af 100644
--- a/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
+++ b/libraries/io/eth/src/vhdl/eth_tester_tx.vhd
@@ -407,13 +407,13 @@ begin
     port map (
       rst               => st_rst,
       clk               => st_clk,
-  
+
       snk_in            => tx_offload_sosi,
-      snk_out           => tx_offload_siso, 
-  
+      snk_out           => tx_offload_siso,
+
       src_out           => tx_offload_frame_sosi,
-      src_in            => tx_offload_frame_siso, 
-  
+      src_in            => tx_offload_frame_siso,
+
       hdr_fields_slv_in => hdr_fields_slv_tx
     );
   end generate;
diff --git a/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd b/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
index 58c8d41dfeebcb8000991107099a6a4cde6a2c35..0f7ddc33da0b815d787fd3d9e5633dd9d931caf0 100644
--- a/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_eth_ip_header_checksum.vhd
@@ -270,7 +270,7 @@ architecture tb of tb_eth_ip_header_checksum is
                                                                              X"214368AC",  -- 23  = eth_dst_mac[31:0]
                                                                              X"0000001B");  -- 24  = eth_dst_mac[47:32]
 
-  -- Override ('1') only the Ethernet fields so we can use MM defaults there 
+  -- Override ('1') only the Ethernet fields so we can use MM defaults there
   constant c_hdr_field_ovr_init : std_logic_vector(c_udp_offload_nof_hdr_fields - 1 downto 0) := "101" & "111111111111" & "1111" & "100";
 
   constant c_NODE_ID                    : std_logic_vector(7 downto 0) := TO_UVEC(0, 8);
@@ -521,7 +521,7 @@ begin
   );
 
   ------------------------------------------------------------------------------
-  -- DUT: IP header CRC checksum 
+  -- DUT: IP header CRC checksum
   ------------------------------------------------------------------------------
   u_dut : entity work.eth_ip_header_checksum
   generic map (
@@ -529,14 +529,14 @@ begin
     g_hdr_field_arr => c_udp_offload_hdr_field_arr
   )
   port map (
-    rst               => dp_rst, 
-    clk               => dp_clk, 
+    rst               => dp_rst,
+    clk               => dp_clk,
 
-    src_out           => link_offload_sosi_arr(0), 
-    snk_in            => tx_offload_sosi_arr(0), 
+    src_out           => link_offload_sosi_arr(0),
+    snk_in            => tx_offload_sosi_arr(0),
 
-    src_in            => link_offload_siso_arr(0), 
-    snk_out           => tx_offload_siso_arr(0), 
+    src_in            => link_offload_siso_arr(0),
+    snk_out           => tx_offload_siso_arr(0),
 
     hdr_fields_slv_in => tx_hdr_fields_out_arr(0)
   );
diff --git a/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd b/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
index fe15112818c7b994e8c119845eb21cd15b2d2d7e..51931716735b4f0b21decfb7048ffae67d70325f 100644
--- a/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
+++ b/libraries/io/eth/tb/vhdl/tb_tb_eth_ip_header_checksum.vhd
@@ -49,7 +49,7 @@ begin
   -- g_pkt_gap                : NATURAL := 16
 
   u_pls_act_data_w_256                : entity work.tb_eth_ip_header_checksum generic map (e_pulse,  e_active, false, 256, 64, 0, 240, 16);
-  u_act_act_data_w_512_no_gap         : entity work.tb_eth_ip_header_checksum generic map (e_active, e_active, false, 512,  8, 0, 240,  0); 
+  u_act_act_data_w_512_no_gap         : entity work.tb_eth_ip_header_checksum generic map (e_active, e_active, false, 512,  8, 0, 240,  0);
   u_pls_act_data_w_64_no_gap          : entity work.tb_eth_ip_header_checksum generic map (e_pulse,  e_active, false, 64,  64, 0, 240,  0);
   u_rnd_act_data_w_32                 : entity work.tb_eth_ip_header_checksum generic map (e_random, e_active, false, 32,   8, 0, 240, 16);
   u_rnd_act_data_w_8                  : entity work.tb_eth_ip_header_checksum generic map (e_random, e_active, false,  8,   8, 0, 240, 16);
diff --git a/libraries/io/i2c/src/vhdl/i2c_smbus.vhd b/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
index d6a3be33ebe0fbeb0d97b2040170b2a280b94a5c..2b6d0908c5be81f7aa520404db6bf12b379f6386 100644
--- a/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
+++ b/libraries/io/i2c/src/vhdl/i2c_smbus.vhd
@@ -420,7 +420,7 @@ begin
           else
             i2c_start    <= '1';
             i2c_write    <= '1';
-            i2c_dat_in   <= adr & '0' ;
+            i2c_dat_in   <= adr & '0';
           end if;
 
         when OP_WR_ADR_RD  =>
diff --git a/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd b/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
index 007d637ff2d4a5cb58cb620d36c50159487881ac..a2db82ef499fcee6fd2c3bb43f10e9efa2ab16b7 100644
--- a/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
+++ b/libraries/io/tr_nonbonded/tb/vhdl/tb_tr_nonbonded.vhd
@@ -138,7 +138,7 @@ begin
   begin
     bn_clk_en <= '0';
     if g_sim_level = 0 then
-      wait for 1.6 ns ;  -- with PHY use bn_tr_clk 1/4 cycle behind fn_tr_clk, with sim model the all tr_clk must have the same phase
+      wait for 1.6 ns;  -- with PHY use bn_tr_clk 1/4 cycle behind fn_tr_clk, with sim model the all tr_clk must have the same phase
     end if;
     bn_clk_en <= '1';
     wait;
diff --git a/libraries/technology/fifo/tech_fifo_component_pkg.vhd b/libraries/technology/fifo/tech_fifo_component_pkg.vhd
index 27b875cd8f69a9558f4a6c14f2a4a705d24796c1..4c46bf4f7c7ab8c785ee7281f2675b13ee3782ee 100644
--- a/libraries/technology/fifo/tech_fifo_component_pkg.vhd
+++ b/libraries/technology/fifo/tech_fifo_component_pkg.vhd
@@ -103,14 +103,14 @@ package tech_fifo_component_pkg is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -124,14 +124,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -145,14 +145,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -168,14 +168,14 @@ package tech_fifo_component_pkg is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -189,14 +189,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -210,14 +210,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -233,14 +233,14 @@ package tech_fifo_component_pkg is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -254,14 +254,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -275,14 +275,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -298,14 +298,14 @@ package tech_fifo_component_pkg is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -319,14 +319,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -340,14 +340,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -362,14 +362,14 @@ package tech_fifo_component_pkg is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -382,14 +382,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
@@ -403,14 +403,14 @@ package tech_fifo_component_pkg is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
   end component;
diff --git a/libraries/technology/flash/tech_flash_asmi_parallel.vhd b/libraries/technology/flash/tech_flash_asmi_parallel.vhd
index 61e250d9434126687b0ef7caa5afac1828e8412a..aa8214345c49de70a66cebd8e556feda16a15f9c 100644
--- a/libraries/technology/flash/tech_flash_asmi_parallel.vhd
+++ b/libraries/technology/flash/tech_flash_asmi_parallel.vhd
@@ -41,19 +41,19 @@ entity tech_flash_asmi_parallel is
   );
   port (
     addr          : in std_logic_vector(tech_flash_addr_w(g_technology) - 1 downto 0);
-    clkin         : in std_logic ;
+    clkin         : in std_logic;
     datain        : in std_logic_vector(7 downto 0);
-    rden          : in std_logic ;
-    read          : in std_logic ;
-    sector_erase  : in std_logic ;
-    shift_bytes   : in std_logic ;
-    wren          : in std_logic ;
-    write         : in std_logic ;
-    busy          : out std_logic ;
-    data_valid    : out std_logic ;
+    rden          : in std_logic;
+    read          : in std_logic;
+    sector_erase  : in std_logic;
+    shift_bytes   : in std_logic;
+    wren          : in std_logic;
+    write         : in std_logic;
+    busy          : out std_logic;
+    data_valid    : out std_logic;
     dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic ;
-    illegal_write : out std_logic ;
+    illegal_erase : out std_logic;
+    illegal_write : out std_logic;
     reset         : in  std_logic := '0';
     sce           : in  std_logic_vector(2 downto 0) := (others => '0');
     en4b_addr     : in  std_logic := '0'
diff --git a/libraries/technology/flash/tech_flash_component_pkg.vhd b/libraries/technology/flash/tech_flash_component_pkg.vhd
index 73f80b086d3cea4c82ccde4df7f1989178654e75..ebedb81c75aaf7e1dd86937697a95e3efd1db379 100644
--- a/libraries/technology/flash/tech_flash_component_pkg.vhd
+++ b/libraries/technology/flash/tech_flash_component_pkg.vhd
@@ -37,33 +37,33 @@ package tech_flash_component_pkg is
   );
   port (
     addr          : in std_logic_vector(23 downto 0);
-    clkin         : in std_logic ;
+    clkin         : in std_logic;
     datain        : in std_logic_vector(7 downto 0);
-    rden          : in std_logic ;
-    read          : in std_logic ;
-    sector_erase  : in std_logic ;
-    shift_bytes   : in std_logic ;
-    wren          : in std_logic ;
-    write         : in std_logic ;
-    busy          : out std_logic ;
-    data_valid    : out std_logic ;
+    rden          : in std_logic;
+    read          : in std_logic;
+    sector_erase  : in std_logic;
+    shift_bytes   : in std_logic;
+    wren          : in std_logic;
+    write         : in std_logic;
+    busy          : out std_logic;
+    data_valid    : out std_logic;
     dataout       : out std_logic_vector(7 downto 0);
-    illegal_erase : out std_logic ;
+    illegal_erase : out std_logic;
     illegal_write : out std_logic
   );
   end component;
 
   component ip_stratixiv_remote_update is
   port (
-    clock       : in std_logic ;
+    clock       : in std_logic;
     data_in     : in std_logic_vector(23 downto 0);
     param       : in std_logic_vector(2 downto 0);
-    read_param  : in std_logic ;
-    reconfig    : in std_logic ;
-    reset       : in std_logic ;
-    reset_timer : in std_logic ;
-    write_param : in std_logic ;
-    busy        : out std_logic ;
+    read_param  : in std_logic;
+    reconfig    : in std_logic;
+    reset       : in std_logic;
+    reset_timer : in std_logic;
+    write_param : in std_logic;
+    busy        : out std_logic;
     data_out    : out std_logic_vector(23 downto 0)
   );
   end component;
diff --git a/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd b/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
index ebbcfee8b4bc7bd201b053e497d6f70fbd4ced02..b88b4653d2273563300031cdb28134a3903e099e 100644
--- a/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
+++ b/libraries/technology/fractional_pll/tech_fractional_pll_clk125.vhd
@@ -38,10 +38,10 @@ entity tech_fractional_pll_clk125 is
   port (
     areset  : in std_logic  := '0';
     inclk0  : in std_logic  := '0';  -- 125 MHz
-    c0      : out std_logic ;  -- 20 MHz
-    c1      : out std_logic ;  -- 50 MHz
-    c2      : out std_logic ;  -- 100 MHz
-    c3      : out std_logic ;  -- 125 MHz
+    c0      : out std_logic;  -- 20 MHz
+    c1      : out std_logic;  -- 50 MHz
+    c2      : out std_logic;  -- 100 MHz
+    c3      : out std_logic;  -- 125 MHz
     locked  : out std_logic
   );
 end tech_fractional_pll_clk125;
diff --git a/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd b/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
index 9aa1d74f843531e09625c99f0e2dda21d0b50f3d..5b69f99ecdaf9072cf9b64330fd3e508e1a5c968 100644
--- a/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
+++ b/libraries/technology/fractional_pll/tech_fractional_pll_clk200.vhd
@@ -38,9 +38,9 @@ entity tech_fractional_pll_clk200 is
   port (
     areset  : in std_logic  := '0';
     inclk0  : in std_logic  := '0';  -- 200 MHz
-    c0      : out std_logic ;  -- 200 MHz
-    c1      : out std_logic ;  -- 200 MHz shifted 90 degrees
-    c2      : out std_logic ;  -- 400 MHz
+    c0      : out std_logic;  -- 200 MHz
+    c1      : out std_logic;  -- 200 MHz shifted 90 degrees
+    c2      : out std_logic;  -- 400 MHz
     locked  : out std_logic
   );
 end tech_fractional_pll_clk200;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
index 185c63eaea40b523bc39bd09714990090d50415a..9122cd03336fc1de04d1d1c74f5f018db4426c85 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_fifo_dc is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_fifo_dc;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
index c1fb41b79c8428ef203e1cbf5218beb2ee2ab4e6..ef461ee1e18d0d12b2f9d7b0faa1d807dbc43671 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_dc_mixed_widths.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_fifo_dc_mixed_widths is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_fifo_dc_mixed_widths;
diff --git a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
index a35839805e9e5d6a1af197e097d121248c111c2b..8cce1d7ec76076ae9dc5bbc8b1cb038daf09a4a0 100644
--- a/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10/fifo/ip_arria10_fifo_sc.vhd
@@ -39,14 +39,14 @@ entity ip_arria10_fifo_sc is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_fifo_sc;
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
index d52451712ae7973941eaff38c9eabad4eeef5377..bab5e8d17f6488f0778577a264dc3d91f670a956 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_cr_cw.vhd
@@ -42,7 +42,7 @@ entity ip_arria10_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
index aff4502d6b0fa1e424f0c8c8861e405f83af351e..a101a09dbf75b2c8eda11ab2a59ca24012b22e8d 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crw_crw.vhd
@@ -43,7 +43,7 @@ entity ip_arria10_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
index 921a67c8816242862092913db7143d4be9a1171d..ec4a9e6a1b847342c2c6a47e9971794e8ebd5fd7 100644
--- a/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10/ram/ip_arria10_ram_crwk_crw.vhd
@@ -34,7 +34,7 @@ entity ip_arria10_ram_crwk_crw is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
index b51643da4ffcbe73bcdbbca709c85bc56f16e194..2a91090a955731c3cc06ca71289e45e6146814f1 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e1sg_fifo_dc is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e1sg_fifo_dc;
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
index c616af4aad926223eadbb1e1042851886ee1f655..b5ff1184fd69b9e0f90a478370919b5cf353cbae 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_dc_mixed_widths.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e1sg_fifo_dc_mixed_widths is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e1sg_fifo_dc_mixed_widths;
diff --git a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
index 2b9f9562c711bf0095286abe555545263e1fa185..79fd98df704b58d3b686c3e0977c7c4770c42a20 100644
--- a/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e1sg/fifo/ip_arria10_e1sg_fifo_sc.vhd
@@ -39,14 +39,14 @@ entity ip_arria10_e1sg_fifo_sc is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e1sg_fifo_sc;
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
index 7ebebc0d6c368fdd378683d70c0ca947d5daaa74..dd02bff6f8b3d9478c3f05416de3b2acf793c20d 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_cr_cw.vhd
@@ -42,7 +42,7 @@ entity ip_arria10_e1sg_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
index ffcb8cfb2294a66fe48c132c384343c60485f6f2..f1ee7e7f67aac89af4eaa4d00e7a0383cf592ac6 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crw_crw.vhd
@@ -43,7 +43,7 @@ entity ip_arria10_e1sg_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
index 56da39e2ee5952375a4e008e9f4cbc2ef7de5026..76e942f71d84f652d374203dc9e57d7cd855b923 100644
--- a/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e1sg/ram/ip_arria10_e1sg_ram_crwk_crw.vhd
@@ -34,7 +34,7 @@ entity ip_arria10_e1sg_ram_crwk_crw is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
index 3fbe2d25a880e5bd6d31c18b28e634e63b8da181..887841ab6d0bf29ae443abb062ba8bc12196ad23 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e2sg_fifo_dc is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e2sg_fifo_dc;
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
index 0f22e32d2ab16d45cdc7e06312f2732374f7f021..49fbe8a0b6d40bf6955c5169089601d7fe7f3db6 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_dc_mixed_widths.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e2sg_fifo_dc_mixed_widths is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e2sg_fifo_dc_mixed_widths;
diff --git a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
index 078217dd5ff826ca9f32b9128de215c4d8ec2b8e..f5dffa5e60479b29bc503e2da81af5e27361e2eb 100644
--- a/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e2sg/fifo/ip_arria10_e2sg_fifo_sc.vhd
@@ -39,14 +39,14 @@ entity ip_arria10_e2sg_fifo_sc is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e2sg_fifo_sc;
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
index c59b73a969cf1c3c04422318c8b0a736b23d7cc1..3c87928c117a85e66cacae601b3ceb51092c0014 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_cr_cw.vhd
@@ -42,7 +42,7 @@ entity ip_arria10_e2sg_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
index 36d9eebd4f48aa0acfae4d3c6d6037478339bec5..e1fcb2365289c8093c1cee71512d19765dab2249 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crw_crw.vhd
@@ -43,7 +43,7 @@ entity ip_arria10_e2sg_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
index ad121c31b4e4682b04cc6aead4b22f710ef6c9dd..26326f34b0713c57aebf77ca1178fc88c01b507a 100644
--- a/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e2sg/ram/ip_arria10_e2sg_ram_crwk_crw.vhd
@@ -34,7 +34,7 @@ entity ip_arria10_e2sg_ram_crwk_crw is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
index 4a4710ad4a0504a756e4e278d5bbf195ff941fb9..7231923dd6f3686fd9a525a397a1f88181c6c5f0 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e3sge3_fifo_dc is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e3sge3_fifo_dc;
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
index 4e30a8c81adc6feda107bc45ea92f06d260868a9..8eaf5ceb56ddc0fd576ca2066f864fc0b12c2cd7 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_dc_mixed_widths.vhd
@@ -41,14 +41,14 @@ entity ip_arria10_e3sge3_fifo_dc_mixed_widths is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e3sge3_fifo_dc_mixed_widths;
diff --git a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
index 69173e5b42fe0ee494938006b842f0e6a0841aef..4e233325fe29bea8dedb91d59fddf9c4fd49fbd1 100644
--- a/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/fifo/ip_arria10_e3sge3_fifo_sc.vhd
@@ -39,14 +39,14 @@ entity ip_arria10_e3sge3_fifo_sc is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_arria10_e3sge3_fifo_sc;
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
index b688b466157f39d22e6200f2867598575519f6d2..51682fa2f82e91e249a8151575adae6555e5fa8b 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_cr_cw.vhd
@@ -42,7 +42,7 @@ entity ip_arria10_e3sge3_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
index bcd58645938851be87994de6b1bc215c1e616f3e..013e8f634c39da4e79b79eefe2bb803e7ec984ff 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crw_crw.vhd
@@ -43,7 +43,7 @@ entity ip_arria10_e3sge3_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
index 184c263210aedbdda017aa71569411d0c09eb97f..c9f32b64aa37bf120dc6c5020705e89f9850ed7b 100644
--- a/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
+++ b/libraries/technology/ip_arria10_e3sge3/ram/ip_arria10_e3sge3_ram_crwk_crw.vhd
@@ -34,7 +34,7 @@ entity ip_arria10_e3sge3_ram_crwk_crw is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
index bf1f8336e20e29aa990bf6e7f9a40162ba40f102..4ce755cacd0125441b2811ba29abe3ba5664d2ba 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc.vhd
@@ -38,14 +38,14 @@ entity ip_ultrascale_fifo_dc is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_dat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_ultrascale_fifo_dc;
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
index aeceea9c34e6123f8a2261b61b38434cccad94a0..bb3116ad9880e2968ea4bb9615de0367de2ff988 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_dc_mixed_widths.vhd
@@ -39,14 +39,14 @@ entity ip_ultrascale_fifo_dc_mixed_widths is
   port (
     aclr    : in std_logic  := '0';
     data    : in std_logic_vector(g_wrdat_w - 1 downto 0);
-    rdclk   : in std_logic ;
-    rdreq   : in std_logic ;
-    wrclk   : in std_logic ;
-    wrreq   : in std_logic ;
+    rdclk   : in std_logic;
+    rdreq   : in std_logic;
+    wrclk   : in std_logic;
+    wrreq   : in std_logic;
     q       : out std_logic_vector(g_rddat_w - 1 downto 0);
-    rdempty : out std_logic ;
+    rdempty : out std_logic;
     rdusedw : out std_logic_vector(tech_ceil_log2(g_nof_words * g_wrdat_w / g_rddat_w) - 1 downto 0);
-    wrfull  : out std_logic ;
+    wrfull  : out std_logic;
     wrusedw : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_ultrascale_fifo_dc_mixed_widths;
diff --git a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
index 5480175cca80347c3098bcd05b7544940d4c9393..5dc90782278f3e6ede7fe1b58cab7aaf0cd58ca1 100644
--- a/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
+++ b/libraries/technology/ip_ultrascale/fifo/ip_ultrascale_fifo_sc.vhd
@@ -36,14 +36,14 @@ entity ip_ultrascale_fifo_sc is
     g_nof_words : natural := 1024
   );
   port (
-    aclr    : in std_logic ;
-    clock   : in std_logic ;
+    aclr    : in std_logic;
+    clock   : in std_logic;
     data    : in std_logic_vector(g_dat_w - 1 downto 0);
-    rdreq   : in std_logic ;
-    wrreq   : in std_logic ;
-    empty   : out std_logic ;
-    full    : out std_logic ;
-    q       : out std_logic_vector(g_dat_w - 1 downto 0) ;
+    rdreq   : in std_logic;
+    wrreq   : in std_logic;
+    empty   : out std_logic;
+    full    : out std_logic;
+    q       : out std_logic_vector(g_dat_w - 1 downto 0);
     usedw   : out std_logic_vector(tech_ceil_log2(g_nof_words) - 1 downto 0)
   );
 end ip_ultrascale_fifo_sc;
diff --git a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
index 10697d372c2597c53b8b8089e4e7654276ad1b8a..76a9db04b24b503f65b21b886f9e090a0418bceb 100644
--- a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
+++ b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_cr_cw.vhd
@@ -41,7 +41,7 @@ entity ip_ultrascale_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
index f80e90e2e6448905369b485dcbfb0fa782d83490..f1cbab189c4a8ddc704f4de11f81e989ccffb84a 100644
--- a/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
+++ b/libraries/technology/ip_ultrascale/ram/ip_ultrascale_ram_crw_crw.vhd
@@ -42,7 +42,7 @@ entity ip_ultrascale_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
diff --git a/libraries/technology/jesd204b/tb_tech_jesd204b.vhd b/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
index 10698a590231b130804e9d08eae1099856797734..a9c7b306ee89034b18354037a7d7d563c77e1501 100644
--- a/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
+++ b/libraries/technology/jesd204b/tb_tech_jesd204b.vhd
@@ -78,7 +78,7 @@ architecture tb of tb_tech_jesd204b is
                                                  5000 ps,
                                                  5000 ps,
                                                  5000 ps,
-                                                 5000 ps) ;  -- transport delays tx to rx data
+                                                 5000 ps);  -- transport delays tx to rx data
   constant c_delay_sysreftoadc_arr : t_time_arr := (4000 ps,
                                                  5000 ps,
                                                  6000 ps,
@@ -90,7 +90,7 @@ architecture tb of tb_tech_jesd204b is
                                                  1000 ps,
                                                  1000 ps,
                                                  1000 ps,
-                                                 1000 ps) ;  -- transport delays clock source to adc(tx)
+                                                 1000 ps);  -- transport delays clock source to adc(tx)
   constant c_delay_sysreftofpga : time := 10200 ps;
 
   -- clocks and resets for the jesd204b tx
diff --git a/libraries/technology/memory/tech_memory_component_pkg.vhd b/libraries/technology/memory/tech_memory_component_pkg.vhd
index 7fd446d98c172bb4ce284ec1fcede42041b2c27f..15a6bcc7e438575ffc4ff42ee88c3f37e006fcc2 100644
--- a/libraries/technology/memory/tech_memory_component_pkg.vhd
+++ b/libraries/technology/memory/tech_memory_component_pkg.vhd
@@ -45,7 +45,7 @@ package tech_memory_component_pkg is
     address_a   : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b   : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
+    clock_b   : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     enable_a    : in std_logic  := '1';
@@ -71,7 +71,7 @@ package tech_memory_component_pkg is
     address_a   : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b   : in std_logic_vector(g_adr_w - 1 downto 0);
     clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
+    clock_b   : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     enable_a    : in std_logic  := '1';
@@ -96,7 +96,7 @@ package tech_memory_component_pkg is
   port (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclock   : in  std_logic ;
+    rdclock   : in  std_logic;
     rdclocken : in  std_logic  := '1';
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclock   : in  std_logic  := '1';
@@ -160,7 +160,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -184,7 +184,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -207,7 +207,7 @@ package tech_memory_component_pkg is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
@@ -254,7 +254,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -278,7 +278,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -301,7 +301,7 @@ package tech_memory_component_pkg is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
@@ -348,7 +348,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -372,7 +372,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -395,7 +395,7 @@ package tech_memory_component_pkg is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
@@ -442,7 +442,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -466,7 +466,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -489,7 +489,7 @@ package tech_memory_component_pkg is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
@@ -533,7 +533,7 @@ package tech_memory_component_pkg is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clk_a     : in std_logic  := '1';
-    clk_b     : in std_logic ;
+    clk_b     : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     wren_a    : in std_logic  := '0';
@@ -556,7 +556,7 @@ package tech_memory_component_pkg is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclk     : in  std_logic ;
+    rdclk     : in  std_logic;
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclk     : in  std_logic  := '1';
     wren      : in  std_logic  := '0';
diff --git a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
index 49f47054dc4f0cd9efda1e4c50477b69aeb8d0d8..6028d8a490ef914dc5561a0d4d50bff1a2f9ded4 100644
--- a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd
@@ -46,7 +46,7 @@ entity tech_memory_ram_cr_cw is
   (
     data      : in  std_logic_vector(g_dat_w - 1 downto 0);
     rdaddress : in  std_logic_vector(g_adr_w - 1 downto 0);
-    rdclock   : in  std_logic ;
+    rdclock   : in  std_logic;
     rdclocken : in  std_logic  := '1';
     wraddress : in  std_logic_vector(g_adr_w - 1 downto 0);
     wrclock   : in  std_logic  := '1';
diff --git a/libraries/technology/memory/tech_memory_ram_crw_crw.vhd b/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
index efb7a13ef34981f4fc00d1861aa81e1b090eb13f..defe84f9eed8af1ca9a469211de17818d59f84b6 100644
--- a/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_crw_crw.vhd
@@ -47,7 +47,7 @@ entity tech_memory_ram_crw_crw is
     address_a : in std_logic_vector(g_adr_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_w - 1 downto 0);
     clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
+    clock_b   : in std_logic;
     data_a    : in std_logic_vector(g_dat_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_w - 1 downto 0);
     enable_a  : in std_logic  := '1';
diff --git a/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd b/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
index 26a60c37be4265c1da60f7b4627ae608869929ca..ae952e2d3014d1990c49cbde3d76796665128069 100644
--- a/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
+++ b/libraries/technology/memory/tech_memory_ram_crwk_crw.vhd
@@ -49,7 +49,7 @@ entity tech_memory_ram_crwk_crw is  -- support different port data widths and co
     address_a : in std_logic_vector(g_adr_a_w - 1 downto 0);
     address_b : in std_logic_vector(g_adr_b_w - 1 downto 0);
     clock_a   : in std_logic  := '1';
-    clock_b   : in std_logic ;
+    clock_b   : in std_logic;
     data_a    : in std_logic_vector(g_dat_a_w - 1 downto 0);
     data_b    : in std_logic_vector(g_dat_b_w - 1 downto 0);
     enable_a  : in std_logic  := '1';
diff --git a/libraries/technology/mult/tech_mult_component_pkg.vhd b/libraries/technology/mult/tech_mult_component_pkg.vhd
index da86bb1ffb678755c86fe732477f1672c6bac091..448746885e969fe68c1f07ec7c9db6692976a0c7 100644
--- a/libraries/technology/mult/tech_mult_component_pkg.vhd
+++ b/libraries/technology/mult/tech_mult_component_pkg.vhd
@@ -33,13 +33,13 @@ package tech_mult_component_pkg is
   component ip_stratixiv_complex_mult is
   port
   (
-    aclr          : in std_logic ;
-    clock         : in std_logic ;
+    aclr          : in std_logic;
+    clock         : in std_logic;
     dataa_imag    : in std_logic_vector(17 downto 0);
     dataa_real    : in std_logic_vector(17 downto 0);
     datab_imag    : in std_logic_vector(17 downto 0);
     datab_real    : in std_logic_vector(17 downto 0);
-    ena           : in std_logic ;
+    ena           : in std_logic;
     result_imag   : out std_logic_vector(35 downto 0);
     result_real   : out std_logic_vector(35 downto 0)
   );
diff --git a/libraries/technology/pll/tech_pll_clk125.vhd b/libraries/technology/pll/tech_pll_clk125.vhd
index 88111c2eb9343456c7b8d5048b50eecea8d23643..53b683d3ef4b9ffc3f42c979082a0a0c392ae0b4 100644
--- a/libraries/technology/pll/tech_pll_clk125.vhd
+++ b/libraries/technology/pll/tech_pll_clk125.vhd
@@ -38,10 +38,10 @@ entity tech_pll_clk125 is
   port (
     areset  : in std_logic  := '0';
     inclk0  : in std_logic  := '0';
-    c0      : out std_logic ;
-    c1      : out std_logic ;
-    c2      : out std_logic ;
-    c3      : out std_logic ;
+    c0      : out std_logic;
+    c1      : out std_logic;
+    c2      : out std_logic;
+    c3      : out std_logic;
     locked  : out std_logic
   );
 end tech_pll_clk125;
diff --git a/libraries/technology/pll/tech_pll_clk200.vhd b/libraries/technology/pll/tech_pll_clk200.vhd
index e375a6a6bc14d74b5d3260777604c02de223dbd1..9c12384460c6dd3a2cf5abcb4a15bf5567b96bf5 100644
--- a/libraries/technology/pll/tech_pll_clk200.vhd
+++ b/libraries/technology/pll/tech_pll_clk200.vhd
@@ -42,9 +42,9 @@ entity tech_pll_clk200 is
   port (
     areset  : in std_logic  := '0';
     inclk0  : in std_logic  := '0';
-    c0      : out std_logic ;
-    c1      : out std_logic ;
-    c2      : out std_logic ;
+    c0      : out std_logic;
+    c1      : out std_logic;
+    c2      : out std_logic;
     locked  : out std_logic
   );
 end tech_pll_clk200;
diff --git a/libraries/technology/pll/tech_pll_clk200_p6.vhd b/libraries/technology/pll/tech_pll_clk200_p6.vhd
index 175a8d3ea49253110af419bb318a5cfdf21ee7ac..c61ee97b77da1baa6c6b0e14fe1ef83542ea75a6 100644
--- a/libraries/technology/pll/tech_pll_clk200_p6.vhd
+++ b/libraries/technology/pll/tech_pll_clk200_p6.vhd
@@ -59,13 +59,13 @@ entity tech_pll_clk200_p6 is
   (
     areset    : in std_logic  := '0';
     inclk0    : in std_logic  := '0';
-    c0        : out std_logic ;
-    c1        : out std_logic ;
-    c2        : out std_logic ;
-    c3        : out std_logic ;
-    c4        : out std_logic ;
-    c5        : out std_logic ;
-    c6        : out std_logic ;
+    c0        : out std_logic;
+    c1        : out std_logic;
+    c2        : out std_logic;
+    c3        : out std_logic;
+    c4        : out std_logic;
+    c5        : out std_logic;
+    c6        : out std_logic;
     locked    : out std_logic
   );
 end tech_pll_clk200_p6;
diff --git a/libraries/technology/pll/tech_pll_clk25.vhd b/libraries/technology/pll/tech_pll_clk25.vhd
index f3aa6ee6fcf3f58eb2ec923fc5c2847e70638da1..cb34290234201a16b449296e7f146131c6c074a0 100644
--- a/libraries/technology/pll/tech_pll_clk25.vhd
+++ b/libraries/technology/pll/tech_pll_clk25.vhd
@@ -39,11 +39,11 @@ entity tech_pll_clk25 is
   port (
     areset  : in std_logic  := '0';
     inclk0  : in std_logic  := '0';
-    c0      : out std_logic ;
-    c1      : out std_logic ;
-    c2      : out std_logic ;
-    c3      : out std_logic ;
-    c4      : out std_logic ;
+    c0      : out std_logic;
+    c1      : out std_logic;
+    c2      : out std_logic;
+    c3      : out std_logic;
+    c4      : out std_logic;
     locked  : out std_logic
   );
 end tech_pll_clk25;
diff --git a/libraries/technology/pll/tech_pll_component_pkg.vhd b/libraries/technology/pll/tech_pll_component_pkg.vhd
index 91a3d8b44a7996e5f0fd9e38ef53e1285d34670a..9ed013940779cf1d17543110f908ebb648f2b28a 100644
--- a/libraries/technology/pll/tech_pll_component_pkg.vhd
+++ b/libraries/technology/pll/tech_pll_component_pkg.vhd
@@ -48,9 +48,9 @@ package tech_pll_component_pkg is
   (
     areset    : in std_logic  := '0';
     inclk0    : in std_logic  := '0';
-    c0    : out std_logic ;
-    c1    : out std_logic ;
-    c2    : out std_logic ;
+    c0    : out std_logic;
+    c1    : out std_logic;
+    c2    : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -85,13 +85,13 @@ package tech_pll_component_pkg is
   (
     areset    : in std_logic  := '0';
     inclk0    : in std_logic  := '0';
-    c0    : out std_logic ;
-    c1    : out std_logic ;
-    c2    : out std_logic ;
-    c3    : out std_logic ;
-    c4    : out std_logic ;
-    c5    : out std_logic ;
-    c6    : out std_logic ;
+    c0    : out std_logic;
+    c1    : out std_logic;
+    c2    : out std_logic;
+    c3    : out std_logic;
+    c4    : out std_logic;
+    c5    : out std_logic;
+    c6    : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -101,11 +101,11 @@ package tech_pll_component_pkg is
   (
     areset : in std_logic  := '0';
     inclk0 : in std_logic  := '0';
-    c0     : out std_logic ;
-    c1     : out std_logic ;
-    c2     : out std_logic ;
-    c3     : out std_logic ;
-    c4     : out std_logic ;
+    c0     : out std_logic;
+    c1     : out std_logic;
+    c2     : out std_logic;
+    c3     : out std_logic;
+    c4     : out std_logic;
     locked : out std_logic
   );
   end component;
@@ -130,9 +130,9 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -142,10 +142,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -155,10 +155,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -197,9 +197,9 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -209,10 +209,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -222,10 +222,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -250,9 +250,9 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -262,10 +262,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -275,10 +275,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -303,9 +303,9 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -315,10 +315,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
@@ -328,10 +328,10 @@ package tech_pll_component_pkg is
   (
     rst       : in std_logic  := '0';
     refclk    : in std_logic  := '0';
-    outclk_0  : out std_logic ;
-    outclk_1  : out std_logic ;
-    outclk_2  : out std_logic ;
-    outclk_3  : out std_logic ;
+    outclk_0  : out std_logic;
+    outclk_1  : out std_logic;
+    outclk_2  : out std_logic;
+    outclk_3  : out std_logic;
     locked    : out std_logic
   );
   end component;
diff --git a/libraries/technology/transceiver/sim_transceiver_deserializer.vhd b/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
index 81baf459b5b3e4c9ed8727e7b1901ef49b369670..ad928b3a803833e3d742d03b64455b4c062aba87 100644
--- a/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
+++ b/libraries/technology/transceiver/sim_transceiver_deserializer.vhd
@@ -73,7 +73,7 @@ begin
     rx_out_sop  <= (others => '0');
     rx_out_eop  <= (others => '0');
 
-    wait until tr_rst = '0' ;
+    wait until tr_rst = '0';
 
     -- Align to tr_clk
     wait until rising_edge(tr_clk);
diff --git a/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd b/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
index f68f59037471f4578530e29ff15976b94a30ec0e..184d46bb4977c40b852d6fd40a32a8d57d1ff2fe 100644
--- a/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
+++ b/libraries/technology/transceiver/tech_transceiver_component_pkg.vhd
@@ -37,11 +37,11 @@ package tech_transceiver_component_pkg is
     starting_channel_number   : natural := 0
   );
   port (
-    cal_blk_clk              : in std_logic ;
+    cal_blk_clk              : in std_logic;
     gxb_powerdown            : in std_logic_vector(0 downto 0);
-    pll_inclk                : in std_logic ;
+    pll_inclk                : in std_logic;
     pll_powerdown            : in std_logic_vector(0 downto 0);
-    reconfig_clk             : in std_logic ;
+    reconfig_clk             : in std_logic;
     reconfig_togxb           : in std_logic_vector(3 downto 0);
     rx_analogreset           : in std_logic_vector(0 downto 0);
     rx_datain                : in std_logic_vector(0 downto 0);
@@ -71,9 +71,9 @@ package tech_transceiver_component_pkg is
     g_mbps : natural
   );
   port (
-    cal_blk_clk     : in std_logic ;
+    cal_blk_clk     : in std_logic;
     gxb_powerdown   : in std_logic_vector(0 downto 0);
-    pll_inclk       : in std_logic ;
+    pll_inclk       : in std_logic;
     pll_powerdown   : in std_logic_vector(0 downto 0);
     tx_ctrlenable   : in std_logic_vector(3 downto 0);
     tx_datain       : in std_logic_vector(31 downto 0);
@@ -90,9 +90,9 @@ package tech_transceiver_component_pkg is
     starting_channel_number : natural := 0
   );
   port (
-    cal_blk_clk              : in std_logic ;
+    cal_blk_clk              : in std_logic;
     gxb_powerdown            : in std_logic_vector(0 downto 0);
-    reconfig_clk             : in std_logic ;
+    reconfig_clk             : in std_logic;
     reconfig_togxb           : in std_logic_vector(3 downto 0);
     rx_analogreset           : in std_logic_vector(0 downto 0);
     rx_cruclk                : in std_logic_vector(0 downto 0) :=  (others => '0');
@@ -118,10 +118,10 @@ package tech_transceiver_component_pkg is
   );
   port
   (
-    cal_blk_clk   : in std_logic ;
-    pll_inclk   : in std_logic ;
+    cal_blk_clk   : in std_logic;
+    pll_inclk   : in std_logic;
     pll_powerdown   : in std_logic_vector(0 downto 0);
-    reconfig_clk    : in std_logic ;
+    reconfig_clk    : in std_logic;
     reconfig_togxb    : in std_logic_vector(3 downto 0);
     rx_analogreset    : in std_logic_vector(0 downto 0);
     rx_datain   : in std_logic_vector(0 downto 0);
@@ -143,8 +143,8 @@ package tech_transceiver_component_pkg is
   component ip_stratixiv_hssi_tx_16b is
   port
   (
-    cal_blk_clk   : in std_logic ;
-    pll_inclk   : in std_logic ;
+    cal_blk_clk   : in std_logic;
+    pll_inclk   : in std_logic;
     pll_powerdown   : in std_logic_vector(0 downto 0);
     tx_ctrlenable   : in std_logic_vector(1 downto 0);
     tx_datain   : in std_logic_vector(15 downto 0);
@@ -162,8 +162,8 @@ package tech_transceiver_component_pkg is
   );
   port
   (
-    cal_blk_clk   : in std_logic ;
-    reconfig_clk    : in std_logic ;
+    cal_blk_clk   : in std_logic;
+    reconfig_clk    : in std_logic;
     reconfig_togxb    : in std_logic_vector(3 downto 0);
     rx_analogreset    : in std_logic_vector(0 downto 0);
     rx_cruclk   : in std_logic_vector(0 downto 0) :=  (others => '0');