diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_nios2_gen2_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_nios2_gen2_0.ip
index b46dd29e2790e3b1d4546050c82b2d1257864ee6..1cd44510b73c343c7f838fc1224a009a36618035 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_nios2_gen2_0.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_nios2_gen2_0.ip
@@ -2302,7 +2302,7 @@
         <ipxact:parameter parameterId="dataSlaveMapParam" type="string">
           <ipxact:name>dataSlaveMapParam</ipxact:name>
           <ipxact:displayName>dataSlaveMapParam</ipxact:displayName>
-          <ipxact:value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_bsn_monitor_v2_rx.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_10gbe.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_strobe_total_count_rx.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='avs2_eth_coe_1.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs2_eth_coe_1.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_bsn_monitor_v2_tx.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back1.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_strobe_total_count_tx.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_hdr_dat.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_eth10g_qsfp_ring.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_II.mem' start='0xC000' end='0xE000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_I.mem' start='0xE000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_10GbE.mem' start='0x18000' end='0x1A000' datawidth='32' /&gt;&lt;slave name='avs2_eth_coe_1.mms_ram' start='0x1A000' end='0x1B000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x1B000' end='0x1C000' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back0.mem' start='0x1C800' end='0x1C900' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_strobe_total_count_rx.mem' start='0x1C900' end='0x1C980' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_strobe_total_count_tx.mem' start='0x1C980' end='0x1CA00' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_hdr_dat.mem' start='0x1CA00' end='0x1CA80' datawidth='32' /&gt;&lt;slave name='reg_eth1g_I_bg_ctrl.mem' start='0x1CA80' end='0x1CB00' datawidth='32' /&gt;&lt;slave name='reg_heater.mem' start='0x1CB00' end='0x1CB80' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_II.mem' start='0x1CB80' end='0x1CC00' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_I.mem' start='0x1CC00' end='0x1CC80' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_10gbe.mem' start='0x1CC80' end='0x1CD00' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x1CD00' end='0x1CD40' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_10gbe.mem' start='0x1CD40' end='0x1CD80' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x1CD80' end='0x1CDC0' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_bg_ctrl.mem' start='0x1CDC0' end='0x1CDE0' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_bsn_monitor_v2_rx.mem' start='0x1CDE0' end='0x1CE00' datawidth='32' /&gt;&lt;slave name='reg_eth1g_II_bsn_monitor_v2_tx.mem' start='0x1CE00' end='0x1CE20' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_II.mem' start='0x1CE20' end='0x1CE40' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_I.mem' start='0x1CE40' end='0x1CE60' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_10gbe.mem' start='0x1CE60' end='0x1CE80' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x1CE80' end='0x1CEA0' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x1CEA0' end='0x1CEC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x1CEC0' end='0x1CEE0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x1CEE0' end='0x1CEF0' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_II.mem' start='0x1CEF0' end='0x1CF00' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_I.mem' start='0x1CF00' end='0x1CF10' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x1CF10' end='0x1CF20' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x1CF20' end='0x1CF28' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1CF28' end='0x1CF30' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1CF30' end='0x1CF38' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x1CF38' end='0x1CF40' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x1CF40' end='0x1CF48' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1CF48' end='0x1CF50' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_II.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_diag_bg_10gbe.mem' start='0x80000' end='0x100000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back1.mem' start='0x100000' end='0x200000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_qsfp_ring.mem' start='0x200000' end='0x400000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back0.mem' start='0x400000' end='0x500000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_10gbe.mem' start='0x500000' end='0x580000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_I.mem' start='0x580000' end='0x5C0000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</ipxact:value>
+          <ipxact:value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_eth_0.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_10gbe.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_rx_eth_0.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='avs_eth_1.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_1.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_tx_eth_0.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back1.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_tx_eth_0.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat_eth_0.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_eth10g_qsfp_ring.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_II.mem' start='0xC000' end='0xE000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_I.mem' start='0xE000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_10GbE.mem' start='0x18000' end='0x1A000' datawidth='32' /&gt;&lt;slave name='avs_eth_1.mms_ram' start='0x1A000' end='0x1B000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x1B000' end='0x1C000' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back0.mem' start='0x1C800' end='0x1C900' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_rx_eth_1.mem' start='0x1C900' end='0x1C980' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_tx_eth_1.mem' start='0x1C980' end='0x1CA00' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat_eth_1.mem' start='0x1CA00' end='0x1CA80' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_eth_0.mem' start='0x1CA80' end='0x1CB00' datawidth='32' /&gt;&lt;slave name='reg_heater.mem' start='0x1CB00' end='0x1CB80' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_II.mem' start='0x1CB80' end='0x1CC00' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_I.mem' start='0x1CC00' end='0x1CC80' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_10gbe.mem' start='0x1CC80' end='0x1CD00' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x1CD00' end='0x1CD40' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_10gbe.mem' start='0x1CD40' end='0x1CD80' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x1CD80' end='0x1CDC0' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_eth_1.mem' start='0x1CDC0' end='0x1CDE0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_eth_1.mem' start='0x1CDE0' end='0x1CE00' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_tx_eth_1.mem' start='0x1CE00' end='0x1CE20' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_II.mem' start='0x1CE20' end='0x1CE40' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_I.mem' start='0x1CE40' end='0x1CE60' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_10gbe.mem' start='0x1CE60' end='0x1CE80' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x1CE80' end='0x1CEA0' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x1CEA0' end='0x1CEC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x1CEC0' end='0x1CEE0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x1CEE0' end='0x1CEF0' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_II.mem' start='0x1CEF0' end='0x1CF00' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_I.mem' start='0x1CF00' end='0x1CF10' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x1CF10' end='0x1CF20' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x1CF20' end='0x1CF28' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1CF28' end='0x1CF30' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1CF30' end='0x1CF38' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x1CF38' end='0x1CF40' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x1CF40' end='0x1CF48' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1CF48' end='0x1CF50' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_II.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_diag_bg_10gbe.mem' start='0x80000' end='0x100000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back1.mem' start='0x100000' end='0x200000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_qsfp_ring.mem' start='0x200000' end='0x400000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back0.mem' start='0x400000' end='0x500000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_10gbe.mem' start='0x500000' end='0x580000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_I.mem' start='0x580000' end='0x5C0000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</ipxact:value>
         </ipxact:parameter>
         <ipxact:parameter parameterId="tightlyCoupledDataMaster0MapParam" type="string">
           <ipxact:name>tightlyCoupledDataMaster0MapParam</ipxact:name>
@@ -3584,7 +3584,7 @@
                 &lt;suppliedSystemInfos&gt;
                     &lt;entry&gt;
                         &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_bsn_monitor_v2_rx.mem' start='0x80' end='0x100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_10gbe.mem' start='0x100' end='0x200' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_strobe_total_count_rx.mem' start='0x200' end='0x400' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&amp;gt;&amp;lt;slave name='avs2_eth_coe_1.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&amp;gt;&amp;lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&amp;gt;&amp;lt;slave name='avs2_eth_coe_1.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_bsn_monitor_v2_tx.mem' start='0x3080' end='0x3100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_back1.mem' start='0x3100' end='0x3200' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_strobe_total_count_tx.mem' start='0x3200' end='0x3400' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_hdr_dat.mem' start='0x3400' end='0x3600' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_qsfp_ring.mem' start='0x3600' end='0x3800' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&amp;gt;&amp;lt;slave name='jesd204b.mem' start='0x8000' end='0xC000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_ddr_MB_II.mem' start='0xC000' end='0xE000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_ddr_MB_I.mem' start='0xE000' end='0x10000' datawidth='32' /&amp;gt;&amp;lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_10GbE.mem' start='0x18000' end='0x1A000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs2_eth_coe_1.mms_ram' start='0x1A000' end='0x1B000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_ram' start='0x1B000' end='0x1C000' datawidth='32' /&amp;gt;&amp;lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_back0.mem' start='0x1C800' end='0x1C900' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_strobe_total_count_rx.mem' start='0x1C900' end='0x1C980' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_strobe_total_count_tx.mem' start='0x1C980' end='0x1CA00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_hdr_dat.mem' start='0x1CA00' end='0x1CA80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_I_bg_ctrl.mem' start='0x1CA80' end='0x1CB00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_heater.mem' start='0x1CB00' end='0x1CB80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_ddr_MB_II.mem' start='0x1CB80' end='0x1CC00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_ddr_MB_I.mem' start='0x1CC00' end='0x1CC80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_10gbe.mem' start='0x1CC80' end='0x1CD00' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_reg' start='0x1CD00' end='0x1CD40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_10gbe.mem' start='0x1CD40' end='0x1CD80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_fpga_voltage_sens.mem' start='0x1CD80' end='0x1CDC0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_bg_ctrl.mem' start='0x1CDC0' end='0x1CDE0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_bsn_monitor_v2_rx.mem' start='0x1CDE0' end='0x1CE00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth1g_II_bsn_monitor_v2_tx.mem' start='0x1CE00' end='0x1CE20' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_ddr_MB_II.mem' start='0x1CE20' end='0x1CE40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_ddr_MB_I.mem' start='0x1CE40' end='0x1CE60' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_bg_10gbe.mem' start='0x1CE60' end='0x1CE80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_epcs.mem' start='0x1CE80' end='0x1CEA0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_remu.mem' start='0x1CEA0' end='0x1CEC0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_fpga_temp_sens.mem' start='0x1CEC0' end='0x1CEE0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_source.mem' start='0x1CEE0' end='0x1CEF0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_ddr_MB_II.mem' start='0x1CEF0' end='0x1CF00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_ddr_MB_I.mem' start='0x1CF00' end='0x1CF10' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_pps.mem' start='0x1CF10' end='0x1CF20' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_scheduler.mem' start='0x1CF20' end='0x1CF28' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_data.mem' start='0x1CF28' end='0x1CF30' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_ctrl.mem' start='0x1CF30' end='0x1CF38' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_data.mem' start='0x1CF38' end='0x1CF40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_ctrl.mem' start='0x1CF40' end='0x1CF48' datawidth='32' /&amp;gt;&amp;lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1CF48' end='0x1CF50' datawidth='32' /&amp;gt;&amp;lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_io_ddr_MB_II.mem' start='0x40000' end='0x80000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_bg_10gbe.mem' start='0x80000' end='0x100000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_back1.mem' start='0x100000' end='0x200000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_qsfp_ring.mem' start='0x200000' end='0x400000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_back0.mem' start='0x400000' end='0x500000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_10gbe.mem' start='0x500000' end='0x580000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_io_ddr_MB_I.mem' start='0x580000' end='0x5C0000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_v2_rx_eth_0.mem' start='0x80' end='0x100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_10gbe.mem' start='0x100' end='0x200' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_strobe_total_count_rx_eth_0.mem' start='0x200' end='0x400' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_1.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&amp;gt;&amp;lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&amp;gt;&amp;lt;slave name='avs_eth_1.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_v2_tx_eth_0.mem' start='0x3080' end='0x3100' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_back1.mem' start='0x3100' end='0x3200' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_strobe_total_count_tx_eth_0.mem' start='0x3200' end='0x3400' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_hdr_dat_eth_0.mem' start='0x3400' end='0x3600' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_qsfp_ring.mem' start='0x3600' end='0x3800' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&amp;gt;&amp;lt;slave name='jesd204b.mem' start='0x8000' end='0xC000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_ddr_MB_II.mem' start='0xC000' end='0xE000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_ddr_MB_I.mem' start='0xE000' end='0x10000' datawidth='32' /&amp;gt;&amp;lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_10GbE.mem' start='0x18000' end='0x1A000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_1.mms_ram' start='0x1A000' end='0x1B000' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_ram' start='0x1B000' end='0x1C000' datawidth='32' /&amp;gt;&amp;lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_eth10g_back0.mem' start='0x1C800' end='0x1C900' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_strobe_total_count_rx_eth_1.mem' start='0x1C900' end='0x1C980' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_strobe_total_count_tx_eth_1.mem' start='0x1C980' end='0x1CA00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_hdr_dat_eth_1.mem' start='0x1CA00' end='0x1CA80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_bg_eth_0.mem' start='0x1CA80' end='0x1CB00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_heater.mem' start='0x1CB00' end='0x1CB80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_ddr_MB_II.mem' start='0x1CB80' end='0x1CC00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_data_buffer_ddr_MB_I.mem' start='0x1CC00' end='0x1CC80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_10gbe.mem' start='0x1CC80' end='0x1CD00' datawidth='32' /&amp;gt;&amp;lt;slave name='avs_eth_0.mms_reg' start='0x1CD00' end='0x1CD40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_10gbe.mem' start='0x1CD40' end='0x1CD80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_fpga_voltage_sens.mem' start='0x1CD80' end='0x1CDC0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_bg_eth_1.mem' start='0x1CDC0' end='0x1CDE0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_v2_rx_eth_1.mem' start='0x1CDE0' end='0x1CE00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_monitor_v2_tx_eth_1.mem' start='0x1CE00' end='0x1CE20' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_ddr_MB_II.mem' start='0x1CE20' end='0x1CE40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_rx_seq_ddr_MB_I.mem' start='0x1CE40' end='0x1CE60' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_bg_10gbe.mem' start='0x1CE60' end='0x1CE80' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_epcs.mem' start='0x1CE80' end='0x1CEA0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_remu.mem' start='0x1CEA0' end='0x1CEC0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_fpga_temp_sens.mem' start='0x1CEC0' end='0x1CEE0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_source.mem' start='0x1CEE0' end='0x1CEF0' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_ddr_MB_II.mem' start='0x1CEF0' end='0x1CF00' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_diag_tx_seq_ddr_MB_I.mem' start='0x1CF00' end='0x1CF10' datawidth='32' /&amp;gt;&amp;lt;slave name='pio_pps.mem' start='0x1CF10' end='0x1CF20' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_bsn_scheduler.mem' start='0x1CF20' end='0x1CF28' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_data.mem' start='0x1CF28' end='0x1CF30' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_mmdp_ctrl.mem' start='0x1CF30' end='0x1CF38' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_data.mem' start='0x1CF38' end='0x1CF40' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_dpmm_ctrl.mem' start='0x1CF40' end='0x1CF48' datawidth='32' /&amp;gt;&amp;lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1CF48' end='0x1CF50' datawidth='32' /&amp;gt;&amp;lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_io_ddr_MB_II.mem' start='0x40000' end='0x80000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_bg_10gbe.mem' start='0x80000' end='0x100000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_back1.mem' start='0x100000' end='0x200000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_qsfp_ring.mem' start='0x200000' end='0x400000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_tr_10GbE_back0.mem' start='0x400000' end='0x500000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_10gbe.mem' start='0x500000' end='0x580000' datawidth='32' /&amp;gt;&amp;lt;slave name='reg_io_ddr_MB_I.mem' start='0x580000' end='0x5C0000' datawidth='32' /&amp;gt;&amp;lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
                     &lt;/entry&gt;
                     &lt;entry&gt;
                         &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
index 04e5061ee2e05437eb19b7999c3acf4500ae105d..a95f4dfded7eb4ebb19b70da0846fcf9c69d9eca 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx
+   element qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
index d2c116b0bd027b938feabf1cb356ba9d8134e463..c41940a264cf1e645565d62acd05a60502f90863 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx
+   element qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
index 969b7f6029a4ffef4df2a607493cf9649e3b5c1d..15913842ff54598fdab50bebb775bd2bd24f452a 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx
+   element qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
index e5c242e7222ee420cdc187d4acb42685392215ec..b309529a6dadafbbd2a8194f67742d8597f19e72 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx
+   element qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
index 8b5349883e8ed1ae3e5f11e4a8cee2b2f100db1e..dee194964b5948ed575ed3f841472d6a81e6b3db 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_diag_bg_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_diag_bg_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_diag_bg_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_bg_ctrl
+   element qsys_unb2c_test_reg_diag_bg_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_bg_ctrl.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
index dba9399a1b2de24b60fbdb14c7ff6935b74514f0..4145abee24649049c664d35a4ccf3285acb1b3dc 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_diag_bg_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_diag_bg_eth_1</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_diag_bg_eth_1</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_II_bg_ctrl
+   element qsys_unb2c_test_reg_diag_bg_eth_1
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_bg_ctrl.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_diag_bg_eth_1.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
deleted file mode 100644
index 60e87b376412f795566e2890e4c9a48217faa9a8..0000000000000000000000000000000000000000
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+++ /dev/null
@@ -1,1535 +0,0 @@
-<?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>128</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
-    <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>125000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U3F45E2SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
-{
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx
-   {
-   }
-}
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;128&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;7&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;125000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.address" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.clk" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.mem" altera:type="avalon" altera:dir="end">
-        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.read" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.readdata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.reset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.system" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.system_reset" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.write" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.writedata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
deleted file mode 100644
index 0e21ab78fc7b77fd77d223d96837fc0542686ef5..0000000000000000000000000000000000000000
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
+++ /dev/null
@@ -1,1535 +0,0 @@
-<?xml version="1.0" ?>
-<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
-  <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</ipxact:name>
-  <ipxact:version>1.0</ipxact:version>
-  <ipxact:busInterfaces>
-    <ipxact:busInterface>
-      <ipxact:name>system</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>clk</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_clk</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="clockRate" type="longint">
-          <ipxact:name>clockRate</ipxact:name>
-          <ipxact:displayName>Clock rate</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="externallyDriven" type="bit">
-          <ipxact:name>externallyDriven</ipxact:name>
-          <ipxact:displayName>Externally driven</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="ptfSchematicName" type="string">
-          <ipxact:name>ptfSchematicName</ipxact:name>
-          <ipxact:displayName>PTF schematic name</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>system_reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>reset</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>csi_system_reset</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="synchronousEdges" type="string">
-          <ipxact:name>synchronousEdges</ipxact:name>
-          <ipxact:displayName>Synchronous edges</ipxact:displayName>
-          <ipxact:value>DEASSERT</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>mem</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>address</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_address</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>write</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_write</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>writedata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_writedata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>read</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_read</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>readdata</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>avs_mem_readdata</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="addressAlignment" type="string">
-          <ipxact:name>addressAlignment</ipxact:name>
-          <ipxact:displayName>Slave addressing</ipxact:displayName>
-          <ipxact:value>DYNAMIC</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressGroup" type="int">
-          <ipxact:name>addressGroup</ipxact:name>
-          <ipxact:displayName>Address group</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressSpan" type="string">
-          <ipxact:name>addressSpan</ipxact:name>
-          <ipxact:displayName>Address span</ipxact:displayName>
-          <ipxact:value>128</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="addressUnits" type="string">
-          <ipxact:name>addressUnits</ipxact:name>
-          <ipxact:displayName>Address units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
-          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
-          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>Associated clock</ipxact:displayName>
-          <ipxact:value>system</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>Associated reset</ipxact:displayName>
-          <ipxact:value>system_reset</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
-          <ipxact:name>bitsPerSymbol</ipxact:name>
-          <ipxact:displayName>Bits per symbol</ipxact:displayName>
-          <ipxact:value>8</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
-          <ipxact:name>bridgedAddressOffset</ipxact:name>
-          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bridgesToMaster" type="string">
-          <ipxact:name>bridgesToMaster</ipxact:name>
-          <ipxact:displayName>Bridges to master</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
-          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
-          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="burstcountUnits" type="string">
-          <ipxact:name>burstcountUnits</ipxact:name>
-          <ipxact:displayName>Burstcount units</ipxact:displayName>
-          <ipxact:value>WORDS</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
-          <ipxact:name>constantBurstBehavior</ipxact:name>
-          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
-          <ipxact:name>explicitAddressSpan</ipxact:name>
-          <ipxact:displayName>Explicit address span</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="holdTime" type="int">
-          <ipxact:name>holdTime</ipxact:name>
-          <ipxact:displayName>Hold</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="interleaveBursts" type="bit">
-          <ipxact:name>interleaveBursts</ipxact:name>
-          <ipxact:displayName>Interleave bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isBigEndian" type="bit">
-          <ipxact:name>isBigEndian</ipxact:name>
-          <ipxact:displayName>Big endian</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isFlash" type="bit">
-          <ipxact:name>isFlash</ipxact:name>
-          <ipxact:displayName>Flash memory</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
-          <ipxact:name>isMemoryDevice</ipxact:name>
-          <ipxact:displayName>Memory device</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
-          <ipxact:name>isNonVolatileStorage</ipxact:name>
-          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="linewrapBursts" type="bit">
-          <ipxact:name>linewrapBursts</ipxact:name>
-          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
-          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
-          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
-          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumReadLatency" type="int">
-          <ipxact:name>minimumReadLatency</ipxact:name>
-          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
-          <ipxact:name>minimumResponseLatency</ipxact:name>
-          <ipxact:displayName>Minimum response latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
-          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
-          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="printableDevice" type="bit">
-          <ipxact:name>printableDevice</ipxact:name>
-          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readLatency" type="int">
-          <ipxact:name>readLatency</ipxact:name>
-          <ipxact:displayName>Read latency</ipxact:displayName>
-          <ipxact:value>1</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitStates" type="int">
-          <ipxact:name>readWaitStates</ipxact:name>
-          <ipxact:displayName>Read wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="readWaitTime" type="int">
-          <ipxact:name>readWaitTime</ipxact:name>
-          <ipxact:displayName>Read wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
-          <ipxact:name>registerIncomingSignals</ipxact:name>
-          <ipxact:displayName>Register incoming signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
-          <ipxact:name>registerOutgoingSignals</ipxact:name>
-          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="setupTime" type="int">
-          <ipxact:name>setupTime</ipxact:name>
-          <ipxact:displayName>Setup</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="timingUnits" type="string">
-          <ipxact:name>timingUnits</ipxact:name>
-          <ipxact:displayName>Timing units</ipxact:displayName>
-          <ipxact:value>Cycles</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="transparentBridge" type="bit">
-          <ipxact:name>transparentBridge</ipxact:name>
-          <ipxact:displayName>Transparent bridge</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
-          <ipxact:name>waitrequestAllowance</ipxact:name>
-          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
-          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
-          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeLatency" type="int">
-          <ipxact:name>writeLatency</ipxact:name>
-          <ipxact:displayName>Write latency</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitStates" type="int">
-          <ipxact:name>writeWaitStates</ipxact:name>
-          <ipxact:displayName>Write wait states</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="writeWaitTime" type="int">
-          <ipxact:name>writeWaitTime</ipxact:name>
-          <ipxact:displayName>Write wait</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-      <ipxact:vendorExtensions>
-        <altera:altera_assignments>
-          <ipxact:parameters>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
-              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
-              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
-              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
-              <ipxact:value>0</ipxact:value>
-            </ipxact:parameter>
-          </ipxact:parameters>
-        </altera:altera_assignments>
-      </ipxact:vendorExtensions>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>reset</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_reset_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>clk</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_clk_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>address</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_address_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>write</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_write_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>writedata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_writedata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>read</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_read_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-    <ipxact:busInterface>
-      <ipxact:name>readdata</ipxact:name>
-      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
-      <ipxact:abstractionTypes>
-        <ipxact:abstractionType>
-          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
-          <ipxact:portMaps>
-            <ipxact:portMap>
-              <ipxact:logicalPort>
-                <ipxact:name>export</ipxact:name>
-              </ipxact:logicalPort>
-              <ipxact:physicalPort>
-                <ipxact:name>coe_readdata_export</ipxact:name>
-              </ipxact:physicalPort>
-            </ipxact:portMap>
-          </ipxact:portMaps>
-        </ipxact:abstractionType>
-      </ipxact:abstractionTypes>
-      <ipxact:slave></ipxact:slave>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="associatedClock" type="string">
-          <ipxact:name>associatedClock</ipxact:name>
-          <ipxact:displayName>associatedClock</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="associatedReset" type="string">
-          <ipxact:name>associatedReset</ipxact:name>
-          <ipxact:displayName>associatedReset</ipxact:displayName>
-          <ipxact:value></ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="prSafe" type="bit">
-          <ipxact:name>prSafe</ipxact:name>
-          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </ipxact:busInterface>
-  </ipxact:busInterfaces>
-  <ipxact:model>
-    <ipxact:views>
-      <ipxact:view>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
-        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
-      </ipxact:view>
-    </ipxact:views>
-    <ipxact:instantiations>
-      <ipxact:componentInstantiation>
-        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
-        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
-        <ipxact:fileSetRef>
-          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
-        </ipxact:fileSetRef>
-        <ipxact:parameters></ipxact:parameters>
-      </ipxact:componentInstantiation>
-    </ipxact:instantiations>
-    <ipxact:ports>
-      <ipxact:port>
-        <ipxact:name>csi_system_clk</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>csi_system_reset</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_address</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_write</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_writedata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_read</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>avs_mem_readdata</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_reset_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_clk_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_address_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>4</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_write_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_writedata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_read_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>out</ipxact:direction>
-          <ipxact:vectors></ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-      <ipxact:port>
-        <ipxact:name>coe_readdata_export</ipxact:name>
-        <ipxact:wire>
-          <ipxact:direction>in</ipxact:direction>
-          <ipxact:vectors>
-            <ipxact:vector>
-              <ipxact:left>0</ipxact:left>
-              <ipxact:right>31</ipxact:right>
-            </ipxact:vector>
-          </ipxact:vectors>
-          <ipxact:wireTypeDefs>
-            <ipxact:wireTypeDef>
-              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
-              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
-            </ipxact:wireTypeDef>
-          </ipxact:wireTypeDefs>
-        </ipxact:wire>
-      </ipxact:port>
-    </ipxact:ports>
-  </ipxact:model>
-  <ipxact:vendorExtensions>
-    <altera:entity_info>
-      <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</ipxact:library>
-      <ipxact:name>avs_common_mm</ipxact:name>
-      <ipxact:version>1.0</ipxact:version>
-    </altera:entity_info>
-    <altera:altera_module_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="g_adr_w" type="int">
-          <ipxact:name>g_adr_w</ipxact:name>
-          <ipxact:displayName>g_adr_w</ipxact:displayName>
-          <ipxact:value>5</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="g_dat_w" type="int">
-          <ipxact:name>g_dat_w</ipxact:name>
-          <ipxact:displayName>g_dat_w</ipxact:displayName>
-          <ipxact:value>32</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
-          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
-          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
-          <ipxact:value>125000000</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_module_parameters>
-    <altera:altera_system_parameters>
-      <ipxact:parameters>
-        <ipxact:parameter parameterId="device" type="string">
-          <ipxact:name>device</ipxact:name>
-          <ipxact:displayName>Device</ipxact:displayName>
-          <ipxact:value>10AX115U3F45E2SG</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceFamily" type="string">
-          <ipxact:name>deviceFamily</ipxact:name>
-          <ipxact:displayName>Device family</ipxact:displayName>
-          <ipxact:value>Arria 10</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
-          <ipxact:name>deviceSpeedGrade</ipxact:name>
-          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
-          <ipxact:value>2</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="generationId" type="int">
-          <ipxact:name>generationId</ipxact:name>
-          <ipxact:displayName>Generation Id</ipxact:displayName>
-          <ipxact:value>0</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="bonusData" type="string">
-          <ipxact:name>bonusData</ipxact:name>
-          <ipxact:displayName>bonusData</ipxact:displayName>
-          <ipxact:value>bonusData 
-{
-   element $system
-   {
-      datum _originalDeviceFamily
-      {
-         value = "Arria 10";
-         type = "String";
-      }
-   }
-   element qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx
-   {
-   }
-}
-</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
-          <ipxact:name>hideFromIPCatalog</ipxact:name>
-          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
-          <ipxact:value>false</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
-          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
-          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
-          <ipxact:value>&lt;boundaryDefinition&gt;
-    &lt;interfaces&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system&lt;/name&gt;
-            &lt;type&gt;clock&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_clk&lt;/name&gt;
-                    &lt;role&gt;clk&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;clockRate&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;externallyDriven&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;system_reset&lt;/name&gt;
-            &lt;type&gt;reset&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;csi_system_reset&lt;/name&gt;
-                    &lt;role&gt;reset&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;synchronousEdges&lt;/key&gt;
-                        &lt;value&gt;DEASSERT&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;mem&lt;/name&gt;
-            &lt;type&gt;avalon&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_address&lt;/name&gt;
-                    &lt;role&gt;address&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_write&lt;/name&gt;
-                    &lt;role&gt;write&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
-                    &lt;role&gt;writedata&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_read&lt;/name&gt;
-                    &lt;role&gt;read&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-                &lt;port&gt;
-                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
-                    &lt;role&gt;readdata&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/assignmentValueMap&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressAlignment&lt;/key&gt;
-                        &lt;value&gt;DYNAMIC&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressGroup&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressSpan&lt;/key&gt;
-                        &lt;value&gt;128&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;addressUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                        &lt;value&gt;system&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                        &lt;value&gt;system_reset&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
-                        &lt;value&gt;8&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;burstcountUnits&lt;/key&gt;
-                        &lt;value&gt;WORDS&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;holdTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;interleaveBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isBigEndian&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isFlash&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;linewrapBursts&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;printableDevice&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readLatency&lt;/key&gt;
-                        &lt;value&gt;1&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;readWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;setupTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;timingUnits&lt;/key&gt;
-                        &lt;value&gt;Cycles&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;transparentBridge&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeLatency&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitStates&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;writeWaitTime&lt;/key&gt;
-                        &lt;value&gt;0&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;reset&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_reset_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;clk&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_clk_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;address&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_address_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;5&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;write&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_write_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;writedata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;read&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_read_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Output&lt;/direction&gt;
-                    &lt;width&gt;1&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-        &lt;interface&gt;
-            &lt;name&gt;readdata&lt;/name&gt;
-            &lt;type&gt;conduit&lt;/type&gt;
-            &lt;isStart&gt;false&lt;/isStart&gt;
-            &lt;ports&gt;
-                &lt;port&gt;
-                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
-                    &lt;role&gt;export&lt;/role&gt;
-                    &lt;direction&gt;Input&lt;/direction&gt;
-                    &lt;width&gt;32&lt;/width&gt;
-                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
-                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
-                &lt;/port&gt;
-            &lt;/ports&gt;
-            &lt;assignments&gt;
-                &lt;assignmentValueMap/&gt;
-            &lt;/assignments&gt;
-            &lt;parameters&gt;
-                &lt;parameterValueMap&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedClock&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;associatedReset&lt;/key&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;prSafe&lt;/key&gt;
-                        &lt;value&gt;false&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/parameterValueMap&gt;
-            &lt;/parameters&gt;
-        &lt;/interface&gt;
-    &lt;/interfaces&gt;
-&lt;/boundaryDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-        <ipxact:parameter parameterId="systemInfos" type="string">
-          <ipxact:name>systemInfos</ipxact:name>
-          <ipxact:displayName>systemInfos</ipxact:displayName>
-          <ipxact:value>&lt;systemInfosDefinition&gt;
-    &lt;connPtSystemInfos&gt;
-        &lt;entry&gt;
-            &lt;key&gt;mem&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos/&gt;
-                &lt;consumedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
-                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
-                        &lt;value&gt;7&lt;/value&gt;
-                    &lt;/entry&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
-                        &lt;value&gt;32&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/consumedSystemInfos&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-        &lt;entry&gt;
-            &lt;key&gt;system&lt;/key&gt;
-            &lt;value&gt;
-                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
-                &lt;suppliedSystemInfos&gt;
-                    &lt;entry&gt;
-                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
-                        &lt;value&gt;125000000&lt;/value&gt;
-                    &lt;/entry&gt;
-                &lt;/suppliedSystemInfos&gt;
-                &lt;consumedSystemInfos/&gt;
-            &lt;/value&gt;
-        &lt;/entry&gt;
-    &lt;/connPtSystemInfos&gt;
-&lt;/systemInfosDefinition&gt;</ipxact:value>
-        </ipxact:parameter>
-      </ipxact:parameters>
-    </altera:altera_system_parameters>
-    <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.address" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.clk" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.mem" altera:type="avalon" altera:dir="end">
-        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
-        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.read" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.readdata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.reset" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.system" altera:type="clock" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.system_reset" altera:type="reset" altera:dir="end">
-        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.write" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
-      </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.writedata" altera:type="conduit" altera:dir="end">
-        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
-      </altera:interface_mapping>
-    </altera:altera_interface_boundary>
-    <altera:altera_has_warnings>false</altera:altera_has_warnings>
-    <altera:altera_has_errors>false</altera:altera_has_errors>
-  </ipxact:vendorExtensions>
-</ipxact:component>
\ No newline at end of file
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
index 0e9f2b1f5b8adc9145686dcd761143048bbeeca5..971f53f6c728c725da3451332ba7c9c112f5730b 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_hdr_dat</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_hdr_dat</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_hdr_dat_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_hdr_dat_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_hdr_dat</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_hdr_dat_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_hdr_dat
+   element qsys_unb2c_test_reg_hdr_dat_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_hdr_dat.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
index d352a73115098dc4e0143ee126981738369ffc0e..8ecb89c83d9b31c3347ea372db0eeb0ffe8b807d 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_II_hdr_dat</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_II_hdr_dat</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_hdr_dat_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_hdr_dat_eth_1</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_II_hdr_dat</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_hdr_dat_eth_1</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_II_hdr_dat
+   element qsys_unb2c_test_reg_hdr_dat_eth_1
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_II_hdr_dat.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_hdr_dat_eth_1.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
index d2b0b772d7e6cdfb315ef6e107e7b160abce9372..6673af2cc982e2ffe9670a9fc936b9074e0362b9 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx
+   element qsys_unb2c_test_reg_strobe_total_count_rx_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
new file mode 100644
index 0000000000000000000000000000000000000000..2f553a7b5a5aab9b0231350f3df1b293ff9e8f92
--- /dev/null
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
@@ -0,0 +1,1535 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>ASTRON</ipxact:vendor>
+  <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</ipxact:name>
+  <ipxact:version>1.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>system</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>csi_system_clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>system_reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>csi_system_reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>system</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>mem</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_write</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>read</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_read</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Slave addressing</ipxact:displayName>
+          <ipxact:value>DYNAMIC</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>128</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>system</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>system_reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to master</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_reset_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_clk_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>address</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_address_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>write</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_write_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>writedata</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_writedata_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>read</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_read_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>readdata</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_readdata_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>csi_system_clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>csi_system_reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>4</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_write</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_read</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_reset_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_clk_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_address_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>4</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_write_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_writedata_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_read_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_readdata_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>ASTRON</ipxact:vendor>
+      <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</ipxact:library>
+      <ipxact:name>avs_common_mm</ipxact:name>
+      <ipxact:version>1.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="g_adr_w" type="int">
+          <ipxact:name>g_adr_w</ipxact:name>
+          <ipxact:displayName>g_adr_w</ipxact:displayName>
+          <ipxact:value>5</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="g_dat_w" type="int">
+          <ipxact:name>g_dat_w</ipxact:name>
+          <ipxact:displayName>g_dat_w</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
+          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
+          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
+          <ipxact:value>125000000</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>10AX115U3F45E2SG</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Arria 10</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Arria 10";
+         type = "String";
+      }
+   }
+   element qsys_unb2c_test_reg_strobe_total_count_rx_eth_1
+   {
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;system&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;csi_system_clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;system_reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;csi_system_reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;system&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;mem&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;5&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_write&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_read&lt;/name&gt;
+                    &lt;role&gt;read&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;DYNAMIC&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;128&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;system&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;system_reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;reset&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_reset_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_clk_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;address&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_address_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;5&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;write&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_write_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;writedata&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;read&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_read_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;readdata&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;mem&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;7&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+        &lt;entry&gt;
+            &lt;key&gt;system&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
+                        &lt;value&gt;125000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/suppliedSystemInfos&gt;
+                &lt;consumedSystemInfos/&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
similarity index 98%
rename from boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
rename to boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
index 1cc106178b974d387b36f88acd458bf7fc4ce2a9..736faa5cf084658c3701c199c50f7a0432000ff3 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
@@ -1,8 +1,8 @@
 <?xml version="1.0" ?>
 <ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
   <ipxact:vendor>ASTRON</ipxact:vendor>
-  <ipxact:library>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</ipxact:library>
-  <ipxact:name>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</ipxact:name>
+  <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</ipxact:name>
   <ipxact:version>1.0</ipxact:version>
   <ipxact:busInterfaces>
     <ipxact:busInterface>
@@ -851,7 +851,7 @@
   <ipxact:vendorExtensions>
     <altera:entity_info>
       <ipxact:vendor>ASTRON</ipxact:vendor>
-      <ipxact:library>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</ipxact:library>
+      <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</ipxact:library>
       <ipxact:name>avs_common_mm</ipxact:name>
       <ipxact:version>1.0</ipxact:version>
     </altera:entity_info>
@@ -909,7 +909,7 @@
          type = "String";
       }
    }
-   element qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx
+   element qsys_unb2c_test_reg_strobe_total_count_tx_eth_0
    {
    }
 }
@@ -1494,38 +1494,38 @@
       </ipxact:parameters>
     </altera:altera_system_parameters>
     <altera:altera_interface_boundary>
-      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.address" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.address" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.clk" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.clk" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.mem" altera:type="avalon" altera:dir="end">
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.mem" altera:type="avalon" altera:dir="end">
         <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
         <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.read" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.read" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.readdata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.readdata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.reset" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.reset" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.system" altera:type="clock" altera:dir="end">
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.system" altera:type="clock" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.system_reset" altera:type="reset" altera:dir="end">
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.system_reset" altera:type="reset" altera:dir="end">
         <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.write" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.write" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
       </altera:interface_mapping>
-      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.writedata" altera:type="conduit" altera:dir="end">
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.writedata" altera:type="conduit" altera:dir="end">
         <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
       </altera:interface_mapping>
     </altera:altera_interface_boundary>
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
new file mode 100644
index 0000000000000000000000000000000000000000..516f092e7852710c5b5149a154de8c0d9f2a8b51
--- /dev/null
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
@@ -0,0 +1,1535 @@
+<?xml version="1.0" ?>
+<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014">
+  <ipxact:vendor>ASTRON</ipxact:vendor>
+  <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</ipxact:library>
+  <ipxact:name>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</ipxact:name>
+  <ipxact:version>1.0</ipxact:version>
+  <ipxact:busInterfaces>
+    <ipxact:busInterface>
+      <ipxact:name>system</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>clk</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>csi_system_clk</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="clockRate" type="longint">
+          <ipxact:name>clockRate</ipxact:name>
+          <ipxact:displayName>Clock rate</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="externallyDriven" type="bit">
+          <ipxact:name>externallyDriven</ipxact:name>
+          <ipxact:displayName>Externally driven</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="ptfSchematicName" type="string">
+          <ipxact:name>ptfSchematicName</ipxact:name>
+          <ipxact:displayName>PTF schematic name</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>system_reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>reset</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>csi_system_reset</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>system</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="synchronousEdges" type="string">
+          <ipxact:name>synchronousEdges</ipxact:name>
+          <ipxact:displayName>Synchronous edges</ipxact:displayName>
+          <ipxact:value>DEASSERT</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>mem</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>address</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_address</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>write</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_write</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>writedata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_writedata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>read</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_read</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>readdata</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>avs_mem_readdata</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="addressAlignment" type="string">
+          <ipxact:name>addressAlignment</ipxact:name>
+          <ipxact:displayName>Slave addressing</ipxact:displayName>
+          <ipxact:value>DYNAMIC</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressGroup" type="int">
+          <ipxact:name>addressGroup</ipxact:name>
+          <ipxact:displayName>Address group</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressSpan" type="string">
+          <ipxact:name>addressSpan</ipxact:name>
+          <ipxact:displayName>Address span</ipxact:displayName>
+          <ipxact:value>128</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="addressUnits" type="string">
+          <ipxact:name>addressUnits</ipxact:name>
+          <ipxact:displayName>Address units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit">
+          <ipxact:name>alwaysBurstMaxBurst</ipxact:name>
+          <ipxact:displayName>Always burst maximum burst</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>Associated clock</ipxact:displayName>
+          <ipxact:value>system</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>Associated reset</ipxact:displayName>
+          <ipxact:value>system_reset</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bitsPerSymbol" type="int">
+          <ipxact:name>bitsPerSymbol</ipxact:name>
+          <ipxact:displayName>Bits per symbol</ipxact:displayName>
+          <ipxact:value>8</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgedAddressOffset" type="string">
+          <ipxact:name>bridgedAddressOffset</ipxact:name>
+          <ipxact:displayName>Bridged Address Offset</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bridgesToMaster" type="string">
+          <ipxact:name>bridgesToMaster</ipxact:name>
+          <ipxact:displayName>Bridges to master</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit">
+          <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name>
+          <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="burstcountUnits" type="string">
+          <ipxact:name>burstcountUnits</ipxact:name>
+          <ipxact:displayName>Burstcount units</ipxact:displayName>
+          <ipxact:value>WORDS</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="constantBurstBehavior" type="bit">
+          <ipxact:name>constantBurstBehavior</ipxact:name>
+          <ipxact:displayName>Constant burst behavior</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="explicitAddressSpan" type="string">
+          <ipxact:name>explicitAddressSpan</ipxact:name>
+          <ipxact:displayName>Explicit address span</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="holdTime" type="int">
+          <ipxact:name>holdTime</ipxact:name>
+          <ipxact:displayName>Hold</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="interleaveBursts" type="bit">
+          <ipxact:name>interleaveBursts</ipxact:name>
+          <ipxact:displayName>Interleave bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isBigEndian" type="bit">
+          <ipxact:name>isBigEndian</ipxact:name>
+          <ipxact:displayName>Big endian</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isFlash" type="bit">
+          <ipxact:name>isFlash</ipxact:name>
+          <ipxact:displayName>Flash memory</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isMemoryDevice" type="bit">
+          <ipxact:name>isMemoryDevice</ipxact:name>
+          <ipxact:displayName>Memory device</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="isNonVolatileStorage" type="bit">
+          <ipxact:name>isNonVolatileStorage</ipxact:name>
+          <ipxact:displayName>Non-volatile storage</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="linewrapBursts" type="bit">
+          <ipxact:name>linewrapBursts</ipxact:name>
+          <ipxact:displayName>Linewrap bursts</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int">
+          <ipxact:name>maximumPendingReadTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending read transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int">
+          <ipxact:name>maximumPendingWriteTransactions</ipxact:name>
+          <ipxact:displayName>Maximum pending write transactions</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumReadLatency" type="int">
+          <ipxact:name>minimumReadLatency</ipxact:name>
+          <ipxact:displayName>minimumReadLatency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumResponseLatency" type="int">
+          <ipxact:name>minimumResponseLatency</ipxact:name>
+          <ipxact:displayName>Minimum response latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int">
+          <ipxact:name>minimumUninterruptedRunLength</ipxact:name>
+          <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="printableDevice" type="bit">
+          <ipxact:name>printableDevice</ipxact:name>
+          <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readLatency" type="int">
+          <ipxact:name>readLatency</ipxact:name>
+          <ipxact:displayName>Read latency</ipxact:displayName>
+          <ipxact:value>1</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitStates" type="int">
+          <ipxact:name>readWaitStates</ipxact:name>
+          <ipxact:displayName>Read wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="readWaitTime" type="int">
+          <ipxact:name>readWaitTime</ipxact:name>
+          <ipxact:displayName>Read wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerIncomingSignals" type="bit">
+          <ipxact:name>registerIncomingSignals</ipxact:name>
+          <ipxact:displayName>Register incoming signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="registerOutgoingSignals" type="bit">
+          <ipxact:name>registerOutgoingSignals</ipxact:name>
+          <ipxact:displayName>Register outgoing signals</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="setupTime" type="int">
+          <ipxact:name>setupTime</ipxact:name>
+          <ipxact:displayName>Setup</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="timingUnits" type="string">
+          <ipxact:name>timingUnits</ipxact:name>
+          <ipxact:displayName>Timing units</ipxact:displayName>
+          <ipxact:value>Cycles</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="transparentBridge" type="bit">
+          <ipxact:name>transparentBridge</ipxact:name>
+          <ipxact:displayName>Transparent bridge</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="waitrequestAllowance" type="int">
+          <ipxact:name>waitrequestAllowance</ipxact:name>
+          <ipxact:displayName>Waitrequest allowance</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit">
+          <ipxact:name>wellBehavedWaitrequest</ipxact:name>
+          <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeLatency" type="int">
+          <ipxact:name>writeLatency</ipxact:name>
+          <ipxact:displayName>Write latency</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitStates" type="int">
+          <ipxact:name>writeWaitStates</ipxact:name>
+          <ipxact:displayName>Write wait states</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="writeWaitTime" type="int">
+          <ipxact:name>writeWaitTime</ipxact:name>
+          <ipxact:displayName>Write wait</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+      <ipxact:vendorExtensions>
+        <altera:altera_assignments>
+          <ipxact:parameters>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string">
+              <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string">
+              <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+            <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string">
+              <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name>
+              <ipxact:value>0</ipxact:value>
+            </ipxact:parameter>
+          </ipxact:parameters>
+        </altera:altera_assignments>
+      </ipxact:vendorExtensions>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>reset</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_reset_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>clk</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_clk_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>address</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_address_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>write</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_write_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>writedata</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_writedata_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>read</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_read_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+    <ipxact:busInterface>
+      <ipxact:name>readdata</ipxact:name>
+      <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType>
+      <ipxact:abstractionTypes>
+        <ipxact:abstractionType>
+          <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef>
+          <ipxact:portMaps>
+            <ipxact:portMap>
+              <ipxact:logicalPort>
+                <ipxact:name>export</ipxact:name>
+              </ipxact:logicalPort>
+              <ipxact:physicalPort>
+                <ipxact:name>coe_readdata_export</ipxact:name>
+              </ipxact:physicalPort>
+            </ipxact:portMap>
+          </ipxact:portMaps>
+        </ipxact:abstractionType>
+      </ipxact:abstractionTypes>
+      <ipxact:slave></ipxact:slave>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="associatedClock" type="string">
+          <ipxact:name>associatedClock</ipxact:name>
+          <ipxact:displayName>associatedClock</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="associatedReset" type="string">
+          <ipxact:name>associatedReset</ipxact:name>
+          <ipxact:displayName>associatedReset</ipxact:displayName>
+          <ipxact:value></ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="prSafe" type="bit">
+          <ipxact:name>prSafe</ipxact:name>
+          <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </ipxact:busInterface>
+  </ipxact:busInterfaces>
+  <ipxact:model>
+    <ipxact:views>
+      <ipxact:view>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier>
+        <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef>
+      </ipxact:view>
+    </ipxact:views>
+    <ipxact:instantiations>
+      <ipxact:componentInstantiation>
+        <ipxact:name>QUARTUS_SYNTH</ipxact:name>
+        <ipxact:moduleName>avs_common_mm</ipxact:moduleName>
+        <ipxact:fileSetRef>
+          <ipxact:localName>QUARTUS_SYNTH</ipxact:localName>
+        </ipxact:fileSetRef>
+        <ipxact:parameters></ipxact:parameters>
+      </ipxact:componentInstantiation>
+    </ipxact:instantiations>
+    <ipxact:ports>
+      <ipxact:port>
+        <ipxact:name>csi_system_clk</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>csi_system_reset</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_address</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>4</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_write</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_writedata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_read</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>avs_mem_readdata</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_reset_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_clk_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_address_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>4</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_write_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_writedata_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_read_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>out</ipxact:direction>
+          <ipxact:vectors></ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+      <ipxact:port>
+        <ipxact:name>coe_readdata_export</ipxact:name>
+        <ipxact:wire>
+          <ipxact:direction>in</ipxact:direction>
+          <ipxact:vectors>
+            <ipxact:vector>
+              <ipxact:left>0</ipxact:left>
+              <ipxact:right>31</ipxact:right>
+            </ipxact:vector>
+          </ipxact:vectors>
+          <ipxact:wireTypeDefs>
+            <ipxact:wireTypeDef>
+              <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName>
+              <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef>
+            </ipxact:wireTypeDef>
+          </ipxact:wireTypeDefs>
+        </ipxact:wire>
+      </ipxact:port>
+    </ipxact:ports>
+  </ipxact:model>
+  <ipxact:vendorExtensions>
+    <altera:entity_info>
+      <ipxact:vendor>ASTRON</ipxact:vendor>
+      <ipxact:library>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</ipxact:library>
+      <ipxact:name>avs_common_mm</ipxact:name>
+      <ipxact:version>1.0</ipxact:version>
+    </altera:entity_info>
+    <altera:altera_module_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="g_adr_w" type="int">
+          <ipxact:name>g_adr_w</ipxact:name>
+          <ipxact:displayName>g_adr_w</ipxact:displayName>
+          <ipxact:value>5</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="g_dat_w" type="int">
+          <ipxact:name>g_dat_w</ipxact:name>
+          <ipxact:displayName>g_dat_w</ipxact:displayName>
+          <ipxact:value>32</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="AUTO_SYSTEM_CLOCK_RATE" type="longint">
+          <ipxact:name>AUTO_SYSTEM_CLOCK_RATE</ipxact:name>
+          <ipxact:displayName>Auto CLOCK_RATE</ipxact:displayName>
+          <ipxact:value>125000000</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_module_parameters>
+    <altera:altera_system_parameters>
+      <ipxact:parameters>
+        <ipxact:parameter parameterId="device" type="string">
+          <ipxact:name>device</ipxact:name>
+          <ipxact:displayName>Device</ipxact:displayName>
+          <ipxact:value>10AX115U3F45E2SG</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceFamily" type="string">
+          <ipxact:name>deviceFamily</ipxact:name>
+          <ipxact:displayName>Device family</ipxact:displayName>
+          <ipxact:value>Arria 10</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="deviceSpeedGrade" type="string">
+          <ipxact:name>deviceSpeedGrade</ipxact:name>
+          <ipxact:displayName>Device Speed Grade</ipxact:displayName>
+          <ipxact:value>2</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="generationId" type="int">
+          <ipxact:name>generationId</ipxact:name>
+          <ipxact:displayName>Generation Id</ipxact:displayName>
+          <ipxact:value>0</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="bonusData" type="string">
+          <ipxact:name>bonusData</ipxact:name>
+          <ipxact:displayName>bonusData</ipxact:displayName>
+          <ipxact:value>bonusData 
+{
+   element $system
+   {
+      datum _originalDeviceFamily
+      {
+         value = "Arria 10";
+         type = "String";
+      }
+   }
+   element qsys_unb2c_test_reg_strobe_total_count_tx_eth_1
+   {
+   }
+}
+</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="hideFromIPCatalog" type="bit">
+          <ipxact:name>hideFromIPCatalog</ipxact:name>
+          <ipxact:displayName>Hide from IP Catalog</ipxact:displayName>
+          <ipxact:value>false</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string">
+          <ipxact:name>lockedInterfaceDefinition</ipxact:name>
+          <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName>
+          <ipxact:value>&lt;boundaryDefinition&gt;
+    &lt;interfaces&gt;
+        &lt;interface&gt;
+            &lt;name&gt;system&lt;/name&gt;
+            &lt;type&gt;clock&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;csi_system_clk&lt;/name&gt;
+                    &lt;role&gt;clk&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;clockRate&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;externallyDriven&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ptfSchematicName&lt;/key&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;system_reset&lt;/name&gt;
+            &lt;type&gt;reset&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;csi_system_reset&lt;/name&gt;
+                    &lt;role&gt;reset&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;system&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;synchronousEdges&lt;/key&gt;
+                        &lt;value&gt;DEASSERT&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;mem&lt;/name&gt;
+            &lt;type&gt;avalon&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_address&lt;/name&gt;
+                    &lt;role&gt;address&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;5&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_write&lt;/name&gt;
+                    &lt;role&gt;write&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_writedata&lt;/name&gt;
+                    &lt;role&gt;writedata&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_read&lt;/name&gt;
+                    &lt;role&gt;read&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+                &lt;port&gt;
+                    &lt;name&gt;avs_mem_readdata&lt;/name&gt;
+                    &lt;role&gt;readdata&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isFlash&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;embeddedsw.configuration.isPrintableDevice&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/assignmentValueMap&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressAlignment&lt;/key&gt;
+                        &lt;value&gt;DYNAMIC&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressGroup&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressSpan&lt;/key&gt;
+                        &lt;value&gt;128&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;addressUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;alwaysBurstMaxBurst&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                        &lt;value&gt;system&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                        &lt;value&gt;system_reset&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bitsPerSymbol&lt;/key&gt;
+                        &lt;value&gt;8&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgedAddressOffset&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;bridgesToMaster&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstOnBurstBoundariesOnly&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;burstcountUnits&lt;/key&gt;
+                        &lt;value&gt;WORDS&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;constantBurstBehavior&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;explicitAddressSpan&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;holdTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;interleaveBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isBigEndian&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isFlash&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isMemoryDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;isNonVolatileStorage&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;linewrapBursts&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingReadTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;maximumPendingWriteTransactions&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumReadLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumResponseLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;minimumUninterruptedRunLength&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;printableDevice&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readLatency&lt;/key&gt;
+                        &lt;value&gt;1&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;readWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerIncomingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;registerOutgoingSignals&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;setupTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;timingUnits&lt;/key&gt;
+                        &lt;value&gt;Cycles&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;transparentBridge&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;waitrequestAllowance&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;wellBehavedWaitrequest&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeLatency&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitStates&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;writeWaitTime&lt;/key&gt;
+                        &lt;value&gt;0&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;reset&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_reset_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;clk&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_clk_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;address&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_address_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;5&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;write&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_write_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;writedata&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_writedata_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;read&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_read_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Output&lt;/direction&gt;
+                    &lt;width&gt;1&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+        &lt;interface&gt;
+            &lt;name&gt;readdata&lt;/name&gt;
+            &lt;type&gt;conduit&lt;/type&gt;
+            &lt;isStart&gt;false&lt;/isStart&gt;
+            &lt;ports&gt;
+                &lt;port&gt;
+                    &lt;name&gt;coe_readdata_export&lt;/name&gt;
+                    &lt;role&gt;export&lt;/role&gt;
+                    &lt;direction&gt;Input&lt;/direction&gt;
+                    &lt;width&gt;32&lt;/width&gt;
+                    &lt;lowerBound&gt;0&lt;/lowerBound&gt;
+                    &lt;vhdlType&gt;STD_LOGIC_VECTOR&lt;/vhdlType&gt;
+                &lt;/port&gt;
+            &lt;/ports&gt;
+            &lt;assignments&gt;
+                &lt;assignmentValueMap/&gt;
+            &lt;/assignments&gt;
+            &lt;parameters&gt;
+                &lt;parameterValueMap&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedClock&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;associatedReset&lt;/key&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;prSafe&lt;/key&gt;
+                        &lt;value&gt;false&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/parameterValueMap&gt;
+            &lt;/parameters&gt;
+        &lt;/interface&gt;
+    &lt;/interfaces&gt;
+&lt;/boundaryDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+        <ipxact:parameter parameterId="systemInfos" type="string">
+          <ipxact:name>systemInfos</ipxact:name>
+          <ipxact:displayName>systemInfos</ipxact:displayName>
+          <ipxact:value>&lt;systemInfosDefinition&gt;
+    &lt;connPtSystemInfos&gt;
+        &lt;entry&gt;
+            &lt;key&gt;mem&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;mem&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos/&gt;
+                &lt;consumedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_MAP&lt;/key&gt;
+                        &lt;value&gt;&amp;lt;address-map&amp;gt;&amp;lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&amp;gt;&amp;lt;/address-map&amp;gt;&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;ADDRESS_WIDTH&lt;/key&gt;
+                        &lt;value&gt;7&lt;/value&gt;
+                    &lt;/entry&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;MAX_SLAVE_DATA_WIDTH&lt;/key&gt;
+                        &lt;value&gt;32&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/consumedSystemInfos&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+        &lt;entry&gt;
+            &lt;key&gt;system&lt;/key&gt;
+            &lt;value&gt;
+                &lt;connectionPointName&gt;system&lt;/connectionPointName&gt;
+                &lt;suppliedSystemInfos&gt;
+                    &lt;entry&gt;
+                        &lt;key&gt;CLOCK_RATE&lt;/key&gt;
+                        &lt;value&gt;125000000&lt;/value&gt;
+                    &lt;/entry&gt;
+                &lt;/suppliedSystemInfos&gt;
+                &lt;consumedSystemInfos/&gt;
+            &lt;/value&gt;
+        &lt;/entry&gt;
+    &lt;/connPtSystemInfos&gt;
+&lt;/systemInfosDefinition&gt;</ipxact:value>
+        </ipxact:parameter>
+      </ipxact:parameters>
+    </altera:altera_system_parameters>
+    <altera:altera_interface_boundary>
+      <altera:interface_mapping altera:name="address" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.address" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="clk" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.clk" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="mem" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.mem" altera:type="avalon" altera:dir="end">
+        <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping>
+        <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="read" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.read" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="readdata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.readdata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.reset" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.system" altera:type="clock" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="system_reset" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.system_reset" altera:type="reset" altera:dir="end">
+        <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="write" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.write" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping>
+      </altera:interface_mapping>
+      <altera:interface_mapping altera:name="writedata" altera:internal="qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.writedata" altera:type="conduit" altera:dir="end">
+        <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping>
+      </altera:interface_mapping>
+    </altera:altera_interface_boundary>
+    <altera:altera_has_warnings>false</altera:altera_has_warnings>
+    <altera:altera_has_errors>false</altera:altera_has_errors>
+  </ipxact:vendorExtensions>
+</ipxact:component>
\ No newline at end of file
diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys b/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys
index 39f5d5f8ed1479c10720f85597925dbaa40dadc9..1995aff20a88107325e1c35e4b662f24800afb73 100644
--- a/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys
+++ b/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys
@@ -10,67 +10,67 @@
    tool="QsysPro" />
  <parameter name="bonusData"><![CDATA[bonusData 
 {
-   element avs2_eth_coe_1
+   element avs_eth_0
    {
       datum _sortIndex
       {
-         value = "7";
+         value = "6";
          type = "int";
       }
    }
-   element avs2_eth_coe_1.mms_ram
+   element avs_eth_0.mms_ram
    {
       datum baseAddress
       {
-         value = "106496";
+         value = "110592";
          type = "String";
       }
    }
-   element avs2_eth_coe_1.mms_reg
+   element avs_eth_0.mms_reg
    {
       datum baseAddress
       {
-         value = "12352";
+         value = "118016";
          type = "String";
       }
    }
-   element avs2_eth_coe_1.mms_tse
+   element avs_eth_0.mms_tse
    {
       datum baseAddress
       {
-         value = "4096";
+         value = "8192";
          type = "String";
       }
    }
-   element avs_eth_0
+   element avs_eth_1
    {
       datum _sortIndex
       {
-         value = "6";
+         value = "7";
          type = "int";
       }
    }
-   element avs_eth_0.mms_ram
+   element avs_eth_1.mms_ram
    {
       datum baseAddress
       {
-         value = "110592";
+         value = "106496";
          type = "String";
       }
    }
-   element avs_eth_0.mms_reg
+   element avs_eth_1.mms_reg
    {
       datum baseAddress
       {
-         value = "118016";
+         value = "12352";
          type = "String";
       }
    }
-   element avs_eth_0.mms_tse
+   element avs_eth_1.mms_tse
    {
       datum baseAddress
       {
-         value = "8192";
+         value = "4096";
          type = "String";
       }
    }
@@ -348,6 +348,70 @@
          type = "String";
       }
    }
+   element reg_bsn_monitor_v2_rx_eth_0
+   {
+      datum _sortIndex
+      {
+         value = "61";
+         type = "int";
+      }
+   }
+   element reg_bsn_monitor_v2_rx_eth_0.mem
+   {
+      datum baseAddress
+      {
+         value = "128";
+         type = "String";
+      }
+   }
+   element reg_bsn_monitor_v2_rx_eth_1
+   {
+      datum _sortIndex
+      {
+         value = "56";
+         type = "int";
+      }
+   }
+   element reg_bsn_monitor_v2_rx_eth_1.mem
+   {
+      datum baseAddress
+      {
+         value = "118240";
+         type = "String";
+      }
+   }
+   element reg_bsn_monitor_v2_tx_eth_0
+   {
+      datum _sortIndex
+      {
+         value = "59";
+         type = "int";
+      }
+   }
+   element reg_bsn_monitor_v2_tx_eth_0.mem
+   {
+      datum baseAddress
+      {
+         value = "12416";
+         type = "String";
+      }
+   }
+   element reg_bsn_monitor_v2_tx_eth_1
+   {
+      datum _sortIndex
+      {
+         value = "54";
+         type = "int";
+      }
+   }
+   element reg_bsn_monitor_v2_tx_eth_1.mem
+   {
+      datum baseAddress
+      {
+         value = "118272";
+         type = "String";
+      }
+   }
    element reg_bsn_scheduler
    {
       datum _sortIndex
@@ -396,6 +460,38 @@
          type = "String";
       }
    }
+   element reg_diag_bg_eth_0
+   {
+      datum _sortIndex
+      {
+         value = "52";
+         type = "int";
+      }
+   }
+   element reg_diag_bg_eth_0.mem
+   {
+      datum baseAddress
+      {
+         value = "117376";
+         type = "String";
+      }
+   }
+   element reg_diag_bg_eth_1
+   {
+      datum _sortIndex
+      {
+         value = "63";
+         type = "int";
+      }
+   }
+   element reg_diag_bg_eth_1.mem
+   {
+      datum baseAddress
+      {
+         value = "118208";
+         type = "String";
+      }
+   }
    element reg_diag_data_buffer_10gbe
    {
       datum _sortIndex
@@ -652,151 +748,39 @@
          type = "String";
       }
    }
-   element reg_eth1g_II_bg_ctrl
-   {
-      datum _sortIndex
-      {
-         value = "63";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_bg_ctrl.mem
-   {
-      datum baseAddress
-      {
-         value = "118208";
-         type = "String";
-      }
-   }
-   element reg_eth1g_II_bsn_monitor_v2_rx
-   {
-      datum _sortIndex
-      {
-         value = "56";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_bsn_monitor_v2_rx.mem
-   {
-      datum baseAddress
-      {
-         value = "118240";
-         type = "String";
-      }
-   }
-   element reg_eth1g_II_bsn_monitor_v2_tx
-   {
-      datum _sortIndex
-      {
-         value = "54";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_bsn_monitor_v2_tx.mem
-   {
-      datum baseAddress
-      {
-         value = "118272";
-         type = "String";
-      }
-   }
-   element reg_eth1g_II_hdr_dat
-   {
-      datum _sortIndex
-      {
-         value = "53";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_hdr_dat.mem
-   {
-      datum baseAddress
-      {
-         value = "117248";
-         type = "String";
-      }
-   }
-   element reg_eth1g_II_strobe_total_count_rx
-   {
-      datum _sortIndex
-      {
-         value = "57";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_strobe_total_count_rx.mem
-   {
-      datum baseAddress
-      {
-         value = "116992";
-         type = "String";
-      }
-   }
-   element reg_eth1g_II_strobe_total_count_tx
-   {
-      datum _sortIndex
-      {
-         value = "55";
-         type = "int";
-      }
-   }
-   element reg_eth1g_II_strobe_total_count_tx.mem
-   {
-      datum baseAddress
-      {
-         value = "117120";
-         type = "String";
-      }
-   }
-   element reg_eth1g_I_bg_ctrl
-   {
-      datum _sortIndex
-      {
-         value = "52";
-         type = "int";
-      }
-   }
-   element reg_eth1g_I_bg_ctrl.mem
-   {
-      datum baseAddress
-      {
-         value = "117376";
-         type = "String";
-      }
-   }
-   element reg_eth1g_I_bsn_monitor_v2_rx
+   element reg_fpga_temp_sens
    {
       datum _sortIndex
       {
-         value = "61";
+         value = "8";
          type = "int";
       }
    }
-   element reg_eth1g_I_bsn_monitor_v2_rx.mem
+   element reg_fpga_temp_sens.mem
    {
       datum baseAddress
       {
-         value = "128";
+         value = "118464";
          type = "String";
       }
    }
-   element reg_eth1g_I_bsn_monitor_v2_tx
+   element reg_fpga_voltage_sens
    {
       datum _sortIndex
       {
-         value = "59";
+         value = "19";
          type = "int";
       }
    }
-   element reg_eth1g_I_bsn_monitor_v2_tx.mem
+   element reg_fpga_voltage_sens.mem
    {
       datum baseAddress
       {
-         value = "12416";
+         value = "118144";
          type = "String";
       }
    }
-   element reg_eth1g_I_hdr_dat
+   element reg_hdr_dat_eth_0
    {
       datum _sortIndex
       {
@@ -804,7 +788,7 @@
          type = "int";
       }
    }
-   element reg_eth1g_I_hdr_dat.mem
+   element reg_hdr_dat_eth_0.mem
    {
       datum baseAddress
       {
@@ -812,67 +796,19 @@
          type = "String";
       }
    }
-   element reg_eth1g_I_strobe_total_count_rx
+   element reg_hdr_dat_eth_1
    {
       datum _sortIndex
       {
-         value = "62";
-         type = "int";
-      }
-   }
-   element reg_eth1g_I_strobe_total_count_rx.mem
-   {
-      datum baseAddress
-      {
-         value = "512";
-         type = "String";
-      }
-   }
-   element reg_eth1g_I_strobe_total_count_tx
-   {
-      datum _sortIndex
-      {
-         value = "60";
-         type = "int";
-      }
-   }
-   element reg_eth1g_I_strobe_total_count_tx.mem
-   {
-      datum baseAddress
-      {
-         value = "12800";
-         type = "String";
-      }
-   }
-   element reg_fpga_temp_sens
-   {
-      datum _sortIndex
-      {
-         value = "8";
-         type = "int";
-      }
-   }
-   element reg_fpga_temp_sens.mem
-   {
-      datum baseAddress
-      {
-         value = "118464";
-         type = "String";
-      }
-   }
-   element reg_fpga_voltage_sens
-   {
-      datum _sortIndex
-      {
-         value = "19";
+         value = "53";
          type = "int";
       }
    }
-   element reg_fpga_voltage_sens.mem
+   element reg_hdr_dat_eth_1.mem
    {
       datum baseAddress
       {
-         value = "118144";
+         value = "117248";
          type = "String";
       }
    }
@@ -972,6 +908,70 @@
          type = "String";
       }
    }
+   element reg_strobe_total_count_rx_eth_0
+   {
+      datum _sortIndex
+      {
+         value = "62";
+         type = "int";
+      }
+   }
+   element reg_strobe_total_count_rx_eth_0.mem
+   {
+      datum baseAddress
+      {
+         value = "512";
+         type = "String";
+      }
+   }
+   element reg_strobe_total_count_rx_eth_1
+   {
+      datum _sortIndex
+      {
+         value = "57";
+         type = "int";
+      }
+   }
+   element reg_strobe_total_count_rx_eth_1.mem
+   {
+      datum baseAddress
+      {
+         value = "116992";
+         type = "String";
+      }
+   }
+   element reg_strobe_total_count_tx_eth_0
+   {
+      datum _sortIndex
+      {
+         value = "60";
+         type = "int";
+      }
+   }
+   element reg_strobe_total_count_tx_eth_0.mem
+   {
+      datum baseAddress
+      {
+         value = "12800";
+         type = "String";
+      }
+   }
+   element reg_strobe_total_count_tx_eth_1
+   {
+      datum _sortIndex
+      {
+         value = "55";
+         type = "int";
+      }
+   }
+   element reg_strobe_total_count_tx_eth_1.mem
+   {
+      datum baseAddress
+      {
+         value = "117120";
+         type = "String";
+      }
+   }
    element reg_tr_10GbE_back0
    {
       datum _sortIndex
@@ -1114,193 +1114,193 @@
  <parameter name="useTestBenchNamingPattern" value="false" />
  <instanceScript></instanceScript>
  <interface
-   name="avs2_eth_coe_1_clk"
-   internal="avs2_eth_coe_1.clk"
+   name="avs_eth_0_clk"
+   internal="avs_eth_0.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_irq"
-   internal="avs2_eth_coe_1.irq"
+   name="avs_eth_0_irq"
+   internal="avs_eth_0.irq"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_ram_address"
-   internal="avs2_eth_coe_1.ram_address"
+   name="avs_eth_0_ram_address"
+   internal="avs_eth_0.ram_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_ram_read"
-   internal="avs2_eth_coe_1.ram_read"
+   name="avs_eth_0_ram_read"
+   internal="avs_eth_0.ram_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_ram_readdata"
-   internal="avs2_eth_coe_1.ram_readdata"
+   name="avs_eth_0_ram_readdata"
+   internal="avs_eth_0.ram_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_ram_write"
-   internal="avs2_eth_coe_1.ram_write"
+   name="avs_eth_0_ram_write"
+   internal="avs_eth_0.ram_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_ram_writedata"
-   internal="avs2_eth_coe_1.ram_writedata"
+   name="avs_eth_0_ram_writedata"
+   internal="avs_eth_0.ram_writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reg_address"
-   internal="avs2_eth_coe_1.reg_address"
+   name="avs_eth_0_reg_address"
+   internal="avs_eth_0.reg_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reg_read"
-   internal="avs2_eth_coe_1.reg_read"
+   name="avs_eth_0_reg_read"
+   internal="avs_eth_0.reg_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reg_readdata"
-   internal="avs2_eth_coe_1.reg_readdata"
+   name="avs_eth_0_reg_readdata"
+   internal="avs_eth_0.reg_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reg_write"
-   internal="avs2_eth_coe_1.reg_write"
+   name="avs_eth_0_reg_write"
+   internal="avs_eth_0.reg_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reg_writedata"
-   internal="avs2_eth_coe_1.reg_writedata"
+   name="avs_eth_0_reg_writedata"
+   internal="avs_eth_0.reg_writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_reset"
-   internal="avs2_eth_coe_1.reset"
+   name="avs_eth_0_reset"
+   internal="avs_eth_0.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_address"
-   internal="avs2_eth_coe_1.tse_address"
+   name="avs_eth_0_tse_address"
+   internal="avs_eth_0.tse_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_read"
-   internal="avs2_eth_coe_1.tse_read"
+   name="avs_eth_0_tse_read"
+   internal="avs_eth_0.tse_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_readdata"
-   internal="avs2_eth_coe_1.tse_readdata"
+   name="avs_eth_0_tse_readdata"
+   internal="avs_eth_0.tse_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_waitrequest"
-   internal="avs2_eth_coe_1.tse_waitrequest"
+   name="avs_eth_0_tse_waitrequest"
+   internal="avs_eth_0.tse_waitrequest"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_write"
-   internal="avs2_eth_coe_1.tse_write"
+   name="avs_eth_0_tse_write"
+   internal="avs_eth_0.tse_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs2_eth_coe_1_tse_writedata"
-   internal="avs2_eth_coe_1.tse_writedata"
+   name="avs_eth_0_tse_writedata"
+   internal="avs_eth_0.tse_writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_clk"
-   internal="avs_eth_0.clk"
+   name="avs_eth_1_clk"
+   internal="avs_eth_1.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_irq"
-   internal="avs_eth_0.irq"
+   name="avs_eth_1_irq"
+   internal="avs_eth_1.irq"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_ram_address"
-   internal="avs_eth_0.ram_address"
+   name="avs_eth_1_ram_address"
+   internal="avs_eth_1.ram_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_ram_read"
-   internal="avs_eth_0.ram_read"
+   name="avs_eth_1_ram_read"
+   internal="avs_eth_1.ram_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_ram_readdata"
-   internal="avs_eth_0.ram_readdata"
+   name="avs_eth_1_ram_readdata"
+   internal="avs_eth_1.ram_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_ram_write"
-   internal="avs_eth_0.ram_write"
+   name="avs_eth_1_ram_write"
+   internal="avs_eth_1.ram_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_ram_writedata"
-   internal="avs_eth_0.ram_writedata"
+   name="avs_eth_1_ram_writedata"
+   internal="avs_eth_1.ram_writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reg_address"
-   internal="avs_eth_0.reg_address"
+   name="avs_eth_1_reg_address"
+   internal="avs_eth_1.reg_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reg_read"
-   internal="avs_eth_0.reg_read"
+   name="avs_eth_1_reg_read"
+   internal="avs_eth_1.reg_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reg_readdata"
-   internal="avs_eth_0.reg_readdata"
+   name="avs_eth_1_reg_readdata"
+   internal="avs_eth_1.reg_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reg_write"
-   internal="avs_eth_0.reg_write"
+   name="avs_eth_1_reg_write"
+   internal="avs_eth_1.reg_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reg_writedata"
-   internal="avs_eth_0.reg_writedata"
+   name="avs_eth_1_reg_writedata"
+   internal="avs_eth_1.reg_writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_reset"
-   internal="avs_eth_0.reset"
+   name="avs_eth_1_reset"
+   internal="avs_eth_1.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_address"
-   internal="avs_eth_0.tse_address"
+   name="avs_eth_1_tse_address"
+   internal="avs_eth_1.tse_address"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_read"
-   internal="avs_eth_0.tse_read"
+   name="avs_eth_1_tse_read"
+   internal="avs_eth_1.tse_read"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_readdata"
-   internal="avs_eth_0.tse_readdata"
+   name="avs_eth_1_tse_readdata"
+   internal="avs_eth_1.tse_readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_waitrequest"
-   internal="avs_eth_0.tse_waitrequest"
+   name="avs_eth_1_tse_waitrequest"
+   internal="avs_eth_1.tse_waitrequest"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_write"
-   internal="avs_eth_0.tse_write"
+   name="avs_eth_1_tse_write"
+   internal="avs_eth_1.tse_write"
    type="conduit"
    dir="end" />
  <interface
-   name="avs_eth_0_tse_writedata"
-   internal="avs_eth_0.tse_writedata"
+   name="avs_eth_1_tse_writedata"
+   internal="avs_eth_1.tse_writedata"
    type="conduit"
    dir="end" />
  <interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
@@ -1717,6 +1717,141 @@
    internal="reg_bsn_monitor_input.writedata"
    type="conduit"
    dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_address"
+   internal="reg_bsn_monitor_v2_rx_eth_0.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_clk"
+   internal="reg_bsn_monitor_v2_rx_eth_0.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_read"
+   internal="reg_bsn_monitor_v2_rx_eth_0.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_readdata"
+   internal="reg_bsn_monitor_v2_rx_eth_0.readdata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_reset"
+   internal="reg_bsn_monitor_v2_rx_eth_0.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_write"
+   internal="reg_bsn_monitor_v2_rx_eth_0.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_0_writedata"
+   internal="reg_bsn_monitor_v2_rx_eth_0.writedata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_address"
+   internal="reg_bsn_monitor_v2_rx_eth_1.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_clk"
+   internal="reg_bsn_monitor_v2_rx_eth_1.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_read"
+   internal="reg_bsn_monitor_v2_rx_eth_1.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_readdata"
+   internal="reg_bsn_monitor_v2_rx_eth_1.readdata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_reset"
+   internal="reg_bsn_monitor_v2_rx_eth_1.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_write"
+   internal="reg_bsn_monitor_v2_rx_eth_1.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_rx_eth_1_writedata"
+   internal="reg_bsn_monitor_v2_rx_eth_1.writedata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_address"
+   internal="reg_bsn_monitor_v2_tx_eth_0.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_clk"
+   internal="reg_bsn_monitor_v2_tx_eth_0.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_read"
+   internal="reg_bsn_monitor_v2_tx_eth_0.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_reset"
+   internal="reg_bsn_monitor_v2_tx_eth_0.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_write"
+   internal="reg_bsn_monitor_v2_tx_eth_0.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_0_writedata"
+   internal="reg_bsn_monitor_v2_tx_eth_0.writedata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_address"
+   internal="reg_bsn_monitor_v2_tx_eth_1.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_clk"
+   internal="reg_bsn_monitor_v2_tx_eth_1.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_read"
+   internal="reg_bsn_monitor_v2_tx_eth_1.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_readdata"
+   internal="reg_bsn_monitor_v2_tx_eth_1.readdata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_reset"
+   internal="reg_bsn_monitor_v2_tx_eth_1.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_write"
+   internal="reg_bsn_monitor_v2_tx_eth_1.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_bsn_monitor_v2_tx_eth_1_writedata"
+   internal="reg_bsn_monitor_v2_tx_eth_1.writedata"
+   type="conduit"
+   dir="end" />
  <interface
    name="reg_bsn_scheduler_address"
    internal="reg_bsn_scheduler.address"
@@ -1822,6 +1957,76 @@
    internal="reg_diag_bg_10gbe.writedata"
    type="conduit"
    dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_address"
+   internal="reg_diag_bg_eth_0.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_clk"
+   internal="reg_diag_bg_eth_0.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_read"
+   internal="reg_diag_bg_eth_0.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_readdata"
+   internal="reg_diag_bg_eth_0.readdata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_reset"
+   internal="reg_diag_bg_eth_0.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_write"
+   internal="reg_diag_bg_eth_0.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_0_writedata"
+   internal="reg_diag_bg_eth_0.writedata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_address"
+   internal="reg_diag_bg_eth_1.address"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_clk"
+   internal="reg_diag_bg_eth_1.clk"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_read"
+   internal="reg_diag_bg_eth_1.read"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_readdata"
+   internal="reg_diag_bg_eth_1.readdata"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_reset"
+   internal="reg_diag_bg_eth_1.reset"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_write"
+   internal="reg_diag_bg_eth_1.write"
+   type="conduit"
+   dir="end" />
+ <interface
+   name="reg_diag_bg_eth_1_writedata"
+   internal="reg_diag_bg_eth_1.writedata"
+   type="conduit"
+   dir="end" />
  <interface
    name="reg_diag_data_buffer_10gbe_address"
    internal="reg_diag_data_buffer_10gbe.address"
@@ -2379,699 +2584,494 @@
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_i_bg_ctrl_address"
-   internal="reg_eth1g_I_bg_ctrl.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bg_ctrl_clk"
-   internal="reg_eth1g_I_bg_ctrl.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bg_ctrl_read"
-   internal="reg_eth1g_I_bg_ctrl.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bg_ctrl_readdata"
-   internal="reg_eth1g_I_bg_ctrl.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bg_ctrl_reset"
-   internal="reg_eth1g_I_bg_ctrl.reset"
+   name="reg_bsn_monitor_v2_tx_eth_0_readdata"
+   internal="reg_bsn_monitor_v2_tx_eth_0.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_i_bg_ctrl_write"
-   internal="reg_eth1g_I_bg_ctrl.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bg_ctrl_writedata"
-   internal="reg_eth1g_I_bg_ctrl.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_address"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_clk"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_read"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_readdata"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_reset"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.reset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_write"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_rx_writedata"
-   internal="reg_eth1g_I_bsn_monitor_v2_rx.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_address"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_clk"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_read"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_readdata"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_reset"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.reset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_write"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_bsn_monitor_v2_tx_writedata"
-   internal="reg_eth1g_I_bsn_monitor_v2_tx.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_address"
-   internal="reg_eth1g_I_hdr_dat.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_clk"
-   internal="reg_eth1g_I_hdr_dat.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_read"
-   internal="reg_eth1g_I_hdr_dat.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_readdata"
-   internal="reg_eth1g_I_hdr_dat.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_reset"
-   internal="reg_eth1g_I_hdr_dat.reset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_write"
-   internal="reg_eth1g_I_hdr_dat.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_hdr_dat_writedata"
-   internal="reg_eth1g_I_hdr_dat.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_address"
-   internal="reg_eth1g_I_strobe_total_count_rx.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_clk"
-   internal="reg_eth1g_I_strobe_total_count_rx.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_read"
-   internal="reg_eth1g_I_strobe_total_count_rx.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_readdata"
-   internal="reg_eth1g_I_strobe_total_count_rx.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_reset"
-   internal="reg_eth1g_I_strobe_total_count_rx.reset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_write"
-   internal="reg_eth1g_I_strobe_total_count_rx.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_rx_writedata"
-   internal="reg_eth1g_I_strobe_total_count_rx.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_address"
-   internal="reg_eth1g_I_strobe_total_count_tx.address"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_clk"
-   internal="reg_eth1g_I_strobe_total_count_tx.clk"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_read"
-   internal="reg_eth1g_I_strobe_total_count_tx.read"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_readdata"
-   internal="reg_eth1g_I_strobe_total_count_tx.readdata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_reset"
-   internal="reg_eth1g_I_strobe_total_count_tx.reset"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_write"
-   internal="reg_eth1g_I_strobe_total_count_tx.write"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_i_strobe_total_count_tx_writedata"
-   internal="reg_eth1g_I_strobe_total_count_tx.writedata"
-   type="conduit"
-   dir="end" />
- <interface
-   name="reg_eth1g_ii_bg_ctrl_address"
-   internal="reg_eth1g_II_bg_ctrl.address"
+   name="reg_fpga_temp_sens_address"
+   internal="reg_fpga_temp_sens.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_clk"
-   internal="reg_eth1g_II_bg_ctrl.clk"
+   name="reg_fpga_temp_sens_clk"
+   internal="reg_fpga_temp_sens.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_read"
-   internal="reg_eth1g_II_bg_ctrl.read"
+   name="reg_fpga_temp_sens_read"
+   internal="reg_fpga_temp_sens.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_readdata"
-   internal="reg_eth1g_II_bg_ctrl.readdata"
+   name="reg_fpga_temp_sens_readdata"
+   internal="reg_fpga_temp_sens.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_reset"
-   internal="reg_eth1g_II_bg_ctrl.reset"
+   name="reg_fpga_temp_sens_reset"
+   internal="reg_fpga_temp_sens.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_write"
-   internal="reg_eth1g_II_bg_ctrl.write"
+   name="reg_fpga_temp_sens_write"
+   internal="reg_fpga_temp_sens.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bg_ctrl_writedata"
-   internal="reg_eth1g_II_bg_ctrl.writedata"
+   name="reg_fpga_temp_sens_writedata"
+   internal="reg_fpga_temp_sens.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_address"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.address"
+   name="reg_fpga_voltage_sens_address"
+   internal="reg_fpga_voltage_sens.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_clk"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.clk"
+   name="reg_fpga_voltage_sens_clk"
+   internal="reg_fpga_voltage_sens.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_read"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.read"
+   name="reg_fpga_voltage_sens_read"
+   internal="reg_fpga_voltage_sens.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_readdata"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.readdata"
+   name="reg_fpga_voltage_sens_readdata"
+   internal="reg_fpga_voltage_sens.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_reset"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.reset"
+   name="reg_fpga_voltage_sens_reset"
+   internal="reg_fpga_voltage_sens.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_write"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.write"
+   name="reg_fpga_voltage_sens_write"
+   internal="reg_fpga_voltage_sens.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_rx_writedata"
-   internal="reg_eth1g_II_bsn_monitor_v2_rx.writedata"
+   name="reg_fpga_voltage_sens_writedata"
+   internal="reg_fpga_voltage_sens.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_address"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.address"
+   name="reg_hdr_dat_eth_0_address"
+   internal="reg_hdr_dat_eth_0.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_clk"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.clk"
+   name="reg_hdr_dat_eth_0_clk"
+   internal="reg_hdr_dat_eth_0.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_read"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.read"
+   name="reg_hdr_dat_eth_0_read"
+   internal="reg_hdr_dat_eth_0.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_readdata"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.readdata"
+   name="reg_hdr_dat_eth_0_readdata"
+   internal="reg_hdr_dat_eth_0.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_reset"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.reset"
+   name="reg_hdr_dat_eth_0_reset"
+   internal="reg_hdr_dat_eth_0.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_write"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.write"
+   name="reg_hdr_dat_eth_0_write"
+   internal="reg_hdr_dat_eth_0.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_bsn_monitor_v2_tx_writedata"
-   internal="reg_eth1g_II_bsn_monitor_v2_tx.writedata"
+   name="reg_hdr_dat_eth_0_writedata"
+   internal="reg_hdr_dat_eth_0.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_address"
-   internal="reg_eth1g_II_hdr_dat.address"
+   name="reg_hdr_dat_eth_1_address"
+   internal="reg_hdr_dat_eth_1.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_clk"
-   internal="reg_eth1g_II_hdr_dat.clk"
+   name="reg_hdr_dat_eth_1_clk"
+   internal="reg_hdr_dat_eth_1.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_read"
-   internal="reg_eth1g_II_hdr_dat.read"
+   name="reg_hdr_dat_eth_1_read"
+   internal="reg_hdr_dat_eth_1.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_readdata"
-   internal="reg_eth1g_II_hdr_dat.readdata"
+   name="reg_hdr_dat_eth_1_readdata"
+   internal="reg_hdr_dat_eth_1.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_reset"
-   internal="reg_eth1g_II_hdr_dat.reset"
+   name="reg_hdr_dat_eth_1_reset"
+   internal="reg_hdr_dat_eth_1.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_write"
-   internal="reg_eth1g_II_hdr_dat.write"
+   name="reg_hdr_dat_eth_1_write"
+   internal="reg_hdr_dat_eth_1.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_hdr_dat_writedata"
-   internal="reg_eth1g_II_hdr_dat.writedata"
+   name="reg_hdr_dat_eth_1_writedata"
+   internal="reg_hdr_dat_eth_1.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_address"
-   internal="reg_eth1g_II_strobe_total_count_rx.address"
+   name="reg_heater_address"
+   internal="reg_heater.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_clk"
-   internal="reg_eth1g_II_strobe_total_count_rx.clk"
+   name="reg_heater_clk"
+   internal="reg_heater.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_read"
-   internal="reg_eth1g_II_strobe_total_count_rx.read"
+   name="reg_heater_read"
+   internal="reg_heater.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_readdata"
-   internal="reg_eth1g_II_strobe_total_count_rx.readdata"
+   name="reg_heater_readdata"
+   internal="reg_heater.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_reset"
-   internal="reg_eth1g_II_strobe_total_count_rx.reset"
+   name="reg_heater_reset"
+   internal="reg_heater.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_write"
-   internal="reg_eth1g_II_strobe_total_count_rx.write"
+   name="reg_heater_write"
+   internal="reg_heater.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_rx_writedata"
-   internal="reg_eth1g_II_strobe_total_count_rx.writedata"
+   name="reg_heater_writedata"
+   internal="reg_heater.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_address"
-   internal="reg_eth1g_II_strobe_total_count_tx.address"
+   name="reg_io_ddr_mb_i_address"
+   internal="reg_io_ddr_MB_I.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_clk"
-   internal="reg_eth1g_II_strobe_total_count_tx.clk"
+   name="reg_io_ddr_mb_i_clk"
+   internal="reg_io_ddr_MB_I.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_read"
-   internal="reg_eth1g_II_strobe_total_count_tx.read"
+   name="reg_io_ddr_mb_i_read"
+   internal="reg_io_ddr_MB_I.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_readdata"
-   internal="reg_eth1g_II_strobe_total_count_tx.readdata"
+   name="reg_io_ddr_mb_i_readdata"
+   internal="reg_io_ddr_MB_I.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_reset"
-   internal="reg_eth1g_II_strobe_total_count_tx.reset"
+   name="reg_io_ddr_mb_i_reset"
+   internal="reg_io_ddr_MB_I.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_write"
-   internal="reg_eth1g_II_strobe_total_count_tx.write"
+   name="reg_io_ddr_mb_i_write"
+   internal="reg_io_ddr_MB_I.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_eth1g_ii_strobe_total_count_tx_writedata"
-   internal="reg_eth1g_II_strobe_total_count_tx.writedata"
+   name="reg_io_ddr_mb_i_writedata"
+   internal="reg_io_ddr_MB_I.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_address"
-   internal="reg_fpga_temp_sens.address"
+   name="reg_io_ddr_mb_ii_address"
+   internal="reg_io_ddr_MB_II.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_clk"
-   internal="reg_fpga_temp_sens.clk"
+   name="reg_io_ddr_mb_ii_clk"
+   internal="reg_io_ddr_MB_II.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_read"
-   internal="reg_fpga_temp_sens.read"
+   name="reg_io_ddr_mb_ii_read"
+   internal="reg_io_ddr_MB_II.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_readdata"
-   internal="reg_fpga_temp_sens.readdata"
+   name="reg_io_ddr_mb_ii_readdata"
+   internal="reg_io_ddr_MB_II.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_reset"
-   internal="reg_fpga_temp_sens.reset"
+   name="reg_io_ddr_mb_ii_reset"
+   internal="reg_io_ddr_MB_II.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_write"
-   internal="reg_fpga_temp_sens.write"
+   name="reg_io_ddr_mb_ii_write"
+   internal="reg_io_ddr_MB_II.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_temp_sens_writedata"
-   internal="reg_fpga_temp_sens.writedata"
+   name="reg_io_ddr_mb_ii_writedata"
+   internal="reg_io_ddr_MB_II.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_address"
-   internal="reg_fpga_voltage_sens.address"
+   name="reg_mmdp_ctrl_address"
+   internal="reg_mmdp_ctrl.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_clk"
-   internal="reg_fpga_voltage_sens.clk"
+   name="reg_mmdp_ctrl_clk"
+   internal="reg_mmdp_ctrl.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_read"
-   internal="reg_fpga_voltage_sens.read"
+   name="reg_mmdp_ctrl_read"
+   internal="reg_mmdp_ctrl.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_readdata"
-   internal="reg_fpga_voltage_sens.readdata"
+   name="reg_mmdp_ctrl_readdata"
+   internal="reg_mmdp_ctrl.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_reset"
-   internal="reg_fpga_voltage_sens.reset"
+   name="reg_mmdp_ctrl_reset"
+   internal="reg_mmdp_ctrl.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_write"
-   internal="reg_fpga_voltage_sens.write"
+   name="reg_mmdp_ctrl_write"
+   internal="reg_mmdp_ctrl.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_fpga_voltage_sens_writedata"
-   internal="reg_fpga_voltage_sens.writedata"
+   name="reg_mmdp_ctrl_writedata"
+   internal="reg_mmdp_ctrl.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_address"
-   internal="reg_heater.address"
+   name="reg_mmdp_data_address"
+   internal="reg_mmdp_data.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_clk"
-   internal="reg_heater.clk"
+   name="reg_mmdp_data_clk"
+   internal="reg_mmdp_data.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_read"
-   internal="reg_heater.read"
+   name="reg_mmdp_data_read"
+   internal="reg_mmdp_data.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_readdata"
-   internal="reg_heater.readdata"
+   name="reg_mmdp_data_readdata"
+   internal="reg_mmdp_data.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_reset"
-   internal="reg_heater.reset"
+   name="reg_mmdp_data_reset"
+   internal="reg_mmdp_data.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_write"
-   internal="reg_heater.write"
+   name="reg_mmdp_data_write"
+   internal="reg_mmdp_data.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_heater_writedata"
-   internal="reg_heater.writedata"
+   name="reg_mmdp_data_writedata"
+   internal="reg_mmdp_data.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_address"
-   internal="reg_io_ddr_MB_I.address"
+   name="reg_remu_address"
+   internal="reg_remu.address"
    type="conduit"
    dir="end" />
+ <interface name="reg_remu_clk" internal="reg_remu.clk" type="conduit" dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_clk"
-   internal="reg_io_ddr_MB_I.clk"
+   name="reg_remu_read"
+   internal="reg_remu.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_read"
-   internal="reg_io_ddr_MB_I.read"
+   name="reg_remu_readdata"
+   internal="reg_remu.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_readdata"
-   internal="reg_io_ddr_MB_I.readdata"
+   name="reg_remu_reset"
+   internal="reg_remu.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_reset"
-   internal="reg_io_ddr_MB_I.reset"
+   name="reg_remu_write"
+   internal="reg_remu.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_write"
-   internal="reg_io_ddr_MB_I.write"
+   name="reg_remu_writedata"
+   internal="reg_remu.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_i_writedata"
-   internal="reg_io_ddr_MB_I.writedata"
+   name="reg_strobe_total_count_rx_eth_0_address"
+   internal="reg_strobe_total_count_rx_eth_0.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_address"
-   internal="reg_io_ddr_MB_II.address"
+   name="reg_strobe_total_count_rx_eth_0_clk"
+   internal="reg_strobe_total_count_rx_eth_0.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_clk"
-   internal="reg_io_ddr_MB_II.clk"
+   name="reg_strobe_total_count_rx_eth_0_read"
+   internal="reg_strobe_total_count_rx_eth_0.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_read"
-   internal="reg_io_ddr_MB_II.read"
+   name="reg_strobe_total_count_rx_eth_0_readdata"
+   internal="reg_strobe_total_count_rx_eth_0.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_readdata"
-   internal="reg_io_ddr_MB_II.readdata"
+   name="reg_strobe_total_count_rx_eth_0_reset"
+   internal="reg_strobe_total_count_rx_eth_0.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_reset"
-   internal="reg_io_ddr_MB_II.reset"
+   name="reg_strobe_total_count_rx_eth_0_write"
+   internal="reg_strobe_total_count_rx_eth_0.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_write"
-   internal="reg_io_ddr_MB_II.write"
+   name="reg_strobe_total_count_rx_eth_0_writedata"
+   internal="reg_strobe_total_count_rx_eth_0.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_io_ddr_mb_ii_writedata"
-   internal="reg_io_ddr_MB_II.writedata"
+   name="reg_strobe_total_count_rx_eth_1_address"
+   internal="reg_strobe_total_count_rx_eth_1.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_address"
-   internal="reg_mmdp_ctrl.address"
+   name="reg_strobe_total_count_rx_eth_1_clk"
+   internal="reg_strobe_total_count_rx_eth_1.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_clk"
-   internal="reg_mmdp_ctrl.clk"
+   name="reg_strobe_total_count_rx_eth_1_read"
+   internal="reg_strobe_total_count_rx_eth_1.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_read"
-   internal="reg_mmdp_ctrl.read"
+   name="reg_strobe_total_count_rx_eth_1_readdata"
+   internal="reg_strobe_total_count_rx_eth_1.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_readdata"
-   internal="reg_mmdp_ctrl.readdata"
+   name="reg_strobe_total_count_rx_eth_1_reset"
+   internal="reg_strobe_total_count_rx_eth_1.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_reset"
-   internal="reg_mmdp_ctrl.reset"
+   name="reg_strobe_total_count_rx_eth_1_write"
+   internal="reg_strobe_total_count_rx_eth_1.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_write"
-   internal="reg_mmdp_ctrl.write"
+   name="reg_strobe_total_count_rx_eth_1_writedata"
+   internal="reg_strobe_total_count_rx_eth_1.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_ctrl_writedata"
-   internal="reg_mmdp_ctrl.writedata"
+   name="reg_strobe_total_count_tx_eth_0_address"
+   internal="reg_strobe_total_count_tx_eth_0.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_address"
-   internal="reg_mmdp_data.address"
+   name="reg_strobe_total_count_tx_eth_0_clk"
+   internal="reg_strobe_total_count_tx_eth_0.clk"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_clk"
-   internal="reg_mmdp_data.clk"
+   name="reg_strobe_total_count_tx_eth_0_read"
+   internal="reg_strobe_total_count_tx_eth_0.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_read"
-   internal="reg_mmdp_data.read"
+   name="reg_strobe_total_count_tx_eth_0_readdata"
+   internal="reg_strobe_total_count_tx_eth_0.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_readdata"
-   internal="reg_mmdp_data.readdata"
+   name="reg_strobe_total_count_tx_eth_0_reset"
+   internal="reg_strobe_total_count_tx_eth_0.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_reset"
-   internal="reg_mmdp_data.reset"
+   name="reg_strobe_total_count_tx_eth_0_write"
+   internal="reg_strobe_total_count_tx_eth_0.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_write"
-   internal="reg_mmdp_data.write"
+   name="reg_strobe_total_count_tx_eth_0_writedata"
+   internal="reg_strobe_total_count_tx_eth_0.writedata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_mmdp_data_writedata"
-   internal="reg_mmdp_data.writedata"
+   name="reg_strobe_total_count_tx_eth_1_address"
+   internal="reg_strobe_total_count_tx_eth_1.address"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_remu_address"
-   internal="reg_remu.address"
+   name="reg_strobe_total_count_tx_eth_1_clk"
+   internal="reg_strobe_total_count_tx_eth_1.clk"
    type="conduit"
    dir="end" />
- <interface name="reg_remu_clk" internal="reg_remu.clk" type="conduit" dir="end" />
  <interface
-   name="reg_remu_read"
-   internal="reg_remu.read"
+   name="reg_strobe_total_count_tx_eth_1_read"
+   internal="reg_strobe_total_count_tx_eth_1.read"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_remu_readdata"
-   internal="reg_remu.readdata"
+   name="reg_strobe_total_count_tx_eth_1_readdata"
+   internal="reg_strobe_total_count_tx_eth_1.readdata"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_remu_reset"
-   internal="reg_remu.reset"
+   name="reg_strobe_total_count_tx_eth_1_reset"
+   internal="reg_strobe_total_count_tx_eth_1.reset"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_remu_write"
-   internal="reg_remu.write"
+   name="reg_strobe_total_count_tx_eth_1_write"
+   internal="reg_strobe_total_count_tx_eth_1.write"
    type="conduit"
    dir="end" />
  <interface
-   name="reg_remu_writedata"
-   internal="reg_remu.writedata"
+   name="reg_strobe_total_count_tx_eth_1_writedata"
+   internal="reg_strobe_total_count_tx_eth_1.writedata"
    type="conduit"
    dir="end" />
  <interface
@@ -3258,7 +3258,7 @@
    type="conduit"
    dir="end" />
  <module
-   name="avs2_eth_coe_1"
+   name="avs_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -4059,7 +4059,7 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedAddressablePoint</key>
-                            <value>avs2_eth_coe_1.mms_reg</value>
+                            <value>avs_eth_0.mms_reg</value>
                         </entry>
                         <entry>
                             <key>associatedClock</key>
@@ -5565,7 +5565,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>avs2_eth_coe_1.mms_reg</value>
+                        <value>avs_eth_0.mms_reg</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
@@ -6200,37 +6200,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_avs2_eth_coe_1</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_avs2_eth_coe_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_avs2_eth_coe_1.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_avs2_eth_coe_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="avs_eth_0"
+   name="avs_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -7031,7 +7031,7 @@
                     <parameterValueMap>
                         <entry>
                             <key>associatedAddressablePoint</key>
-                            <value>avs_eth_0.mms_reg</value>
+                            <value>avs_eth_1.mms_reg</value>
                         </entry>
                         <entry>
                             <key>associatedClock</key>
@@ -8537,7 +8537,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>avs_eth_0.mms_reg</value>
+                        <value>avs_eth_1.mms_reg</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
@@ -9172,30 +9172,30 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_avs2_eth_coe_0</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_avs2_eth_coe_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_avs2_eth_coe_0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_avs2_eth_coe_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_avs2_eth_coe_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_avs2_eth_coe_0.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_avs2_eth_coe_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -10780,11 +10780,11 @@
                     <consumedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value></value>
+                            <value>&lt;address-map&gt;&lt;slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_eth_0.mem' start='0x80' end='0x100' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_10gbe.mem' start='0x100' end='0x200' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_rx_eth_0.mem' start='0x200' end='0x400' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_input.mem' start='0x400' end='0x800' datawidth='32' /&gt;&lt;slave name='avs_eth_1.mms_tse' start='0x1000' end='0x2000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /&gt;&lt;slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /&gt;&lt;slave name='pio_jesd_ctrl.mem' start='0x3008' end='0x3010' datawidth='32' /&gt;&lt;slave name='pio_wdi.s1' start='0x3010' end='0x3020' datawidth='32' /&gt;&lt;slave name='timer_0.s1' start='0x3020' end='0x3040' datawidth='16' /&gt;&lt;slave name='avs_eth_1.mms_reg' start='0x3040' end='0x3080' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_tx_eth_0.mem' start='0x3080' end='0x3100' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back1.mem' start='0x3100' end='0x3200' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_tx_eth_0.mem' start='0x3200' end='0x3400' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat_eth_0.mem' start='0x3400' end='0x3600' datawidth='32' /&gt;&lt;slave name='reg_eth10g_qsfp_ring.mem' start='0x3600' end='0x3800' datawidth='32' /&gt;&lt;slave name='ram_scrap.mem' start='0x3800' end='0x4000' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_bsn.mem' start='0x4000' end='0x8000' datawidth='32' /&gt;&lt;slave name='jesd204b.mem' start='0x8000' end='0xC000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_II.mem' start='0xC000' end='0xE000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_ddr_MB_I.mem' start='0xE000' end='0x10000' datawidth='32' /&gt;&lt;slave name='rom_system_info.mem' start='0x10000' end='0x18000' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_10GbE.mem' start='0x18000' end='0x1A000' datawidth='32' /&gt;&lt;slave name='avs_eth_1.mms_ram' start='0x1A000' end='0x1B000' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_ram' start='0x1B000' end='0x1C000' datawidth='32' /&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&gt;&lt;slave name='reg_eth10g_back0.mem' start='0x1C800' end='0x1C900' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_rx_eth_1.mem' start='0x1C900' end='0x1C980' datawidth='32' /&gt;&lt;slave name='reg_strobe_total_count_tx_eth_1.mem' start='0x1C980' end='0x1CA00' datawidth='32' /&gt;&lt;slave name='reg_hdr_dat_eth_1.mem' start='0x1CA00' end='0x1CA80' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_eth_0.mem' start='0x1CA80' end='0x1CB00' datawidth='32' /&gt;&lt;slave name='reg_heater.mem' start='0x1CB00' end='0x1CB80' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_II.mem' start='0x1CB80' end='0x1CC00' datawidth='32' /&gt;&lt;slave name='reg_diag_data_buffer_ddr_MB_I.mem' start='0x1CC00' end='0x1CC80' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_10gbe.mem' start='0x1CC80' end='0x1CD00' datawidth='32' /&gt;&lt;slave name='avs_eth_0.mms_reg' start='0x1CD00' end='0x1CD40' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_10gbe.mem' start='0x1CD40' end='0x1CD80' datawidth='32' /&gt;&lt;slave name='reg_fpga_voltage_sens.mem' start='0x1CD80' end='0x1CDC0' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_eth_1.mem' start='0x1CDC0' end='0x1CDE0' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_rx_eth_1.mem' start='0x1CDE0' end='0x1CE00' datawidth='32' /&gt;&lt;slave name='reg_bsn_monitor_v2_tx_eth_1.mem' start='0x1CE00' end='0x1CE20' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_II.mem' start='0x1CE20' end='0x1CE40' datawidth='32' /&gt;&lt;slave name='reg_diag_rx_seq_ddr_MB_I.mem' start='0x1CE40' end='0x1CE60' datawidth='32' /&gt;&lt;slave name='reg_diag_bg_10gbe.mem' start='0x1CE60' end='0x1CE80' datawidth='32' /&gt;&lt;slave name='reg_epcs.mem' start='0x1CE80' end='0x1CEA0' datawidth='32' /&gt;&lt;slave name='reg_remu.mem' start='0x1CEA0' end='0x1CEC0' datawidth='32' /&gt;&lt;slave name='reg_fpga_temp_sens.mem' start='0x1CEC0' end='0x1CEE0' datawidth='32' /&gt;&lt;slave name='reg_bsn_source.mem' start='0x1CEE0' end='0x1CEF0' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_II.mem' start='0x1CEF0' end='0x1CF00' datawidth='32' /&gt;&lt;slave name='reg_diag_tx_seq_ddr_MB_I.mem' start='0x1CF00' end='0x1CF10' datawidth='32' /&gt;&lt;slave name='pio_pps.mem' start='0x1CF10' end='0x1CF20' datawidth='32' /&gt;&lt;slave name='reg_bsn_scheduler.mem' start='0x1CF20' end='0x1CF28' datawidth='32' /&gt;&lt;slave name='reg_mmdp_data.mem' start='0x1CF28' end='0x1CF30' datawidth='32' /&gt;&lt;slave name='reg_mmdp_ctrl.mem' start='0x1CF30' end='0x1CF38' datawidth='32' /&gt;&lt;slave name='reg_dpmm_data.mem' start='0x1CF38' end='0x1CF40' datawidth='32' /&gt;&lt;slave name='reg_dpmm_ctrl.mem' start='0x1CF40' end='0x1CF48' datawidth='32' /&gt;&lt;slave name='jtag_uart_0.avalon_jtag_slave' start='0x1CF48' end='0x1CF50' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_II.mem' start='0x40000' end='0x80000' datawidth='32' /&gt;&lt;slave name='ram_diag_bg_10gbe.mem' start='0x80000' end='0x100000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back1.mem' start='0x100000' end='0x200000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_qsfp_ring.mem' start='0x200000' end='0x400000' datawidth='32' /&gt;&lt;slave name='reg_tr_10GbE_back0.mem' start='0x400000' end='0x500000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_10gbe.mem' start='0x500000' end='0x580000' datawidth='32' /&gt;&lt;slave name='reg_io_ddr_MB_I.mem' start='0x580000' end='0x5C0000' datawidth='32' /&gt;&lt;slave name='ram_diag_data_buffer_bsn.mem' start='0x800000' end='0x1000000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>1</value>
+                            <value>24</value>
                         </entry>
                     </consumedSystemInfos>
                 </value>
@@ -10818,11 +10818,11 @@
                     <consumedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value></value>
+                            <value>&lt;address-map&gt;&lt;slave name='cpu_0.debug_mem_slave' start='0x1C000' end='0x1C800' datawidth='32' /&gt;&lt;slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>1</value>
+                            <value>18</value>
                         </entry>
                     </consumedSystemInfos>
                 </value>
@@ -11336,7 +11336,7 @@
                 <parameterValueMap>
                     <entry>
                         <key>associatedAddressablePoint</key>
-                        <value>nios2_gen2_0.data_master</value>
+                        <value>cpu_0.data_master</value>
                     </entry>
                     <entry>
                         <key>associatedClock</key>
@@ -11469,9 +11469,21 @@
                         <key>embeddedsw.configuration.hideDevice</key>
                         <value>1</value>
                     </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isFlash</key>
+                        <value>0</value>
+                    </entry>
                     <entry>
                         <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>true</value>
+                        <value>1</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isNonVolatileStorage</key>
+                        <value>0</value>
+                    </entry>
+                    <entry>
+                        <key>embeddedsw.configuration.isPrintableDevice</key>
+                        <value>0</value>
                     </entry>
                     <entry>
                         <key>qsys.ui.connect</key>
@@ -28595,7 +28607,7 @@
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_bsn_scheduler"
+   name="reg_bsn_monitor_v2_rx_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -28674,7 +28686,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -28743,7 +28755,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>8</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -28972,7 +28984,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -29150,11 +29162,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>3</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -29254,7 +29266,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -29293,21 +29305,17 @@
             </ports>
             <assignments>
                 <assignmentValueMap>
-                    <entry>
-                        <key>embeddedsw.configuration.isFlash</key>
-                        <value>0</value>
-                    </entry>
                     <entry>
                         <key>embeddedsw.configuration.isMemoryDevice</key>
-                        <value>0</value>
+                        <value>false</value>
                     </entry>
                     <entry>
                         <key>embeddedsw.configuration.isNonVolatileStorage</key>
-                        <value>0</value>
+                        <value>false</value>
                     </entry>
                     <entry>
                         <key>embeddedsw.configuration.isPrintableDevice</key>
-                        <value>0</value>
+                        <value>false</value>
                     </entry>
                 </assignmentValueMap>
             </assignments>
@@ -29323,7 +29331,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>8</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -29347,7 +29355,6 @@
                     </entry>
                     <entry>
                         <key>bridgedAddressOffset</key>
-                        <value>0</value>
                     </entry>
                     <entry>
                         <key>bridgesToMaster</key>
@@ -29552,7 +29559,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -29706,37 +29713,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_bsn_scheduler</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_scheduler.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_bsn_source"
+   name="reg_bsn_monitor_v2_rx_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -29815,7 +29822,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>2</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -29884,7 +29891,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>16</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -30113,7 +30120,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>2</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -30291,11 +30298,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>4</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -30395,7 +30402,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>2</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -30464,7 +30471,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>16</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -30693,7 +30700,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>2</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -30847,37 +30854,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_bsn_source</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_source.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_bg_10gbe"
+   name="reg_bsn_monitor_v2_tx_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -30956,7 +30963,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -31025,7 +31032,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -31254,7 +31261,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -31432,11 +31439,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -31536,7 +31543,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -31605,7 +31612,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -31834,7 +31841,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -31988,37 +31995,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_10gbe</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_data_buffer_10gbe"
+   name="reg_bsn_monitor_v2_tx_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -32097,7 +32104,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>6</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -32166,7 +32173,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>256</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -32395,7 +32402,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>6</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -32573,11 +32580,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>8</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -32677,7 +32684,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>6</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -32746,7 +32753,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>256</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -32975,7 +32982,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>6</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -33129,37 +33136,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_data_buffer_bsn"
+   name="reg_bsn_scheduler"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -33238,7 +33245,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>12</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -33307,7 +33314,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>16384</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -33536,7 +33543,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>12</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -33714,11 +33721,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>14</value>
+                            <value>3</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -33818,7 +33825,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>12</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -33887,7 +33894,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>16384</value>
+                        <value>8</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -34116,7 +34123,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>12</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -34270,37 +34277,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_bsn</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_scheduler</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_scheduler</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_scheduler</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_bsn.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_scheduler.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_data_buffer_ddr_MB_I"
+   name="reg_bsn_source"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -34379,7 +34386,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -34448,7 +34455,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>16</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -34677,7 +34684,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -34855,11 +34862,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>4</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -34959,7 +34966,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -35028,7 +35035,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>16</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -35257,7 +35264,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -35411,37 +35418,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_bsn_source</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_bsn_source</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_bsn_source</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_source.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_data_buffer_ddr_MB_II"
+   name="reg_diag_bg_10gbe"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -35520,7 +35527,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -35589,7 +35596,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -35818,7 +35825,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -35996,11 +36003,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -36100,7 +36107,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -36169,7 +36176,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -36398,7 +36405,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -36552,37 +36559,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_10gbe</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_rx_seq_10gbe"
+   name="reg_diag_bg_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -37693,37 +37700,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_rx_seq_ddr_MB_I"
+   name="reg_diag_bg_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -38834,37 +38841,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_bg_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_rx_seq_ddr_MB_II"
+   name="reg_diag_data_buffer_10gbe"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -38943,7 +38950,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -39012,7 +39019,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>256</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -39241,7 +39248,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -39419,11 +39426,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -39523,7 +39530,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -39592,7 +39599,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>256</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -39821,7 +39828,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -39975,37 +39982,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_tx_seq_10gbe"
+   name="reg_diag_data_buffer_bsn"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -40084,7 +40091,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>4</width>
+                        <width>12</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -40153,7 +40160,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>64</value>
+                            <value>16384</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -40382,7 +40389,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>4</width>
+                        <width>12</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -40560,11 +40567,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x4000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>6</value>
+                            <value>14</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -40664,7 +40671,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>4</width>
+                    <width>12</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -40733,7 +40740,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>64</value>
+                        <value>16384</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -40962,7 +40969,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>4</width>
+                    <width>12</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -41116,37 +41123,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_bsn</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_bsn</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_bsn.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_tx_seq_ddr_MB_I"
+   name="reg_diag_data_buffer_ddr_MB_I"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -41225,7 +41232,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>2</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -41294,7 +41301,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>16</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -41523,7 +41530,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>2</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -41701,11 +41708,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>4</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -41805,7 +41812,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>2</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -41874,7 +41881,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>16</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -42103,7 +42110,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>2</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -42257,37 +42264,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_diag_tx_seq_ddr_MB_II"
+   name="reg_diag_data_buffer_ddr_MB_II"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -42366,7 +42373,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>2</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -42435,7 +42442,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>16</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -42664,7 +42671,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>2</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -42842,11 +42849,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>4</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -42946,7 +42953,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>2</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -43015,7 +43022,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>16</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -43244,7 +43251,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>2</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -43398,37 +43405,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_dpmm_ctrl"
+   name="reg_diag_rx_seq_10gbe"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -43507,7 +43514,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -43576,7 +43583,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>8</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -43805,7 +43812,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -43983,11 +43990,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>3</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -44087,7 +44094,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -44156,7 +44163,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>8</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -44385,7 +44392,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -44539,37 +44546,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_dpmm_ctrl</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_dpmm_data"
+   name="reg_diag_rx_seq_ddr_MB_I"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -44648,7 +44655,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -44717,7 +44724,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>8</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -44946,7 +44953,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>1</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -45124,11 +45131,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>3</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -45228,7 +45235,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>1</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -45297,7 +45304,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>8</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -45526,7 +45533,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>1</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -45680,37 +45687,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_dpmm_data</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_epcs"
+   name="reg_diag_rx_seq_ddr_MB_II"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -46821,37 +46828,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_epcs</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth10g_back0"
+   name="reg_diag_tx_seq_10gbe"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -46930,7 +46937,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>6</width>
+                        <width>4</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -46999,7 +47006,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>256</value>
+                            <value>64</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -47228,7 +47235,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>6</width>
+                        <width>4</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -47406,11 +47413,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>8</value>
+                            <value>6</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -47510,7 +47517,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>6</width>
+                    <width>4</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -47579,7 +47586,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>256</value>
+                        <value>64</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -47808,7 +47815,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>6</width>
+                    <width>4</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -47962,37 +47969,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back0</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth10g_back1"
+   name="reg_diag_tx_seq_ddr_MB_I"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -48071,7 +48078,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>6</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -48140,7 +48147,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>256</value>
+                            <value>16</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -48369,7 +48376,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>6</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -48547,11 +48554,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>8</value>
+                            <value>4</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -48651,7 +48658,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>6</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -48720,7 +48727,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>256</value>
+                        <value>16</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -48949,7 +48956,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>6</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -49103,37 +49110,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back1</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth10g_qsfp_ring"
+   name="reg_diag_tx_seq_ddr_MB_II"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -49212,7 +49219,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>7</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -49281,7 +49288,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>512</value>
+                            <value>16</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -49510,7 +49517,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>7</width>
+                        <width>2</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -49688,11 +49695,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x10' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>9</value>
+                            <value>4</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -49792,7 +49799,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>7</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -49861,7 +49868,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>512</value>
+                        <value>16</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -50090,7 +50097,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>7</width>
+                    <width>2</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -50244,37 +50251,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_qsfp_ring</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_bg_ctrl"
+   name="reg_dpmm_ctrl"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -50353,7 +50360,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -50422,7 +50429,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -50651,7 +50658,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -50829,11 +50836,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>3</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -50933,7 +50940,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -51002,7 +51009,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>8</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -51231,7 +51238,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -51385,37 +51392,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_dpmm_ctrl</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_ctrl</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_bsn_monitor_v2_rx"
+   name="reg_dpmm_data"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -51494,7 +51501,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -51563,7 +51570,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -51792,7 +51799,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -51970,11 +51977,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>3</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -52074,7 +52081,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -52143,7 +52150,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>8</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -52372,7 +52379,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -52526,37 +52533,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_dpmm_data</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_dpmm_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_dpmm_data</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_bsn_monitor_v2_tx"
+   name="reg_epcs"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -53667,37 +53674,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_epcs</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_epcs</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_epcs</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_hdr_dat"
+   name="reg_eth10g_back0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -53776,7 +53783,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -53845,7 +53852,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>256</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -54074,7 +54081,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -54252,11 +54259,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -54356,7 +54363,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -54425,7 +54432,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>256</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -54654,7 +54661,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -54808,37 +54815,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_strobe_total_count_rx"
+   name="reg_eth10g_back1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -54917,7 +54924,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -54986,7 +54993,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>256</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -55215,7 +55222,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>6</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -55393,11 +55400,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x100' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -55497,7 +55504,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -55566,7 +55573,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>256</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -55795,7 +55802,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>6</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -55949,37 +55956,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_back1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_back1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_II_strobe_total_count_tx"
+   name="reg_eth10g_qsfp_ring"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -56058,7 +56065,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -56127,7 +56134,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>512</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -56356,7 +56363,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -56534,11 +56541,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>9</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -56638,7 +56645,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -56707,7 +56714,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>512</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -56936,7 +56943,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -57090,37 +57097,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_eth10g_qsfp_ring</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_eth10g_qsfp_ring</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_bg_ctrl"
+   name="reg_fpga_temp_sens"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -57199,7 +57206,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -57268,7 +57275,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -57497,7 +57504,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -57675,11 +57682,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -57779,7 +57786,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -57848,7 +57855,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -58077,7 +58084,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -58231,37 +58238,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_fpga_temp_sens</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bg_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_bsn_monitor_v2_rx"
+   name="reg_fpga_voltage_sens"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -58340,7 +58347,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>4</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -58409,7 +58416,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>64</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -58638,7 +58645,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>4</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -58816,11 +58823,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>6</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -58920,7 +58927,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>4</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -58989,7 +58996,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>64</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -59218,7 +59225,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>4</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -59372,37 +59379,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_fpga_voltage_sens</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_bsn_monitor_v2_tx"
+   name="reg_hdr_dat_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -59481,7 +59488,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -59550,7 +59557,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>512</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -59779,7 +59786,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -59957,11 +59964,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>9</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -60061,7 +60068,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -60130,7 +60137,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>512</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -60359,7 +60366,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -60513,37 +60520,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_hdr_dat_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_hdr_dat"
+   name="reg_hdr_dat_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -60622,7 +60629,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>7</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -60691,7 +60698,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>512</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -60920,7 +60927,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>7</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -61098,11 +61105,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>9</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -61202,7 +61209,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>7</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -61271,7 +61278,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>512</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -61500,7 +61507,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>7</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -61654,37 +61661,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_hdr_dat_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_hdr_dat</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_hdr_dat_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_strobe_total_count_rx"
+   name="reg_heater"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -61763,7 +61770,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>7</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -61832,7 +61839,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>512</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -62061,7 +62068,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>7</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -62239,11 +62246,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>9</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -62343,7 +62350,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>7</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -62412,7 +62419,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>512</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -62641,7 +62648,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>7</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -62795,37 +62802,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_heater</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_heater.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_eth1g_I_strobe_total_count_tx"
+   name="reg_io_ddr_MB_I"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -62904,7 +62911,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>7</width>
+                        <width>16</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -62973,7 +62980,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>512</value>
+                            <value>262144</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -63202,7 +63209,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>7</width>
+                        <width>16</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -63380,11 +63387,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>9</value>
+                            <value>18</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -63484,7 +63491,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>7</width>
+                    <width>16</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -63553,7 +63560,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>512</value>
+                        <value>262144</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -63782,7 +63789,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>7</width>
+                    <width>16</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -63936,37 +63943,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_I</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_fpga_temp_sens"
+   name="reg_io_ddr_MB_II"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -64045,7 +64052,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>16</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -64114,7 +64121,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>262144</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -64343,7 +64350,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>16</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -64521,11 +64528,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>18</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -64625,7 +64632,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>16</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -64694,7 +64701,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>262144</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -64923,7 +64930,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>16</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -65077,37 +65084,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_fpga_temp_sens</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_II</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_temp_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_temp_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_fpga_voltage_sens"
+   name="reg_mmdp_ctrl"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -65186,7 +65193,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>4</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -65255,7 +65262,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>64</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -65484,7 +65491,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>4</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -65662,11 +65669,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>6</value>
+                            <value>3</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -65766,7 +65773,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>4</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -65835,7 +65842,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>64</value>
+                        <value>8</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -66064,7 +66071,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>4</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -66218,37 +66225,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_fpga_voltage_sens</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_mmdp_ctrl</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_fpga_voltage_sens</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_fpga_voltage_sens</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_heater"
+   name="reg_mmdp_data"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -66327,7 +66334,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>5</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -66396,7 +66403,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>128</value>
+                            <value>8</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -66625,7 +66632,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>5</width>
+                        <width>1</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -66803,11 +66810,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>7</value>
+                            <value>3</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -66907,7 +66914,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>5</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -66976,7 +66983,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>128</value>
+                        <value>8</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -67205,7 +67212,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>5</width>
+                    <width>1</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -67359,37 +67366,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_heater</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_mmdp_data</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_heater</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_heater</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_heater.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_io_ddr_MB_I"
+   name="reg_remu"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -67468,7 +67475,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>16</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -67537,7 +67544,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>262144</value>
+                            <value>32</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -67766,7 +67773,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>16</width>
+                        <width>3</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -67944,11 +67951,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>18</value>
+                            <value>5</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -68048,7 +68055,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>16</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -68117,7 +68124,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>262144</value>
+                        <value>32</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -68346,7 +68353,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>16</width>
+                    <width>3</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -68500,37 +68507,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_I</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_remu</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_I</fileSetFixedName>
+            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_io_ddr_MB_II"
+   name="reg_strobe_total_count_rx_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -68609,7 +68616,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>16</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -68678,7 +68685,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>262144</value>
+                            <value>512</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -68907,7 +68914,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>16</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -69085,11 +69092,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x40000' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>18</value>
+                            <value>9</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -69189,7 +69196,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>16</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -69258,7 +69265,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>262144</value>
+                        <value>512</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -69487,7 +69494,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>16</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -69641,37 +69648,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_II</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_io_ddr_MB_II</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_mmdp_ctrl"
+   name="reg_strobe_total_count_rx_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -69750,7 +69757,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -69819,7 +69826,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>8</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -70048,7 +70055,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>1</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -70226,11 +70233,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>3</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -70330,7 +70337,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -70399,7 +70406,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>8</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -70628,7 +70635,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>1</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -70782,37 +70789,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_mmdp_ctrl</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_ctrl</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_ctrl</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_rx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_mmdp_data"
+   name="reg_strobe_total_count_tx_eth_0"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -70891,7 +70898,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>1</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -70960,7 +70967,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>8</value>
+                            <value>512</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -71189,7 +71196,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>1</width>
+                        <width>7</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -71367,11 +71374,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x8' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x200' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>3</value>
+                            <value>9</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -71471,7 +71478,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>1</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -71540,7 +71547,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>8</value>
+                        <value>512</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -71769,7 +71776,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>1</width>
+                    <width>7</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -71923,37 +71930,37 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_mmdp_data</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_mmdp_data</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_mmdp_data</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_0</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
   <parameter name="svInterfaceDefinition" value="" />
  </module>
  <module
-   name="reg_remu"
+   name="reg_strobe_total_count_tx_eth_1"
    kind="altera_generic_component"
    version="1.0"
    enabled="1">
@@ -72032,7 +72039,7 @@
                         <name>avs_mem_address</name>
                         <role>address</role>
                         <direction>Input</direction>
-                        <width>3</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -72101,7 +72108,7 @@
                         </entry>
                         <entry>
                             <key>addressSpan</key>
-                            <value>32</value>
+                            <value>128</value>
                         </entry>
                         <entry>
                             <key>addressUnits</key>
@@ -72330,7 +72337,7 @@
                         <name>coe_address_export</name>
                         <role>export</role>
                         <direction>Output</direction>
-                        <width>3</width>
+                        <width>5</width>
                         <lowerBound>0</lowerBound>
                         <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                     </port>
@@ -72508,11 +72515,11 @@
                     <suppliedSystemInfos>
                         <entry>
                             <key>ADDRESS_MAP</key>
-                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x20' datawidth='32' /&gt;&lt;/address-map&gt;</value>
+                            <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x80' datawidth='32' /&gt;&lt;/address-map&gt;</value>
                         </entry>
                         <entry>
                             <key>ADDRESS_WIDTH</key>
-                            <value>5</value>
+                            <value>7</value>
                         </entry>
                         <entry>
                             <key>MAX_SLAVE_DATA_WIDTH</key>
@@ -72612,7 +72619,7 @@
                     <name>avs_mem_address</name>
                     <role>address</role>
                     <direction>Input</direction>
-                    <width>3</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -72681,7 +72688,7 @@
                     </entry>
                     <entry>
                         <key>addressSpan</key>
-                        <value>32</value>
+                        <value>128</value>
                     </entry>
                     <entry>
                         <key>addressUnits</key>
@@ -72910,7 +72917,7 @@
                     <name>coe_address_export</name>
                     <role>export</role>
                     <direction>Output</direction>
-                    <width>3</width>
+                    <width>5</width>
                     <lowerBound>0</lowerBound>
                     <vhdlType>STD_LOGIC_VECTOR</vhdlType>
                 </port>
@@ -73064,30 +73071,30 @@
     </interfaces>
 </boundaryDefinition>]]></parameter>
   <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition>
-    <hdlLibraryName>qsys_unb2c_test_reg_remu</hdlLibraryName>
+    <hdlLibraryName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</hdlLibraryName>
     <fileSets>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetFixedName>
             <fileSetKind>QUARTUS_SYNTH</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VERILOG</fileSetKind>
             <fileSetFiles/>
         </fileSet>
         <fileSet>
-            <fileSetName>qsys_unb2c_minimal_reg_remu</fileSetName>
-            <fileSetFixedName>qsys_unb2c_test_reg_remu</fileSetFixedName>
+            <fileSetName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetName>
+            <fileSetFixedName>qsys_unb2c_test_reg_strobe_total_count_tx_eth_1</fileSetFixedName>
             <fileSetKind>SIM_VHDL</fileSetKind>
             <fileSetFiles/>
         </fileSet>
     </fileSets>
 </generationInfoDefinition>]]></parameter>
   <parameter name="hlsFile" value="" />
-  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip</parameter>
+  <parameter name="logicalView">ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip</parameter>
   <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition>
     <assignmentValueMap/>
 </assignmentDefinition>]]></parameter>
@@ -81266,7 +81273,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_bg_ctrl.mem">
+   end="reg_diag_bg_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001ca80" />
   <parameter name="defaultConnection" value="false" />
@@ -81286,7 +81293,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_hdr_dat.mem">
+   end="reg_hdr_dat_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001ca00" />
   <parameter name="defaultConnection" value="false" />
@@ -81306,7 +81313,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_bsn_monitor_v2_tx.mem">
+   end="reg_bsn_monitor_v2_tx_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001ce00" />
   <parameter name="defaultConnection" value="false" />
@@ -81326,7 +81333,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_strobe_total_count_tx.mem">
+   end="reg_strobe_total_count_tx_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001c980" />
   <parameter name="defaultConnection" value="false" />
@@ -81346,7 +81353,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_bsn_monitor_v2_rx.mem">
+   end="reg_bsn_monitor_v2_rx_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001cde0" />
   <parameter name="defaultConnection" value="false" />
@@ -81366,7 +81373,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_strobe_total_count_rx.mem">
+   end="reg_strobe_total_count_rx_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001c900" />
   <parameter name="defaultConnection" value="false" />
@@ -81386,7 +81393,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_hdr_dat.mem">
+   end="reg_hdr_dat_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3400" />
   <parameter name="defaultConnection" value="false" />
@@ -81406,7 +81413,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_bsn_monitor_v2_tx.mem">
+   end="reg_bsn_monitor_v2_tx_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3080" />
   <parameter name="defaultConnection" value="false" />
@@ -81426,7 +81433,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_strobe_total_count_tx.mem">
+   end="reg_strobe_total_count_tx_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3200" />
   <parameter name="defaultConnection" value="false" />
@@ -81446,7 +81453,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_bsn_monitor_v2_rx.mem">
+   end="reg_bsn_monitor_v2_rx_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0080" />
   <parameter name="defaultConnection" value="false" />
@@ -81466,7 +81473,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_I_strobe_total_count_rx.mem">
+   end="reg_strobe_total_count_rx_eth_0.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0200" />
   <parameter name="defaultConnection" value="false" />
@@ -81486,7 +81493,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="reg_eth1g_II_bg_ctrl.mem">
+   end="reg_diag_bg_eth_1.mem">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001cdc0" />
   <parameter name="defaultConnection" value="false" />
@@ -81526,7 +81533,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="avs2_eth_coe_1.mms_ram">
+   end="avs_eth_1.mms_ram">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x0001a000" />
   <parameter name="defaultConnection" value="false" />
@@ -81566,7 +81573,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="avs2_eth_coe_1.mms_reg">
+   end="avs_eth_1.mms_reg">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x3040" />
   <parameter name="defaultConnection" value="false" />
@@ -81606,7 +81613,7 @@
    kind="avalon"
    version="19.4"
    start="cpu_0.data_master"
-   end="avs2_eth_coe_1.mms_tse">
+   end="avs_eth_1.mms_tse">
   <parameter name="arbitrationPriority" value="1" />
   <parameter name="baseAddress" value="0x1000" />
   <parameter name="defaultConnection" value="false" />
@@ -81732,7 +81739,7 @@
    start="clk_0.clk"
    end="onchip_memory2_0.clk1" />
  <connection kind="clock" version="19.4" start="clk_0.clk" end="avs_eth_0.mm" />
- <connection kind="clock" version="19.4" start="clk_0.clk" end="avs2_eth_coe_1.mm" />
+ <connection kind="clock" version="19.4" start="clk_0.clk" end="avs_eth_1.mm" />
  <connection
    kind="clock"
    version="19.4"
@@ -81929,62 +81936,62 @@
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_bg_ctrl.system" />
+   end="reg_diag_bg_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_hdr_dat.system" />
+   end="reg_hdr_dat_eth_1.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_bsn_monitor_v2_tx.system" />
+   end="reg_bsn_monitor_v2_tx_eth_1.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_strobe_total_count_tx.system" />
+   end="reg_strobe_total_count_tx_eth_1.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_bsn_monitor_v2_rx.system" />
+   end="reg_bsn_monitor_v2_rx_eth_1.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_strobe_total_count_rx.system" />
+   end="reg_strobe_total_count_rx_eth_1.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_hdr_dat.system" />
+   end="reg_hdr_dat_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_bsn_monitor_v2_tx.system" />
+   end="reg_bsn_monitor_v2_tx_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_strobe_total_count_tx.system" />
+   end="reg_strobe_total_count_tx_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_bsn_monitor_v2_rx.system" />
+   end="reg_bsn_monitor_v2_rx_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_I_strobe_total_count_rx.system" />
+   end="reg_strobe_total_count_rx_eth_0.system" />
  <connection
    kind="clock"
    version="19.4"
    start="clk_0.clk"
-   end="reg_eth1g_II_bg_ctrl.system" />
+   end="reg_diag_bg_eth_1.system" />
  <connection
    kind="interrupt"
    version="19.4"
@@ -81996,7 +82003,7 @@
    kind="interrupt"
    version="19.4"
    start="cpu_0.irq"
-   end="avs2_eth_coe_1.interrupt">
+   end="avs_eth_1.interrupt">
   <parameter name="irqNumber" value="1" />
  </connection>
  <connection
@@ -82018,7 +82025,7 @@
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="avs2_eth_coe_1.mm_reset" />
+   end="avs_eth_1.mm_reset" />
  <connection kind="reset" version="19.4" start="clk_0.clk_reset" end="cpu_0.reset" />
  <connection
    kind="reset"
@@ -82264,62 +82271,62 @@
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_bg_ctrl.system_reset" />
+   end="reg_diag_bg_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_hdr_dat.system_reset" />
+   end="reg_hdr_dat_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_bsn_monitor_v2_tx.system_reset" />
+   end="reg_bsn_monitor_v2_tx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_strobe_total_count_tx.system_reset" />
+   end="reg_strobe_total_count_tx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_bsn_monitor_v2_rx.system_reset" />
+   end="reg_bsn_monitor_v2_rx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_strobe_total_count_rx.system_reset" />
+   end="reg_strobe_total_count_rx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_hdr_dat.system_reset" />
+   end="reg_hdr_dat_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_bsn_monitor_v2_tx.system_reset" />
+   end="reg_bsn_monitor_v2_tx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_strobe_total_count_tx.system_reset" />
+   end="reg_strobe_total_count_tx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_bsn_monitor_v2_rx.system_reset" />
+   end="reg_bsn_monitor_v2_rx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_I_strobe_total_count_rx.system_reset" />
+   end="reg_strobe_total_count_rx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="clk_0.clk_reset"
-   end="reg_eth1g_II_bg_ctrl.system_reset" />
+   end="reg_diag_bg_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
@@ -82329,7 +82336,7 @@
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="avs2_eth_coe_1.mm_reset" />
+   end="avs_eth_1.mm_reset" />
  <connection
    kind="reset"
    version="19.4"
@@ -82579,60 +82586,60 @@
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_bg_ctrl.system_reset" />
+   end="reg_diag_bg_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_hdr_dat.system_reset" />
+   end="reg_hdr_dat_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_bsn_monitor_v2_tx.system_reset" />
+   end="reg_bsn_monitor_v2_tx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_strobe_total_count_tx.system_reset" />
+   end="reg_strobe_total_count_tx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_bsn_monitor_v2_rx.system_reset" />
+   end="reg_bsn_monitor_v2_rx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_strobe_total_count_rx.system_reset" />
+   end="reg_strobe_total_count_rx_eth_1.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_hdr_dat.system_reset" />
+   end="reg_hdr_dat_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_bsn_monitor_v2_tx.system_reset" />
+   end="reg_bsn_monitor_v2_tx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_strobe_total_count_tx.system_reset" />
+   end="reg_strobe_total_count_tx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_bsn_monitor_v2_rx.system_reset" />
+   end="reg_bsn_monitor_v2_rx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_I_strobe_total_count_rx.system_reset" />
+   end="reg_strobe_total_count_rx_eth_0.system_reset" />
  <connection
    kind="reset"
    version="19.4"
    start="cpu_0.debug_reset_request"
-   end="reg_eth1g_II_bg_ctrl.system_reset" />
+   end="reg_diag_bg_eth_1.system_reset" />
 </system>
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/hdllib.cfg
index 51e59be80c8c12360df285c66c21e97d1814cead..9ef2e859c0b40381b7be2f658235b69743ad4207 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_10GbE/hdllib.cfg
@@ -116,17 +116,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_10GbE/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/hdllib.cfg
index 75e63c809b3dc59ff7d8244e6b00077e122c918b..5e52adba61d3d532022099791d3595e85c91e66c 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/hdllib.cfg
@@ -95,17 +95,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
index 098b2f766dd700f483cc27ecb5f6c62d4fcbb321..cb60b74884f4f480984fcbd7e617ff210149f83a 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/tb_unb2c_test_1GbE_I.vhd
@@ -26,6 +26,12 @@
 -- Description:
 -- Usage:
 -- > run 1 us.
+--
+-- Or try some MM:
+-- > run -a (or run 100 us)
+-- On command line do:
+-- > python $UPE_GEAR/peripherals/util_system_info.py --gn 0 -n 0 -v 5 --sim
+--
 
 LIBRARY IEEE;
 USE IEEE.std_logic_1164.ALL;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
index 8fe2c8d809f201a13c179274d48bc3b081a745cf..5934694d5e7e56168d235f222d8088c79145f18f 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_I/unb2c_test_1GbE_I.vhd
@@ -35,7 +35,7 @@ USE technology_lib.technology_pkg.ALL;
 ENTITY unb2c_test_1GbE_I IS
   GENERIC (
     g_design_name      : STRING  := "unb2c_test_1GbE_I";
-    g_design_note      : STRING  := "Uses only Eth0";
+    g_design_note      : STRING  := "Uses only eth_0";
     g_sim              : BOOLEAN := FALSE; --Overridden by TB
     g_sim_unb_nr       : NATURAL := 0;
     g_sim_node_nr      : NATURAL := 0;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/hdllib.cfg
index 93f2cfd2d1fcbb058726abb1094786100b447788..cbeea04e78c6229e6df47973b2e8fd103c8ba85d 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/hdllib.cfg
@@ -95,17 +95,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_1GbE_II/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
index fc35af7ba0e294874eb7bd5a57ba6e401401a871..42010cc38b4233a6194b5154a7aab5c2a65c6881 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/tb_unb2c_test_1GbE_II.vhd
@@ -26,6 +26,12 @@
 -- Description:
 -- Usage:
 -- > run 1 us.
+--
+-- Or try some MM:
+-- > run -a (or run 100 us)
+-- On command line do:
+-- > python $UPE_GEAR/peripherals/util_system_info.py --gn 0 -n 0 -v 5 --sim
+--
 
 LIBRARY IEEE;
 USE IEEE.std_logic_1164.ALL;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
index 8448d4f3167e3be5b38525bddd746b87345a191d..d23b4d0257cb230206438a4d81a99aa7d08e452e 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_1GbE_II/unb2c_test_1GbE_II.vhd
@@ -35,7 +35,7 @@ USE technology_lib.technology_pkg.ALL;
 ENTITY unb2c_test_1GbE_II IS
   GENERIC (
     g_design_name      : STRING  := "unb2c_test_1GbE_II";
-    g_design_note      : STRING  := "Use Eth0 and Eth1";
+    g_design_note      : STRING  := "Use eth_0 and eth_1";
     g_sim              : BOOLEAN := FALSE; --Overridden by TB
     g_sim_unb_nr       : NATURAL := 0;
     g_sim_node_nr      : NATURAL := 0;
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/hdllib.cfg
index 10ffc4483c97397219a94129b88720b74fc04850..e922d19d8950ad51c21407c22aa116d21a268f8b 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_ddr/hdllib.cfg
@@ -102,17 +102,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_ddr/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/hdllib.cfg
index 37ef2d46ea0f70174e026d3a599edd876b4d4768..fea6d6b87148f98902e699b2ad2468291d33d716 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_heater/hdllib.cfg
@@ -100,17 +100,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_heater/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/hdllib.cfg
index 1153dfd5a421d1dce0114c2cca595bd94ed4c2d5..2e6ecb15828c5f04dbf13c536134b4ed36a24ae9 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_jesd204b/hdllib.cfg
@@ -99,19 +99,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
-
-
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_jesd204b/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/hdllib.cfg
index b2e2e2545cca716c0f6c7554fc9e3faad2a32763..ebf094c08a24bdc31eb9c18e7bb52bacde00a331 100644
--- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/hdllib.cfg
+++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_minimal/hdllib.cfg
@@ -94,17 +94,17 @@ quartus_ip_files =
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip
     $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_I_strobe_total_count_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bg_ctrl.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_hdr_dat.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_bsn_monitor_v2_rx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_tx.ip
-    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth1g_II_strobe_total_count_rx.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_0.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_hdr_dat_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_v2_rx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_tx_eth_1.ip
+    $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test_minimal/ip/qsys_unb2c_test/qsys_unb2c_test_reg_strobe_total_count_rx_eth_1.ip
 
 nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
index 2b921773c05e099b2ddf736cbe505fdef765e7e1..7b42c2b491ba2baa846a7ee47d990590d3d10ea2 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/mmm_unb2c_test.vhd
@@ -79,52 +79,52 @@ ENTITY mmm_unb2c_test IS
     reg_ppsh_miso            : IN  t_mem_miso; 
                              
     -- eth1g ch0
-    eth1g_eth0_mm_rst        : OUT STD_LOGIC;
-    eth1g_eth0_tse_mosi      : OUT t_mem_mosi;  
-    eth1g_eth0_tse_miso      : IN  t_mem_miso;  
-    eth1g_eth0_reg_mosi      : OUT t_mem_mosi;  
-    eth1g_eth0_reg_miso      : IN  t_mem_miso;  
-    eth1g_eth0_reg_interrupt : IN  STD_LOGIC; 
-    eth1g_eth0_ram_mosi      : OUT t_mem_mosi;  
-    eth1g_eth0_ram_miso      : IN  t_mem_miso;
-
-    reg_eth1g_I_bg_ctrl_copi                : OUT t_mem_copi;
-    reg_eth1g_I_bg_ctrl_cipo                : IN  t_mem_cipo;
-    reg_eth1g_I_hdr_dat_copi                : OUT t_mem_copi;
-    reg_eth1g_I_hdr_dat_cipo                : IN  t_mem_cipo;
-    reg_eth1g_I_bsn_monitor_v2_tx_copi      : OUT t_mem_copi;
-    reg_eth1g_I_bsn_monitor_v2_tx_cipo      : IN  t_mem_cipo;
-    reg_eth1g_I_strobe_total_count_tx_copi  : OUT t_mem_copi;
-    reg_eth1g_I_strobe_total_count_tx_cipo  : IN  t_mem_cipo;
-
-    reg_eth1g_I_bsn_monitor_v2_rx_copi      : OUT t_mem_copi;
-    reg_eth1g_I_bsn_monitor_v2_rx_cipo      : IN  t_mem_cipo;
-    reg_eth1g_I_strobe_total_count_rx_copi  : OUT t_mem_copi;
-    reg_eth1g_I_strobe_total_count_rx_cipo  : IN  t_mem_cipo;
+    eth_0_mm_rst        : OUT STD_LOGIC;
+    eth_0_tse_mosi      : OUT t_mem_mosi;
+    eth_0_tse_miso      : IN  t_mem_miso;
+    eth_0_reg_mosi      : OUT t_mem_mosi;
+    eth_0_reg_miso      : IN  t_mem_miso;
+    eth_0_reg_interrupt : IN  STD_LOGIC;
+    eth_0_ram_mosi      : OUT t_mem_mosi;
+    eth_0_ram_miso      : IN  t_mem_miso;
+
+    reg_diag_bg_eth_0_copi                : OUT t_mem_copi;
+    reg_diag_bg_eth_0_cipo                : IN  t_mem_cipo;
+    reg_hdr_dat_eth_0_copi                : OUT t_mem_copi;
+    reg_hdr_dat_eth_0_cipo                : IN  t_mem_cipo;
+    reg_bsn_monitor_v2_tx_eth_0_copi      : OUT t_mem_copi;
+    reg_bsn_monitor_v2_tx_eth_0_cipo      : IN  t_mem_cipo;
+    reg_strobe_total_count_tx_eth_0_copi  : OUT t_mem_copi;
+    reg_strobe_total_count_tx_eth_0_cipo  : IN  t_mem_cipo;
+
+    reg_bsn_monitor_v2_rx_eth_0_copi      : OUT t_mem_copi;
+    reg_bsn_monitor_v2_rx_eth_0_cipo      : IN  t_mem_cipo;
+    reg_strobe_total_count_rx_eth_0_copi  : OUT t_mem_copi;
+    reg_strobe_total_count_rx_eth_0_cipo  : IN  t_mem_cipo;
 
     -- eth1g ch1
-    eth1g_eth1_mm_rst        : OUT STD_LOGIC;
-    eth1g_eth1_tse_mosi      : OUT t_mem_mosi;  
-    eth1g_eth1_tse_miso      : IN  t_mem_miso;  
-    eth1g_eth1_reg_mosi      : OUT t_mem_mosi;  
-    eth1g_eth1_reg_miso      : IN  t_mem_miso;  
-    eth1g_eth1_reg_interrupt : IN  STD_LOGIC; 
-    eth1g_eth1_ram_mosi      : OUT t_mem_mosi;  
-    eth1g_eth1_ram_miso      : IN  t_mem_miso;
-
-    reg_eth1g_II_bg_ctrl_copi                : OUT t_mem_copi;
-    reg_eth1g_II_bg_ctrl_cipo                : IN  t_mem_cipo;
-    reg_eth1g_II_hdr_dat_copi                : OUT t_mem_copi;
-    reg_eth1g_II_hdr_dat_cipo                : IN  t_mem_cipo;
-    reg_eth1g_II_bsn_monitor_v2_tx_copi      : OUT t_mem_copi;
-    reg_eth1g_II_bsn_monitor_v2_tx_cipo      : IN  t_mem_cipo;
-    reg_eth1g_II_strobe_total_count_tx_copi  : OUT t_mem_copi;
-    reg_eth1g_II_strobe_total_count_tx_cipo  : IN  t_mem_cipo;
-
-    reg_eth1g_II_bsn_monitor_v2_rx_copi      : OUT t_mem_copi;
-    reg_eth1g_II_bsn_monitor_v2_rx_cipo      : IN  t_mem_cipo;
-    reg_eth1g_II_strobe_total_count_rx_copi  : OUT t_mem_copi;
-    reg_eth1g_II_strobe_total_count_rx_cipo  : IN  t_mem_cipo;
+    eth_1_mm_rst        : OUT STD_LOGIC;
+    eth_1_tse_mosi      : OUT t_mem_mosi;
+    eth_1_tse_miso      : IN  t_mem_miso;
+    eth_1_reg_mosi      : OUT t_mem_mosi;
+    eth_1_reg_miso      : IN  t_mem_miso;
+    eth_1_reg_interrupt : IN  STD_LOGIC;
+    eth_1_ram_mosi      : OUT t_mem_mosi;
+    eth_1_ram_miso      : IN  t_mem_miso;
+
+    reg_diag_bg_eth_1_copi                : OUT t_mem_copi;
+    reg_diag_bg_eth_1_cipo                : IN  t_mem_cipo;
+    reg_hdr_dat_eth_1_copi                : OUT t_mem_copi;
+    reg_hdr_dat_eth_1_cipo                : IN  t_mem_cipo;
+    reg_bsn_monitor_v2_tx_eth_1_copi      : OUT t_mem_copi;
+    reg_bsn_monitor_v2_tx_eth_1_cipo      : IN  t_mem_cipo;
+    reg_strobe_total_count_tx_eth_1_copi  : OUT t_mem_copi;
+    reg_strobe_total_count_tx_eth_1_cipo  : IN  t_mem_cipo;
+
+    reg_bsn_monitor_v2_rx_eth_1_copi      : OUT t_mem_copi;
+    reg_bsn_monitor_v2_rx_eth_1_cipo      : IN  t_mem_cipo;
+    reg_strobe_total_count_rx_eth_1_copi  : OUT t_mem_copi;
+    reg_strobe_total_count_rx_eth_1_cipo  : IN  t_mem_cipo;
 
     -- EPCS read
     reg_dpmm_data_mosi       : OUT t_mem_mosi;
@@ -267,13 +267,13 @@ ARCHITECTURE str OF mmm_unb2c_test IS
   SIGNAL sim_eth_mm_bus_switch                     : STD_LOGIC;
   SIGNAL sim_eth_psc_access                        : STD_LOGIC;
 
-  SIGNAL i_eth1g_eth0_reg_mosi                     : t_mem_mosi;
-  SIGNAL i_eth1g_eth0_reg_miso                     : t_mem_miso;
-  SIGNAL i_eth1g_eth1_reg_mosi                     : t_mem_mosi;
-  SIGNAL i_eth1g_eth1_reg_miso                     : t_mem_miso;
+  SIGNAL i_eth_0_reg_mosi                     : t_mem_mosi;
+  SIGNAL i_eth_0_reg_miso                     : t_mem_miso;
+  SIGNAL i_eth_1_reg_mosi                     : t_mem_mosi;
+  SIGNAL i_eth_1_reg_miso                     : t_mem_miso;
 
-  SIGNAL sim_eth1g_eth0_reg_mosi                   : t_mem_mosi;
-  SIGNAL sim_eth1g_eth1_reg_mosi                   : t_mem_mosi;
+  SIGNAL sim_eth_0_reg_mosi                   : t_mem_mosi;
+  SIGNAL sim_eth_1_reg_mosi                   : t_mem_mosi;
   SIGNAL i_reset_n                                 : STD_LOGIC;
 
 BEGIN
@@ -283,8 +283,8 @@ BEGIN
   ----------------------------------------------------------------------------
   gen_mm_file_io : IF g_sim = TRUE GENERATE
 
-    eth1g_eth0_mm_rst <= mm_rst;
-    eth1g_eth1_mm_rst <= mm_rst;
+    eth_0_mm_rst <= mm_rst;
+    eth_1_mm_rst <= mm_rst;
 
     u_mm_file_reg_unb_system_info   : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO")
                                                  PORT MAP(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso );
@@ -345,15 +345,15 @@ BEGIN
                                                            
     -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway.
     -- . 1GbE_I with TSE setup by NiosII
-    u_mm_file_reg_eth0_tse        : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_TSE")
-                                               PORT MAP(mm_rst, mm_clk, eth1g_eth0_tse_mosi, eth1g_eth0_tse_miso);
-    u_mm_file_reg_eth0_reg        : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_REG")
-                                               PORT MAP(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso);
-    u_mm_file_reg_eth0_ram        : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_RAM")
-                                               PORT MAP(mm_rst, mm_clk, eth1g_eth0_ram_mosi, eth1g_eth0_ram_miso);
+    u_mm_file_reg_eth_0_tse       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_TSE")
+                                               PORT MAP(mm_rst, mm_clk, eth_0_tse_mosi, eth_0_tse_miso);
+    u_mm_file_reg_eth_0_reg       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_REG")
+                                               PORT MAP(mm_rst, mm_clk, i_eth_0_reg_mosi, eth_0_reg_miso);
+    u_mm_file_reg_eth_0_ram       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_RAM")
+                                               PORT MAP(mm_rst, mm_clk, eth_0_ram_mosi, eth_0_ram_miso);
     -- . 1GbE_II with TSE setup in VHDL
-    u_mm_file_reg_eth1_tse        : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_TSE")
-                                               PORT MAP(mm_rst, mm_clk, eth1g_eth1_tse_mosi, eth1g_eth1_tse_miso);
+    u_mm_file_reg_eth_1_tse       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_TSE")
+                                               PORT MAP(mm_rst, mm_clk, eth_1_tse_mosi, eth_1_tse_miso);
 
     u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING")
                                                   PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso);
@@ -370,31 +370,31 @@ BEGIN
     u_mm_file_ram_scrap           : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_SCRAP")
                                                PORT MAP(mm_rst, mm_clk, ram_scrap_mosi, ram_scrap_miso );
 
-    u_mm_file_reg_eth1g_I_bg_ctrl               : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_BG_CTRL")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_bg_ctrl_copi, reg_eth1g_I_bg_ctrl_cipo );
-    u_mm_file_reg_eth1g_I_hdr_dat               : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_HDR_DAT")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_hdr_dat_copi, reg_eth1g_I_hdr_dat_cipo );
-    u_mm_file_reg_eth1g_I_bsn_monitor_v2_tx     : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_BSN_MONITOR_V2_TX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_bsn_monitor_v2_tx_copi, reg_eth1g_I_bsn_monitor_v2_tx_cipo );
-    u_mm_file_reg_eth1g_I_strobe_total_count_tx : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_STROBE_TOTAL_COUNT_TX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_strobe_total_count_tx_copi, reg_eth1g_I_strobe_total_count_tx_cipo );
-    u_mm_file_reg_eth1g_I_bsn_monitor_v2_rx     : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_BSN_MONITOR_V2_RX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_bsn_monitor_v2_rx_copi, reg_eth1g_I_bsn_monitor_v2_rx_cipo );
-    u_mm_file_reg_eth1g_I_strobe_total_count_rx : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_I_STROBE_TOTAL_COUNT_RX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_I_strobe_total_count_rx_copi, reg_eth1g_I_strobe_total_count_rx_cipo );
-
-    u_mm_file_reg_eth1g_II_bg_ctrl               : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_BG_CTRL")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_bg_ctrl_copi, reg_eth1g_II_bg_ctrl_cipo );
-    u_mm_file_reg_eth1g_II_hdr_dat               : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_HDR_DAT")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_hdr_dat_copi, reg_eth1g_II_hdr_dat_cipo );
-    u_mm_file_reg_eth1g_II_bsn_monitor_v2_tx     : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_BSN_MONITOR_V2_TX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_bsn_monitor_v2_tx_copi, reg_eth1g_II_bsn_monitor_v2_tx_cipo );
-    u_mm_file_reg_eth1g_II_strobe_total_count_tx : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_STROBE_TOTAL_COUNT_TX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_strobe_total_count_tx_copi, reg_eth1g_II_strobe_total_count_tx_cipo );
-    u_mm_file_reg_eth1g_II_bsn_monitor_v2_rx     : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_BSN_MONITOR_V2_RX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_bsn_monitor_v2_rx_copi, reg_eth1g_II_bsn_monitor_v2_rx_cipo );
-    u_mm_file_reg_eth1g_II_strobe_total_count_rx : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH1G_II_STROBE_TOTAL_COUNT_RX")
-                                                             PORT MAP(mm_rst, mm_clk, reg_eth1g_II_strobe_total_count_rx_copi, reg_eth1g_II_strobe_total_count_rx_cipo );
+    u_mm_file_reg_reg_diag_bg_eth_0             : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_diag_bg_eth_0_copi, reg_diag_bg_eth_0_cipo );
+    u_mm_file_reg_hdr_dat_eth_0                 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_hdr_dat_eth_0_copi, reg_hdr_dat_eth_0_cipo );
+    u_mm_file_reg_bsn_monitor_v2_tx_eth_0       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_TX_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_0_copi, reg_bsn_monitor_v2_tx_eth_0_cipo );
+    u_mm_file_reg_strobe_total_count_tx_eth_0   : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_TX_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_0_copi, reg_strobe_total_count_tx_eth_0_cipo );
+    u_mm_file_reg_bsn_monitor_v2_rx_eth_0       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_0_copi, reg_bsn_monitor_v2_rx_eth_0_cipo );
+    u_mm_file_reg_strobe_total_count_rx_eth_0   : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_RX_ETH_0")
+                                                             PORT MAP(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_0_copi, reg_strobe_total_count_rx_eth_0_cipo );
+
+    u_mm_file_reg_reg_diag_bg_eth_1             : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_diag_bg_eth_1_copi, reg_diag_bg_eth_1_cipo );
+    u_mm_file_reg_hdr_dat_eth_1                 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_HDR_DAT_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_hdr_dat_eth_1_copi, reg_hdr_dat_eth_1_cipo );
+    u_mm_file_reg_bsn_monitor_v2_tx_eth_1       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_TX_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_tx_eth_1_copi, reg_bsn_monitor_v2_tx_eth_1_cipo );
+    u_mm_file_reg_strobe_total_count_tx_eth_1   : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_TX_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_strobe_total_count_tx_eth_1_copi, reg_strobe_total_count_tx_eth_1_cipo );
+    u_mm_file_reg_bsn_monitor_v2_rx_eth_1       : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_V2_RX_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_v2_rx_eth_1_copi, reg_bsn_monitor_v2_rx_eth_1_cipo );
+    u_mm_file_reg_strobe_total_count_rx_eth_1   : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_STROBE_TOTAL_COUNT_RX_ETH_1")
+                                                             PORT MAP(mm_rst, mm_clk, reg_strobe_total_count_rx_eth_1_copi, reg_strobe_total_count_rx_eth_1_cipo );
 
     ----------------------------------------------------------------------------
     -- 1GbE setup sequence normally performed by unb_os@NIOS
@@ -403,25 +403,25 @@ BEGIN
     BEGIN
       sim_eth_mm_bus_switch <= '1';
 
-      eth1g_eth0_tse_mosi.wr <= '0';
-      eth1g_eth0_tse_mosi.rd <= '0';
+      eth_0_tse_mosi.wr <= '0';
+      eth_0_tse_mosi.rd <= '0';
       WAIT FOR 400 ns;
       WAIT UNTIL rising_edge(mm_clk);
-      proc_tech_tse_setup(c_tech_arria10_e1sg, FALSE, c_tech_tse_tx_fifo_depth, c_tech_tse_rx_fifo_depth, c_tech_tse_tx_ready_latency, c_sim_eth_src_mac, sim_eth_psc_access, mm_clk, eth1g_eth0_tse_miso, eth1g_eth0_tse_mosi);
+      proc_tech_tse_setup(c_tech_arria10_e1sg, FALSE, c_tech_tse_tx_fifo_depth, c_tech_tse_rx_fifo_depth, c_tech_tse_tx_ready_latency, c_sim_eth_src_mac, sim_eth_psc_access, mm_clk, eth_0_tse_miso, eth_0_tse_mosi);
 
       -- Enable RX
-      proc_mem_mm_bus_wr(c_eth_reg_control_wi+0, c_sim_eth_control_rx_en, mm_clk, eth1g_eth0_reg_miso, sim_eth1g_eth0_reg_mosi);  -- control rx en
+      proc_mem_mm_bus_wr(c_eth_reg_control_wi+0, c_sim_eth_control_rx_en, mm_clk, eth_0_reg_miso, sim_eth_0_reg_mosi);  -- control rx en
       sim_eth_mm_bus_switch <= '0';
 
       WAIT;
     END PROCESS;
 
-    p_switch : PROCESS(sim_eth_mm_bus_switch, sim_eth1g_eth0_reg_mosi, i_eth1g_eth0_reg_mosi)
+    p_switch : PROCESS(sim_eth_mm_bus_switch, sim_eth_0_reg_mosi, i_eth_0_reg_mosi)
     BEGIN
       IF sim_eth_mm_bus_switch = '1' THEN
-          eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi;
+          eth_0_reg_mosi <= sim_eth_0_reg_mosi;
         ELSE
-          eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi;
+          eth_0_reg_mosi <= i_eth_0_reg_mosi;
         END IF;
     END PROCESS;
 
@@ -449,45 +449,45 @@ BEGIN
       -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2c_board.
       pio_wdi_external_connection_export        => pout_wdi,
 
-      avs_eth_0_reset_export                    => eth1g_eth0_mm_rst,
+      avs_eth_0_reset_export                    => eth_0_mm_rst,
       avs_eth_0_clk_export                      => OPEN,
-      avs_eth_0_tse_address_export              => eth1g_eth0_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0),
-      avs_eth_0_tse_write_export                => eth1g_eth0_tse_mosi.wr,
-      avs_eth_0_tse_read_export                 => eth1g_eth0_tse_mosi.rd,
-      avs_eth_0_tse_writedata_export            => eth1g_eth0_tse_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_tse_readdata_export             => eth1g_eth0_tse_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_tse_waitrequest_export          => eth1g_eth0_tse_miso.waitrequest,
-      avs_eth_0_reg_address_export              => eth1g_eth0_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0),
-      avs_eth_0_reg_write_export                => eth1g_eth0_reg_mosi.wr,
-      avs_eth_0_reg_read_export                 => eth1g_eth0_reg_mosi.rd,
-      avs_eth_0_reg_writedata_export            => eth1g_eth0_reg_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_reg_readdata_export             => eth1g_eth0_reg_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_ram_address_export              => eth1g_eth0_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0),
-      avs_eth_0_ram_write_export                => eth1g_eth0_ram_mosi.wr,
-      avs_eth_0_ram_read_export                 => eth1g_eth0_ram_mosi.rd,
-      avs_eth_0_ram_writedata_export            => eth1g_eth0_ram_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_ram_readdata_export             => eth1g_eth0_ram_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs_eth_0_irq_export                      => eth1g_eth0_reg_interrupt,
-
-      avs2_eth_coe_1_reset_export               => eth1g_eth1_mm_rst,
-      avs2_eth_coe_1_clk_export                 => OPEN,
-      avs2_eth_coe_1_tse_address_export         => eth1g_eth1_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0),
-      avs2_eth_coe_1_tse_write_export           => eth1g_eth1_tse_mosi.wr,
-      avs2_eth_coe_1_tse_read_export            => eth1g_eth1_tse_mosi.rd,
-      avs2_eth_coe_1_tse_writedata_export       => eth1g_eth1_tse_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_tse_readdata_export        => eth1g_eth1_tse_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_tse_waitrequest_export     => eth1g_eth1_tse_miso.waitrequest,
-      avs2_eth_coe_1_reg_address_export         => eth1g_eth1_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0),
-      avs2_eth_coe_1_reg_write_export           => eth1g_eth1_reg_mosi.wr,
-      avs2_eth_coe_1_reg_read_export            => eth1g_eth1_reg_mosi.rd,
-      avs2_eth_coe_1_reg_writedata_export       => eth1g_eth1_reg_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_reg_readdata_export        => eth1g_eth1_reg_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_ram_address_export         => eth1g_eth1_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0),
-      avs2_eth_coe_1_ram_write_export           => eth1g_eth1_ram_mosi.wr,
-      avs2_eth_coe_1_ram_read_export            => eth1g_eth1_ram_mosi.rd,
-      avs2_eth_coe_1_ram_writedata_export       => eth1g_eth1_ram_mosi.wrdata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_ram_readdata_export        => eth1g_eth1_ram_miso.rddata(c_word_w-1 DOWNTO 0),
-      avs2_eth_coe_1_irq_export                 => eth1g_eth1_reg_interrupt,
+      avs_eth_0_tse_address_export              => eth_0_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0),
+      avs_eth_0_tse_write_export                => eth_0_tse_mosi.wr,
+      avs_eth_0_tse_read_export                 => eth_0_tse_mosi.rd,
+      avs_eth_0_tse_writedata_export            => eth_0_tse_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_tse_readdata_export             => eth_0_tse_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_tse_waitrequest_export          => eth_0_tse_miso.waitrequest,
+      avs_eth_0_reg_address_export              => eth_0_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0),
+      avs_eth_0_reg_write_export                => eth_0_reg_mosi.wr,
+      avs_eth_0_reg_read_export                 => eth_0_reg_mosi.rd,
+      avs_eth_0_reg_writedata_export            => eth_0_reg_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_reg_readdata_export             => eth_0_reg_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_ram_address_export              => eth_0_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0),
+      avs_eth_0_ram_write_export                => eth_0_ram_mosi.wr,
+      avs_eth_0_ram_read_export                 => eth_0_ram_mosi.rd,
+      avs_eth_0_ram_writedata_export            => eth_0_ram_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_ram_readdata_export             => eth_0_ram_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_0_irq_export                      => eth_0_reg_interrupt,
+
+      avs_eth_1_reset_export               => eth_1_mm_rst,
+      avs_eth_1_clk_export                 => OPEN,
+      avs_eth_1_tse_address_export         => eth_1_tse_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0),
+      avs_eth_1_tse_write_export           => eth_1_tse_mosi.wr,
+      avs_eth_1_tse_read_export            => eth_1_tse_mosi.rd,
+      avs_eth_1_tse_writedata_export       => eth_1_tse_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_tse_readdata_export        => eth_1_tse_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_tse_waitrequest_export     => eth_1_tse_miso.waitrequest,
+      avs_eth_1_reg_address_export         => eth_1_reg_mosi.address(c_unb2c_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0),
+      avs_eth_1_reg_write_export           => eth_1_reg_mosi.wr,
+      avs_eth_1_reg_read_export            => eth_1_reg_mosi.rd,
+      avs_eth_1_reg_writedata_export       => eth_1_reg_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_reg_readdata_export        => eth_1_reg_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_ram_address_export         => eth_1_ram_mosi.address(c_unb2c_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0),
+      avs_eth_1_ram_write_export           => eth_1_ram_mosi.wr,
+      avs_eth_1_ram_read_export            => eth_1_ram_mosi.rd,
+      avs_eth_1_ram_writedata_export       => eth_1_ram_mosi.wrdata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_ram_readdata_export        => eth_1_ram_miso.rddata(c_word_w-1 DOWNTO 0),
+      avs_eth_1_irq_export                 => eth_1_reg_interrupt,
 
       reg_fpga_temp_sens_reset_export           => OPEN,
       reg_fpga_temp_sens_clk_export             => OPEN,
@@ -739,101 +739,101 @@ BEGIN
       ram_diag_data_buffer_ddr_MB_II_read_export      => ram_diag_data_buf_ddr_MB_II_mosi.rd,
       ram_diag_data_buffer_ddr_MB_II_readdata_export  => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w-1 DOWNTO 0),
 
-      reg_eth1g_I_bg_ctrl_reset_export                    => OPEN,
-      reg_eth1g_I_bg_ctrl_clk_export                      => OPEN,
-      reg_eth1g_I_bg_ctrl_address_export                  => reg_eth1g_I_bg_ctrl_copi.address(4 downto 0),
-      reg_eth1g_I_bg_ctrl_write_export                    => reg_eth1g_I_bg_ctrl_copi.wr,
-      reg_eth1g_I_bg_ctrl_writedata_export                => reg_eth1g_I_bg_ctrl_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_bg_ctrl_read_export                     => reg_eth1g_I_bg_ctrl_copi.rd,
-      reg_eth1g_I_bg_ctrl_readdata_export                 => reg_eth1g_I_bg_ctrl_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_I_hdr_dat_reset_export                    => OPEN,
-      reg_eth1g_I_hdr_dat_clk_export                      => OPEN,
-      reg_eth1g_I_hdr_dat_address_export                  => reg_eth1g_I_hdr_dat_copi.address(6 downto 0),
-      reg_eth1g_I_hdr_dat_write_export                    => reg_eth1g_I_hdr_dat_copi.wr,
-      reg_eth1g_I_hdr_dat_writedata_export                => reg_eth1g_I_hdr_dat_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_hdr_dat_read_export                     => reg_eth1g_I_hdr_dat_copi.rd,
-      reg_eth1g_I_hdr_dat_readdata_export                 => reg_eth1g_I_hdr_dat_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_I_bsn_monitor_v2_tx_reset_export          => OPEN,
-      reg_eth1g_I_bsn_monitor_v2_tx_clk_export            => OPEN,
-      reg_eth1g_I_bsn_monitor_v2_tx_address_export        => reg_eth1g_I_bsn_monitor_v2_tx_copi.address(4 downto 0),
-      reg_eth1g_I_bsn_monitor_v2_tx_write_export          => reg_eth1g_I_bsn_monitor_v2_tx_copi.wr,
-      reg_eth1g_I_bsn_monitor_v2_tx_writedata_export      => reg_eth1g_I_bsn_monitor_v2_tx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_bsn_monitor_v2_tx_read_export           => reg_eth1g_I_bsn_monitor_v2_tx_copi.rd,
-      reg_eth1g_I_bsn_monitor_v2_tx_readdata_export       => reg_eth1g_I_bsn_monitor_v2_tx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_I_strobe_total_count_tx_reset_export      => OPEN,
-      reg_eth1g_I_strobe_total_count_tx_clk_export        => OPEN,
-      reg_eth1g_I_strobe_total_count_tx_address_export    => reg_eth1g_I_strobe_total_count_tx_copi.address(6 downto 0),
-      reg_eth1g_I_strobe_total_count_tx_write_export      => reg_eth1g_I_strobe_total_count_tx_copi.wr,
-      reg_eth1g_I_strobe_total_count_tx_writedata_export  => reg_eth1g_I_strobe_total_count_tx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_strobe_total_count_tx_read_export       => reg_eth1g_I_strobe_total_count_tx_copi.rd,
-      reg_eth1g_I_strobe_total_count_tx_readdata_export   => reg_eth1g_I_strobe_total_count_tx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_I_bsn_monitor_v2_rx_reset_export          => OPEN,
-      reg_eth1g_I_bsn_monitor_v2_rx_clk_export            => OPEN,
-      reg_eth1g_I_bsn_monitor_v2_rx_address_export        => reg_eth1g_I_bsn_monitor_v2_rx_copi.address(4 downto 0),
-      reg_eth1g_I_bsn_monitor_v2_rx_write_export          => reg_eth1g_I_bsn_monitor_v2_rx_copi.wr,
-      reg_eth1g_I_bsn_monitor_v2_rx_writedata_export      => reg_eth1g_I_bsn_monitor_v2_rx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_bsn_monitor_v2_rx_read_export           => reg_eth1g_I_bsn_monitor_v2_rx_copi.rd,
-      reg_eth1g_I_bsn_monitor_v2_rx_readdata_export       => reg_eth1g_I_bsn_monitor_v2_rx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_I_strobe_total_count_rx_reset_export      => OPEN,
-      reg_eth1g_I_strobe_total_count_rx_clk_export        => OPEN,
-      reg_eth1g_I_strobe_total_count_rx_address_export    => reg_eth1g_I_strobe_total_count_rx_copi.address(6 downto 0),
-      reg_eth1g_I_strobe_total_count_rx_write_export      => reg_eth1g_I_strobe_total_count_rx_copi.wr,
-      reg_eth1g_I_strobe_total_count_rx_writedata_export  => reg_eth1g_I_strobe_total_count_rx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_I_strobe_total_count_rx_read_export       => reg_eth1g_I_strobe_total_count_rx_copi.rd,
-      reg_eth1g_I_strobe_total_count_rx_readdata_export   => reg_eth1g_I_strobe_total_count_rx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_bg_ctrl_reset_export                   => OPEN,
-      reg_eth1g_II_bg_ctrl_clk_export                     => OPEN,
-      reg_eth1g_II_bg_ctrl_address_export                 => reg_eth1g_II_bg_ctrl_copi.address(2 downto 0),
-      reg_eth1g_II_bg_ctrl_write_export                   => reg_eth1g_II_bg_ctrl_copi.wr,
-      reg_eth1g_II_bg_ctrl_writedata_export               => reg_eth1g_II_bg_ctrl_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_bg_ctrl_read_export                    => reg_eth1g_II_bg_ctrl_copi.rd,
-      reg_eth1g_II_bg_ctrl_readdata_export                => reg_eth1g_II_bg_ctrl_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_hdr_dat_reset_export                   => OPEN,
-      reg_eth1g_II_hdr_dat_clk_export                     => OPEN,
-      reg_eth1g_II_hdr_dat_address_export                 => reg_eth1g_II_hdr_dat_copi.address(4 downto 0),
-      reg_eth1g_II_hdr_dat_write_export                   => reg_eth1g_II_hdr_dat_copi.wr,
-      reg_eth1g_II_hdr_dat_writedata_export               => reg_eth1g_II_hdr_dat_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_hdr_dat_read_export                    => reg_eth1g_II_hdr_dat_copi.rd,
-      reg_eth1g_II_hdr_dat_readdata_export                => reg_eth1g_II_hdr_dat_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_bsn_monitor_v2_tx_reset_export         => OPEN,
-      reg_eth1g_II_bsn_monitor_v2_tx_clk_export           => OPEN,
-      reg_eth1g_II_bsn_monitor_v2_tx_address_export       => reg_eth1g_II_bsn_monitor_v2_tx_copi.address(2 downto 0),
-      reg_eth1g_II_bsn_monitor_v2_tx_write_export         => reg_eth1g_II_bsn_monitor_v2_tx_copi.wr,
-      reg_eth1g_II_bsn_monitor_v2_tx_writedata_export     => reg_eth1g_II_bsn_monitor_v2_tx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_bsn_monitor_v2_tx_read_export          => reg_eth1g_II_bsn_monitor_v2_tx_copi.rd,
-      reg_eth1g_II_bsn_monitor_v2_tx_readdata_export      => reg_eth1g_II_bsn_monitor_v2_tx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_strobe_total_count_tx_reset_export     => OPEN,
-      reg_eth1g_II_strobe_total_count_tx_clk_export       => OPEN,
-      reg_eth1g_II_strobe_total_count_tx_address_export   => reg_eth1g_II_strobe_total_count_tx_copi.address(4 downto 0),
-      reg_eth1g_II_strobe_total_count_tx_write_export     => reg_eth1g_II_strobe_total_count_tx_copi.wr,
-      reg_eth1g_II_strobe_total_count_tx_writedata_export => reg_eth1g_II_strobe_total_count_tx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_strobe_total_count_tx_read_export      => reg_eth1g_II_strobe_total_count_tx_copi.rd,
-      reg_eth1g_II_strobe_total_count_tx_readdata_export  => reg_eth1g_II_strobe_total_count_tx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_bsn_monitor_v2_rx_reset_export         => OPEN,
-      reg_eth1g_II_bsn_monitor_v2_rx_clk_export           => OPEN,
-      reg_eth1g_II_bsn_monitor_v2_rx_address_export       => reg_eth1g_II_bsn_monitor_v2_rx_copi.address(2 downto 0),
-      reg_eth1g_II_bsn_monitor_v2_rx_write_export         => reg_eth1g_II_bsn_monitor_v2_rx_copi.wr,
-      reg_eth1g_II_bsn_monitor_v2_rx_writedata_export     => reg_eth1g_II_bsn_monitor_v2_rx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_bsn_monitor_v2_rx_read_export          => reg_eth1g_II_bsn_monitor_v2_rx_copi.rd,
-      reg_eth1g_II_bsn_monitor_v2_rx_readdata_export      => reg_eth1g_II_bsn_monitor_v2_rx_cipo.rddata(c_word_w-1 DOWNTO 0),
-
-      reg_eth1g_II_strobe_total_count_rx_reset_export     => OPEN,
-      reg_eth1g_II_strobe_total_count_rx_clk_export       => OPEN,
-      reg_eth1g_II_strobe_total_count_rx_address_export   => reg_eth1g_II_strobe_total_count_rx_copi.address(4 downto 0),
-      reg_eth1g_II_strobe_total_count_rx_write_export     => reg_eth1g_II_strobe_total_count_rx_copi.wr,
-      reg_eth1g_II_strobe_total_count_rx_writedata_export => reg_eth1g_II_strobe_total_count_rx_copi.wrdata(c_word_w-1 DOWNTO 0),
-      reg_eth1g_II_strobe_total_count_rx_read_export      => reg_eth1g_II_strobe_total_count_rx_copi.rd,
-      reg_eth1g_II_strobe_total_count_rx_readdata_export  => reg_eth1g_II_strobe_total_count_rx_cipo.rddata(c_word_w-1 DOWNTO 0),
+      reg_diag_bg_eth_0_reset_export                    => OPEN,
+      reg_diag_bg_eth_0_clk_export                      => OPEN,
+      reg_diag_bg_eth_0_address_export                  => reg_diag_bg_eth_0_copi.address(4 downto 0),
+      reg_diag_bg_eth_0_write_export                    => reg_diag_bg_eth_0_copi.wr,
+      reg_diag_bg_eth_0_writedata_export                => reg_diag_bg_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_diag_bg_eth_0_read_export                     => reg_diag_bg_eth_0_copi.rd,
+      reg_diag_bg_eth_0_readdata_export                 => reg_diag_bg_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_hdr_dat_eth_0_reset_export                    => OPEN,
+      reg_hdr_dat_eth_0_clk_export                      => OPEN,
+      reg_hdr_dat_eth_0_address_export                  => reg_hdr_dat_eth_0_copi.address(6 downto 0),
+      reg_hdr_dat_eth_0_write_export                    => reg_hdr_dat_eth_0_copi.wr,
+      reg_hdr_dat_eth_0_writedata_export                => reg_hdr_dat_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_hdr_dat_eth_0_read_export                     => reg_hdr_dat_eth_0_copi.rd,
+      reg_hdr_dat_eth_0_readdata_export                 => reg_hdr_dat_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_bsn_monitor_v2_tx_eth_0_reset_export          => OPEN,
+      reg_bsn_monitor_v2_tx_eth_0_clk_export            => OPEN,
+      reg_bsn_monitor_v2_tx_eth_0_address_export        => reg_bsn_monitor_v2_tx_eth_0_copi.address(4 downto 0),
+      reg_bsn_monitor_v2_tx_eth_0_write_export          => reg_bsn_monitor_v2_tx_eth_0_copi.wr,
+      reg_bsn_monitor_v2_tx_eth_0_writedata_export      => reg_bsn_monitor_v2_tx_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_bsn_monitor_v2_tx_eth_0_read_export           => reg_bsn_monitor_v2_tx_eth_0_copi.rd,
+      reg_bsn_monitor_v2_tx_eth_0_readdata_export       => reg_bsn_monitor_v2_tx_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_strobe_total_count_tx_eth_0_reset_export      => OPEN,
+      reg_strobe_total_count_tx_eth_0_clk_export        => OPEN,
+      reg_strobe_total_count_tx_eth_0_address_export    => reg_strobe_total_count_tx_eth_0_copi.address(6 downto 0),
+      reg_strobe_total_count_tx_eth_0_write_export      => reg_strobe_total_count_tx_eth_0_copi.wr,
+      reg_strobe_total_count_tx_eth_0_writedata_export  => reg_strobe_total_count_tx_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_strobe_total_count_tx_eth_0_read_export       => reg_strobe_total_count_tx_eth_0_copi.rd,
+      reg_strobe_total_count_tx_eth_0_readdata_export   => reg_strobe_total_count_tx_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_bsn_monitor_v2_rx_eth_0_reset_export          => OPEN,
+      reg_bsn_monitor_v2_rx_eth_0_clk_export            => OPEN,
+      reg_bsn_monitor_v2_rx_eth_0_address_export        => reg_bsn_monitor_v2_rx_eth_0_copi.address(4 downto 0),
+      reg_bsn_monitor_v2_rx_eth_0_write_export          => reg_bsn_monitor_v2_rx_eth_0_copi.wr,
+      reg_bsn_monitor_v2_rx_eth_0_writedata_export      => reg_bsn_monitor_v2_rx_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_bsn_monitor_v2_rx_eth_0_read_export           => reg_bsn_monitor_v2_rx_eth_0_copi.rd,
+      reg_bsn_monitor_v2_rx_eth_0_readdata_export       => reg_bsn_monitor_v2_rx_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_strobe_total_count_rx_eth_0_reset_export      => OPEN,
+      reg_strobe_total_count_rx_eth_0_clk_export        => OPEN,
+      reg_strobe_total_count_rx_eth_0_address_export    => reg_strobe_total_count_rx_eth_0_copi.address(6 downto 0),
+      reg_strobe_total_count_rx_eth_0_write_export      => reg_strobe_total_count_rx_eth_0_copi.wr,
+      reg_strobe_total_count_rx_eth_0_writedata_export  => reg_strobe_total_count_rx_eth_0_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_strobe_total_count_rx_eth_0_read_export       => reg_strobe_total_count_rx_eth_0_copi.rd,
+      reg_strobe_total_count_rx_eth_0_readdata_export   => reg_strobe_total_count_rx_eth_0_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_diag_bg_eth_1_reset_export                   => OPEN,
+      reg_diag_bg_eth_1_clk_export                     => OPEN,
+      reg_diag_bg_eth_1_address_export                 => reg_diag_bg_eth_1_copi.address(2 downto 0),
+      reg_diag_bg_eth_1_write_export                   => reg_diag_bg_eth_1_copi.wr,
+      reg_diag_bg_eth_1_writedata_export               => reg_diag_bg_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_diag_bg_eth_1_read_export                    => reg_diag_bg_eth_1_copi.rd,
+      reg_diag_bg_eth_1_readdata_export                => reg_diag_bg_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_hdr_dat_eth_1_reset_export                   => OPEN,
+      reg_hdr_dat_eth_1_clk_export                     => OPEN,
+      reg_hdr_dat_eth_1_address_export                 => reg_hdr_dat_eth_1_copi.address(4 downto 0),
+      reg_hdr_dat_eth_1_write_export                   => reg_hdr_dat_eth_1_copi.wr,
+      reg_hdr_dat_eth_1_writedata_export               => reg_hdr_dat_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_hdr_dat_eth_1_read_export                    => reg_hdr_dat_eth_1_copi.rd,
+      reg_hdr_dat_eth_1_readdata_export                => reg_hdr_dat_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_bsn_monitor_v2_tx_eth_1_reset_export         => OPEN,
+      reg_bsn_monitor_v2_tx_eth_1_clk_export           => OPEN,
+      reg_bsn_monitor_v2_tx_eth_1_address_export       => reg_bsn_monitor_v2_tx_eth_1_copi.address(2 downto 0),
+      reg_bsn_monitor_v2_tx_eth_1_write_export         => reg_bsn_monitor_v2_tx_eth_1_copi.wr,
+      reg_bsn_monitor_v2_tx_eth_1_writedata_export     => reg_bsn_monitor_v2_tx_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_bsn_monitor_v2_tx_eth_1_read_export          => reg_bsn_monitor_v2_tx_eth_1_copi.rd,
+      reg_bsn_monitor_v2_tx_eth_1_readdata_export      => reg_bsn_monitor_v2_tx_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_strobe_total_count_tx_eth_1_reset_export     => OPEN,
+      reg_strobe_total_count_tx_eth_1_clk_export       => OPEN,
+      reg_strobe_total_count_tx_eth_1_address_export   => reg_strobe_total_count_tx_eth_1_copi.address(4 downto 0),
+      reg_strobe_total_count_tx_eth_1_write_export     => reg_strobe_total_count_tx_eth_1_copi.wr,
+      reg_strobe_total_count_tx_eth_1_writedata_export => reg_strobe_total_count_tx_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_strobe_total_count_tx_eth_1_read_export      => reg_strobe_total_count_tx_eth_1_copi.rd,
+      reg_strobe_total_count_tx_eth_1_readdata_export  => reg_strobe_total_count_tx_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_bsn_monitor_v2_rx_eth_1_reset_export         => OPEN,
+      reg_bsn_monitor_v2_rx_eth_1_clk_export           => OPEN,
+      reg_bsn_monitor_v2_rx_eth_1_address_export       => reg_bsn_monitor_v2_rx_eth_1_copi.address(2 downto 0),
+      reg_bsn_monitor_v2_rx_eth_1_write_export         => reg_bsn_monitor_v2_rx_eth_1_copi.wr,
+      reg_bsn_monitor_v2_rx_eth_1_writedata_export     => reg_bsn_monitor_v2_rx_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_bsn_monitor_v2_rx_eth_1_read_export          => reg_bsn_monitor_v2_rx_eth_1_copi.rd,
+      reg_bsn_monitor_v2_rx_eth_1_readdata_export      => reg_bsn_monitor_v2_rx_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
+
+      reg_strobe_total_count_rx_eth_1_reset_export     => OPEN,
+      reg_strobe_total_count_rx_eth_1_clk_export       => OPEN,
+      reg_strobe_total_count_rx_eth_1_address_export   => reg_strobe_total_count_rx_eth_1_copi.address(4 downto 0),
+      reg_strobe_total_count_rx_eth_1_write_export     => reg_strobe_total_count_rx_eth_1_copi.wr,
+      reg_strobe_total_count_rx_eth_1_writedata_export => reg_strobe_total_count_rx_eth_1_copi.wrdata(c_word_w-1 DOWNTO 0),
+      reg_strobe_total_count_rx_eth_1_read_export      => reg_strobe_total_count_rx_eth_1_copi.rd,
+      reg_strobe_total_count_rx_eth_1_readdata_export  => reg_strobe_total_count_rx_eth_1_cipo.rddata(c_word_w-1 DOWNTO 0),
 
       reg_heater_reset_export                   => OPEN,
       reg_heater_clk_export                     => OPEN,
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
index 4751e53edb6523fd1646ef7622c75a18de9d4821..e61e1499a2288285f38f0d552a1d3fcc9915609b 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/qsys_unb2c_test_pkg.vhd
@@ -26,442 +26,442 @@ PACKAGE qsys_unb2c_test_pkg IS
 
     component qsys_unb2c_test is
         port (
-            avs2_eth_coe_1_reset_export                                 : out std_logic;                                        -- export
-            avs2_eth_coe_1_clk_export                                   : out std_logic;                                        -- export
-            avs2_eth_coe_1_tse_address_export                           : out std_logic_vector(9 downto 0);                     -- export
-            avs2_eth_coe_1_tse_write_export                             : out std_logic;                                        -- export
-            avs2_eth_coe_1_tse_read_export                              : out std_logic;                                        -- export
-            avs2_eth_coe_1_tse_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            avs2_eth_coe_1_tse_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs2_eth_coe_1_tse_waitrequest_export                       : in  std_logic                     := 'X';             -- export
-            avs2_eth_coe_1_reg_address_export                           : out std_logic_vector(3 downto 0);                     -- export
-            avs2_eth_coe_1_reg_write_export                             : out std_logic;                                        -- export
-            avs2_eth_coe_1_reg_read_export                              : out std_logic;                                        -- export
-            avs2_eth_coe_1_reg_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            avs2_eth_coe_1_reg_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs2_eth_coe_1_ram_address_export                           : out std_logic_vector(9 downto 0);                     -- export
-            avs2_eth_coe_1_ram_write_export                             : out std_logic;                                        -- export
-            avs2_eth_coe_1_ram_read_export                              : out std_logic;                                        -- export
-            avs2_eth_coe_1_ram_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            avs2_eth_coe_1_ram_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs2_eth_coe_1_irq_export                                   : in  std_logic                     := 'X';             -- export
-            avs_eth_0_reset_export                                      : out std_logic;                                        -- export
-            avs_eth_0_clk_export                                        : out std_logic;                                        -- export
-            avs_eth_0_tse_address_export                                : out std_logic_vector(9 downto 0);                     -- export
-            avs_eth_0_tse_write_export                                  : out std_logic;                                        -- export
-            avs_eth_0_tse_read_export                                   : out std_logic;                                        -- export
-            avs_eth_0_tse_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            avs_eth_0_tse_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_tse_waitrequest_export                            : in  std_logic                     := 'X';             -- export
-            avs_eth_0_reg_address_export                                : out std_logic_vector(3 downto 0);                     -- export
-            avs_eth_0_reg_write_export                                  : out std_logic;                                        -- export
-            avs_eth_0_reg_read_export                                   : out std_logic;                                        -- export
-            avs_eth_0_reg_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            avs_eth_0_reg_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_ram_address_export                                : out std_logic_vector(9 downto 0);                     -- export
-            avs_eth_0_ram_write_export                                  : out std_logic;                                        -- export
-            avs_eth_0_ram_read_export                                   : out std_logic;                                        -- export
-            avs_eth_0_ram_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            avs_eth_0_ram_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            avs_eth_0_irq_export                                        : in  std_logic                     := 'X';             -- export
-            clk_clk                                                     : in  std_logic                     := 'X';             -- clk
-            reset_reset_n                                               : in  std_logic                     := 'X';             -- reset_n
-            jesd204b_reset_export                                       : out std_logic;                                        -- export
-            jesd204b_clk_export                                         : out std_logic;                                        -- export
-            jesd204b_address_export                                     : out std_logic_vector(11 downto 0);                    -- export
-            jesd204b_write_export                                       : out std_logic;                                        -- export
-            jesd204b_writedata_export                                   : out std_logic_vector(31 downto 0);                    -- export
-            jesd204b_read_export                                        : out std_logic;                                        -- export
-            jesd204b_readdata_export                                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_jesd_ctrl_reset_export                                  : out std_logic;                                        -- export
-            pio_jesd_ctrl_clk_export                                    : out std_logic;                                        -- export
-            pio_jesd_ctrl_address_export                                : out std_logic_vector(0 downto 0);                     -- export
-            pio_jesd_ctrl_write_export                                  : out std_logic;                                        -- export
-            pio_jesd_ctrl_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            pio_jesd_ctrl_read_export                                   : out std_logic;                                        -- export
-            pio_jesd_ctrl_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_pps_reset_export                                        : out std_logic;                                        -- export
-            pio_pps_clk_export                                          : out std_logic;                                        -- export
-            pio_pps_address_export                                      : out std_logic_vector(1 downto 0);                     -- export
-            pio_pps_write_export                                        : out std_logic;                                        -- export
-            pio_pps_writedata_export                                    : out std_logic_vector(31 downto 0);                    -- export
-            pio_pps_read_export                                         : out std_logic;                                        -- export
-            pio_pps_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_system_info_reset_export                                : out std_logic;                                        -- export
-            pio_system_info_clk_export                                  : out std_logic;                                        -- export
-            pio_system_info_address_export                              : out std_logic_vector(4 downto 0);                     -- export
-            pio_system_info_write_export                                : out std_logic;                                        -- export
-            pio_system_info_writedata_export                            : out std_logic_vector(31 downto 0);                    -- export
-            pio_system_info_read_export                                 : out std_logic;                                        -- export
-            pio_system_info_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            pio_wdi_external_connection_export                          : out std_logic;                                        -- export
-            ram_diag_bg_10gbe_reset_export                              : out std_logic;                                        -- export
-            ram_diag_bg_10gbe_clk_export                                : out std_logic;                                        -- export
-            ram_diag_bg_10gbe_address_export                            : out std_logic_vector(16 downto 0);                    -- export
-            ram_diag_bg_10gbe_write_export                              : out std_logic;                                        -- export
-            ram_diag_bg_10gbe_writedata_export                          : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_bg_10gbe_read_export                               : out std_logic;                                        -- export
-            ram_diag_bg_10gbe_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_diag_data_buffer_10gbe_reset_export                     : out std_logic;                                        -- export
-            ram_diag_data_buffer_10gbe_clk_export                       : out std_logic;                                        -- export
-            ram_diag_data_buffer_10gbe_address_export                   : out std_logic_vector(16 downto 0);                    -- export
-            ram_diag_data_buffer_10gbe_write_export                     : out std_logic;                                        -- export
-            ram_diag_data_buffer_10gbe_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_data_buffer_10gbe_read_export                      : out std_logic;                                        -- export
-            ram_diag_data_buffer_10gbe_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_diag_data_buffer_bsn_reset_export                       : out std_logic;                                        -- export
-            ram_diag_data_buffer_bsn_clk_export                         : out std_logic;                                        -- export
-            ram_diag_data_buffer_bsn_address_export                     : out std_logic_vector(20 downto 0);                    -- export
-            ram_diag_data_buffer_bsn_write_export                       : out std_logic;                                        -- export
-            ram_diag_data_buffer_bsn_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_data_buffer_bsn_read_export                        : out std_logic;                                        -- export
-            ram_diag_data_buffer_bsn_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_diag_data_buffer_ddr_mb_i_reset_export                  : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_i_clk_export                    : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_i_address_export                : out std_logic_vector(10 downto 0);                    -- export
-            ram_diag_data_buffer_ddr_mb_i_write_export                  : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_i_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_data_buffer_ddr_mb_i_read_export                   : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_i_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_diag_data_buffer_ddr_mb_ii_reset_export                 : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_ii_clk_export                   : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_ii_address_export               : out std_logic_vector(10 downto 0);                    -- export
-            ram_diag_data_buffer_ddr_mb_ii_write_export                 : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_ii_writedata_export             : out std_logic_vector(31 downto 0);                    -- export
-            ram_diag_data_buffer_ddr_mb_ii_read_export                  : out std_logic;                                        -- export
-            ram_diag_data_buffer_ddr_mb_ii_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            ram_scrap_reset_export                                      : out std_logic;                                        -- export
-            ram_scrap_clk_export                                        : out std_logic;                                        -- export
-            ram_scrap_address_export                                    : out std_logic_vector(8 downto 0);                     -- export
-            ram_scrap_write_export                                      : out std_logic;                                        -- export
-            ram_scrap_writedata_export                                  : out std_logic_vector(31 downto 0);                    -- export
-            ram_scrap_read_export                                       : out std_logic;                                        -- export
-            ram_scrap_readdata_export                                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_bsn_monitor_10gbe_reset_export                          : out std_logic;                                        -- export
-            reg_bsn_monitor_10gbe_clk_export                            : out std_logic;                                        -- export
-            reg_bsn_monitor_10gbe_address_export                        : out std_logic_vector(10 downto 0);                    -- export
-            reg_bsn_monitor_10gbe_write_export                          : out std_logic;                                        -- export
-            reg_bsn_monitor_10gbe_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
-            reg_bsn_monitor_10gbe_read_export                           : out std_logic;                                        -- export
-            reg_bsn_monitor_10gbe_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_bsn_monitor_input_reset_export                          : out std_logic;                                        -- export
-            reg_bsn_monitor_input_clk_export                            : out std_logic;                                        -- export
-            reg_bsn_monitor_input_address_export                        : out std_logic_vector(7 downto 0);                     -- export
-            reg_bsn_monitor_input_write_export                          : out std_logic;                                        -- export
-            reg_bsn_monitor_input_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
-            reg_bsn_monitor_input_read_export                           : out std_logic;                                        -- export
-            reg_bsn_monitor_input_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_bsn_scheduler_reset_export                              : out std_logic;                                        -- export
-            reg_bsn_scheduler_clk_export                                : out std_logic;                                        -- export
-            reg_bsn_scheduler_address_export                            : out std_logic_vector(0 downto 0);                     -- export
-            reg_bsn_scheduler_write_export                              : out std_logic;                                        -- export
-            reg_bsn_scheduler_writedata_export                          : out std_logic_vector(31 downto 0);                    -- export
-            reg_bsn_scheduler_read_export                               : out std_logic;                                        -- export
-            reg_bsn_scheduler_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_bsn_source_reset_export                                 : out std_logic;                                        -- export
-            reg_bsn_source_clk_export                                   : out std_logic;                                        -- export
-            reg_bsn_source_address_export                               : out std_logic_vector(1 downto 0);                     -- export
-            reg_bsn_source_write_export                                 : out std_logic;                                        -- export
-            reg_bsn_source_writedata_export                             : out std_logic_vector(31 downto 0);                    -- export
-            reg_bsn_source_read_export                                  : out std_logic;                                        -- export
-            reg_bsn_source_readdata_export                              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_bg_10gbe_reset_export                              : out std_logic;                                        -- export
-            reg_diag_bg_10gbe_clk_export                                : out std_logic;                                        -- export
-            reg_diag_bg_10gbe_address_export                            : out std_logic_vector(2 downto 0);                     -- export
-            reg_diag_bg_10gbe_write_export                              : out std_logic;                                        -- export
-            reg_diag_bg_10gbe_writedata_export                          : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_bg_10gbe_read_export                               : out std_logic;                                        -- export
-            reg_diag_bg_10gbe_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_data_buffer_10gbe_reset_export                     : out std_logic;                                        -- export
-            reg_diag_data_buffer_10gbe_clk_export                       : out std_logic;                                        -- export
-            reg_diag_data_buffer_10gbe_address_export                   : out std_logic_vector(5 downto 0);                     -- export
-            reg_diag_data_buffer_10gbe_write_export                     : out std_logic;                                        -- export
-            reg_diag_data_buffer_10gbe_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_data_buffer_10gbe_read_export                      : out std_logic;                                        -- export
-            reg_diag_data_buffer_10gbe_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_data_buffer_bsn_reset_export                       : out std_logic;                                        -- export
-            reg_diag_data_buffer_bsn_clk_export                         : out std_logic;                                        -- export
-            reg_diag_data_buffer_bsn_address_export                     : out std_logic_vector(11 downto 0);                    -- export
-            reg_diag_data_buffer_bsn_write_export                       : out std_logic;                                        -- export
-            reg_diag_data_buffer_bsn_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_data_buffer_bsn_read_export                        : out std_logic;                                        -- export
-            reg_diag_data_buffer_bsn_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_data_buffer_ddr_mb_i_reset_export                  : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_i_clk_export                    : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_i_address_export                : out std_logic_vector(4 downto 0);                     -- export
-            reg_diag_data_buffer_ddr_mb_i_write_export                  : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_i_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_data_buffer_ddr_mb_i_read_export                   : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_i_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_data_buffer_ddr_mb_ii_reset_export                 : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_ii_clk_export                   : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_ii_address_export               : out std_logic_vector(4 downto 0);                     -- export
-            reg_diag_data_buffer_ddr_mb_ii_write_export                 : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_ii_writedata_export             : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_data_buffer_ddr_mb_ii_read_export                  : out std_logic;                                        -- export
-            reg_diag_data_buffer_ddr_mb_ii_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_rx_seq_10gbe_reset_export                          : out std_logic;                                        -- export
-            reg_diag_rx_seq_10gbe_clk_export                            : out std_logic;                                        -- export
-            reg_diag_rx_seq_10gbe_address_export                        : out std_logic_vector(4 downto 0);                     -- export
-            reg_diag_rx_seq_10gbe_write_export                          : out std_logic;                                        -- export
-            reg_diag_rx_seq_10gbe_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_rx_seq_10gbe_read_export                           : out std_logic;                                        -- export
-            reg_diag_rx_seq_10gbe_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_rx_seq_ddr_mb_i_reset_export                       : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_i_clk_export                         : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_i_address_export                     : out std_logic_vector(2 downto 0);                     -- export
-            reg_diag_rx_seq_ddr_mb_i_write_export                       : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_i_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_rx_seq_ddr_mb_i_read_export                        : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_i_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_rx_seq_ddr_mb_ii_reset_export                      : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_ii_clk_export                        : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_ii_address_export                    : out std_logic_vector(2 downto 0);                     -- export
-            reg_diag_rx_seq_ddr_mb_ii_write_export                      : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_ii_writedata_export                  : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_rx_seq_ddr_mb_ii_read_export                       : out std_logic;                                        -- export
-            reg_diag_rx_seq_ddr_mb_ii_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_tx_seq_10gbe_reset_export                          : out std_logic;                                        -- export
-            reg_diag_tx_seq_10gbe_clk_export                            : out std_logic;                                        -- export
-            reg_diag_tx_seq_10gbe_address_export                        : out std_logic_vector(3 downto 0);                     -- export
-            reg_diag_tx_seq_10gbe_write_export                          : out std_logic;                                        -- export
-            reg_diag_tx_seq_10gbe_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_tx_seq_10gbe_read_export                           : out std_logic;                                        -- export
-            reg_diag_tx_seq_10gbe_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_tx_seq_ddr_mb_i_reset_export                       : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_i_clk_export                         : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_i_address_export                     : out std_logic_vector(1 downto 0);                     -- export
-            reg_diag_tx_seq_ddr_mb_i_write_export                       : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_i_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_tx_seq_ddr_mb_i_read_export                        : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_i_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_diag_tx_seq_ddr_mb_ii_reset_export                      : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_ii_clk_export                        : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_ii_address_export                    : out std_logic_vector(1 downto 0);                     -- export
-            reg_diag_tx_seq_ddr_mb_ii_write_export                      : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_ii_writedata_export                  : out std_logic_vector(31 downto 0);                    -- export
-            reg_diag_tx_seq_ddr_mb_ii_read_export                       : out std_logic;                                        -- export
-            reg_diag_tx_seq_ddr_mb_ii_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_dpmm_ctrl_reset_export                                  : out std_logic;                                        -- export
-            reg_dpmm_ctrl_clk_export                                    : out std_logic;                                        -- export
-            reg_dpmm_ctrl_address_export                                : out std_logic_vector(0 downto 0);                     -- export
-            reg_dpmm_ctrl_write_export                                  : out std_logic;                                        -- export
-            reg_dpmm_ctrl_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            reg_dpmm_ctrl_read_export                                   : out std_logic;                                        -- export
-            reg_dpmm_ctrl_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_dpmm_data_reset_export                                  : out std_logic;                                        -- export
-            reg_dpmm_data_clk_export                                    : out std_logic;                                        -- export
-            reg_dpmm_data_address_export                                : out std_logic_vector(0 downto 0);                     -- export
-            reg_dpmm_data_write_export                                  : out std_logic;                                        -- export
-            reg_dpmm_data_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            reg_dpmm_data_read_export                                   : out std_logic;                                        -- export
-            reg_dpmm_data_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_epcs_reset_export                                       : out std_logic;                                        -- export
-            reg_epcs_clk_export                                         : out std_logic;                                        -- export
-            reg_epcs_address_export                                     : out std_logic_vector(2 downto 0);                     -- export
-            reg_epcs_write_export                                       : out std_logic;                                        -- export
-            reg_epcs_writedata_export                                   : out std_logic_vector(31 downto 0);                    -- export
-            reg_epcs_read_export                                        : out std_logic;                                        -- export
-            reg_epcs_readdata_export                                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth10g_back0_reset_export                               : out std_logic;                                        -- export
-            reg_eth10g_back0_clk_export                                 : out std_logic;                                        -- export
-            reg_eth10g_back0_address_export                             : out std_logic_vector(5 downto 0);                     -- export
-            reg_eth10g_back0_write_export                               : out std_logic;                                        -- export
-            reg_eth10g_back0_writedata_export                           : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth10g_back0_read_export                                : out std_logic;                                        -- export
-            reg_eth10g_back0_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth10g_back1_reset_export                               : out std_logic;                                        -- export
-            reg_eth10g_back1_clk_export                                 : out std_logic;                                        -- export
-            reg_eth10g_back1_address_export                             : out std_logic_vector(5 downto 0);                     -- export
-            reg_eth10g_back1_write_export                               : out std_logic;                                        -- export
-            reg_eth10g_back1_writedata_export                           : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth10g_back1_read_export                                : out std_logic;                                        -- export
-            reg_eth10g_back1_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth10g_qsfp_ring_reset_export                           : out std_logic;                                        -- export
-            reg_eth10g_qsfp_ring_clk_export                             : out std_logic;                                        -- export
-            reg_eth10g_qsfp_ring_address_export                         : out std_logic_vector(6 downto 0);                     -- export
-            reg_eth10g_qsfp_ring_write_export                           : out std_logic;                                        -- export
-            reg_eth10g_qsfp_ring_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth10g_qsfp_ring_read_export                            : out std_logic;                                        -- export
-            reg_eth10g_qsfp_ring_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_bg_ctrl_reset_export                           : out std_logic;                                        -- export
-            reg_eth1g_ii_bg_ctrl_clk_export                             : out std_logic;                                        -- export
-            reg_eth1g_ii_bg_ctrl_address_export                         : out std_logic_vector(2 downto 0);                     -- export
-            reg_eth1g_ii_bg_ctrl_write_export                           : out std_logic;                                        -- export
-            reg_eth1g_ii_bg_ctrl_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_bg_ctrl_read_export                            : out std_logic;                                        -- export
-            reg_eth1g_ii_bg_ctrl_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_reset_export                 : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_clk_export                   : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_address_export               : out std_logic_vector(2 downto 0);                     -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_write_export                 : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_writedata_export             : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_read_export                  : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_rx_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_reset_export                 : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_clk_export                   : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_address_export               : out std_logic_vector(2 downto 0);                     -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_write_export                 : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_writedata_export             : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_read_export                  : out std_logic;                                        -- export
-            reg_eth1g_ii_bsn_monitor_v2_tx_readdata_export              : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_hdr_dat_reset_export                           : out std_logic;                                        -- export
-            reg_eth1g_ii_hdr_dat_clk_export                             : out std_logic;                                        -- export
-            reg_eth1g_ii_hdr_dat_address_export                         : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_ii_hdr_dat_write_export                           : out std_logic;                                        -- export
-            reg_eth1g_ii_hdr_dat_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_hdr_dat_read_export                            : out std_logic;                                        -- export
-            reg_eth1g_ii_hdr_dat_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_strobe_total_count_rx_reset_export             : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_rx_clk_export               : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_rx_address_export           : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_ii_strobe_total_count_rx_write_export             : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_rx_writedata_export         : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_strobe_total_count_rx_read_export              : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_rx_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_ii_strobe_total_count_tx_reset_export             : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_tx_clk_export               : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_tx_address_export           : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_ii_strobe_total_count_tx_write_export             : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_tx_writedata_export         : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_ii_strobe_total_count_tx_read_export              : out std_logic;                                        -- export
-            reg_eth1g_ii_strobe_total_count_tx_readdata_export          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_bg_ctrl_reset_export                            : out std_logic;                                        -- export
-            reg_eth1g_i_bg_ctrl_clk_export                              : out std_logic;                                        -- export
-            reg_eth1g_i_bg_ctrl_address_export                          : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_i_bg_ctrl_write_export                            : out std_logic;                                        -- export
-            reg_eth1g_i_bg_ctrl_writedata_export                        : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_bg_ctrl_read_export                             : out std_logic;                                        -- export
-            reg_eth1g_i_bg_ctrl_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_reset_export                  : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_clk_export                    : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_address_export                : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_write_export                  : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_read_export                   : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_rx_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_reset_export                  : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_clk_export                    : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_address_export                : out std_logic_vector(4 downto 0);                     -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_write_export                  : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_read_export                   : out std_logic;                                        -- export
-            reg_eth1g_i_bsn_monitor_v2_tx_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_hdr_dat_reset_export                            : out std_logic;                                        -- export
-            reg_eth1g_i_hdr_dat_clk_export                              : out std_logic;                                        -- export
-            reg_eth1g_i_hdr_dat_address_export                          : out std_logic_vector(6 downto 0);                     -- export
-            reg_eth1g_i_hdr_dat_write_export                            : out std_logic;                                        -- export
-            reg_eth1g_i_hdr_dat_writedata_export                        : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_hdr_dat_read_export                             : out std_logic;                                        -- export
-            reg_eth1g_i_hdr_dat_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_strobe_total_count_rx_reset_export              : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_rx_clk_export                : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_rx_address_export            : out std_logic_vector(6 downto 0);                     -- export
-            reg_eth1g_i_strobe_total_count_rx_write_export              : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_rx_writedata_export          : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_strobe_total_count_rx_read_export               : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_rx_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_eth1g_i_strobe_total_count_tx_reset_export              : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_tx_clk_export                : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_tx_address_export            : out std_logic_vector(6 downto 0);                     -- export
-            reg_eth1g_i_strobe_total_count_tx_write_export              : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_tx_writedata_export          : out std_logic_vector(31 downto 0);                    -- export
-            reg_eth1g_i_strobe_total_count_tx_read_export               : out std_logic;                                        -- export
-            reg_eth1g_i_strobe_total_count_tx_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_fpga_temp_sens_reset_export                             : out std_logic;                                        -- export
-            reg_fpga_temp_sens_clk_export                               : out std_logic;                                        -- export
-            reg_fpga_temp_sens_address_export                           : out std_logic_vector(2 downto 0);                     -- export
-            reg_fpga_temp_sens_write_export                             : out std_logic;                                        -- export
-            reg_fpga_temp_sens_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            reg_fpga_temp_sens_read_export                              : out std_logic;                                        -- export
-            reg_fpga_temp_sens_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_fpga_voltage_sens_reset_export                          : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_clk_export                            : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_address_export                        : out std_logic_vector(3 downto 0);                     -- export
-            reg_fpga_voltage_sens_write_export                          : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
-            reg_fpga_voltage_sens_read_export                           : out std_logic;                                        -- export
-            reg_fpga_voltage_sens_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_heater_reset_export                                     : out std_logic;                                        -- export
-            reg_heater_clk_export                                       : out std_logic;                                        -- export
-            reg_heater_address_export                                   : out std_logic_vector(4 downto 0);                     -- export
-            reg_heater_write_export                                     : out std_logic;                                        -- export
-            reg_heater_writedata_export                                 : out std_logic_vector(31 downto 0);                    -- export
-            reg_heater_read_export                                      : out std_logic;                                        -- export
-            reg_heater_readdata_export                                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_io_ddr_mb_i_reset_export                                : out std_logic;                                        -- export
-            reg_io_ddr_mb_i_clk_export                                  : out std_logic;                                        -- export
-            reg_io_ddr_mb_i_address_export                              : out std_logic_vector(15 downto 0);                    -- export
-            reg_io_ddr_mb_i_write_export                                : out std_logic;                                        -- export
-            reg_io_ddr_mb_i_writedata_export                            : out std_logic_vector(31 downto 0);                    -- export
-            reg_io_ddr_mb_i_read_export                                 : out std_logic;                                        -- export
-            reg_io_ddr_mb_i_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_io_ddr_mb_ii_reset_export                               : out std_logic;                                        -- export
-            reg_io_ddr_mb_ii_clk_export                                 : out std_logic;                                        -- export
-            reg_io_ddr_mb_ii_address_export                             : out std_logic_vector(15 downto 0);                    -- export
-            reg_io_ddr_mb_ii_write_export                               : out std_logic;                                        -- export
-            reg_io_ddr_mb_ii_writedata_export                           : out std_logic_vector(31 downto 0);                    -- export
-            reg_io_ddr_mb_ii_read_export                                : out std_logic;                                        -- export
-            reg_io_ddr_mb_ii_readdata_export                            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_mmdp_ctrl_reset_export                                  : out std_logic;                                        -- export
-            reg_mmdp_ctrl_clk_export                                    : out std_logic;                                        -- export
-            reg_mmdp_ctrl_address_export                                : out std_logic_vector(0 downto 0);                     -- export
-            reg_mmdp_ctrl_write_export                                  : out std_logic;                                        -- export
-            reg_mmdp_ctrl_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            reg_mmdp_ctrl_read_export                                   : out std_logic;                                        -- export
-            reg_mmdp_ctrl_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_mmdp_data_reset_export                                  : out std_logic;                                        -- export
-            reg_mmdp_data_clk_export                                    : out std_logic;                                        -- export
-            reg_mmdp_data_address_export                                : out std_logic_vector(0 downto 0);                     -- export
-            reg_mmdp_data_write_export                                  : out std_logic;                                        -- export
-            reg_mmdp_data_writedata_export                              : out std_logic_vector(31 downto 0);                    -- export
-            reg_mmdp_data_read_export                                   : out std_logic;                                        -- export
-            reg_mmdp_data_readdata_export                               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_remu_reset_export                                       : out std_logic;                                        -- export
-            reg_remu_clk_export                                         : out std_logic;                                        -- export
-            reg_remu_address_export                                     : out std_logic_vector(2 downto 0);                     -- export
-            reg_remu_write_export                                       : out std_logic;                                        -- export
-            reg_remu_writedata_export                                   : out std_logic_vector(31 downto 0);                    -- export
-            reg_remu_read_export                                        : out std_logic;                                        -- export
-            reg_remu_readdata_export                                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_tr_10gbe_back0_reset_export                             : out std_logic;                                        -- export
-            reg_tr_10gbe_back0_clk_export                               : out std_logic;                                        -- export
-            reg_tr_10gbe_back0_address_export                           : out std_logic_vector(17 downto 0);                    -- export
-            reg_tr_10gbe_back0_write_export                             : out std_logic;                                        -- export
-            reg_tr_10gbe_back0_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            reg_tr_10gbe_back0_read_export                              : out std_logic;                                        -- export
-            reg_tr_10gbe_back0_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_tr_10gbe_back0_waitrequest_export                       : in  std_logic                     := 'X';             -- export
-            reg_tr_10gbe_back1_reset_export                             : out std_logic;                                        -- export
-            reg_tr_10gbe_back1_clk_export                               : out std_logic;                                        -- export
-            reg_tr_10gbe_back1_address_export                           : out std_logic_vector(17 downto 0);                    -- export
-            reg_tr_10gbe_back1_write_export                             : out std_logic;                                        -- export
-            reg_tr_10gbe_back1_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
-            reg_tr_10gbe_back1_read_export                              : out std_logic;                                        -- export
-            reg_tr_10gbe_back1_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_tr_10gbe_back1_waitrequest_export                       : in  std_logic                     := 'X';             -- export
-            reg_tr_10gbe_qsfp_ring_reset_export                         : out std_logic;                                        -- export
-            reg_tr_10gbe_qsfp_ring_clk_export                           : out std_logic;                                        -- export
-            reg_tr_10gbe_qsfp_ring_address_export                       : out std_logic_vector(18 downto 0);                    -- export
-            reg_tr_10gbe_qsfp_ring_write_export                         : out std_logic;                                        -- export
-            reg_tr_10gbe_qsfp_ring_writedata_export                     : out std_logic_vector(31 downto 0);                    -- export
-            reg_tr_10gbe_qsfp_ring_read_export                          : out std_logic;                                        -- export
-            reg_tr_10gbe_qsfp_ring_readdata_export                      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            reg_tr_10gbe_qsfp_ring_waitrequest_export                   : in  std_logic                     := 'X';             -- export
-            reg_wdi_reset_export                                        : out std_logic;                                        -- export
-            reg_wdi_clk_export                                          : out std_logic;                                        -- export
-            reg_wdi_address_export                                      : out std_logic_vector(0 downto 0);                     -- export
-            reg_wdi_write_export                                        : out std_logic;                                        -- export
-            reg_wdi_writedata_export                                    : out std_logic_vector(31 downto 0);                    -- export
-            reg_wdi_read_export                                         : out std_logic;                                        -- export
-            reg_wdi_readdata_export                                     : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
-            rom_system_info_reset_export                                : out std_logic;                                        -- export
-            rom_system_info_clk_export                                  : out std_logic;                                        -- export
-            rom_system_info_address_export                              : out std_logic_vector(12 downto 0);                    -- export
-            rom_system_info_write_export                                : out std_logic;                                        -- export
-            rom_system_info_writedata_export                            : out std_logic_vector(31 downto 0);                    -- export
-            rom_system_info_read_export                                 : out std_logic;                                        -- export
-            rom_system_info_readdata_export                             : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
+            avs_eth_0_reset_export                           : out std_logic;                                        -- export
+            avs_eth_0_clk_export                             : out std_logic;                                        -- export
+            avs_eth_0_tse_address_export                     : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_0_tse_write_export                       : out std_logic;                                        -- export
+            avs_eth_0_tse_read_export                        : out std_logic;                                        -- export
+            avs_eth_0_tse_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_0_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_tse_waitrequest_export                 : in  std_logic                     := 'X';             -- export
+            avs_eth_0_reg_address_export                     : out std_logic_vector(3 downto 0);                     -- export
+            avs_eth_0_reg_write_export                       : out std_logic;                                        -- export
+            avs_eth_0_reg_read_export                        : out std_logic;                                        -- export
+            avs_eth_0_reg_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_0_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_ram_address_export                     : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_0_ram_write_export                       : out std_logic;                                        -- export
+            avs_eth_0_ram_read_export                        : out std_logic;                                        -- export
+            avs_eth_0_ram_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_0_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_0_irq_export                             : in  std_logic                     := 'X';             -- export
+            avs_eth_1_reset_export                           : out std_logic;                                        -- export
+            avs_eth_1_clk_export                             : out std_logic;                                        -- export
+            avs_eth_1_tse_address_export                     : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_1_tse_write_export                       : out std_logic;                                        -- export
+            avs_eth_1_tse_read_export                        : out std_logic;                                        -- export
+            avs_eth_1_tse_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_1_tse_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_1_tse_waitrequest_export                 : in  std_logic                     := 'X';             -- export
+            avs_eth_1_reg_address_export                     : out std_logic_vector(3 downto 0);                     -- export
+            avs_eth_1_reg_write_export                       : out std_logic;                                        -- export
+            avs_eth_1_reg_read_export                        : out std_logic;                                        -- export
+            avs_eth_1_reg_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_1_reg_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_1_ram_address_export                     : out std_logic_vector(9 downto 0);                     -- export
+            avs_eth_1_ram_write_export                       : out std_logic;                                        -- export
+            avs_eth_1_ram_read_export                        : out std_logic;                                        -- export
+            avs_eth_1_ram_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            avs_eth_1_ram_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            avs_eth_1_irq_export                             : in  std_logic                     := 'X';             -- export
+            clk_clk                                          : in  std_logic                     := 'X';             -- clk
+            reset_reset_n                                    : in  std_logic                     := 'X';             -- reset_n
+            jesd204b_reset_export                            : out std_logic;                                        -- export
+            jesd204b_clk_export                              : out std_logic;                                        -- export
+            jesd204b_address_export                          : out std_logic_vector(11 downto 0);                    -- export
+            jesd204b_write_export                            : out std_logic;                                        -- export
+            jesd204b_writedata_export                        : out std_logic_vector(31 downto 0);                    -- export
+            jesd204b_read_export                             : out std_logic;                                        -- export
+            jesd204b_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_jesd_ctrl_reset_export                       : out std_logic;                                        -- export
+            pio_jesd_ctrl_clk_export                         : out std_logic;                                        -- export
+            pio_jesd_ctrl_address_export                     : out std_logic_vector(0 downto 0);                     -- export
+            pio_jesd_ctrl_write_export                       : out std_logic;                                        -- export
+            pio_jesd_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            pio_jesd_ctrl_read_export                        : out std_logic;                                        -- export
+            pio_jesd_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_pps_reset_export                             : out std_logic;                                        -- export
+            pio_pps_clk_export                               : out std_logic;                                        -- export
+            pio_pps_address_export                           : out std_logic_vector(1 downto 0);                     -- export
+            pio_pps_write_export                             : out std_logic;                                        -- export
+            pio_pps_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
+            pio_pps_read_export                              : out std_logic;                                        -- export
+            pio_pps_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_system_info_reset_export                     : out std_logic;                                        -- export
+            pio_system_info_clk_export                       : out std_logic;                                        -- export
+            pio_system_info_address_export                   : out std_logic_vector(4 downto 0);                     -- export
+            pio_system_info_write_export                     : out std_logic;                                        -- export
+            pio_system_info_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            pio_system_info_read_export                      : out std_logic;                                        -- export
+            pio_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            pio_wdi_external_connection_export               : out std_logic;                                        -- export
+            ram_diag_bg_10gbe_reset_export                   : out std_logic;                                        -- export
+            ram_diag_bg_10gbe_clk_export                     : out std_logic;                                        -- export
+            ram_diag_bg_10gbe_address_export                 : out std_logic_vector(16 downto 0);                    -- export
+            ram_diag_bg_10gbe_write_export                   : out std_logic;                                        -- export
+            ram_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_bg_10gbe_read_export                    : out std_logic;                                        -- export
+            ram_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_diag_data_buffer_10gbe_reset_export          : out std_logic;                                        -- export
+            ram_diag_data_buffer_10gbe_clk_export            : out std_logic;                                        -- export
+            ram_diag_data_buffer_10gbe_address_export        : out std_logic_vector(16 downto 0);                    -- export
+            ram_diag_data_buffer_10gbe_write_export          : out std_logic;                                        -- export
+            ram_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_data_buffer_10gbe_read_export           : out std_logic;                                        -- export
+            ram_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_diag_data_buffer_bsn_reset_export            : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_clk_export              : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_address_export          : out std_logic_vector(20 downto 0);                    -- export
+            ram_diag_data_buffer_bsn_write_export            : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_data_buffer_bsn_read_export             : out std_logic;                                        -- export
+            ram_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(10 downto 0);                    -- export
+            ram_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(10 downto 0);                    -- export
+            ram_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);                    -- export
+            ram_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;                                        -- export
+            ram_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            ram_scrap_reset_export                           : out std_logic;                                        -- export
+            ram_scrap_clk_export                             : out std_logic;                                        -- export
+            ram_scrap_address_export                         : out std_logic_vector(8 downto 0);                     -- export
+            ram_scrap_write_export                           : out std_logic;                                        -- export
+            ram_scrap_writedata_export                       : out std_logic_vector(31 downto 0);                    -- export
+            ram_scrap_read_export                            : out std_logic;                                        -- export
+            ram_scrap_readdata_export                        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_10gbe_reset_export               : out std_logic;                                        -- export
+            reg_bsn_monitor_10gbe_clk_export                 : out std_logic;                                        -- export
+            reg_bsn_monitor_10gbe_address_export             : out std_logic_vector(10 downto 0);                    -- export
+            reg_bsn_monitor_10gbe_write_export               : out std_logic;                                        -- export
+            reg_bsn_monitor_10gbe_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_10gbe_read_export                : out std_logic;                                        -- export
+            reg_bsn_monitor_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_input_reset_export               : out std_logic;                                        -- export
+            reg_bsn_monitor_input_clk_export                 : out std_logic;                                        -- export
+            reg_bsn_monitor_input_address_export             : out std_logic_vector(7 downto 0);                     -- export
+            reg_bsn_monitor_input_write_export               : out std_logic;                                        -- export
+            reg_bsn_monitor_input_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_input_read_export                : out std_logic;                                        -- export
+            reg_bsn_monitor_input_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_scheduler_reset_export                   : out std_logic;                                        -- export
+            reg_bsn_scheduler_clk_export                     : out std_logic;                                        -- export
+            reg_bsn_scheduler_address_export                 : out std_logic_vector(0 downto 0);                     -- export
+            reg_bsn_scheduler_write_export                   : out std_logic;                                        -- export
+            reg_bsn_scheduler_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_scheduler_read_export                    : out std_logic;                                        -- export
+            reg_bsn_scheduler_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_source_reset_export                      : out std_logic;                                        -- export
+            reg_bsn_source_clk_export                        : out std_logic;                                        -- export
+            reg_bsn_source_address_export                    : out std_logic_vector(1 downto 0);                     -- export
+            reg_bsn_source_write_export                      : out std_logic;                                        -- export
+            reg_bsn_source_writedata_export                  : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_source_read_export                       : out std_logic;                                        -- export
+            reg_bsn_source_readdata_export                   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_bg_10gbe_reset_export                   : out std_logic;                                        -- export
+            reg_diag_bg_10gbe_clk_export                     : out std_logic;                                        -- export
+            reg_diag_bg_10gbe_address_export                 : out std_logic_vector(2 downto 0);                     -- export
+            reg_diag_bg_10gbe_write_export                   : out std_logic;                                        -- export
+            reg_diag_bg_10gbe_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_bg_10gbe_read_export                    : out std_logic;                                        -- export
+            reg_diag_bg_10gbe_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_bg_eth_0_reset_export                   : out std_logic;                                        -- export
+            reg_diag_bg_eth_0_clk_export                     : out std_logic;                                        -- export
+            reg_diag_bg_eth_0_address_export                 : out std_logic_vector(4 downto 0);                     -- export
+            reg_diag_bg_eth_0_write_export                   : out std_logic;                                        -- export
+            reg_diag_bg_eth_0_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_bg_eth_0_read_export                    : out std_logic;                                        -- export
+            reg_diag_bg_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_bg_eth_1_reset_export                   : out std_logic;                                        -- export
+            reg_diag_bg_eth_1_clk_export                     : out std_logic;                                        -- export
+            reg_diag_bg_eth_1_address_export                 : out std_logic_vector(2 downto 0);                     -- export
+            reg_diag_bg_eth_1_write_export                   : out std_logic;                                        -- export
+            reg_diag_bg_eth_1_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_bg_eth_1_read_export                    : out std_logic;                                        -- export
+            reg_diag_bg_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_data_buffer_10gbe_reset_export          : out std_logic;                                        -- export
+            reg_diag_data_buffer_10gbe_clk_export            : out std_logic;                                        -- export
+            reg_diag_data_buffer_10gbe_address_export        : out std_logic_vector(5 downto 0);                     -- export
+            reg_diag_data_buffer_10gbe_write_export          : out std_logic;                                        -- export
+            reg_diag_data_buffer_10gbe_writedata_export      : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_data_buffer_10gbe_read_export           : out std_logic;                                        -- export
+            reg_diag_data_buffer_10gbe_readdata_export       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_data_buffer_bsn_reset_export            : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_clk_export              : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_address_export          : out std_logic_vector(11 downto 0);                    -- export
+            reg_diag_data_buffer_bsn_write_export            : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_writedata_export        : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_data_buffer_bsn_read_export             : out std_logic;                                        -- export
+            reg_diag_data_buffer_bsn_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_data_buffer_ddr_mb_i_reset_export       : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_i_clk_export         : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_i_address_export     : out std_logic_vector(4 downto 0);                     -- export
+            reg_diag_data_buffer_ddr_mb_i_write_export       : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_i_writedata_export   : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_data_buffer_ddr_mb_i_read_export        : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_i_readdata_export    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_data_buffer_ddr_mb_ii_reset_export      : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_ii_clk_export        : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_ii_address_export    : out std_logic_vector(4 downto 0);                     -- export
+            reg_diag_data_buffer_ddr_mb_ii_write_export      : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_ii_writedata_export  : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_data_buffer_ddr_mb_ii_read_export       : out std_logic;                                        -- export
+            reg_diag_data_buffer_ddr_mb_ii_readdata_export   : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_rx_seq_10gbe_reset_export               : out std_logic;                                        -- export
+            reg_diag_rx_seq_10gbe_clk_export                 : out std_logic;                                        -- export
+            reg_diag_rx_seq_10gbe_address_export             : out std_logic_vector(4 downto 0);                     -- export
+            reg_diag_rx_seq_10gbe_write_export               : out std_logic;                                        -- export
+            reg_diag_rx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_rx_seq_10gbe_read_export                : out std_logic;                                        -- export
+            reg_diag_rx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_rx_seq_ddr_mb_i_reset_export            : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_i_clk_export              : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_i_address_export          : out std_logic_vector(2 downto 0);                     -- export
+            reg_diag_rx_seq_ddr_mb_i_write_export            : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_rx_seq_ddr_mb_i_read_export             : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_rx_seq_ddr_mb_ii_reset_export           : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_ii_clk_export             : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_ii_address_export         : out std_logic_vector(2 downto 0);                     -- export
+            reg_diag_rx_seq_ddr_mb_ii_write_export           : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_rx_seq_ddr_mb_ii_read_export            : out std_logic;                                        -- export
+            reg_diag_rx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_tx_seq_10gbe_reset_export               : out std_logic;                                        -- export
+            reg_diag_tx_seq_10gbe_clk_export                 : out std_logic;                                        -- export
+            reg_diag_tx_seq_10gbe_address_export             : out std_logic_vector(3 downto 0);                     -- export
+            reg_diag_tx_seq_10gbe_write_export               : out std_logic;                                        -- export
+            reg_diag_tx_seq_10gbe_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_tx_seq_10gbe_read_export                : out std_logic;                                        -- export
+            reg_diag_tx_seq_10gbe_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_tx_seq_ddr_mb_i_reset_export            : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_i_clk_export              : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_i_address_export          : out std_logic_vector(1 downto 0);                     -- export
+            reg_diag_tx_seq_ddr_mb_i_write_export            : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_i_writedata_export        : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_tx_seq_ddr_mb_i_read_export             : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_i_readdata_export         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_diag_tx_seq_ddr_mb_ii_reset_export           : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_ii_clk_export             : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_ii_address_export         : out std_logic_vector(1 downto 0);                     -- export
+            reg_diag_tx_seq_ddr_mb_ii_write_export           : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_ii_writedata_export       : out std_logic_vector(31 downto 0);                    -- export
+            reg_diag_tx_seq_ddr_mb_ii_read_export            : out std_logic;                                        -- export
+            reg_diag_tx_seq_ddr_mb_ii_readdata_export        : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_dpmm_ctrl_reset_export                       : out std_logic;                                        -- export
+            reg_dpmm_ctrl_clk_export                         : out std_logic;                                        -- export
+            reg_dpmm_ctrl_address_export                     : out std_logic_vector(0 downto 0);                     -- export
+            reg_dpmm_ctrl_write_export                       : out std_logic;                                        -- export
+            reg_dpmm_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reg_dpmm_ctrl_read_export                        : out std_logic;                                        -- export
+            reg_dpmm_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_dpmm_data_reset_export                       : out std_logic;                                        -- export
+            reg_dpmm_data_clk_export                         : out std_logic;                                        -- export
+            reg_dpmm_data_address_export                     : out std_logic_vector(0 downto 0);                     -- export
+            reg_dpmm_data_write_export                       : out std_logic;                                        -- export
+            reg_dpmm_data_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reg_dpmm_data_read_export                        : out std_logic;                                        -- export
+            reg_dpmm_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_epcs_reset_export                            : out std_logic;                                        -- export
+            reg_epcs_clk_export                              : out std_logic;                                        -- export
+            reg_epcs_address_export                          : out std_logic_vector(2 downto 0);                     -- export
+            reg_epcs_write_export                            : out std_logic;                                        -- export
+            reg_epcs_writedata_export                        : out std_logic_vector(31 downto 0);                    -- export
+            reg_epcs_read_export                             : out std_logic;                                        -- export
+            reg_epcs_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_eth10g_back0_reset_export                    : out std_logic;                                        -- export
+            reg_eth10g_back0_clk_export                      : out std_logic;                                        -- export
+            reg_eth10g_back0_address_export                  : out std_logic_vector(5 downto 0);                     -- export
+            reg_eth10g_back0_write_export                    : out std_logic;                                        -- export
+            reg_eth10g_back0_writedata_export                : out std_logic_vector(31 downto 0);                    -- export
+            reg_eth10g_back0_read_export                     : out std_logic;                                        -- export
+            reg_eth10g_back0_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_eth10g_back1_reset_export                    : out std_logic;                                        -- export
+            reg_eth10g_back1_clk_export                      : out std_logic;                                        -- export
+            reg_eth10g_back1_address_export                  : out std_logic_vector(5 downto 0);                     -- export
+            reg_eth10g_back1_write_export                    : out std_logic;                                        -- export
+            reg_eth10g_back1_writedata_export                : out std_logic_vector(31 downto 0);                    -- export
+            reg_eth10g_back1_read_export                     : out std_logic;                                        -- export
+            reg_eth10g_back1_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_eth10g_qsfp_ring_reset_export                : out std_logic;                                        -- export
+            reg_eth10g_qsfp_ring_clk_export                  : out std_logic;                                        -- export
+            reg_eth10g_qsfp_ring_address_export              : out std_logic_vector(6 downto 0);                     -- export
+            reg_eth10g_qsfp_ring_write_export                : out std_logic;                                        -- export
+            reg_eth10g_qsfp_ring_writedata_export            : out std_logic_vector(31 downto 0);                    -- export
+            reg_eth10g_qsfp_ring_read_export                 : out std_logic;                                        -- export
+            reg_eth10g_qsfp_ring_readdata_export             : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_v2_rx_eth_1_reset_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_1_clk_export           : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_1_address_export       : out std_logic_vector(2 downto 0);                     -- export
+            reg_bsn_monitor_v2_rx_eth_1_write_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_v2_rx_eth_1_read_export          : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_v2_tx_eth_1_reset_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_1_clk_export           : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_1_address_export       : out std_logic_vector(2 downto 0);                     -- export
+            reg_bsn_monitor_v2_tx_eth_1_write_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_1_writedata_export     : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_v2_tx_eth_1_read_export          : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_1_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_hdr_dat_eth_1_reset_export                   : out std_logic;                                        -- export
+            reg_hdr_dat_eth_1_clk_export                     : out std_logic;                                        -- export
+            reg_hdr_dat_eth_1_address_export                 : out std_logic_vector(4 downto 0);                     -- export
+            reg_hdr_dat_eth_1_write_export                   : out std_logic;                                        -- export
+            reg_hdr_dat_eth_1_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_hdr_dat_eth_1_read_export                    : out std_logic;                                        -- export
+            reg_hdr_dat_eth_1_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_strobe_total_count_rx_eth_1_reset_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_1_clk_export       : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_1_address_export   : out std_logic_vector(4 downto 0);                     -- export
+            reg_strobe_total_count_rx_eth_1_write_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_1_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            reg_strobe_total_count_rx_eth_1_read_export      : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_strobe_total_count_tx_eth_1_reset_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_1_clk_export       : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_1_address_export   : out std_logic_vector(4 downto 0);                     -- export
+            reg_strobe_total_count_tx_eth_1_write_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_1_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            reg_strobe_total_count_tx_eth_1_read_export      : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_1_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_v2_rx_eth_0_reset_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_0_clk_export           : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_0_address_export       : out std_logic_vector(4 downto 0);                     -- export
+            reg_bsn_monitor_v2_rx_eth_0_write_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_v2_rx_eth_0_read_export          : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_rx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_bsn_monitor_v2_tx_eth_0_reset_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_0_clk_export           : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_0_address_export       : out std_logic_vector(4 downto 0);                     -- export
+            reg_bsn_monitor_v2_tx_eth_0_write_export         : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_0_writedata_export     : out std_logic_vector(31 downto 0);                    -- export
+            reg_bsn_monitor_v2_tx_eth_0_read_export          : out std_logic;                                        -- export
+            reg_bsn_monitor_v2_tx_eth_0_readdata_export      : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_hdr_dat_eth_0_reset_export                   : out std_logic;                                        -- export
+            reg_hdr_dat_eth_0_clk_export                     : out std_logic;                                        -- export
+            reg_hdr_dat_eth_0_address_export                 : out std_logic_vector(6 downto 0);                     -- export
+            reg_hdr_dat_eth_0_write_export                   : out std_logic;                                        -- export
+            reg_hdr_dat_eth_0_writedata_export               : out std_logic_vector(31 downto 0);                    -- export
+            reg_hdr_dat_eth_0_read_export                    : out std_logic;                                        -- export
+            reg_hdr_dat_eth_0_readdata_export                : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_strobe_total_count_rx_eth_0_reset_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_0_clk_export       : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_0_address_export   : out std_logic_vector(6 downto 0);                     -- export
+            reg_strobe_total_count_rx_eth_0_write_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_0_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            reg_strobe_total_count_rx_eth_0_read_export      : out std_logic;                                        -- export
+            reg_strobe_total_count_rx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_strobe_total_count_tx_eth_0_reset_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_0_clk_export       : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_0_address_export   : out std_logic_vector(6 downto 0);                     -- export
+            reg_strobe_total_count_tx_eth_0_write_export     : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_0_writedata_export : out std_logic_vector(31 downto 0);                    -- export
+            reg_strobe_total_count_tx_eth_0_read_export      : out std_logic;                                        -- export
+            reg_strobe_total_count_tx_eth_0_readdata_export  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_fpga_temp_sens_reset_export                  : out std_logic;                                        -- export
+            reg_fpga_temp_sens_clk_export                    : out std_logic;                                        -- export
+            reg_fpga_temp_sens_address_export                : out std_logic_vector(2 downto 0);                     -- export
+            reg_fpga_temp_sens_write_export                  : out std_logic;                                        -- export
+            reg_fpga_temp_sens_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
+            reg_fpga_temp_sens_read_export                   : out std_logic;                                        -- export
+            reg_fpga_temp_sens_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_fpga_voltage_sens_reset_export               : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_clk_export                 : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_address_export             : out std_logic_vector(3 downto 0);                     -- export
+            reg_fpga_voltage_sens_write_export               : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_writedata_export           : out std_logic_vector(31 downto 0);                    -- export
+            reg_fpga_voltage_sens_read_export                : out std_logic;                                        -- export
+            reg_fpga_voltage_sens_readdata_export            : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_heater_reset_export                          : out std_logic;                                        -- export
+            reg_heater_clk_export                            : out std_logic;                                        -- export
+            reg_heater_address_export                        : out std_logic_vector(4 downto 0);                     -- export
+            reg_heater_write_export                          : out std_logic;                                        -- export
+            reg_heater_writedata_export                      : out std_logic_vector(31 downto 0);                    -- export
+            reg_heater_read_export                           : out std_logic;                                        -- export
+            reg_heater_readdata_export                       : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_io_ddr_mb_i_reset_export                     : out std_logic;                                        -- export
+            reg_io_ddr_mb_i_clk_export                       : out std_logic;                                        -- export
+            reg_io_ddr_mb_i_address_export                   : out std_logic_vector(15 downto 0);                    -- export
+            reg_io_ddr_mb_i_write_export                     : out std_logic;                                        -- export
+            reg_io_ddr_mb_i_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            reg_io_ddr_mb_i_read_export                      : out std_logic;                                        -- export
+            reg_io_ddr_mb_i_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_io_ddr_mb_ii_reset_export                    : out std_logic;                                        -- export
+            reg_io_ddr_mb_ii_clk_export                      : out std_logic;                                        -- export
+            reg_io_ddr_mb_ii_address_export                  : out std_logic_vector(15 downto 0);                    -- export
+            reg_io_ddr_mb_ii_write_export                    : out std_logic;                                        -- export
+            reg_io_ddr_mb_ii_writedata_export                : out std_logic_vector(31 downto 0);                    -- export
+            reg_io_ddr_mb_ii_read_export                     : out std_logic;                                        -- export
+            reg_io_ddr_mb_ii_readdata_export                 : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_mmdp_ctrl_reset_export                       : out std_logic;                                        -- export
+            reg_mmdp_ctrl_clk_export                         : out std_logic;                                        -- export
+            reg_mmdp_ctrl_address_export                     : out std_logic_vector(0 downto 0);                     -- export
+            reg_mmdp_ctrl_write_export                       : out std_logic;                                        -- export
+            reg_mmdp_ctrl_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reg_mmdp_ctrl_read_export                        : out std_logic;                                        -- export
+            reg_mmdp_ctrl_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_mmdp_data_reset_export                       : out std_logic;                                        -- export
+            reg_mmdp_data_clk_export                         : out std_logic;                                        -- export
+            reg_mmdp_data_address_export                     : out std_logic_vector(0 downto 0);                     -- export
+            reg_mmdp_data_write_export                       : out std_logic;                                        -- export
+            reg_mmdp_data_writedata_export                   : out std_logic_vector(31 downto 0);                    -- export
+            reg_mmdp_data_read_export                        : out std_logic;                                        -- export
+            reg_mmdp_data_readdata_export                    : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_remu_reset_export                            : out std_logic;                                        -- export
+            reg_remu_clk_export                              : out std_logic;                                        -- export
+            reg_remu_address_export                          : out std_logic_vector(2 downto 0);                     -- export
+            reg_remu_write_export                            : out std_logic;                                        -- export
+            reg_remu_writedata_export                        : out std_logic_vector(31 downto 0);                    -- export
+            reg_remu_read_export                             : out std_logic;                                        -- export
+            reg_remu_readdata_export                         : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_tr_10gbe_back0_reset_export                  : out std_logic;                                        -- export
+            reg_tr_10gbe_back0_clk_export                    : out std_logic;                                        -- export
+            reg_tr_10gbe_back0_address_export                : out std_logic_vector(17 downto 0);                    -- export
+            reg_tr_10gbe_back0_write_export                  : out std_logic;                                        -- export
+            reg_tr_10gbe_back0_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
+            reg_tr_10gbe_back0_read_export                   : out std_logic;                                        -- export
+            reg_tr_10gbe_back0_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_tr_10gbe_back0_waitrequest_export            : in  std_logic                     := 'X';             -- export
+            reg_tr_10gbe_back1_reset_export                  : out std_logic;                                        -- export
+            reg_tr_10gbe_back1_clk_export                    : out std_logic;                                        -- export
+            reg_tr_10gbe_back1_address_export                : out std_logic_vector(17 downto 0);                    -- export
+            reg_tr_10gbe_back1_write_export                  : out std_logic;                                        -- export
+            reg_tr_10gbe_back1_writedata_export              : out std_logic_vector(31 downto 0);                    -- export
+            reg_tr_10gbe_back1_read_export                   : out std_logic;                                        -- export
+            reg_tr_10gbe_back1_readdata_export               : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_tr_10gbe_back1_waitrequest_export            : in  std_logic                     := 'X';             -- export
+            reg_tr_10gbe_qsfp_ring_reset_export              : out std_logic;                                        -- export
+            reg_tr_10gbe_qsfp_ring_clk_export                : out std_logic;                                        -- export
+            reg_tr_10gbe_qsfp_ring_address_export            : out std_logic_vector(18 downto 0);                    -- export
+            reg_tr_10gbe_qsfp_ring_write_export              : out std_logic;                                        -- export
+            reg_tr_10gbe_qsfp_ring_writedata_export          : out std_logic_vector(31 downto 0);                    -- export
+            reg_tr_10gbe_qsfp_ring_read_export               : out std_logic;                                        -- export
+            reg_tr_10gbe_qsfp_ring_readdata_export           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            reg_tr_10gbe_qsfp_ring_waitrequest_export        : in  std_logic                     := 'X';             -- export
+            reg_wdi_reset_export                             : out std_logic;                                        -- export
+            reg_wdi_clk_export                               : out std_logic;                                        -- export
+            reg_wdi_address_export                           : out std_logic_vector(0 downto 0);                     -- export
+            reg_wdi_write_export                             : out std_logic;                                        -- export
+            reg_wdi_writedata_export                         : out std_logic_vector(31 downto 0);                    -- export
+            reg_wdi_read_export                              : out std_logic;                                        -- export
+            reg_wdi_readdata_export                          : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
+            rom_system_info_reset_export                     : out std_logic;                                        -- export
+            rom_system_info_clk_export                       : out std_logic;                                        -- export
+            rom_system_info_address_export                   : out std_logic_vector(12 downto 0);                    -- export
+            rom_system_info_write_export                     : out std_logic;                                        -- export
+            rom_system_info_writedata_export                 : out std_logic_vector(31 downto 0);                    -- export
+            rom_system_info_read_export                      : out std_logic;                                        -- export
+            rom_system_info_readdata_export                  : in  std_logic_vector(31 downto 0) := (others => 'X')  -- export
         );
     end component qsys_unb2c_test;
 
diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
index 69aecc3a18e148fd872483a5f087393aa203955c..7a5dcff23ae8ff5e6716049f18ac0c0d1c0aa5ee 100644
--- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
+++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd
@@ -135,8 +135,8 @@ ARCHITECTURE str OF unb2c_test IS
   -- Revision controlled constants
   CONSTANT c_revision_select            : t_unb2c_test_config := func_sel_revision_rec(g_design_name);
   CONSTANT c_use_loopback               : BOOLEAN := c_revision_select.use_loopback;
-  CONSTANT c_use_1GbE_I_UDP             : BOOLEAN := c_revision_select.use_1GbE_I_UDP;  -- Enable the UDP offload ports on eth0, eth0 is always enabled for control
-  CONSTANT c_use_1GbE_II                : BOOLEAN := c_revision_select.use_1GbE_II; -- Enable the second 1GbE eth1
+  CONSTANT c_use_eth_0_UDP              : BOOLEAN := c_revision_select.use_1GbE_I_UDP;  -- Enable the UDP offload ports on 1GbE-I = eth_0, eth_0 is always enabled for control
+  CONSTANT c_use_eth_1                  : BOOLEAN := c_revision_select.use_1GbE_II; -- Enable the second 1GbE-II = eth_1
   CONSTANT c_use_10GbE_qsfp             : BOOLEAN := c_revision_select.use_10GbE_qsfp;
   CONSTANT c_use_10GbE_ring             : BOOLEAN := c_revision_select.use_10GbE_ring;
   CONSTANT c_use_10GbE_back0            : BOOLEAN := c_revision_select.use_10GbE_back0;
@@ -155,10 +155,10 @@ ARCHITECTURE str OF unb2c_test IS
   CONSTANT c_nof_jesd204b               : NATURAL := c_unb2c_board_tr_jesd204b.nof_bus * c_unb2c_board_tr_jesd204b.bus_w;
 
   -- 1GbE
-  CONSTANT c_nof_udp_streams_1GbE_I     : NATURAL := 4;  -- <= c_eth_nof_udp_ports = 4, shared with M&C stream
-  CONSTANT c_nof_udp_streams_1GbE_I_w   : NATURAL := 2;  -- = true_log2(c_nof_udp_streams_1GbE_I), fixed reserve 2 bit extra MM address space
-  CONSTANT c_nof_udp_streams_1GbE_II    : NATURAL := 1;  -- fixed 1 UDP stream, so no need for dp_mux
-  CONSTANT c_nof_udp_streams_1GbE_II_w  : NATURAL := 0;  -- = true_log2(c_nof_udp_streams_1GbE_II), fixed reserve no extra MM address space
+  CONSTANT c_nof_udp_streams_eth_0      : NATURAL := 4;  -- <= c_eth_nof_udp_ports = 4, shared with M&C stream
+  CONSTANT c_nof_udp_streams_eth_0_w    : NATURAL := 2;  -- = true_log2(c_nof_udp_streams_eth_0), fixed reserve 2 bit extra MM address space
+  CONSTANT c_nof_udp_streams_eth_1      : NATURAL := 1;  -- fixed 1 UDP stream, so no need for dp_mux
+  CONSTANT c_nof_udp_streams_eth_1_w    : NATURAL := 0;  -- = true_log2(c_nof_udp_streams_eth_1), fixed reserve no extra MM address space
   CONSTANT c_base_mac                   : STD_LOGIC_VECTOR(32-1 DOWNTO 0) := c_eth_tester_eth_src_mac_47_16;  -- = X"00228608"
   CONSTANT c_base_ip                    : STD_LOGIC_VECTOR(16-1 DOWNTO 0) := c_eth_tester_ip_src_addr_31_16;  -- = X"0A63"
   CONSTANT c_base_udp                   : STD_LOGIC_VECTOR(8-1 DOWNTO 0) := c_eth_tester_udp_src_port_15_8;  -- = X"E0"
@@ -259,19 +259,19 @@ ARCHITECTURE str OF unb2c_test IS
   SIGNAL reg_fpga_voltage_sens_miso  : t_mem_miso;
 
   -- eth1g ch0
-  SIGNAL eth1g_eth0_mm_rst          : STD_LOGIC;
-  SIGNAL eth1g_eth0_tse_mosi        : t_mem_mosi;  -- ETH TSE MAC registers
-  SIGNAL eth1g_eth0_tse_miso        : t_mem_miso;
-  SIGNAL eth1g_eth0_reg_mosi        : t_mem_mosi;  -- ETH control and status registers
-  SIGNAL eth1g_eth0_reg_miso        : t_mem_miso;
-  SIGNAL eth1g_eth0_reg_interrupt   : STD_LOGIC;   -- Interrupt
-  SIGNAL eth1g_eth0_ram_mosi        : t_mem_mosi;  -- ETH rx frame and tx frame memory
-  SIGNAL eth1g_eth0_ram_miso        : t_mem_miso;
+  SIGNAL eth_0_mm_rst          : STD_LOGIC;
+  SIGNAL eth_0_tse_mosi        : t_mem_mosi;  -- ETH TSE MAC registers
+  SIGNAL eth_0_tse_miso        : t_mem_miso;
+  SIGNAL eth_0_reg_mosi        : t_mem_mosi;  -- ETH control and status registers
+  SIGNAL eth_0_reg_miso        : t_mem_miso;
+  SIGNAL eth_0_reg_interrupt   : STD_LOGIC;   -- Interrupt
+  SIGNAL eth_0_ram_mosi        : t_mem_mosi;  -- ETH rx frame and tx frame memory
+  SIGNAL eth_0_ram_miso        : t_mem_miso;
 
   -- eth1g ch1 (eth_stream only has MM for TSE MAC)
-  SIGNAL eth1g_eth1_mm_rst          : STD_LOGIC;
-  SIGNAL eth1g_eth1_tse_mosi        : t_mem_mosi;  -- ETH TSE MAC registers
-  SIGNAL eth1g_eth1_tse_miso        : t_mem_miso;
+  SIGNAL eth_1_mm_rst          : STD_LOGIC;
+  SIGNAL eth_1_tse_mosi        : t_mem_mosi;  -- ETH TSE MAC registers
+  SIGNAL eth_1_tse_miso        : t_mem_miso;
 
   -- EPCS read
   SIGNAL reg_dpmm_data_mosi         : t_mem_mosi;
@@ -355,37 +355,37 @@ ARCHITECTURE str OF unb2c_test IS
   SIGNAL reg_eth10g_back0_mosi           : t_mem_mosi;
   SIGNAL reg_eth10g_back0_miso           : t_mem_miso;
 
-  -- 1GbE I eth_tester (c_nof_udp_streams_1GbE_I_w = 2 bit)
+  -- 1GbE I eth_tester (c_nof_udp_streams_eth_0_w = 2 bit)
   -- . Tx
-  SIGNAL reg_eth1g_I_bg_ctrl_copi                : t_mem_copi := c_mem_copi_rst;  -- c_diag_bg_reg_adr_w = 3 --> w = 5
-  SIGNAL reg_eth1g_I_bg_ctrl_cipo                : t_mem_cipo;
-  SIGNAL reg_eth1g_I_hdr_dat_copi                : t_mem_copi := c_mem_copi_rst;  -- c_eth_tester_reg_hdr_dat_addr_w = 5 --> w = 7
-  SIGNAL reg_eth1g_I_hdr_dat_cipo                : t_mem_cipo;
-  SIGNAL reg_eth1g_I_bsn_monitor_v2_tx_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 5
-  SIGNAL reg_eth1g_I_bsn_monitor_v2_tx_cipo      : t_mem_cipo;
-  SIGNAL reg_eth1g_I_strobe_total_count_tx_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 7
-  SIGNAL reg_eth1g_I_strobe_total_count_tx_cipo  : t_mem_cipo;
+  SIGNAL reg_diag_bg_eth_0_copi                : t_mem_copi := c_mem_copi_rst;  -- c_diag_bg_reg_adr_w = 3 --> w = 5
+  SIGNAL reg_diag_bg_eth_0_cipo                : t_mem_cipo;
+  SIGNAL reg_hdr_dat_eth_0_copi                : t_mem_copi := c_mem_copi_rst;  -- c_eth_tester_reg_hdr_dat_addr_w = 5 --> w = 7
+  SIGNAL reg_hdr_dat_eth_0_cipo                : t_mem_cipo;
+  SIGNAL reg_bsn_monitor_v2_tx_eth_0_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 5
+  SIGNAL reg_bsn_monitor_v2_tx_eth_0_cipo      : t_mem_cipo;
+  SIGNAL reg_strobe_total_count_tx_eth_0_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 7
+  SIGNAL reg_strobe_total_count_tx_eth_0_cipo  : t_mem_cipo;
   -- . Rx
-  SIGNAL reg_eth1g_I_bsn_monitor_v2_rx_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 5
-  SIGNAL reg_eth1g_I_bsn_monitor_v2_rx_cipo      : t_mem_cipo;
-  SIGNAL reg_eth1g_I_strobe_total_count_rx_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 7
-  SIGNAL reg_eth1g_I_strobe_total_count_rx_cipo  : t_mem_cipo;
+  SIGNAL reg_bsn_monitor_v2_rx_eth_0_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 5
+  SIGNAL reg_bsn_monitor_v2_rx_eth_0_cipo      : t_mem_cipo;
+  SIGNAL reg_strobe_total_count_rx_eth_0_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 7
+  SIGNAL reg_strobe_total_count_rx_eth_0_cipo  : t_mem_cipo;
 
-  -- 1GbE II eth_tester (c_nof_udp_streams_1GbE_I_w = 0 bit)
+  -- 1GbE II eth_tester (c_nof_udp_streams_eth_1_w = 0 bit)
   -- . Tx
-  SIGNAL reg_eth1g_II_bg_ctrl_copi                : t_mem_copi := c_mem_copi_rst;  -- c_diag_bg_reg_adr_w = 3 --> w = 3
-  SIGNAL reg_eth1g_II_bg_ctrl_cipo                : t_mem_cipo;
-  SIGNAL reg_eth1g_II_hdr_dat_copi                : t_mem_copi := c_mem_copi_rst;  -- c_eth_tester_reg_hdr_dat_addr_w = 5 --> w = 5
-  SIGNAL reg_eth1g_II_hdr_dat_cipo                : t_mem_cipo;
-  SIGNAL reg_eth1g_II_bsn_monitor_v2_tx_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 3
-  SIGNAL reg_eth1g_II_bsn_monitor_v2_tx_cipo      : t_mem_cipo;
-  SIGNAL reg_eth1g_II_strobe_total_count_tx_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 5
-  SIGNAL reg_eth1g_II_strobe_total_count_tx_cipo  : t_mem_cipo;
+  SIGNAL reg_diag_bg_eth_1_copi                : t_mem_copi := c_mem_copi_rst;  -- c_diag_bg_reg_adr_w = 3 --> w = 3
+  SIGNAL reg_diag_bg_eth_1_cipo                : t_mem_cipo;
+  SIGNAL reg_hdr_dat_eth_1_copi                : t_mem_copi := c_mem_copi_rst;  -- c_eth_tester_reg_hdr_dat_addr_w = 5 --> w = 5
+  SIGNAL reg_hdr_dat_eth_1_cipo                : t_mem_cipo;
+  SIGNAL reg_bsn_monitor_v2_tx_eth_1_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 3
+  SIGNAL reg_bsn_monitor_v2_tx_eth_1_cipo      : t_mem_cipo;
+  SIGNAL reg_strobe_total_count_tx_eth_1_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 5
+  SIGNAL reg_strobe_total_count_tx_eth_1_cipo  : t_mem_cipo;
   -- . Rx
-  SIGNAL reg_eth1g_II_bsn_monitor_v2_rx_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 3
-  SIGNAL reg_eth1g_II_bsn_monitor_v2_rx_cipo      : t_mem_cipo;
-  SIGNAL reg_eth1g_II_strobe_total_count_rx_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 5
-  SIGNAL reg_eth1g_II_strobe_total_count_rx_cipo  : t_mem_cipo;
+  SIGNAL reg_bsn_monitor_v2_rx_eth_1_copi      : t_mem_copi := c_mem_copi_rst;  -- c_dp_bsn_monitor_v2_reg_adr_w = 3 --> w = 3
+  SIGNAL reg_bsn_monitor_v2_rx_eth_1_cipo      : t_mem_cipo;
+  SIGNAL reg_strobe_total_count_rx_eth_1_copi  : t_mem_copi := c_mem_copi_rst;  -- c_dp_strobe_total_count_reg_adr_w = 5 --> w = 5
+  SIGNAL reg_strobe_total_count_rx_eth_1_cipo  : t_mem_cipo;
 
   -- 10GbE
   SIGNAL reg_diag_bg_10GbE_mosi          : t_mem_mosi;
@@ -442,25 +442,25 @@ ARCHITECTURE str OF unb2c_test IS
   SIGNAL ram_diag_data_buf_ddr_MB_II_miso  : t_mem_miso;
 
   -- UDP streaming ports for 1GbE I and 1GbE II
-  -- . 1GbE I
+  -- . eth_0 = 1GbE I
   SIGNAL gn_eth_src_mac_I          : STD_LOGIC_VECTOR(c_network_eth_mac_addr_w-1 DOWNTO 0);
   SIGNAL gn_ip_src_addr_I          : STD_LOGIC_VECTOR(c_network_ip_addr_w-1 DOWNTO 0);
   SIGNAL gn_udp_src_port_I         : STD_LOGIC_VECTOR(c_network_udp_port_w-1 DOWNTO 0);
 
-  SIGNAL eth1g_I_udp_tx_sosi_arr   : t_dp_sosi_arr(c_nof_udp_streams_1GbE_I-1 DOWNTO 0);
-  SIGNAL eth1g_I_udp_tx_siso_arr   : t_dp_siso_arr(c_nof_udp_streams_1GbE_I-1 DOWNTO 0);
-  SIGNAL eth1g_I_udp_rx_sosi_arr   : t_dp_sosi_arr(c_nof_udp_streams_1GbE_I-1 DOWNTO 0);
-  SIGNAL eth1g_I_udp_rx_siso_arr   : t_dp_siso_arr(c_nof_udp_streams_1GbE_I-1 DOWNTO 0) := (OTHERS => c_dp_siso_rdy);
+  SIGNAL eth_0_udp_tx_sosi_arr     : t_dp_sosi_arr(c_nof_udp_streams_eth_0-1 DOWNTO 0);
+  SIGNAL eth_0_udp_tx_siso_arr     : t_dp_siso_arr(c_nof_udp_streams_eth_0-1 DOWNTO 0);
+  SIGNAL eth_0_udp_rx_sosi_arr     : t_dp_sosi_arr(c_nof_udp_streams_eth_0-1 DOWNTO 0);
+  SIGNAL eth_0_udp_rx_siso_arr     : t_dp_siso_arr(c_nof_udp_streams_eth_0-1 DOWNTO 0) := (OTHERS => c_dp_siso_rdy);
 
-  -- . 1GbE II
+  -- . eth_1 = 1GbE II
   SIGNAL gn_eth_src_mac_II         : STD_LOGIC_VECTOR(c_network_eth_mac_addr_w-1 DOWNTO 0);
   SIGNAL gn_ip_src_addr_II         : STD_LOGIC_VECTOR(c_network_ip_addr_w-1 DOWNTO 0);
   SIGNAL gn_udp_src_port_II        : STD_LOGIC_VECTOR(c_network_udp_port_w-1 DOWNTO 0);
 
-  SIGNAL eth1g_II_udp_tx_sosi_arr  : t_dp_sosi_arr(c_nof_udp_streams_1GbE_II-1 DOWNTO 0);
-  SIGNAL eth1g_II_udp_tx_siso_arr  : t_dp_siso_arr(c_nof_udp_streams_1GbE_II-1 DOWNTO 0);
-  SIGNAL eth1g_II_udp_rx_sosi_arr  : t_dp_sosi_arr(c_nof_udp_streams_1GbE_II-1 DOWNTO 0);
-  SIGNAL eth1g_II_udp_rx_siso_arr  : t_dp_siso_arr(c_nof_udp_streams_1GbE_II-1 DOWNTO 0) := (OTHERS => c_dp_siso_rdy);
+  SIGNAL eth_1_udp_tx_sosi_arr     : t_dp_sosi_arr(c_nof_udp_streams_eth_1-1 DOWNTO 0);
+  SIGNAL eth_1_udp_tx_siso_arr     : t_dp_siso_arr(c_nof_udp_streams_eth_1-1 DOWNTO 0);
+  SIGNAL eth_1_udp_rx_sosi_arr     : t_dp_sosi_arr(c_nof_udp_streams_eth_1-1 DOWNTO 0);
+  SIGNAL eth_1_udp_rx_siso_arr     : t_dp_siso_arr(c_nof_udp_streams_eth_1-1 DOWNTO 0) := (OTHERS => c_dp_siso_rdy);
 
   -- QSFP leds
   SIGNAL qsfp_green_led_arr              : STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.nof_bus-1 DOWNTO 0);
@@ -489,8 +489,8 @@ BEGIN
     g_eth_clk_freq            => c_unb2c_board_eth_clk_freq_125M,
     g_aux                     => c_unb2c_board_aux,
     g_base_ip                 => c_base_ip, -- = X"0A63" is base IP address used by unb_osy: 10.99.xx.yy
-    g_udp_offload             => c_use_1GbE_I_UDP,
-    g_udp_offload_nof_streams => c_nof_udp_streams_1GbE_I,
+    g_udp_offload             => c_use_eth_0_UDP,
+    g_udp_offload_nof_streams => c_nof_udp_streams_eth_0,
     g_factory_image           => g_factory_image,
     g_protect_addr_range      => g_protect_addr_range
   )
@@ -561,20 +561,20 @@ BEGIN
     reg_ppsh_miso            => reg_ppsh_miso,
     
     -- eth1g ch0
-    eth1g_mm_rst             => eth1g_eth0_mm_rst,
-    eth1g_tse_mosi           => eth1g_eth0_tse_mosi,
-    eth1g_tse_miso           => eth1g_eth0_tse_miso,
-    eth1g_reg_mosi           => eth1g_eth0_reg_mosi,
-    eth1g_reg_miso           => eth1g_eth0_reg_miso,
-    eth1g_reg_interrupt      => eth1g_eth0_reg_interrupt,
-    eth1g_ram_mosi           => eth1g_eth0_ram_mosi,
-    eth1g_ram_miso           => eth1g_eth0_ram_miso,
+    eth1g_mm_rst             => eth_0_mm_rst,
+    eth1g_tse_mosi           => eth_0_tse_mosi,
+    eth1g_tse_miso           => eth_0_tse_miso,
+    eth1g_reg_mosi           => eth_0_reg_mosi,
+    eth1g_reg_miso           => eth_0_reg_miso,
+    eth1g_reg_interrupt      => eth_0_reg_interrupt,
+    eth1g_ram_mosi           => eth_0_ram_mosi,
+    eth1g_ram_miso           => eth_0_ram_miso,
         
     -- eth1g UDP streaming ports
-    udp_tx_sosi_arr          =>  eth1g_I_udp_tx_sosi_arr,
-    udp_tx_siso_arr          =>  eth1g_I_udp_tx_siso_arr,
-    udp_rx_sosi_arr          =>  eth1g_I_udp_rx_sosi_arr,
-    udp_rx_siso_arr          =>  eth1g_I_udp_rx_siso_arr,
+    udp_tx_sosi_arr          =>  eth_0_udp_tx_sosi_arr,
+    udp_tx_siso_arr          =>  eth_0_udp_tx_siso_arr,
+    udp_rx_sosi_arr          =>  eth_0_udp_rx_sosi_arr,
+    udp_rx_siso_arr          =>  eth_0_udp_rx_siso_arr,
 
     -- scrap ram
     ram_scrap_mosi           => ram_scrap_mosi,
@@ -643,53 +643,53 @@ BEGIN
     reg_ppsh_mosi            => reg_ppsh_mosi,
     reg_ppsh_miso            => reg_ppsh_miso, 
   
-    -- eth1g ch0 = 1GbE_I
-    eth1g_eth0_mm_rst        => eth1g_eth0_mm_rst,
-    eth1g_eth0_tse_mosi      => eth1g_eth0_tse_mosi,
-    eth1g_eth0_tse_miso      => eth1g_eth0_tse_miso,
-    eth1g_eth0_reg_mosi      => eth1g_eth0_reg_mosi,
-    eth1g_eth0_reg_miso      => eth1g_eth0_reg_miso,
-    eth1g_eth0_reg_interrupt => eth1g_eth0_reg_interrupt,
-    eth1g_eth0_ram_mosi      => eth1g_eth0_ram_mosi,
-    eth1g_eth0_ram_miso      => eth1g_eth0_ram_miso,
-
-    reg_eth1g_I_bg_ctrl_copi                => reg_eth1g_I_bg_ctrl_copi,
-    reg_eth1g_I_bg_ctrl_cipo                => reg_eth1g_I_bg_ctrl_cipo,
-    reg_eth1g_I_hdr_dat_copi                => reg_eth1g_I_hdr_dat_copi,
-    reg_eth1g_I_hdr_dat_cipo                => reg_eth1g_I_hdr_dat_cipo,
-    reg_eth1g_I_bsn_monitor_v2_tx_copi      => reg_eth1g_I_bsn_monitor_v2_tx_copi,
-    reg_eth1g_I_bsn_monitor_v2_tx_cipo      => reg_eth1g_I_bsn_monitor_v2_tx_cipo,
-    reg_eth1g_I_strobe_total_count_tx_copi  => reg_eth1g_I_strobe_total_count_tx_copi,
-    reg_eth1g_I_strobe_total_count_tx_cipo  => reg_eth1g_I_strobe_total_count_tx_cipo,
-
-    reg_eth1g_I_bsn_monitor_v2_rx_copi      => reg_eth1g_I_bsn_monitor_v2_rx_copi,
-    reg_eth1g_I_bsn_monitor_v2_rx_cipo      => reg_eth1g_I_bsn_monitor_v2_rx_cipo,
-    reg_eth1g_I_strobe_total_count_rx_copi  => reg_eth1g_I_strobe_total_count_rx_copi,
-    reg_eth1g_I_strobe_total_count_rx_cipo  => reg_eth1g_I_strobe_total_count_rx_cipo,
-
-    -- eth1g ch1 = 1GbE_II
-    eth1g_eth1_mm_rst        => eth1g_eth1_mm_rst,
-    eth1g_eth1_tse_mosi      => eth1g_eth1_tse_mosi,
-    eth1g_eth1_tse_miso      => eth1g_eth1_tse_miso,
-    eth1g_eth1_reg_mosi      => OPEN,
-    eth1g_eth1_reg_miso      => c_mem_cipo_rst,
-    eth1g_eth1_reg_interrupt => '0',
-    eth1g_eth1_ram_mosi      => OPEN,
-    eth1g_eth1_ram_miso      => c_mem_cipo_rst,
-
-    reg_eth1g_II_bg_ctrl_copi                => reg_eth1g_II_bg_ctrl_copi,
-    reg_eth1g_II_bg_ctrl_cipo                => reg_eth1g_II_bg_ctrl_cipo,
-    reg_eth1g_II_hdr_dat_copi                => reg_eth1g_II_hdr_dat_copi,
-    reg_eth1g_II_hdr_dat_cipo                => reg_eth1g_II_hdr_dat_cipo,
-    reg_eth1g_II_bsn_monitor_v2_tx_copi      => reg_eth1g_II_bsn_monitor_v2_tx_copi,
-    reg_eth1g_II_bsn_monitor_v2_tx_cipo      => reg_eth1g_II_bsn_monitor_v2_tx_cipo,
-    reg_eth1g_II_strobe_total_count_tx_copi  => reg_eth1g_II_strobe_total_count_tx_copi,
-    reg_eth1g_II_strobe_total_count_tx_cipo  => reg_eth1g_II_strobe_total_count_tx_cipo,
-
-    reg_eth1g_II_bsn_monitor_v2_rx_copi      => reg_eth1g_II_bsn_monitor_v2_rx_copi,
-    reg_eth1g_II_bsn_monitor_v2_rx_cipo      => reg_eth1g_II_bsn_monitor_v2_rx_cipo,
-    reg_eth1g_II_strobe_total_count_rx_copi  => reg_eth1g_II_strobe_total_count_rx_copi,
-    reg_eth1g_II_strobe_total_count_rx_cipo  => reg_eth1g_II_strobe_total_count_rx_cipo,
+    -- eth1g ch0
+    eth_0_mm_rst        => eth_0_mm_rst,
+    eth_0_tse_mosi      => eth_0_tse_mosi,
+    eth_0_tse_miso      => eth_0_tse_miso,
+    eth_0_reg_mosi      => eth_0_reg_mosi,
+    eth_0_reg_miso      => eth_0_reg_miso,
+    eth_0_reg_interrupt => eth_0_reg_interrupt,
+    eth_0_ram_mosi      => eth_0_ram_mosi,
+    eth_0_ram_miso      => eth_0_ram_miso,
+
+    reg_diag_bg_eth_0_copi                => reg_diag_bg_eth_0_copi,
+    reg_diag_bg_eth_0_cipo                => reg_diag_bg_eth_0_cipo,
+    reg_hdr_dat_eth_0_copi                => reg_hdr_dat_eth_0_copi,
+    reg_hdr_dat_eth_0_cipo                => reg_hdr_dat_eth_0_cipo,
+    reg_bsn_monitor_v2_tx_eth_0_copi      => reg_bsn_monitor_v2_tx_eth_0_copi,
+    reg_bsn_monitor_v2_tx_eth_0_cipo      => reg_bsn_monitor_v2_tx_eth_0_cipo,
+    reg_strobe_total_count_tx_eth_0_copi  => reg_strobe_total_count_tx_eth_0_copi,
+    reg_strobe_total_count_tx_eth_0_cipo  => reg_strobe_total_count_tx_eth_0_cipo,
+
+    reg_bsn_monitor_v2_rx_eth_0_copi      => reg_bsn_monitor_v2_rx_eth_0_copi,
+    reg_bsn_monitor_v2_rx_eth_0_cipo      => reg_bsn_monitor_v2_rx_eth_0_cipo,
+    reg_strobe_total_count_rx_eth_0_copi  => reg_strobe_total_count_rx_eth_0_copi,
+    reg_strobe_total_count_rx_eth_0_cipo  => reg_strobe_total_count_rx_eth_0_cipo,
+
+    -- eth1g ch1
+    eth_1_mm_rst        => eth_1_mm_rst,
+    eth_1_tse_mosi      => eth_1_tse_mosi,
+    eth_1_tse_miso      => eth_1_tse_miso,
+    eth_1_reg_mosi      => OPEN,
+    eth_1_reg_miso      => c_mem_cipo_rst,
+    eth_1_reg_interrupt => '0',
+    eth_1_ram_mosi      => OPEN,
+    eth_1_ram_miso      => c_mem_cipo_rst,
+
+    reg_diag_bg_eth_1_copi                => reg_diag_bg_eth_1_copi,
+    reg_diag_bg_eth_1_cipo                => reg_diag_bg_eth_1_cipo,
+    reg_hdr_dat_eth_1_copi                => reg_hdr_dat_eth_1_copi,
+    reg_hdr_dat_eth_1_cipo                => reg_hdr_dat_eth_1_cipo,
+    reg_bsn_monitor_v2_tx_eth_1_copi      => reg_bsn_monitor_v2_tx_eth_1_copi,
+    reg_bsn_monitor_v2_tx_eth_1_cipo      => reg_bsn_monitor_v2_tx_eth_1_cipo,
+    reg_strobe_total_count_tx_eth_1_copi  => reg_strobe_total_count_tx_eth_1_copi,
+    reg_strobe_total_count_tx_eth_1_cipo  => reg_strobe_total_count_tx_eth_1_cipo,
+
+    reg_bsn_monitor_v2_rx_eth_1_copi      => reg_bsn_monitor_v2_rx_eth_1_copi,
+    reg_bsn_monitor_v2_rx_eth_1_cipo      => reg_bsn_monitor_v2_rx_eth_1_cipo,
+    reg_strobe_total_count_rx_eth_1_copi  => reg_strobe_total_count_rx_eth_1_copi,
+    reg_strobe_total_count_rx_eth_1_cipo  => reg_strobe_total_count_rx_eth_1_cipo,
 
     -- EPCS read
     reg_dpmm_data_mosi       => reg_dpmm_data_mosi,
@@ -799,7 +799,7 @@ BEGIN
   );
 
 
-  gen_udp_stream_1GbE : IF c_use_1GbE_I_UDP = TRUE GENERATE
+  gen_eth_0_udp : IF c_use_eth_0_UDP = TRUE GENERATE
     -- Derive MAC/IP/UDP from gn_index
     gn_eth_src_mac_I     <= c_base_mac & func_eth_tester_gn_index_to_mac_15_0(gn_index, 0);
     gn_ip_src_addr_I     <= c_base_ip & func_eth_tester_gn_index_to_ip_15_0(gn_index, 0);
@@ -808,7 +808,7 @@ BEGIN
     -- Generate UDP Tx and monitor UDP Rx
     u_eth_tester_I : ENTITY eth_lib.eth_tester
     GENERIC MAP (
-      g_nof_streams     => c_nof_udp_streams_1GbE_I,
+      g_nof_streams     => c_nof_udp_streams_eth_0,
       g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
       g_remove_crc      => TRUE  -- use TRUE when using TSE link interface
     )
@@ -827,38 +827,38 @@ BEGIN
 
       tx_fifo_rd_emp_arr => OPEN,
 
-      tx_udp_sosi_arr    => eth1g_I_udp_tx_sosi_arr,
-      tx_udp_siso_arr    => eth1g_I_udp_tx_siso_arr,
+      tx_udp_sosi_arr    => eth_0_udp_tx_sosi_arr,
+      tx_udp_siso_arr    => eth_0_udp_tx_siso_arr,
 
       -- UDP receive interface
-      rx_udp_sosi_arr    => eth1g_I_udp_rx_sosi_arr,
+      rx_udp_sosi_arr    => eth_0_udp_rx_sosi_arr,
 
       -- Memory Mapped Slaves (one per stream)
       -- . Tx
-      reg_bg_ctrl_copi               => reg_eth1g_I_bg_ctrl_copi,
-      reg_bg_ctrl_cipo               => reg_eth1g_I_bg_ctrl_cipo,
-      reg_hdr_dat_copi               => reg_eth1g_I_hdr_dat_copi,
-      reg_hdr_dat_cipo               => reg_eth1g_I_hdr_dat_cipo,
-      reg_bsn_monitor_v2_tx_copi     => reg_eth1g_I_bsn_monitor_v2_tx_copi,
-      reg_bsn_monitor_v2_tx_cipo     => reg_eth1g_I_bsn_monitor_v2_tx_cipo,
-      reg_strobe_total_count_tx_copi => reg_eth1g_I_strobe_total_count_tx_copi,
-      reg_strobe_total_count_tx_cipo => reg_eth1g_I_strobe_total_count_tx_cipo,
+      reg_bg_ctrl_copi               => reg_diag_bg_eth_0_copi,
+      reg_bg_ctrl_cipo               => reg_diag_bg_eth_0_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_eth_0_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_eth_0_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_0_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_0_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_0_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_0_cipo,
       -- . Rx
-      reg_bsn_monitor_v2_rx_copi     => reg_eth1g_I_bsn_monitor_v2_rx_copi,
-      reg_bsn_monitor_v2_rx_cipo     => reg_eth1g_I_bsn_monitor_v2_rx_cipo,
-      reg_strobe_total_count_rx_copi => reg_eth1g_I_strobe_total_count_rx_copi,
-      reg_strobe_total_count_rx_cipo => reg_eth1g_I_strobe_total_count_rx_cipo
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_0_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_0_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_0_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_0_cipo
     );
 
     -- Uses eth.vhd with ETH/TSE interface with UDP streams in ctrl_unb2c_board
-    -- to stream UDP data via eth0 = 1GbE-I.
+    -- to stream UDP data via eth_0 = 1GbE-I.
   END GENERATE;
 
 
   -- Instantiate a second 1GbE-II to check pinning and to test UDP data via a
   -- dedicated 1GbE port, instead of multiplexed with M&C
-  gen_eth_II: IF c_use_1GbE_II = TRUE GENERATE
-    -- Derive eth1 MAC/IP/UDP from eth0
+  gen_eth_1: IF c_use_eth_1 = TRUE GENERATE
+    -- Derive eth_1 MAC/IP/UDP from eth_0
     gn_eth_src_mac_II    <= c_base_mac & func_eth_tester_gn_index_to_mac_15_0(gn_index, 1);
     gn_ip_src_addr_II    <= c_base_ip & func_eth_tester_gn_index_to_ip_15_0(gn_index, 1);
     gn_udp_src_port_II   <= c_base_udp & func_eth_tester_gn_index_to_udp_7_0(gn_index, 1);
@@ -866,7 +866,7 @@ BEGIN
     -- Generate UDP Tx and monitor UDP Rx
     u_eth_tester_II : ENTITY eth_lib.eth_tester
     GENERIC MAP (
-      g_nof_streams     => c_nof_udp_streams_1GbE_II,
+      g_nof_streams     => c_nof_udp_streams_eth_1,
       g_bg_sync_timeout => c_eth_tester_sync_timeout,  -- BG sync interval < 11 s
       g_remove_crc      => TRUE  -- use TRUE when using TSE link interface
     )
@@ -885,31 +885,31 @@ BEGIN
 
       tx_fifo_rd_emp_arr => OPEN,
 
-      tx_udp_sosi_arr    => eth1g_II_udp_tx_sosi_arr,
-      tx_udp_siso_arr    => eth1g_II_udp_tx_siso_arr,
+      tx_udp_sosi_arr    => eth_1_udp_tx_sosi_arr,
+      tx_udp_siso_arr    => eth_1_udp_tx_siso_arr,
 
       -- UDP receive interface
-      rx_udp_sosi_arr    => eth1g_II_udp_rx_sosi_arr,
+      rx_udp_sosi_arr    => eth_1_udp_rx_sosi_arr,
 
       -- Memory Mapped Slaves (one per stream)
       -- . Tx
-      reg_bg_ctrl_copi               => reg_eth1g_II_bg_ctrl_copi,
-      reg_bg_ctrl_cipo               => reg_eth1g_II_bg_ctrl_cipo,
-      reg_hdr_dat_copi               => reg_eth1g_II_hdr_dat_copi,
-      reg_hdr_dat_cipo               => reg_eth1g_II_hdr_dat_cipo,
-      reg_bsn_monitor_v2_tx_copi     => reg_eth1g_II_bsn_monitor_v2_tx_copi,
-      reg_bsn_monitor_v2_tx_cipo     => reg_eth1g_II_bsn_monitor_v2_tx_cipo,
-      reg_strobe_total_count_tx_copi => reg_eth1g_II_strobe_total_count_tx_copi,
-      reg_strobe_total_count_tx_cipo => reg_eth1g_II_strobe_total_count_tx_cipo,
+      reg_bg_ctrl_copi               => reg_diag_bg_eth_1_copi,
+      reg_bg_ctrl_cipo               => reg_diag_bg_eth_1_cipo,
+      reg_hdr_dat_copi               => reg_hdr_dat_eth_1_copi,
+      reg_hdr_dat_cipo               => reg_hdr_dat_eth_1_cipo,
+      reg_bsn_monitor_v2_tx_copi     => reg_bsn_monitor_v2_tx_eth_1_copi,
+      reg_bsn_monitor_v2_tx_cipo     => reg_bsn_monitor_v2_tx_eth_1_cipo,
+      reg_strobe_total_count_tx_copi => reg_strobe_total_count_tx_eth_1_copi,
+      reg_strobe_total_count_tx_cipo => reg_strobe_total_count_tx_eth_1_cipo,
       -- . Rx
-      reg_bsn_monitor_v2_rx_copi     => reg_eth1g_II_bsn_monitor_v2_rx_copi,
-      reg_bsn_monitor_v2_rx_cipo     => reg_eth1g_II_bsn_monitor_v2_rx_cipo,
-      reg_strobe_total_count_rx_copi => reg_eth1g_II_strobe_total_count_rx_copi,
-      reg_strobe_total_count_rx_cipo => reg_eth1g_II_strobe_total_count_rx_cipo
+      reg_bsn_monitor_v2_rx_copi     => reg_bsn_monitor_v2_rx_eth_1_copi,
+      reg_bsn_monitor_v2_rx_cipo     => reg_bsn_monitor_v2_rx_eth_1_cipo,
+      reg_strobe_total_count_rx_copi => reg_strobe_total_count_rx_eth_1_copi,
+      reg_strobe_total_count_rx_cipo => reg_strobe_total_count_rx_eth_1_cipo
     );
 
     -- Use eth_stream with ETH/TSE interface for UDP port g_rx_udp_port to
-    -- stream UDP data via eth1 = 1GbE-II
+    -- stream UDP data via eth_1 = 1GbE-II
     u_eth_stream : ENTITY eth_lib.eth_stream
     GENERIC MAP (
       g_technology   => g_technology,
@@ -920,7 +920,7 @@ BEGIN
     )
     PORT MAP (
       -- Clocks and reset
-      mm_rst             => mm_rst,  -- eth1g_eth1_mm_rst
+      mm_rst             => mm_rst,  -- eth_1_mm_rst
       mm_clk             => mm_clk,
       eth_clk            => ETH_CLK(1),
       st_rst             => dp_rst,
@@ -931,16 +931,16 @@ BEGIN
       setup_done         => OPEN,
 
       -- UDP transmit interface
-      udp_tx_snk_in      => eth1g_II_udp_tx_sosi_arr(0),
-      udp_tx_snk_out     => eth1g_II_udp_tx_siso_arr(0),
+      udp_tx_snk_in      => eth_1_udp_tx_sosi_arr(0),
+      udp_tx_snk_out     => eth_1_udp_tx_siso_arr(0),
 
       -- UDP receive interface
       udp_rx_src_in      => c_dp_siso_rdy,
-      udp_rx_src_out     => eth1g_II_udp_rx_sosi_arr(0),
+      udp_rx_src_out     => eth_1_udp_rx_sosi_arr(0),
 
       -- Memory Mapped Slaves
-      tse_ctlr_copi      => eth1g_eth1_tse_mosi,
-      tse_ctlr_cipo      => eth1g_eth1_tse_miso,
+      tse_ctlr_copi      => eth_1_tse_mosi,
+      tse_ctlr_cipo      => eth_1_tse_miso,
 
       -- PHY interface
       eth_txp            => ETH_SGOUT(1),
diff --git a/boards/uniboard2c/designs/unb2c_test/unb2c_test.fpga.yaml b/boards/uniboard2c/designs/unb2c_test/unb2c_test.fpga.yaml
index 0b9e4ee9e907f520e4536c7349178049645881b4..0c7f07d961f8ea77e4da22f3a53b55003d482881 100644
--- a/boards/uniboard2c/designs/unb2c_test/unb2c_test.fpga.yaml
+++ b/boards/uniboard2c/designs/unb2c_test/unb2c_test.fpga.yaml
@@ -79,22 +79,22 @@ peripherals:
       - { name: g_nof_reg, value: c_nof_streams_eth0_UDP }
       - { name: g_buf_addr_w, value: 8 }
     mm_port_names:
-      - REG_ETH1G_I_BG_CTRL
-      - RAM_ETH1G_I_BG_CTRL  # not used in eth_tester_tx
+      - REG_DIAG_BG_ETH_0
+      - RAM_DIAG_BG_ETH_0_NOT_USED  # not used in eth_tester_tx
 
   - peripheral_name: eth_tester_offload_hdr_dat
     peripheral_group: eth0_tx
     number_of_peripherals: c_nof_streams_eth0_UDP
     peripheral_span: ceil_pow2(c_nof_streams_eth0_UDP) * 32 * MM_BUS_SIZE  # number_of_ports = 4, mm_port_span = 32 words
     mm_port_names:
-      - REG_ETH1G_I_HDR_DAT
+      - REG_HDR_DAT_ETH_0
 
   - peripheral_name: dp/dp_bsn_monitor_v2
     peripheral_group: eth0_tx
     parameter_overrides:
       - { name: g_nof_streams, value: c_nof_streams_eth0_UDP }
     mm_port_names:
-      - REG_ETH1G_I_BSN_MONITOR_V2_TX
+      - REG_BSN_MONITOR_V2_TX_ETH_0
 
   - peripheral_name: dp/dp_strobe_total_count
     peripheral_group: eth0_tx
@@ -104,14 +104,14 @@ peripherals:
       - { name: g_nof_counts, value: 1 }  # actual nof counts, <= g_nof_counts_max = 15
                                           # 0 = nof_sop
     mm_port_names:
-      - REG_ETH1G_I_STROBE_TOTAL_COUNT_TX
+      - REG_STROBE_TOTAL_COUNT_TX_ETH_0
 
   - peripheral_name: dp/dp_bsn_monitor_v2
     peripheral_group: eth0_rx
     parameter_overrides:
       - { name: g_nof_streams, value: c_nof_streams_eth0_UDP }
     mm_port_names:
-      - REG_ETH1G_I_BSN_MONITOR_V2_RX
+      - REG_BSN_MONITOR_V2_RX_ETH_0
 
   - peripheral_name: dp/dp_strobe_total_count
     peripheral_group: eth0_rx
@@ -121,7 +121,7 @@ peripherals:
       - { name: g_nof_counts, value: 3 }  # actual nof counts, <= g_nof_counts_max = 15
                                           # 0 = nof_sop, 1 = nof_valid, 2 = nof_crc_corrupt
     mm_port_names:
-      - REG_ETH1G_I_STROBE_TOTAL_COUNT_RX
+      - REG_STROBE_TOTAL_COUNT_RX_ETH_0
 
   # 1GbE-II
   - peripheral_name: eth/eth  # eth_stream
@@ -138,18 +138,18 @@ peripherals:
       - { name: g_nof_reg, value: 1 }
       - { name: g_buf_addr_w, value: 8 }
     mm_port_names:
-      - REG_ETH1G_I_BG_CTRL
-      - RAM_ETH1G_I_BG_CTRL  # not used in eth_tester_tx
+      - REG_DIAG_BG_ETH_1
+      - RAM_DIAG_BG_ETH_1_NOT_USED  # not used in eth_tester_tx
 
   - peripheral_name: eth_tester_offload_hdr_dat
     peripheral_group: eth1_tx
     mm_port_names:
-      - REG_ETH1G_I_HDR_DAT
+      - REG_HDR_DAT_ETH_1
 
   - peripheral_name: dp/dp_bsn_monitor_v2
     peripheral_group: eth1_tx
     mm_port_names:
-      - REG_ETH1G_I_BSN_MONITOR_V2_TX
+      - REG_BSN_MONITOR_V2_TX_ETH_1
 
   - peripheral_name: dp/dp_strobe_total_count
     peripheral_group: eth1_tx
@@ -157,12 +157,12 @@ peripherals:
       - { name: g_nof_counts, value: 1 }  # actual nof counts, <= g_nof_counts_max = 15
                                           # 0 = nof_sop
     mm_port_names:
-      - REG_ETH1G_I_STROBE_TOTAL_COUNT_TX
+      - REG_STROBE_TOTAL_COUNT_TX_ETH_1
 
   - peripheral_name: dp/dp_bsn_monitor_v2
     peripheral_group: eth1_rx
     mm_port_names:
-      - REG_ETH1G_I_BSN_MONITOR_V2_RX
+      - REG_BSN_MONITOR_V2_RX_ETH_1
 
   - peripheral_name: dp/dp_strobe_total_count
     peripheral_group: eth1_rx
@@ -170,7 +170,7 @@ peripherals:
       - { name: g_nof_counts, value: 3 }  # actual nof counts, <= g_nof_counts_max = 15
                                           # 0 = nof_sop, 1 = nof_valid, 2 = nof_crc_corrupt
     mm_port_names:
-      - REG_ETH1G_I_STROBE_TOTAL_COUNT_RX
+      - REG_STROBE_TOTAL_COUNT_RX_ETH_1
 
   # 10GbE
   - peripheral_name: diag/diag_block_gen
diff --git a/doc/erko_howto_tools.txt b/doc/erko_howto_tools.txt
index c71e6e5067699dfe22e55fe6a16e28c286d721f5..eee61c714df8ba91089b8ed81f6c3b59454a6401 100755
--- a/doc/erko_howto_tools.txt
+++ b/doc/erko_howto_tools.txt
@@ -25,7 +25,7 @@
 * Zenodo DOI
 * Install OpenSCAD
 * Drawio
-
+* DTS-lab unb2c
 
 
 
@@ -115,6 +115,8 @@ during tb simulation load --> fixed by "sudo chmod a+w -R modelsim_altera_libs/1
    . remove components
    . add components from Uniboard library (double click avs_common_mm or use green +)
      use qsys_unb2c_test_ prefix in component name ip file, but rename component without prefix
+   . use periperal names that match the upe_gear default name + instance scheme
+     double click export name to change it, none for default
    . System/assign base addresses
      System/assign interrupt numbers
      if needed do: Sync system infos button
@@ -124,20 +126,21 @@ during tb simulation load --> fixed by "sudo chmod a+w -R modelsim_altera_libs/1
 > Copy qsys and ip files to the quartus dir of the mother design.
    . cp build/unb2c/quartus/unb2c_test_1GbE_I/ip/qsys_unb2c_test/*.ip boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/
    . cp build/unb2c/quartus/unb2c_test_1GbE_I/qsys_unb2c_test.qsys boards/uniboard2c/designs/unb2c_test/quartus
+> Edit the hdllib.cfg of each revision to include the new peripheral ip files
 > The hdllib.cfg of each revision design will copy the qsys and ip files to each
   revision build quartus dir, so all revisions use the same qsys.
 
-
 # Run command line synthesis for unb2c_test revision
 quartus_config unb2c
-run_qsys_pro unb2c unb2c_test_1GbE_I;
+run_qsys_pro unb2c unb2c_test_1GbE_I
 gen_rom_mmap.py --avalon -d unb2c_test -r unb2c_test_1GbE_I
 run_reg unb2c unb2c_test_1GbE_I
 run_qcomp unb2c unb2c_test_1GbE_I --clk=CLK
 run_rbf unb2c unb2c_test_1GbE_I
+==> All in one: build_image unb2c unb2c_test --rev=unb2c_test_1GbE_I --seed=1,2
 
 quartus_config unb2c
-run_qsys_pro unb2c unb2c_test_1GbE_II;
+run_qsys_pro unb2c unb2c_test_1GbE_II
 gen_rom_mmap.py --avalon -d unb2c_test -r unb2c_test_1GbE_II
 run_reg unb2c unb2c_test_1GbE_II
 run_qcomp unb2c unb2c_test_1GbE_II --clk=CLK
@@ -145,9 +148,9 @@ run_rbf unb2c unb2c_test_1GbE_II
 
 # Run command line synthesis for dts
 quartus_config unb2c
-run_qsys_pro unb2c lofar2_unb2c_sdp_station_full;
-gen_rom_mmap.py --avalon -d lofar2_unb2c_sdp_station -r lofar2_unb2c_sdp_station_full;
-run_reg unb2c lofar2_unb2c_sdp_station_full;
+run_qsys_pro unb2c lofar2_unb2c_sdp_station_full
+gen_rom_mmap.py --avalon -d lofar2_unb2c_sdp_station -r lofar2_unb2c_sdp_station_full
+run_reg unb2c lofar2_unb2c_sdp_station_full
 run_qcomp unb2c lofar2_unb2c_sdp_station_full --clk=CLK
 run_rbf unb2c lofar2_unb2c_sdp_station_full
 
@@ -1167,3 +1170,23 @@ Gelukt !
 Oude drawio uninstall mbv Administration/Software Manager
 Nieuw heet nu diagrams.net 18.1.3 installed mbv Administration/Software Manager
 Alt-F7 to move window on screen
+
+
+
+*******************************************************************************
+* DTS-lab unb2c
+*******************************************************************************
+
+> mystep
+> Program FPGA using USB programmer:
+  > run_quartus unb2c &
+    - Open programmer via button icon --> Hardware setup --> Add hardware
+      Server name 10.87.6.204 (lab laptop) password: uniboard
+      JTAG settings (OK)
+      Hardware settings dubbel click
+      Auto detect
+      Select FPGA --> change file (sof) --> select Program/Reconfigure --> Start
+  > Via dop421 upe_gear:
+    . ./init_upe.sh
+    mkdir reginfo
+    util_unb2.py --unb2 0 --pn2 0:3 --seq REGMAPt