From cd98c97899252d43a5c9e7731d50fb447fdf88e4 Mon Sep 17 00:00:00 2001
From: Eric Kooistra <kooistra@astron.nl>
Date: Thu, 17 Aug 2023 10:49:21 +0200
Subject: [PATCH] Correct verification of reorder identity.

---
 .../tb/vhdl/tb_reorder_col_select_all.vhd      | 18 +++++++++---------
 1 file changed, 9 insertions(+), 9 deletions(-)

diff --git a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
index 3d8876bef7..26cbb79d4e 100644
--- a/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
+++ b/libraries/base/reorder/tb/vhdl/tb_reorder_col_select_all.vhd
@@ -150,13 +150,13 @@ architecture tb of tb_reorder_col_select_all is
   signal undo_select_copi : t_mem_copi;
   signal select_cipo      : t_mem_cipo;
   signal undo_select_cipo : t_mem_cipo;
-  signal r_identity       : t_reorder_identity;
+  signal r_identity       : t_reorder_identity := c_reorder_identity_rst;
   signal d_identity       : t_reorder_identity;
-  signal r_redo_identity  : t_reorder_identity;
+  signal r_redo_identity  : t_reorder_identity := c_reorder_identity_rst;
   signal d_redo_identity  : t_reorder_identity;
-  signal r_transpose      : t_reorder_transpose;
+  signal r_transpose      : t_reorder_transpose := c_reorder_transpose_rst;
   signal d_transpose      : t_reorder_transpose;
-  signal r_undo_transpose : t_reorder_transpose;
+  signal r_undo_transpose : t_reorder_transpose := c_reorder_transpose_rst;
   signal d_undo_transpose : t_reorder_transpose;
 
 begin
@@ -377,7 +377,7 @@ begin
   p_clk : process(clk)
   begin
     if rising_edge(clk) then
-      r_identity       <= r_identity;
+      r_identity       <= d_identity;
       r_redo_identity  <= d_redo_identity;
       r_transpose      <= d_transpose;
       r_undo_transpose <= d_undo_transpose;
@@ -401,10 +401,10 @@ begin
     d_identity <= v;
   end process;
 
-  p_comb_redo_identity : process(rst, select_cipo, nof_ch_input, r_redo_identity)
+  p_comb_redo_identity : process(rst, undo_select_cipo, nof_ch_input, r_redo_identity)
     variable v : t_reorder_identity;
   begin
-    if select_cipo.waitrequest = '0' then
+    if undo_select_cipo.waitrequest = '0' then
       -- Read from reorder_col_select page
       v := func_reorder_identity(nof_ch_input, r_redo_identity);
     else
@@ -497,7 +497,7 @@ begin
     output_nof_ch_sel => open,
 
     -- Memory Mapped
-    col_select_mosi => r_transpose.select_copi,
+    col_select_mosi => select_copi,
     col_select_miso => select_cipo,  -- only used for waitrequest
 
     -- Streaming
@@ -527,7 +527,7 @@ begin
     output_nof_ch_sel => open,
 
     -- Memory Mapped
-    col_select_mosi => r_undo_transpose.select_copi,
+    col_select_mosi => undo_select_copi,
     col_select_miso => undo_select_cipo,  -- only used for waitrequest
 
     -- Streaming
-- 
GitLab