From ca848a71f654504c9d0f30886ccf61a8e80d41d5 Mon Sep 17 00:00:00 2001 From: Pepping <pepping> Date: Fri, 13 May 2016 11:26:54 +0000 Subject: [PATCH] Increased ram_diag_bg_mesh from 10 to 11 --- .../src/vhdl/mmm_apertif_unb1_correlator.vhd | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/applications/apertif/designs/apertif_unb1_correlator/src/vhdl/mmm_apertif_unb1_correlator.vhd b/applications/apertif/designs/apertif_unb1_correlator/src/vhdl/mmm_apertif_unb1_correlator.vhd index 9b82bd56e0..a2a1d4c5c3 100644 --- a/applications/apertif/designs/apertif_unb1_correlator/src/vhdl/mmm_apertif_unb1_correlator.vhd +++ b/applications/apertif/designs/apertif_unb1_correlator/src/vhdl/mmm_apertif_unb1_correlator.vhd @@ -455,7 +455,7 @@ ARCHITECTURE str OF mmm_apertif_unb1_correlator IS reg_bsn_monitor_input_write_export : out std_logic; reg_tr_10gbe_address_export : out std_logic_vector(14 downto 0); reg_bsn_monitor_proc_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); - ram_diag_bg_mesh_address_export : out std_logic_vector(9 downto 0); + ram_diag_bg_mesh_address_export : out std_logic_vector(10 downto 0); pio_pps_writedata_export : out std_logic_vector(31 downto 0); eth1g_tse_waitrequest_export : in std_logic := '0'; reg_mdio_0_writedata_export : out std_logic_vector(31 downto 0); @@ -784,7 +784,7 @@ BEGIN ram_diag_bg_input_reset_export => OPEN, ram_diag_bg_input_write_export => ram_diag_bg_input_mosi.wr, ram_diag_bg_input_writedata_export => ram_diag_bg_input_mosi.wrdata(c_word_w-1 DOWNTO 0), - ram_diag_bg_mesh_address_export => ram_diag_bg_mesh_mosi.address(9 DOWNTO 0), + ram_diag_bg_mesh_address_export => ram_diag_bg_mesh_mosi.address(10 DOWNTO 0), ram_diag_bg_mesh_clk_export => OPEN, ram_diag_bg_mesh_read_export => ram_diag_bg_mesh_mosi.rd, ram_diag_bg_mesh_readdata_export => ram_diag_bg_mesh_miso.rddata(c_word_w-1 DOWNTO 0), -- GitLab