diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/board.qsys b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/board.qsys index 038de3352891ea89acd49085cfdc04bf8e703bcf..ec14d09d4a9024ef87279827b4eb3360f610754c 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/board.qsys +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/board.qsys @@ -46,7 +46,7 @@ { datum _sortIndex { - value = "24"; + value = "25"; type = "int"; } } @@ -102,7 +102,7 @@ { datum _sortIndex { - value = "23"; + value = "24"; type = "int"; } } @@ -110,7 +110,7 @@ { datum _sortIndex { - value = "21"; + value = "22"; type = "int"; } } @@ -126,7 +126,7 @@ { datum _sortIndex { - value = "22"; + value = "23"; type = "int"; } } @@ -380,6 +380,22 @@ type = "String"; } } + element reg_ta2_unb2b_jesd204b + { + datum _sortIndex + { + value = "21"; + type = "int"; + } + } + element reg_ta2_unb2b_jesd204b.mem + { + datum baseAddress + { + value = "1024"; + type = "String"; + } + } element reg_unb_pmbus { datum _sortIndex @@ -464,46 +480,6 @@ type = "String"; } } - element ta2_unb2b_10GbE - { - datum _sortIndex - { - value = "26"; - type = "int"; - } - } - element ta2_unb2b_1GbE_mc - { - datum _sortIndex - { - value = "27"; - type = "int"; - } - } - element ta2_unb2b_40GbE - { - datum _sortIndex - { - value = "25"; - type = "int"; - } - } - element ta2_unb2b_jesd204b - { - datum _sortIndex - { - value = "28"; - type = "int"; - } - } - element ta2_unb2b_jesd204b.mem - { - datum baseAddress - { - value = "1024"; - type = "String"; - } - } element timer_0 { datum _sortIndex @@ -522,6 +498,7 @@ } } ]]></parameter> + <parameter name="clockCrossingAdapter" value="HANDSHAKE" /> <parameter name="device" value="10AX115U2F45E1SG" /> <parameter name="deviceFamily" value="Arria 10" /> <parameter name="deviceSpeedGrade" value="1" /> @@ -532,6 +509,7 @@ <parameter name="hdlLanguage" value="VERILOG" /> <parameter name="hideFromIPCatalog" value="false" /> <parameter name="lockedInterfaceDefinition" value="" /> + <parameter name="maxAdditionalLatency" value="0" /> <parameter name="sopcBorderPoints" value="false" /> <parameter name="systemHash" value="0" /> <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> @@ -595,6 +573,18 @@ </consumedSystemInfos> </value> </entry> + <entry> + <key>rom_system_info_clk</key> + <value> + <connectionPointName>rom_system_info_clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> </connPtSystemInfos> </systemInfosDefinition>]]></parameter> <parameter name="systemScripts" value="" /> @@ -1072,6 +1062,46 @@ internal="reg_remu.writedata" type="conduit" dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_address" + internal="reg_ta2_unb2b_jesd204b.address" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_clk" + internal="reg_ta2_unb2b_jesd204b.clk" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_read" + internal="reg_ta2_unb2b_jesd204b.read" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_readdata" + internal="reg_ta2_unb2b_jesd204b.readdata" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_reset" + internal="reg_ta2_unb2b_jesd204b.reset" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_waitrequest" + internal="reg_ta2_unb2b_jesd204b.waitrequest" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_write" + internal="reg_ta2_unb2b_jesd204b.write" + type="conduit" + dir="end" /> + <interface + name="reg_ta2_unb2b_jesd204b_writedata" + internal="reg_ta2_unb2b_jesd204b.writedata" + type="conduit" + dir="end" /> <interface name="reg_unb_pmbus_address" internal="reg_unb_pmbus.address" @@ -1205,131 +1235,6 @@ internal="rom_system_info.writedata" type="conduit" dir="end" /> - <interface - name="ta2_unb2b_10gbe_kernel_snk" - internal="ta2_unb2b_10GbE.kernel_snk" - type="avalon_streaming" - dir="end" /> - <interface - name="ta2_unb2b_10gbe_kernel_src" - internal="ta2_unb2b_10GbE.kernel_src" - type="avalon_streaming" - dir="start" /> - <interface - name="ta2_unb2b_10gbe_refclk" - internal="ta2_unb2b_10GbE.refclk" - type="clock" - dir="end" /> - <interface - name="ta2_unb2b_10gbe_rx_serial_data" - internal="ta2_unb2b_10GbE.rx_serial_data" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_10gbe_rx_status" - internal="ta2_unb2b_10GbE.rx_status" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_10gbe_tx_serial_data" - internal="ta2_unb2b_10GbE.tx_serial_data" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_kernel_snk" - internal="ta2_unb2b_1GbE_mc.kernel_snk" - type="avalon_streaming" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_kernel_src" - internal="ta2_unb2b_1GbE_mc.kernel_src" - type="avalon_streaming" - dir="start" /> - <interface - name="ta2_unb2b_1gbe_mc_st_clk" - internal="ta2_unb2b_1GbE_mc.st_clk" - type="clock" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_st_rst" - internal="ta2_unb2b_1GbE_mc.st_rst" - type="reset" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_udp_rx_snk_in" - internal="ta2_unb2b_1GbE_mc.udp_rx_snk_in" - type="avalon_streaming" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_udp_rx_snk_in_xon" - internal="ta2_unb2b_1GbE_mc.udp_rx_snk_in_xon" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_1gbe_mc_udp_tx_src_out" - internal="ta2_unb2b_1GbE_mc.udp_tx_src_out" - type="avalon_streaming" - dir="start" /> - <interface - name="ta2_unb2b_1gbe_mc_udp_tx_src_out_xon" - internal="ta2_unb2b_1GbE_mc.udp_tx_src_out_xon" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_40gbe_kernel_snk" - internal="ta2_unb2b_40GbE.kernel_snk" - type="avalon_streaming" - dir="end" /> - <interface - name="ta2_unb2b_40gbe_kernel_src" - internal="ta2_unb2b_40GbE.kernel_src" - type="avalon_streaming" - dir="start" /> - <interface - name="ta2_unb2b_40gbe_refclk" - internal="ta2_unb2b_40GbE.refclk" - type="clock" - dir="end" /> - <interface - name="ta2_unb2b_40gbe_rx_serial_data" - internal="ta2_unb2b_40GbE.rx_serial_data" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_40gbe_rx_status" - internal="ta2_unb2b_40GbE.rx_status" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_40gbe_tx_serial_data" - internal="ta2_unb2b_40GbE.tx_serial_data" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_jesd204b_jesd204b_refclk" - internal="ta2_unb2b_jesd204b.jesd204b_refclk" - type="clock" - dir="end" /> - <interface - name="ta2_unb2b_jesd204b_jesd204b_sync_n" - internal="ta2_unb2b_jesd204b.jesd204b_sync_n" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_jesd204b_jesd204b_sysref" - internal="ta2_unb2b_jesd204b.jesd204b_sysref" - type="conduit" - dir="end" /> - <interface - name="ta2_unb2b_jesd204b_kernel_src" - internal="ta2_unb2b_jesd204b.kernel_src" - type="avalon_streaming" - dir="start" /> - <interface - name="ta2_unb2b_jesd204b_serial_rx_arr" - internal="ta2_unb2b_jesd204b.serial_rx_arr" - type="conduit" - dir="end" /> <module name="avs_eth_0" kind="altera_generic_component" @@ -2842,6483 +2747,38 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>interrupt</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>ins_interrupt_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>avs_eth_0.mms_reg</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_irq_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mm_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_mm_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_ram</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_ram_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_ram_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_ram_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>2</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_reg</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_reg_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_reg_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_reg_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mms_tse</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>mms_tse_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>mms_tse_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>mms_tse_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>mm</value> - </entry> - <entry> - <key>associatedReset</key> - <value>mm_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ram_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_ram_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reg_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reg_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_waitrequest</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_waitrequest_export</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tse_writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_tse_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_avs_eth_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>board_avs_eth_0</fileSetName> - <fileSetFixedName>board_avs_eth_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_avs_eth_0</fileSetName> - <fileSetFixedName>board_avs_eth_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_avs_eth_0</fileSetName> - <fileSetFixedName>board_avs_eth_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_avs_eth_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="board_onchip_memory" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>256</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>256</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset1</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_onchip_memory2</className> - <version>19.1</version> - <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>autoInitializationFileName</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>UNIQUE_ID</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFamily</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFeatures</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FEATURES</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x1000' datawidth='256' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>256</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>7</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>256</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>256</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset1</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_onchip_memory</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>board_onchip_memory</fileSetName> - <fileSetFixedName>board_onchip_memory</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_onchip_memory</fileSetName> - <fileSetFixedName>board_onchip_memory</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_onchip_memory</fileSetName> - <fileSetFixedName>board_onchip_memory</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_onchip_memory.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CONTENTS_INFO</key> - <value>""</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DUAL_PORT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key> - <value>board_onchip_memory_board_onchip_memory</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INSTANCE_ID</key> - <value>NONE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key> - <value>DONT_CARE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_MULTIPLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_VALUE</key> - <value>4096</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITABLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key> - <value>SIM_DIR</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.GENERATE_HEX</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key> - <value>QPF_DIR</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key> - <value>256</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key> - <value>board_onchip_memory_board_onchip_memory</value> - </entry> - <entry> - <key>postgeneration.simulation.init_file.param_name</key> - <value>INIT_FILE</value> - </entry> - <entry> - <key>postgeneration.simulation.init_file.type</key> - <value>MEM_INIT</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="clk_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>clock_source</className> - <displayName>Clock Source</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>inputClockFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk_in</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>clk_in</key> - <value> - <connectionPointName>clk_in</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>0</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_clk_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>board_clk_0</fileSetName> - <fileSetFixedName>board_clk_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_clk_0</fileSetName> - <fileSetFixedName>board_clk_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_clk_0</fileSetName> - <fileSetFixedName>board_clk_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_clk_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="cpu_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>custom_instruction_master</name> - <type>nios_custom_instruction</type> - <isStart>true</isStart> - <ports> - <port> - <name>dummy_ci_port</name> - <role>readra</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>CIName</key> - <value></value> - </entry> - <entry> - <key>addressWidth</key> - <value>8</value> - </entry> - <entry> - <key>clockCycle</key> - <value>0</value> - </entry> - <entry> - <key>enabled</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>8</value> - </entry> - <entry> - <key>opcodeExtension</key> - <value>0</value> - </entry> - <entry> - <key>sharedCombinationalAndMulticycle</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>data_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>d_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess_to_roms</name> - <role>debugaccess</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>debug.providesServices</key> - <value>master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>true</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_mem_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>debug_mem_slave_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.hideDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>qsys.ui.connect</key> - <value>instruction_master,data_master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_reset_request</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>debug_reset_request</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>none</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>instruction_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>i_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>i_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>true</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>true</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>cpu_0.data_master</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_nios2_gen2</className> - <version>19.1</version> - <displayName>Nios II Processor</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_CLK_CLOCK_DOMAIN</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_DOMAIN</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_CLK_RESET_DOMAIN</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>RESET_DOMAIN</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>50000000</parameterDefaultValue> - <parameterName>clockFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_a</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_a</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_b</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_b</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>customInstSlavesSystemInfo_nios_c</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>custom_instruction_master_c</systemInfoArgs> - <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>dataAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>data_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>dataMasterHighPerformanceAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>data_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>dataMasterHighPerformanceMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>data_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>dataSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>data_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>STRATIXIV</parameterDefaultValue> - <parameterName>deviceFamilyName</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>deviceFeaturesSystemInfo</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FEATURES</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>faAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>flash_instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>faSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>flash_instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>instAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>instSlaveMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>instruction_master</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>instructionMasterHighPerformanceAddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>instructionMasterHighPerformanceMapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>internalIrqMaskSystemInfo</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>irq</systemInfoArgs> - <systemInfotype>INTERRUPTS_USED</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster0AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster0MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster1AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster1MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster2AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster2MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster3AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledDataMaster3MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster0AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster0MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster1AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster1MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster2AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster2MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>1</parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster3AddrWidth</parameterName> - <parameterType>java.lang.Integer</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_WIDTH</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>tightlyCoupledInstructionMaster3MapParam</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> - <systemInfotype>ADDRESS_MAP</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_DOMAIN</key> - <value>1</value> - </entry> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - <entry> - <key>RESET_DOMAIN</key> - <value>1</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>custom_instruction_master</key> - <value> - <connectionPointName>custom_instruction_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CUSTOM_INSTRUCTION_SLAVES</key> - <value></value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>data_master</key> - <value> - <connectionPointName>data_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='ta2_unb2b_jesd204b.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x8000' end='0x9000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>debug_mem_slave</key> - <value> - <connectionPointName>debug_mem_slave</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>11</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>instruction_master</key> - <value> - <connectionPointName>instruction_master</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>18</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>irq</key> - <value> - <connectionPointName>irq</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>INTERRUPTS_USED</key> - <value>7</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>custom_instruction_master</name> - <type>nios_custom_instruction</type> - <isStart>true</isStart> - <ports> - <port> - <name>dummy_ci_port</name> - <role>readra</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>CIName</key> - <value></value> - </entry> - <entry> - <key>addressWidth</key> - <value>8</value> - </entry> - <entry> - <key>clockCycle</key> - <value>0</value> - </entry> - <entry> - <key>enabled</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>8</value> - </entry> - <entry> - <key>opcodeExtension</key> - <value>0</value> - </entry> - <entry> - <key>sharedCombinationalAndMulticycle</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>data_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>d_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>d_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>d_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess_to_roms</name> - <role>debugaccess</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>debug.providesServices</key> - <value>master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>true</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_mem_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>debug_mem_slave_address</name> - <role>address</role> - <direction>Input</direction> - <width>9</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>debug_mem_slave_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>debug_mem_slave_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.hideDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>qsys.ui.connect</key> - <value>instruction_master,data_master</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2048</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>true</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>debug_reset_request</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>debug_reset_request</name> - <role>reset</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>none</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>instruction_master</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>i_address</name> - <role>address</role> - <direction>Output</direction> - <width>18</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>i_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>i_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>1</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>true</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>true</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>cpu_0.data_master</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_cpu_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>board_cpu_0</fileSetName> - <fileSetFixedName>board_cpu_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_cpu_0</fileSetName> - <fileSetFixedName>board_cpu_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_cpu_0</fileSetName> - <fileSetFixedName>board_cpu_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_cpu_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>debug.hostConnection</key> - <value>type jtag id 70:34|110:135</value> - </entry> - <entry> - <key>embeddedsw.CMacro.BIG_ENDIAN</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.BREAK_ADDR</key> - <value>0x00003820</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_FREQ</key> - <value>100000000u</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ID_SIZE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_ID_VALUE</key> - <value>0x00000000</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CPU_IMPLEMENTATION</key> - <value>"tiny"</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DATA_ADDR_WIDTH</key> - <value>18</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DCACHE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.EXCEPTION_ADDR</key> - <value>0x00020020</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.FLUSHDA_SUPPORTED</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_DEBUG_CORE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_DEBUG_STUB</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</key> - <value></value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_LINE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ICACHE_SIZE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INST_ADDR_WIDTH</key> - <value>18</value> - </entry> - <entry> - <key>embeddedsw.CMacro.OCI_VERSION</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RESET_ADDR</key> - <value>0x00020000</value> - </entry> - <entry> - <key>embeddedsw.configuration.DataCacheVictimBufImpl</key> - <value>ram</value> - </entry> - <entry> - <key>embeddedsw.configuration.HDLSimCachesCleared</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.breakOffset</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.configuration.breakSlave</key> - <value>cpu_0.debug_mem_slave</value> - </entry> - <entry> - <key>embeddedsw.configuration.cpuArchitecture</key> - <value>Nios II</value> - </entry> - <entry> - <key>embeddedsw.configuration.exceptionOffset</key> - <value>32</value> - </entry> - <entry> - <key>embeddedsw.configuration.exceptionSlave</key> - <value>onchip_memory2_0.s1</value> - </entry> - <entry> - <key>embeddedsw.configuration.resetOffset</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.resetSlave</key> - <value>onchip_memory2_0.s1</value> - </entry> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,nios2-1.1</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>cpu</value> - </entry> - <entry> - <key>embeddedsw.dts.name</key> - <value>nios2</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,exception-addr</key> - <value>0x00020020</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,implementation</key> - <value>"tiny"</value> - </entry> - <entry> - <key>embeddedsw.dts.params.altr,reset-addr</key> - <value>0x00020000</value> - </entry> - <entry> - <key>embeddedsw.dts.params.clock-frequency</key> - <value>100000000u</value> - </entry> - <entry> - <key>embeddedsw.dts.params.dcache-line-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.dcache-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.icache-line-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.params.icache-size</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="jtag_uart_0" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>avalon_jtag_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_read_n</name> - <role>read_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>true</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>8</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>8</bitWidth> - <access>read-write</access> - </field> - <field><name>rvalid</name> - <description>Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.</description> - <bitOffset>0xf</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ravail</name> - <description>The number of characters remaining in the read FIFO (after the current read).</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - <register> - <name>CONTROL</name> - <displayName>Control</displayName> - <description>Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>re</name> - <description>Interrupt-enable bit for read interrupts.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>we</name> - <description>Interrupt-enable bit for write interrupts</description> - <bitOffset>0x1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>ri</name> - <description>Indicates that the read interrupt is pending.</description> - <bitOffset>0x8</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>wi</name> - <description>Indicates that the write interrupt is pending.</description> - <bitOffset>0x9</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ac</name> - <description>Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.</description> - <bitOffset>0xa</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>wspace</name> - <description>The number of spaces available in the write FIFO</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>jtag_uart_0.avalon_jtag_slave</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_jtag_uart</className> - <version>19.1</version> - <displayName>JTAG UART Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>avalonSpec</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>AVALON_SPEC</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>clkFreq</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>avalon_jtag_slave</key> - <value> - <connectionPointName>avalon_jtag_slave</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='avalon_jtag_slave' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>avalon_jtag_slave</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_read_n</name> - <role>read_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>av_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>av_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>2</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>true</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>8</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>8</bitWidth> - <access>read-write</access> - </field> - <field><name>rvalid</name> - <description>Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.</description> - <bitOffset>0xf</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ravail</name> - <description>The number of characters remaining in the read FIFO (after the current read).</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - <register> - <name>CONTROL</name> - <displayName>Control</displayName> - <description>Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>re</name> - <description>Interrupt-enable bit for read interrupts.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>we</name> - <description>Interrupt-enable bit for write interrupts</description> - <bitOffset>0x1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>ri</name> - <description>Indicates that the read interrupt is pending.</description> - <bitOffset>0x8</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>wi</name> - <description>Indicates that the write interrupt is pending.</description> - <bitOffset>0x9</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field><name>ac</name> - <description>Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.</description> - <bitOffset>0xa</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field><name>wspace</name> - <description>The number of spaces available in the write FIFO</description> - <bitOffset>0x10</bitOffset> - <bitWidth>16</bitWidth> - <access>read-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>av_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>jtag_uart_0.avalon_jtag_slave</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> - <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_jtag_uart_0</hdlLibraryName> - <fileSets> - <fileSet> - <fileSetName>board_jtag_uart_0</fileSetName> - <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> - <fileSetKind>QUARTUS_SYNTH</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_jtag_uart_0</fileSetName> - <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_jtag_uart_0</fileSetName> - <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_jtag_uart_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.READ_DEPTH</key> - <value>64</value> - </entry> - <entry> - <key>embeddedsw.CMacro.READ_THRESHOLD</key> - <value>8</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITE_DEPTH</key> - <value>64</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITE_THRESHOLD</key> - <value>8</value> - </entry> - <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,juart-1.0</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>serial</value> - </entry> - <entry> - <key>embeddedsw.dts.name</key> - <value>juart</value> - </entry> - <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> - </entry> - </assignmentValueMap> -</assignmentDefinition>]]></parameter> - <parameter name="svInterfaceDefinition" value="" /> - </module> - <module - name="kernel_clk_export" - kind="altera_generic_component" - version="1.0" - enabled="1"> - <parameter name="componentDefinition"><![CDATA[<componentDefinition> - <boundary> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>clock_source</className> - <displayName>Clock Source</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>inputClockFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk_in</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>400000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>clk_in</key> - <value> - <connectionPointName>clk_in</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>400000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>clk_out</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - <value>clk_in</value> - </entry> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>in_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_in_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>reset_n_out</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>clk_in_reset</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_kernel_clk</hdlLibraryName> + <hdlLibraryName>board_avs_eth_0</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_kernel_clk</fileSetName> - <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetName>board_avs_eth_0</fileSetName> + <fileSetFixedName>board_avs_eth_0</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_clk</fileSetName> - <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetName>board_avs_eth_0</fileSetName> + <fileSetFixedName>board_avs_eth_0</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_clk</fileSetName> - <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetName>board_avs_eth_0</fileSetName> + <fileSetFixedName>board_avs_eth_0</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_kernel_clk.ip</parameter> + <parameter name="logicalView">ip/board/board_avs_eth_0.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="kernel_clk_gen" + name="board_onchip_memory" kind="altera_generic_component" version="1.0" enabled="1"> @@ -9326,12 +2786,12 @@ <boundary> <interfaces> <interface> - <name>clk</name> + <name>clk1</name> <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>clk_clk</name> + <name>clk</name> <role>clk</role> <direction>Input</direction> <width>1</width> @@ -9340,18 +2800,13 @@ </port> </ports> <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> + <assignmentValueMap/> </assignments> <parameters> <parameterValueMap> <entry> <key>clockRate</key> - <value>50000000</value> + <value>0</value> </entry> <entry> <key>externallyDriven</key> @@ -9364,60 +2819,74 @@ </parameters> </interface> <interface> - <name>ctrl</name> - <type>avalon</type> + <name>reset1</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>ctrl_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> + <name>reset</name> + <role>reset</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_readdatavalid</name> - <role>readdatavalid</role> - <direction>Output</direction> + <name>reset_req</name> + <role>reset_req</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk1</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>s1</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> <port> - <name>ctrl_burstcount</name> - <role>burstcount</role> + <name>address</name> + <role>address</role> <direction>Input</direction> - <width>1</width> + <width>7</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_writedata</name> - <role>writedata</role> + <name>clken</name> + <role>clken</role> <direction>Input</direction> - <width>32</width> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_address</name> - <role>address</role> + <name>chipselect</name> + <role>chipselect</role> <direction>Input</direction> - <width>12</width> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_write</name> + <name>write</name> <role>write</role> <direction>Input</direction> <width>1</width> @@ -9425,28 +2894,28 @@ <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> + <name>readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>256</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_byteenable</name> - <role>byteenable</role> + <name>writedata</name> + <role>writedata</role> <direction>Input</direction> - <width>4</width> + <width>256</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_debugaccess</name> - <role>debugaccess</role> + <name>byteenable</name> + <role>byteenable</role> <direction>Input</direction> - <width>1</width> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -9457,7 +2926,7 @@ </entry> <entry> <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>embeddedsw.configuration.isNonVolatileStorage</key> @@ -9485,7 +2954,7 @@ </entry> <entry> <key>addressUnits</key> - <value>SYMBOLS</value> + <value>WORDS</value> </entry> <entry> <key>alwaysBurstMaxBurst</key> @@ -9493,11 +2962,11 @@ </entry> <entry> <key>associatedClock</key> - <value>clk</value> + <value>clk1</value> </entry> <entry> <key>associatedReset</key> - <value>reset</value> + <value>reset1</value> </entry> <entry> <key>bitsPerSymbol</key> @@ -9524,7 +2993,7 @@ </entry> <entry> <key>explicitAddressSpan</key> - <value>0</value> + <value>4096</value> </entry> <entry> <key>holdTime</key> @@ -9544,7 +3013,7 @@ </entry> <entry> <key>isMemoryDevice</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>isNonVolatileStorage</key> @@ -9556,7 +3025,7 @@ </entry> <entry> <key>maximumPendingReadTransactions</key> - <value>4</value> + <value>0</value> </entry> <entry> <key>maximumPendingWriteTransactions</key> @@ -9584,7 +3053,7 @@ </entry> <entry> <key>readLatency</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>readWaitStates</key> @@ -9600,186 +3069,267 @@ </entry> <entry> <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_clk_clk</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk2x</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_clk2x_clk</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> + <value>false</value> + </entry> <entry> - <key>associatedDirectClock</key> + <key>setupTime</key> + <value>0</value> </entry> <entry> - <key>clockRate</key> - <value>800000000</value> + <key>timingUnits</key> + <value>Cycles</value> </entry> <entry> - <key>clockRateKnown</key> - <value>true</value> + <key>transparentBridge</key> + <value>false</value> </entry> <entry> - <key>externallyDriven</key> - <value>true</value> + <key>waitrequestAllowance</key> + <value>0</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>wellBehavedWaitrequest</key> + <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_pll_locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_pll_locked_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> + <key>writeLatency</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>writeWaitStates</key> + <value>0</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_avalon_onchip_memory2</className> + <version>18.0</version> + <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>autoInitializationFileName</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>UNIQUE_ID</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>NONE</parameterDefaultValue> + <parameterName>deviceFamily</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FAMILY</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>NONE</parameterDefaultValue> + <parameterName>deviceFeatures</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FEATURES</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>s1</key> + <value> + <connectionPointName>s1</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='s1' start='0x0' end='0x1000' datawidth='256' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>256</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> + <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> + <hdlLibraryName>board_onchip_memory</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>board_onchip_memory</fileSetName> + <fileSetFixedName>board_onchip_memory</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>board_onchip_memory</fileSetName> + <fileSetFixedName>board_onchip_memory</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>board_onchip_memory</fileSetName> + <fileSetFixedName>board_onchip_memory</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> +</generationInfoDefinition>]]></parameter> + <parameter name="hlsFile" value="" /> + <parameter name="logicalView">ip/board/board_onchip_memory.ip</parameter> + <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> + <assignmentValueMap> + <entry> + <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CONTENTS_INFO</key> + <value>""</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DUAL_PORT</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key> + <value>AUTO</value> + </entry> + <entry> + <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key> + <value>board_onchip_memory_board_onchip_memory</value> + </entry> + <entry> + <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.INSTANCE_ID</key> + <value>NONE</value> + </entry> + <entry> + <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key> + <value>AUTO</value> + </entry> + <entry> + <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key> + <value>DONT_CARE</value> + </entry> + <entry> + <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.SIZE_MULTIPLE</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.SIZE_VALUE</key> + <value>4096</value> + </entry> + <entry> + <key>embeddedsw.CMacro.WRITABLE</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key> + <value>SIM_DIR</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.GENERATE_HEX</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key> + <value>QPF_DIR</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key> + <value>256</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key> + <value>board_onchip_memory_board_onchip_memory</value> + </entry> + <entry> + <key>postgeneration.simulation.init_file.param_name</key> + <value>INIT_FILE</value> + </entry> + <entry> + <key>postgeneration.simulation.init_file.type</key> + <value>MEM_INIT</value> + </entry> + </assignmentValueMap> +</assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> + </module> + <module + name="clk_0" + kind="altera_generic_component" + version="1.0" + enabled="1"> + <parameter name="componentDefinition"><![CDATA[<componentDefinition> + <boundary> + <interfaces> <interface> - <name>kernel_pll_refclk</name> + <name>clk</name> <type>clock</type> - <isStart>false</isStart> + <isStart>true</isStart> <ports> <port> - <name>kernel_pll_refclk_clk</name> + <name>clk_out</name> <role>clk</role> - <direction>Input</direction> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> + <assignments> + <assignmentValueMap/> </assignments> <parameters> <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>clk_in</value> + </entry> <entry> <key>clockRate</key> <value>100000000</value> </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> <entry> <key>externallyDriven</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>ptfSchematicName</key> @@ -9788,13 +3338,13 @@ </parameters> </interface> <interface> - <name>reset</name> - <type>reset</type> + <name>clk_in</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>reset_reset_n</name> - <role>reset_n</role> + <name>in_clk</name> + <role>clk</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -9805,637 +3355,177 @@ <assignmentValueMap> <entry> <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> <value>clk</value> </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>acl_kernel_clk_a10</className> - <version>16.1</version> - <displayName>OpenCL A10 Kernel Clock Generator</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_FAMILY</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>ctrl</key> - <value> - <connectionPointName>ctrl</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='ctrl' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>kernel_clk</key> - <value> - <connectionPointName>kernel_clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>400000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>kernel_clk2x</key> - <value> - <connectionPointName>kernel_clk2x</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>800000000</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>50000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ctrl</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>ctrl_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_readdatavalid</name> - <role>readdatavalid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_burstcount</name> - <role>burstcount</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_address</name> - <role>address</role> - <direction>Input</direction> - <width>12</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>4</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_clk_clk</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>400000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk2x</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_clk2x_clk</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>800000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>true</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_pll_locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_pll_locked_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_pll_refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_pll_refclk_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>100000000</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>reset</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_n_out</name> + <role>reset_n</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + <value>clk_in_reset</value> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>clk_in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>clock_source</className> + <displayName>Clock Source</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>inputClockFrequency</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk_in</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>clk_in</key> + <value> + <connectionPointName>clk_in</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>0</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_kernel_clk_gen</hdlLibraryName> + <hdlLibraryName>board_clk_0</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_kernel_clk_gen</fileSetName> - <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> + <fileSetName>board_clk_0</fileSetName> + <fileSetFixedName>board_clk_0</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_clk_gen</fileSetName> - <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> + <fileSetName>board_clk_0</fileSetName> + <fileSetFixedName>board_clk_0</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_clk_gen</fileSetName> - <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> + <fileSetName>board_clk_0</fileSetName> + <fileSetFixedName>board_clk_0</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_kernel_clk_gen.ip</parameter> + <parameter name="logicalView">ip/board/board_clk_0.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="kernel_interface" + name="cpu_0" kind="altera_generic_component" version="1.0" enabled="1"> @@ -10443,170 +3533,389 @@ <boundary> <interfaces> <interface> - <name>acl_bsp_memorg_host0x018</name> - <type>conduit</type> + <name>clk</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>acl_bsp_memorg_host0x018_mode</name> - <role>mode</role> + <name>clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>custom_instruction_master</name> + <type>nios_custom_instruction</type> + <isStart>true</isStart> + <ports> + <port> + <name>dummy_ci_port</name> + <role>readra</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>CIName</key> + <value></value> + </entry> + <entry> + <key>addressWidth</key> + <value>8</value> + </entry> + <entry> + <key>clockCycle</key> + <value>0</value> + </entry> + <entry> + <key>enabled</key> + <value>false</value> + </entry> + <entry> + <key>maxAddressWidth</key> + <value>8</value> + </entry> + <entry> + <key>opcodeExtension</key> + <value>0</value> + </entry> + <entry> + <key>sharedCombinationalAndMulticycle</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>data_master</name> + <type>avalon</type> + <isStart>true</isStart> + <ports> + <port> + <name>d_address</name> + <role>address</role> + <direction>Output</direction> + <width>18</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>d_byteenable</name> + <role>byteenable</role> + <direction>Output</direction> + <width>4</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>d_read</name> + <role>read</role> <direction>Output</direction> - <width>2</width> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>d_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>d_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>d_write</name> + <role>write</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>d_writedata</name> + <role>writedata</role> + <direction>Output</direction> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> + <port> + <name>debug_mem_slave_debugaccess_to_roms</name> + <role>debugaccess</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>debug.providesServices</key> + <value>master</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> + <entry> + <key>adaptsTo</key> + </entry> + <entry> + <key>addressGroup</key> + <value>1</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> <entry> <key>associatedClock</key> + <value>clk</value> </entry> <entry> <key>associatedReset</key> + <value>reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>true</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>dBSBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>doStreamReads</key> + <value>false</value> + </entry> + <entry> + <key>doStreamWrites</key> + <value>false</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isAsynchronous</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isReadable</key> + <value>false</value> + </entry> + <entry> + <key>isWriteable</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maxAddressWidth</key> + <value>32</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> + <key>readLatency</key> + <value>0</value> </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>clockRate</key> - <value>100000000</value> + <key>readWaitTime</key> + <value>1</value> </entry> <entry> - <key>externallyDriven</key> + <key>registerIncomingSignals</key> + <value>true</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> <value>false</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>ctrl</name> + <name>debug_mem_slave</name> <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>ctrl_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> + <name>debug_mem_slave_address</name> + <role>address</role> + <direction>Input</direction> + <width>9</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> + <name>debug_mem_slave_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>4</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_readdatavalid</name> - <role>readdatavalid</role> - <direction>Output</direction> + <name>debug_mem_slave_debugaccess</name> + <role>debugaccess</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_burstcount</name> - <role>burstcount</role> + <name>debug_mem_slave_read</name> + <role>read</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_writedata</name> - <role>writedata</role> - <direction>Input</direction> + <name>debug_mem_slave_readdata</name> + <role>readdata</role> + <direction>Output</direction> <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>ctrl_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_write</name> - <role>write</role> - <direction>Input</direction> + <name>debug_mem_slave_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_read</name> - <role>read</role> + <name>debug_mem_slave_write</name> + <role>write</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>ctrl_byteenable</name> - <role>byteenable</role> + <name>debug_mem_slave_writedata</name> + <role>writedata</role> <direction>Input</direction> - <width>4</width> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> - <port> - <name>ctrl_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.hideDevice</key> + <value>1</value> + </entry> <entry> <key>embeddedsw.configuration.isFlash</key> <value>0</value> </entry> <entry> <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>embeddedsw.configuration.isNonVolatileStorage</key> @@ -10616,6 +3925,10 @@ <key>embeddedsw.configuration.isPrintableDevice</key> <value>0</value> </entry> + <entry> + <key>qsys.ui.connect</key> + <value>instruction_master,data_master</value> + </entry> </assignmentValueMap> </assignments> <parameters> @@ -10630,11 +3943,11 @@ </entry> <entry> <key>addressSpan</key> - <value>16384</value> + <value>2048</value> </entry> <entry> <key>addressUnits</key> - <value>SYMBOLS</value> + <value>WORDS</value> </entry> <entry> <key>alwaysBurstMaxBurst</key> @@ -10693,7 +4006,7 @@ </entry> <entry> <key>isMemoryDevice</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>isNonVolatileStorage</key> @@ -10705,7 +4018,7 @@ </entry> <entry> <key>maximumPendingReadTransactions</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>maximumPendingWriteTransactions</key> @@ -10737,15 +4050,15 @@ </entry> <entry> <key>readWaitStates</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>readWaitTime</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>registerIncomingSignals</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>registerOutgoingSignals</key> @@ -10787,14 +4100,14 @@ </parameters> </interface> <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> + <name>debug_reset_request</name> + <type>reset</type> + <isStart>true</isStart> <ports> <port> - <name>kernel_clk_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>debug_reset_request</name> + <role>reset</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -10806,82 +4119,38 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedDirectReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>associatedResetSinks</key> + <value>none</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_cra</name> + <name>instruction_master</name> <type>avalon</type> <isStart>true</isStart> <ports> <port> - <name>kernel_cra_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>64</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_readdatavalid</name> - <role>readdatavalid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_burstcount</name> - <role>burstcount</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>64</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_address</name> + <name>i_address</name> <role>address</role> <direction>Output</direction> - <width>30</width> + <width>18</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>kernel_cra_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_read</name> + <name>i_read</name> <role>read</role> <direction>Output</direction> <width>1</width> @@ -10889,17 +4158,17 @@ <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>kernel_cra_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>8</width> + <name>i_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>kernel_cra_debugaccess</name> - <role>debugaccess</role> - <direction>Output</direction> + <name>i_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -10915,7 +4184,7 @@ </entry> <entry> <key>addressGroup</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>addressUnits</key> @@ -10923,11 +4192,11 @@ </entry> <entry> <key>alwaysBurstMaxBurst</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>associatedClock</key> - <value>kernel_clk</value> + <value>clk</value> </entry> <entry> <key>associatedReset</key> @@ -10987,7 +4256,7 @@ </entry> <entry> <key>linewrapBursts</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>maxAddressWidth</key> @@ -11018,207 +4287,48 @@ <value>0</value> </entry> <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_irq_from_kernel</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_irq_from_kernel_irq</name> - <role>irq</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - </entry> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - <value><map><mapping port='0' sender='sender0_irq' /></map></value> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_irq_to_host</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_irq_to_host_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - </entry> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - <value>kernel_interface.kernel_irq_from_kernel</value> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_reset_reset_n</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> + <key>readWaitTime</key> + <value>1</value> </entry> <entry> - <key>associatedDirectReset</key> - <value>reset</value> + <key>registerIncomingSignals</key> + <value>false</value> </entry> <entry> - <key>associatedResetSinks</key> - <value>reset,reset,sw_reset_in</value> + <key>registerOutgoingSignals</key> + <value>false</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>setupTime</key> + <value>0</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> + <key>timingUnits</key> + <value>Cycles</value> </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> - <value>clk</value> + <key>waitrequestAllowance</key> + <value>0</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>sw_reset_export</name> - <type>reset</type> + <name>irq</name> + <type>interrupt</type> <isStart>true</isStart> <ports> <port> - <name>sw_reset_export_reset_n</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> + <name>irq</name> + <role>irq</role> + <direction>Input</direction> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -11226,33 +4336,44 @@ </assignments> <parameters> <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + <value>cpu_0.data_master</value> + </entry> <entry> <key>associatedClock</key> <value>clk</value> </entry> <entry> - <key>associatedDirectReset</key> + <key>associatedReset</key> <value>reset</value> </entry> <entry> - <key>associatedResetSinks</key> - <value>reset,sw_reset_in</value> + <key>irqMap</key> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>irqScheme</key> + <value>INDIVIDUAL_REQUESTS</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>sw_reset_in</name> + <name>reset</name> <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>sw_reset_in_reset</name> - <role>reset</role> + <name>reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>reset_req</name> + <role>reset_req</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -11278,12 +4399,26 @@ </interfaces> </boundary> <originalModuleInfo> - <className>kernel_interface</className> - <version>15.1</version> - <displayName>OpenCL Kernel Interface</displayName> + <className>altera_nios2_gen2</className> + <version>18.0</version> + <displayName>Nios II Processor</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_CLK_CLOCK_DOMAIN</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>CLOCK_DOMAIN</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_CLK_RESET_DOMAIN</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>RESET_DOMAIN</systemInfotype> + </descriptor> <descriptor> <parameterDefaultValue></parameterDefaultValue> <parameterName>AUTO_DEVICE</parameterName> @@ -11292,913 +4427,588 @@ </descriptor> <descriptor> <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_FAMILY</parameterName> + <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>50000000</parameterDefaultValue> + <parameterName>clockFrequency</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>customInstSlavesSystemInfo</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>custom_instruction_master</systemInfoArgs> + <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>customInstSlavesSystemInfo_nios_a</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>custom_instruction_master_a</systemInfoArgs> + <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>customInstSlavesSystemInfo_nios_b</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>custom_instruction_master_b</systemInfoArgs> + <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>customInstSlavesSystemInfo_nios_c</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>custom_instruction_master_c</systemInfoArgs> + <systemInfotype>CUSTOM_INSTRUCTION_SLAVES</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>dataAddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>data_master</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>dataMasterHighPerformanceAddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>data_master_high_performance</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>dataMasterHighPerformanceMapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>data_master_high_performance</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>dataSlaveMapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>data_master</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>STRATIXIV</parameterDefaultValue> + <parameterName>deviceFamilyName</parameterName> <parameterType>java.lang.String</parameterType> <systemInfotype>DEVICE_FAMILY</systemInfotype> </descriptor> <descriptor> <parameterDefaultValue></parameterDefaultValue> - <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> + <parameterName>deviceFeaturesSystemInfo</parameterName> <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> + <systemInfotype>DEVICE_FEATURES</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>faAddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>flash_instruction_master</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>faSlaveMapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>flash_instruction_master</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>instAddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>instruction_master</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>instSlaveMapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>instruction_master</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>instructionMasterHighPerformanceAddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>instructionMasterHighPerformanceMapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>instruction_master_high_performance</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>internalIrqMaskSystemInfo</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>irq</systemInfoArgs> + <systemInfotype>INTERRUPTS_USED</systemInfotype> </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>ctrl</key> - <value> - <connectionPointName>ctrl</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='ctrl' start='0x0' end='0x4000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>14</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>acl_bsp_memorg_host0x018</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>acl_bsp_memorg_host0x018_mode</name> - <role>mode</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>clk</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>ctrl</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>ctrl_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_readdatavalid</name> - <role>readdatavalid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_burstcount</name> - <role>burstcount</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_address</name> - <role>address</role> - <direction>Input</direction> - <width>14</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>ctrl_byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>ctrl_debugaccess</name> - <role>debugaccess</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>16384</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>1</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_cra</name> - <type>avalon</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_cra_waitrequest</name> - <role>waitrequest</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_readdata</name> - <role>readdata</role> - <direction>Input</direction> - <width>64</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_readdatavalid</name> - <role>readdatavalid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_burstcount</name> - <role>burstcount</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_writedata</name> - <role>writedata</role> - <direction>Output</direction> - <width>64</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_address</name> - <role>address</role> - <direction>Output</direction> - <width>30</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_write</name> - <role>write</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_read</name> - <role>read</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_cra_byteenable</name> - <role>byteenable</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_cra_debugaccess</name> - <role>debugaccess</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>adaptsTo</key> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressUnits</key> - <value>SYMBOLS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>dBSBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>doStreamReads</key> - <value>false</value> - </entry> - <entry> - <key>doStreamWrites</key> - <value>false</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isAsynchronous</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isReadable</key> - <value>false</value> - </entry> - <entry> - <key>isWriteable</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maxAddressWidth</key> - <value>32</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_irq_from_kernel</name> - <type>interrupt</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_irq_from_kernel_irq</name> - <role>irq</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - </entry> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>irqMap</key> - <value><map><mapping port='0' sender='sender0_irq' /></map></value> - </entry> - <entry> - <key>irqScheme</key> - <value>INDIVIDUAL_REQUESTS</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_irq_to_host</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_irq_to_host_irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - </entry> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - <value>kernel_interface.kernel_irq_from_kernel</value> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_reset_reset_n</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset,reset,sw_reset_in</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>qsys.ui.export_name</key> - <value>reset</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sw_reset_export</name> - <type>reset</type> - <isStart>true</isStart> - <ports> - <port> - <name>sw_reset_export_reset_n</name> - <role>reset_n</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedDirectReset</key> - <value>reset</value> - </entry> - <entry> - <key>associatedResetSinks</key> - <value>reset,sw_reset_in</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sw_reset_in</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>sw_reset_in_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster0AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster0MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_data_master_0</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster1AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster1MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_data_master_1</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster2AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster2MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_data_master_2</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster3AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledDataMaster3MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_data_master_3</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster0AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster0MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_0</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster1AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster1MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_1</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster2AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster2MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_2</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>1</parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster3AddrWidth</parameterName> + <parameterType>java.lang.Integer</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> + <systemInfotype>ADDRESS_WIDTH</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>tightlyCoupledInstructionMaster3MapParam</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfoArgs>tightly_coupled_instruction_master_3</systemInfoArgs> + <systemInfotype>ADDRESS_MAP</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_DOMAIN</key> + <value>1</value> + </entry> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + <entry> + <key>RESET_DOMAIN</key> + <value>1</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>custom_instruction_master</key> + <value> + <connectionPointName>custom_instruction_master</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CUSTOM_INSTRUCTION_SLAVES</key> + <value></value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>data_master</key> + <value> + <connectionPointName>data_master</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='reg_ta2_unb2b_jesd204b.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x8000' end='0x9000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>18</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>debug_mem_slave</key> + <value> + <connectionPointName>debug_mem_slave</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='debug_mem_slave' start='0x0' end='0x800' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>11</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>instruction_master</key> + <value> + <connectionPointName>instruction_master</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>18</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>irq</key> + <value> + <connectionPointName>irq</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>INTERRUPTS_USED</key> + <value>7</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_kernel_interface</hdlLibraryName> + <hdlLibraryName>board_cpu_0</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_kernel_interface</fileSetName> - <fileSetFixedName>board_kernel_interface</fileSetFixedName> + <fileSetName>board_cpu_0</fileSetName> + <fileSetFixedName>board_cpu_0</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_interface</fileSetName> - <fileSetFixedName>board_kernel_interface</fileSetFixedName> + <fileSetName>board_cpu_0</fileSetName> + <fileSetFixedName>board_cpu_0</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_kernel_interface</fileSetName> - <fileSetFixedName>board_kernel_interface</fileSetFixedName> + <fileSetName>board_cpu_0</fileSetName> + <fileSetFixedName>board_cpu_0</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_kernel_interface.ip</parameter> + <parameter name="logicalView">ip/board/board_cpu_0.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>debug.hostConnection</key> + <value>type jtag id 70:34|110:135</value> + </entry> + <entry> + <key>embeddedsw.CMacro.BIG_ENDIAN</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.BREAK_ADDR</key> + <value>0x00003820</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CPU_ARCH_NIOS2_R1</key> + <value></value> + </entry> + <entry> + <key>embeddedsw.CMacro.CPU_FREQ</key> + <value>100000000u</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CPU_ID_SIZE</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CPU_ID_VALUE</key> + <value>0x00000000</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CPU_IMPLEMENTATION</key> + <value>"tiny"</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DATA_ADDR_WIDTH</key> + <value>18</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DCACHE_LINE_SIZE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DCACHE_LINE_SIZE_LOG2</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DCACHE_SIZE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.EXCEPTION_ADDR</key> + <value>0x00020020</value> + </entry> + <entry> + <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINES</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.FLASH_ACCELERATOR_LINE_SIZE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.FLUSHDA_SUPPORTED</key> + <value></value> + </entry> + <entry> + <key>embeddedsw.CMacro.HARDWARE_DIVIDE_PRESENT</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HARDWARE_MULTIPLY_PRESENT</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HARDWARE_MULX_PRESENT</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_DEBUG_CORE</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_DEBUG_STUB</key> + <value></value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_ILLEGAL_INSTRUCTION_EXCEPTION</key> + <value></value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_JMPI_INSTRUCTION</key> + <value></value> + </entry> + <entry> + <key>embeddedsw.CMacro.ICACHE_LINE_SIZE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.ICACHE_LINE_SIZE_LOG2</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.ICACHE_SIZE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.INST_ADDR_WIDTH</key> + <value>18</value> + </entry> + <entry> + <key>embeddedsw.CMacro.OCI_VERSION</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.RESET_ADDR</key> + <value>0x00020000</value> + </entry> + <entry> + <key>embeddedsw.configuration.DataCacheVictimBufImpl</key> + <value>ram</value> + </entry> + <entry> + <key>embeddedsw.configuration.HDLSimCachesCleared</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.configuration.breakOffset</key> + <value>32</value> + </entry> + <entry> + <key>embeddedsw.configuration.breakSlave</key> + <value>cpu_0.debug_mem_slave</value> + </entry> + <entry> + <key>embeddedsw.configuration.cpuArchitecture</key> + <value>Nios II</value> + </entry> + <entry> + <key>embeddedsw.configuration.exceptionOffset</key> + <value>32</value> + </entry> + <entry> + <key>embeddedsw.configuration.exceptionSlave</key> + <value>onchip_memory2_0.s1</value> + </entry> + <entry> + <key>embeddedsw.configuration.resetOffset</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.resetSlave</key> + <value>onchip_memory2_0.s1</value> + </entry> + <entry> + <key>embeddedsw.dts.compatible</key> + <value>altr,nios2-1.1</value> + </entry> + <entry> + <key>embeddedsw.dts.group</key> + <value>cpu</value> + </entry> + <entry> + <key>embeddedsw.dts.name</key> + <value>nios2</value> + </entry> + <entry> + <key>embeddedsw.dts.params.altr,exception-addr</key> + <value>0x00020020</value> + </entry> + <entry> + <key>embeddedsw.dts.params.altr,implementation</key> + <value>"tiny"</value> + </entry> + <entry> + <key>embeddedsw.dts.params.altr,reset-addr</key> + <value>0x00020000</value> + </entry> + <entry> + <key>embeddedsw.dts.params.clock-frequency</key> + <value>100000000u</value> + </entry> + <entry> + <key>embeddedsw.dts.params.dcache-line-size</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.params.dcache-size</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.params.icache-line-size</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.params.icache-size</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.vendor</key> + <value>altr</value> + </entry> + </assignmentValueMap> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="onchip_memory2_0" + name="jtag_uart_0" kind="altera_generic_component" version="1.0" enabled="1"> @@ -12206,115 +5016,36 @@ <boundary> <interfaces> <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> + <name>avalon_jtag_slave</name> <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> + <name>av_chipselect</name> + <role>chipselect</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>chipselect</name> - <role>chipselect</role> + <name>av_address</name> + <role>address</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>write</name> - <role>write</role> + <name>av_read_n</name> + <role>read_n</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>readdata</name> + <name>av_readdata</name> <role>readdata</role> <direction>Output</direction> <width>32</width> @@ -12322,7 +5053,15 @@ <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>writedata</name> + <name>av_write_n</name> + <role>write_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>av_writedata</name> <role>writedata</role> <direction>Input</direction> <width>32</width> @@ -12330,12 +5069,12 @@ <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> + <name>av_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -12346,7 +5085,7 @@ </entry> <entry> <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>embeddedsw.configuration.isNonVolatileStorage</key> @@ -12354,7 +5093,7 @@ </entry> <entry> <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> + <value>1</value> </entry> </assignmentValueMap> </assignments> @@ -12362,7 +5101,7 @@ <parameterValueMap> <entry> <key>addressAlignment</key> - <value>DYNAMIC</value> + <value>NATIVE</value> </entry> <entry> <key>addressGroup</key> @@ -12370,7 +5109,7 @@ </entry> <entry> <key>addressSpan</key> - <value>131072</value> + <value>2</value> </entry> <entry> <key>addressUnits</key> @@ -12382,11 +5121,11 @@ </entry> <entry> <key>associatedClock</key> - <value>clk1</value> + <value>clk</value> </entry> <entry> <key>associatedReset</key> - <value>reset1</value> + <value>reset</value> </entry> <entry> <key>bitsPerSymbol</key> @@ -12413,7 +5152,7 @@ </entry> <entry> <key>explicitAddressSpan</key> - <value>131072</value> + <value>0</value> </entry> <entry> <key>holdTime</key> @@ -12433,7 +5172,7 @@ </entry> <entry> <key>isMemoryDevice</key> - <value>true</value> + <value>false</value> </entry> <entry> <key>isNonVolatileStorage</key> @@ -12469,19 +5208,19 @@ </entry> <entry> <key>printableDevice</key> - <value>false</value> + <value>true</value> </entry> <entry> <key>readLatency</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>readWaitStates</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>readWaitTime</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>registerIncomingSignals</key> @@ -12516,12 +5255,217 @@ <value>0</value> </entry> <entry> - <key>writeWaitStates</key> - <value>0</value> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + <cmsisInfo> + <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> +<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > + <peripherals> + <peripheral> + <name>altera_avalon_jtag_uart</name><baseAddress>0x00000000</baseAddress> + <addressBlock> + <offset>0x0</offset> + <size>8</size> + <usage>registers</usage> + </addressBlock> + <registers> + <register> + <name>DATA</name> + <displayName>Data</displayName> + <description>Embedded software accesses the read and write FIFOs via the data register. A read from the data register returns the first character from the FIFO (if one is available) in the DATA field. Reading also returns information about the number of characters remaining in the FIFO in the RAVAIL field. A write to the data register stores the value of the DATA field in the write FIFO. If the write FIFO is full, the character is lost.</description> + <addressOffset>0x0</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>data</name> + <description>The value to transfer to/from the JTAG core. When writing, the DATA field holds a character to be written to the write FIFO. When reading, the DATA field holds a character read from the read FIFO.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>8</bitWidth> + <access>read-write</access> + </field> + <field><name>rvalid</name> + <description>Indicates whether the DATA field is valid. If RVALID=1, the DATA field is valid, otherwise DATA is undefined.</description> + <bitOffset>0xf</bitOffset> + <bitWidth>1</bitWidth> + <access>read-only</access> + </field> + <field><name>ravail</name> + <description>The number of characters remaining in the read FIFO (after the current read).</description> + <bitOffset>0x10</bitOffset> + <bitWidth>16</bitWidth> + <access>read-only</access> + </field> + </fields> + </register> + <register> + <name>CONTROL</name> + <displayName>Control</displayName> + <description>Embedded software controls the JTAG UART core's interrupt generation and reads status information via the control register. A read from the control register returns the status of the read and write FIFOs. Writes to the register can be used to enable/disable interrupts, or clear the AC bit.</description> + <addressOffset>0x4</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>re</name> + <description>Interrupt-enable bit for read interrupts.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>1</bitWidth> + <access>read-write</access> + </field> + <field><name>we</name> + <description>Interrupt-enable bit for write interrupts</description> + <bitOffset>0x1</bitOffset> + <bitWidth>1</bitWidth> + <access>read-write</access> + </field> + <field><name>ri</name> + <description>Indicates that the read interrupt is pending.</description> + <bitOffset>0x8</bitOffset> + <bitWidth>1</bitWidth> + <access>read-only</access> + </field> + <field><name>wi</name> + <description>Indicates that the write interrupt is pending.</description> + <bitOffset>0x9</bitOffset> + <bitWidth>1</bitWidth> + <access>read-only</access> + </field> + <field><name>ac</name> + <description>Indicates that there has been JTAG activity since the bit was cleared. Writing 1 to AC clears it to 0.</description> + <bitOffset>0xa</bitOffset> + <bitWidth>1</bitWidth> + <access>read-write</access> + </field> + <field><name>wspace</name> + <description>The number of spaces available in the write FIFO</description> + <bitOffset>0x10</bitOffset> + <bitWidth>16</bitWidth> + <access>read-only</access> + </field> + </fields> + </register> + </registers> + </peripheral> + </peripherals> +</device> </cmsisSrcFileContents> + <addressGroup></addressGroup> + <cmsisVars/> + </cmsisInfo> + </interface> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>0</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>irq</name> + <type>interrupt</type> + <isStart>false</isStart> + <ports> + <port> + <name>av_irq</name> + <role>irq</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + <value>jtag_uart_0.avalon_jtag_slave</value> + </entry> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>associatedReset</key> + <value>reset</value> + </entry> + <entry> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>rst_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>writeWaitTime</key> - <value>0</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> @@ -12529,46 +5473,41 @@ </interfaces> </boundary> <originalModuleInfo> - <className>altera_avalon_onchip_memory2</className> - <version>19.1</version> - <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName> + <className>altera_avalon_jtag_uart</className> + <version>18.0</version> + <displayName>JTAG UART Intel FPGA IP</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> <descriptors> <descriptor> <parameterDefaultValue></parameterDefaultValue> - <parameterName>autoInitializationFileName</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>UNIQUE_ID</systemInfotype> - </descriptor> - <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFamily</parameterName> + <parameterName>avalonSpec</parameterName> <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FAMILY</systemInfotype> + <systemInfotype>AVALON_SPEC</systemInfotype> </descriptor> <descriptor> - <parameterDefaultValue>NONE</parameterDefaultValue> - <parameterName>deviceFeatures</parameterName> - <parameterType>java.lang.String</parameterType> - <systemInfotype>DEVICE_FEATURES</systemInfotype> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>clkFreq</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> </descriptor> </descriptors> </systemInfoParameterDescriptors> <systemInfos> <connPtSystemInfos> <entry> - <key>s1</key> + <key>avalon_jtag_slave</key> <value> - <connectionPointName>s1</connectionPointName> + <connectionPointName>avalon_jtag_slave</connectionPointName> <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20000' datawidth='32' /></address-map></value> + <value><address-map><slave name='avalon_jtag_slave' start='0x0' end='0x8' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>17</value> + <value>3</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -12578,463 +5517,87 @@ <consumedSystemInfos/> </value> </entry> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk1</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset1</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>reset_req</name> - <role>reset_req</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>15</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>clken</name> - <role>clken</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>byteenable</name> - <role>byteenable</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk1</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset1</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>131072</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>true</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_onchip_memory2_0</hdlLibraryName> + <hdlLibraryName>board_jtag_uart_0</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_onchip_memory2_0</fileSetName> - <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> + <fileSetName>board_jtag_uart_0</fileSetName> + <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_onchip_memory2_0</fileSetName> - <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> - <fileSetKind>SIM_VERILOG</fileSetKind> - <fileSetFiles/> - </fileSet> - <fileSet> - <fileSetName>board_onchip_memory2_0</fileSetName> - <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> - <fileSetKind>SIM_VHDL</fileSetKind> - <fileSetFiles/> - </fileSet> - </fileSets> -</generationInfoDefinition>]]></parameter> - <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_onchip_memory2_0.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap> - <entry> - <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.CONTENTS_INFO</key> - <value>""</value> - </entry> - <entry> - <key>embeddedsw.CMacro.DUAL_PORT</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key> - <value>onchip_memory2_0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.INSTANCE_ID</key> - <value>NONE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key> - <value>AUTO</value> - </entry> - <entry> - <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key> - <value>DONT_CARE</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_MULTIPLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.CMacro.SIZE_VALUE</key> - <value>131072</value> - </entry> - <entry> - <key>embeddedsw.CMacro.WRITABLE</key> - <value>1</value> - </entry> - <entry> - <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key> - <value>SIM_DIR</value> - </entry> + <fileSetName>board_jtag_uart_0</fileSetName> + <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>board_jtag_uart_0</fileSetName> + <fileSetFixedName>board_jtag_uart_0</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> +</generationInfoDefinition>]]></parameter> + <parameter name="hlsFile" value="" /> + <parameter name="logicalView">ip/board/board_jtag_uart_0.ip</parameter> + <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> + <assignmentValueMap> <entry> - <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key> - <value>1</value> + <key>embeddedsw.CMacro.READ_DEPTH</key> + <value>64</value> </entry> <entry> - <key>embeddedsw.memoryInfo.GENERATE_HEX</key> - <value>1</value> + <key>embeddedsw.CMacro.READ_THRESHOLD</key> + <value>8</value> </entry> <entry> - <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key> - <value>0</value> + <key>embeddedsw.CMacro.WRITE_DEPTH</key> + <value>64</value> </entry> <entry> - <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key> - <value>QPF_DIR</value> + <key>embeddedsw.CMacro.WRITE_THRESHOLD</key> + <value>8</value> </entry> <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key> - <value>32</value> + <key>embeddedsw.dts.compatible</key> + <value>altr,juart-1.0</value> </entry> <entry> - <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key> - <value>onchip_memory2_0</value> + <key>embeddedsw.dts.group</key> + <value>serial</value> </entry> <entry> - <key>postgeneration.simulation.init_file.param_name</key> - <value>INIT_FILE</value> + <key>embeddedsw.dts.name</key> + <value>juart</value> </entry> <entry> - <key>postgeneration.simulation.init_file.type</key> - <value>MEM_INIT</value> + <key>embeddedsw.dts.vendor</key> + <value>altr</value> </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="pio_pps" + name="kernel_clk_export" kind="altera_generic_component" version="1.0" enabled="1"> @@ -13042,17 +5605,130 @@ <boundary> <interfaces> <interface> - <name>address</name> - <type>conduit</type> + <name>clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>clk_out</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>clk_in</value> + </entry> + <entry> + <key>clockRate</key> + <value>400000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>true</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_in</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>coe_address_export</name> - <role>export</role> + <name>in_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>clk</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>400000000</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>reset</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_n_out</name> + <role>reset_n</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -13064,70 +5740,183 @@ <key>associatedClock</key> </entry> <entry> - <key>associatedReset</key> + <key>associatedDirectReset</key> + <value>clk_in_reset</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>associatedResetSinks</key> + <value>clk_in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> </entry> </parameterValueMap> </parameters> </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>clock_source</className> + <displayName>Clock Source</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>inputClockFrequency</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk_in</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>400000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>clk_in</key> + <value> + <connectionPointName>clk_in</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>400000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> + <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> + <hdlLibraryName>board_kernel_clk</hdlLibraryName> + <fileSets> + <fileSet> + <fileSetName>board_kernel_clk</fileSetName> + <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetKind>QUARTUS_SYNTH</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>board_kernel_clk</fileSetName> + <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetKind>SIM_VERILOG</fileSetKind> + <fileSetFiles/> + </fileSet> + <fileSet> + <fileSetName>board_kernel_clk</fileSetName> + <fileSetFixedName>board_kernel_clk</fileSetFixedName> + <fileSetKind>SIM_VHDL</fileSetKind> + <fileSetFiles/> + </fileSet> + </fileSets> +</generationInfoDefinition>]]></parameter> + <parameter name="hlsFile" value="" /> + <parameter name="logicalView">ip/board/board_kernel_clk.ip</parameter> + <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> + <assignmentValueMap/> +</assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> + </module> + <module + name="kernel_clk_gen" + kind="altera_generic_component" + version="1.0" + enabled="1"> + <parameter name="componentDefinition"><![CDATA[<componentDefinition> + <boundary> + <interfaces> <interface> <name>clk</name> - <type>conduit</type> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> + <name>clk_clk</name> + <role>clk</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>clk</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> + <key>clockRate</key> + <value>50000000</value> </entry> <entry> - <key>associatedReset</key> + <key>externallyDriven</key> + <value>false</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>mem</name> + <name>ctrl</name> <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> + <name>ctrl_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> + <name>ctrl_readdatavalid</name> + <role>readdatavalid</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_writedata</name> + <name>ctrl_burstcount</name> + <role>burstcount</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_writedata</name> <role>writedata</role> <direction>Input</direction> <width>32</width> @@ -13135,7 +5924,23 @@ <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>avs_mem_read</name> + <name>ctrl_address</name> + <role>address</role> + <direction>Input</direction> + <width>12</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_read</name> <role>read</role> <direction>Input</direction> <width>1</width> @@ -13143,13 +5948,21 @@ <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> + <name>ctrl_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>4</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> + <port> + <name>ctrl_debugaccess</name> + <role>debugaccess</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> <assignmentValueMap> @@ -13183,11 +5996,11 @@ </entry> <entry> <key>addressSpan</key> - <value>8</value> + <value>4096</value> </entry> <entry> <key>addressUnits</key> - <value>WORDS</value> + <value>SYMBOLS</value> </entry> <entry> <key>alwaysBurstMaxBurst</key> @@ -13195,11 +6008,11 @@ </entry> <entry> <key>associatedClock</key> - <value>system</value> + <value>clk</value> </entry> <entry> <key>associatedReset</key> - <value>system_reset</value> + <value>reset</value> </entry> <entry> <key>bitsPerSymbol</key> @@ -13258,7 +6071,7 @@ </entry> <entry> <key>maximumPendingReadTransactions</key> - <value>0</value> + <value>4</value> </entry> <entry> <key>maximumPendingWriteTransactions</key> @@ -13286,7 +6099,7 @@ </entry> <entry> <key>readLatency</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>readWaitStates</key> @@ -13340,110 +6153,14 @@ </parameters> </interface> <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> + <name>kernel_clk</name> <type>clock</type> - <isStart>false</isStart> + <isStart>true</isStart> <ports> <port> - <name>csi_system_clk</name> + <name>kernel_clk_clk</name> <role>clk</role> - <direction>Input</direction> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -13455,57 +6172,34 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedDirectClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>clockRate</key> + <value>400000000</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>clockRateKnown</key> + <value>true</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> - <value>system</value> + <key>externallyDriven</key> + <value>true</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> + <name>kernel_clk2x</name> + <type>clock</type> + <isStart>true</isStart> <ports> <port> - <name>coe_write_export</name> - <role>export</role> + <name>kernel_clk2x_clk</name> + <role>clk</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -13518,30 +6212,38 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> + <key>associatedDirectClock</key> </entry> <entry> - <key>associatedReset</key> + <key>clockRate</key> + <value>800000000</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>true</value> + </entry> + <entry> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>writedata</name> + <name>kernel_pll_locked</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>coe_writedata_export</name> + <name>kernel_pll_locked_export</name> <role>export</role> <direction>Output</direction> - <width>32</width> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -13562,620 +6264,192 @@ </parameterValueMap> </parameters> </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> + <interface> + <name>kernel_pll_refclk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>kernel_pll_refclk_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + <key>ui.blockdiagram.direction</key> + <value>input</value> </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> + <key>clockRate</key> + <value>100000000</value> </entry> <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> + <key>externallyDriven</key> + <value>false</value> </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> + <key>ptfSchematicName</key> </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>reset</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>acl_kernel_clk_a10</className> + <version>16.1</version> + <displayName>OpenCL A10 Kernel Clock Generator</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_FAMILY</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FAMILY</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>ctrl</key> + <value> + <connectionPointName>ctrl</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='ctrl' start='0x0' end='0x1000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>kernel_clk</key> + <value> + <connectionPointName>kernel_clk</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>400000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>kernel_clk2x</key> + <value> + <connectionPointName>kernel_clk2x</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>800000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_pio_pps</hdlLibraryName> + <hdlLibraryName>board_kernel_clk_gen</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_pio_pps</fileSetName> - <fileSetFixedName>board_pio_pps</fileSetFixedName> + <fileSetName>board_kernel_clk_gen</fileSetName> + <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_pps</fileSetName> - <fileSetFixedName>board_pio_pps</fileSetFixedName> + <fileSetName>board_kernel_clk_gen</fileSetName> + <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_pps</fileSetName> - <fileSetFixedName>board_pio_pps</fileSetFixedName> + <fileSetName>board_kernel_clk_gen</fileSetName> + <fileSetFixedName>board_kernel_clk_gen</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_pio_pps.ip</parameter> + <parameter name="logicalView">ip/board/board_kernel_clk_gen.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="pio_system_info" + name="kernel_interface" kind="altera_generic_component" version="1.0" enabled="1"> @@ -14183,46 +6457,358 @@ <boundary> <interfaces> <interface> - <name>address</name> + <name>acl_bsp_memorg_host0x018</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> + <name>acl_bsp_memorg_host0x018_mode</name> + <role>mode</role> + <direction>Output</direction> + <width>2</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>clk_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>clk</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>100000000</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>ctrl</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>ctrl_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_readdatavalid</name> + <role>readdatavalid</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_burstcount</name> + <role>burstcount</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_address</name> + <role>address</role> + <direction>Input</direction> + <width>14</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>ctrl_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_read</name> + <role>read</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>ctrl_byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>4</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> + <port> + <name>ctrl_debugaccess</name> + <role>debugaccess</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>16384</value> + </entry> + <entry> + <key>addressUnits</key> + <value>SYMBOLS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> <entry> <key>associatedClock</key> + <value>clk</value> </entry> <entry> <key>associatedReset</key> + <value>reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>1</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>clk</name> - <type>conduit</type> + <name>kernel_clk</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> + <name>kernel_clk_clk</name> + <role>clk</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -14234,101 +6820,120 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> + <key>clockRate</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>externallyDriven</key> + <value>false</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>mem</name> + <name>kernel_cra</name> <type>avalon</type> - <isStart>false</isStart> + <isStart>true</isStart> <ports> <port> - <name>avs_mem_address</name> + <name>kernel_cra_waitrequest</name> + <role>waitrequest</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>kernel_cra_readdata</name> + <role>readdata</role> + <direction>Input</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>kernel_cra_readdatavalid</name> + <role>readdatavalid</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>kernel_cra_burstcount</name> + <role>burstcount</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>kernel_cra_writedata</name> + <role>writedata</role> + <direction>Output</direction> + <width>64</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>kernel_cra_address</name> <role>address</role> - <direction>Input</direction> - <width>5</width> + <direction>Output</direction> + <width>30</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>avs_mem_write</name> + <name>kernel_cra_write</name> <role>write</role> - <direction>Input</direction> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> + <name>kernel_cra_read</name> <role>read</role> - <direction>Input</direction> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_readdata</name> - <role>readdata</role> + <name>kernel_cra_byteenable</name> + <role>byteenable</role> <direction>Output</direction> - <width>32</width> + <width>8</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> + <port> + <name>kernel_cra_debugaccess</name> + <role>debugaccess</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> + <assignmentValueMap/> </assignments> <parameters> <parameterValueMap> <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> + <key>adaptsTo</key> </entry> <entry> <key>addressGroup</key> <value>0</value> </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> <entry> <key>addressUnits</key> - <value>WORDS</value> + <value>SYMBOLS</value> </entry> <entry> <key>alwaysBurstMaxBurst</key> @@ -14336,23 +6941,16 @@ </entry> <entry> <key>associatedClock</key> - <value>system</value> + <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>system_reset</value> + <value>reset</value> </entry> <entry> <key>bitsPerSymbol</key> <value>8</value> </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> <entry> <key>burstOnBurstBoundariesOnly</key> <value>false</value> @@ -14366,8 +6964,16 @@ <value>false</value> </entry> <entry> - <key>explicitAddressSpan</key> - <value>0</value> + <key>dBSBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>doStreamReads</key> + <value>false</value> + </entry> + <entry> + <key>doStreamWrites</key> + <value>false</value> </entry> <entry> <key>holdTime</key> @@ -14378,25 +6984,29 @@ <value>false</value> </entry> <entry> - <key>isBigEndian</key> + <key>isAsynchronous</key> <value>false</value> </entry> <entry> - <key>isFlash</key> + <key>isBigEndian</key> <value>false</value> </entry> <entry> - <key>isMemoryDevice</key> + <key>isReadable</key> <value>false</value> </entry> <entry> - <key>isNonVolatileStorage</key> + <key>isWriteable</key> <value>false</value> </entry> <entry> <key>linewrapBursts</key> <value>false</value> </entry> + <entry> + <key>maxAddressWidth</key> + <value>32</value> + </entry> <entry> <key>maximumPendingReadTransactions</key> <value>0</value> @@ -14413,29 +7023,17 @@ <key>minimumResponseLatency</key> <value>1</value> </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> <entry> <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> <value>0</value> </entry> <entry> <key>readWaitTime</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>registerIncomingSignals</key> @@ -14453,26 +7051,10 @@ <key>timingUnits</key> <value>Cycles</value> </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> <entry> <key>waitrequestAllowance</key> <value>0</value> </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> <entry> <key>writeWaitTime</key> <value>0</value> @@ -14481,17 +7063,17 @@ </parameters> </interface> <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> + <name>kernel_irq_from_kernel</name> + <type>interrupt</type> + <isStart>true</isStart> <ports> <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> + <name>kernel_irq_from_kernel_irq</name> + <role>irq</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -14500,58 +7082,35 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> + <key>associatedAddressablePoint</key> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> <key>associatedClock</key> + <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> + <value>reset</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>irqMap</key> + <value><map><mapping port='0' sender='sender0_irq' /></map></value> + </entry> + <entry> + <key>irqScheme</key> + <value>INDIVIDUAL_REQUESTS</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>reset</name> - <type>conduit</type> + <name>kernel_irq_to_host</name> + <type>interrupt</type> <isStart>false</isStart> <ports> <port> - <name>coe_reset_export</name> - <role>export</role> + <name>kernel_irq_to_host_irq</name> + <role>irq</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -14563,28 +7122,41 @@ </assignments> <parameters> <parameterValueMap> + <entry> + <key>associatedAddressablePoint</key> + </entry> <entry> <key>associatedClock</key> + <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> + <value>reset</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>bridgedReceiverOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToReceiver</key> + <value>kernel_interface.kernel_irq_from_kernel</value> + </entry> + <entry> + <key>irqScheme</key> + <value>NONE</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> + <name>kernel_reset</name> + <type>reset</type> + <isStart>true</isStart> <ports> <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>kernel_reset_reset_n</name> + <role>reset_n</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -14596,27 +7168,32 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> + <value>kernel_clk</value> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedDirectReset</key> + <value>reset</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>associatedResetSinks</key> + <value>reset,reset,sw_reset_in</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>system_reset</name> + <name>reset</name> <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>csi_system_reset</name> - <role>reset</role> + <name>reset_reset_n</name> + <role>reset_n</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -14624,13 +7201,18 @@ </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>reset</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> <entry> <key>associatedClock</key> - <value>system</value> + <value>clk</value> </entry> <entry> <key>synchronousEdges</key> @@ -14640,13 +7222,13 @@ </parameters> </interface> <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> + <name>sw_reset_export</name> + <type>reset</type> + <isStart>true</isStart> <ports> <port> - <name>coe_write_export</name> - <role>export</role> + <name>sw_reset_export_reset_n</name> + <role>reset_n</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -14660,29 +7242,35 @@ <parameterValueMap> <entry> <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>associatedReset</key> + <key>associatedDirectReset</key> + <value>reset</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>associatedResetSinks</key> + <value>reset,sw_reset_in</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>writedata</name> - <type>conduit</type> + <name>sw_reset_in</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> + <name>sw_reset_in_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -14692,13 +7280,11 @@ <parameterValueMap> <entry> <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> @@ -14706,617 +7292,90 @@ </interfaces> </boundary> <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> + <className>kernel_interface</className> + <version>15.1</version> + <displayName>OpenCL Kernel Interface</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> <descriptors> <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE</systemInfotype> </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>7</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>128</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_FAMILY</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FAMILY</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>AUTO_DEVICE_SPEEDGRADE</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_SPEEDGRADE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>ctrl</key> + <value> + <connectionPointName>ctrl</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='ctrl' start='0x0' end='0x4000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>14</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_pio_system_info</hdlLibraryName> + <hdlLibraryName>board_kernel_interface</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_pio_system_info</fileSetName> - <fileSetFixedName>board_pio_system_info</fileSetFixedName> + <fileSetName>board_kernel_interface</fileSetName> + <fileSetFixedName>board_kernel_interface</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_system_info</fileSetName> - <fileSetFixedName>board_pio_system_info</fileSetFixedName> + <fileSetName>board_kernel_interface</fileSetName> + <fileSetFixedName>board_kernel_interface</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_system_info</fileSetName> - <fileSetFixedName>board_pio_system_info</fileSetFixedName> + <fileSetName>board_kernel_interface</fileSetName> + <fileSetFixedName>board_kernel_interface</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_pio_system_info.ip</parameter> + <parameter name="logicalView">ip/board/board_kernel_interface.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="pio_wdi" + name="onchip_memory2_0" kind="altera_generic_component" version="1.0" enabled="1"> @@ -15324,7 +7383,7 @@ <boundary> <interfaces> <interface> - <name>clk</name> + <name>clk1</name> <type>clock</type> <isStart>false</isStart> <ports> @@ -15357,45 +7416,21 @@ </parameters> </interface> <interface> - <name>external_connection</name> - <type>conduit</type> + <name>reset1</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>out_port</name> - <role>export</role> - <direction>Output</direction> + <name>reset</name> + <role>reset</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> <port> - <name>reset_n</name> - <role>reset_n</role> + <name>reset_req</name> + <role>reset_req</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -15409,7 +7444,7 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>clk</value> + <value>clk1</value> </entry> <entry> <key>synchronousEdges</key> @@ -15427,984 +7462,431 @@ <name>address</name> <role>address</role> <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> + <width>15</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>chipselect</name> - <role>chipselect</role> + <name>clken</name> + <role>clken</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> + <port> + <name>chipselect</name> + <role>chipselect</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>byteenable</name> + <role>byteenable</role> + <direction>Input</direction> + <width>4</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> <entry> - <key>readLatency</key> + <key>embeddedsw.configuration.isFlash</key> <value>0</value> </entry> <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> + <key>embeddedsw.configuration.isMemoryDevice</key> <value>1</value> </entry> <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> + <key>embeddedsw.configuration.isNonVolatileStorage</key> <value>0</value> </entry> <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> + <key>embeddedsw.configuration.isPrintableDevice</key> <value>0</value> </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>writeWaitStates</key> - <value>0</value> + <key>addressAlignment</key> + <value>DYNAMIC</value> </entry> <entry> - <key>writeWaitTime</key> + <key>addressGroup</key> <value>0</value> </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>32</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>DIRECTION</name> - <displayName>Direction</displayName> - <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>direction</name> - <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>IRQ_MASK</name> - <displayName>Interrupt mask</displayName> - <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description> - <addressOffset>0x8</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>interruptmask</name> - <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>EDGE_CAP</name> - <displayName>Edge capture</displayName> - <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description> - <addressOffset>0xc</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>edgecapture</name> - <description>Edge detection for each input port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>SET_BIT</name> - <displayName>Outset</displayName> - <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x10</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outset</name> - <description>Specifies which bit of the output port to set.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - <register> - <name>CLEAR_BITS</name> - <displayName>Outclear</displayName> - <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x14</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outclear</name> - <description>Specifies which output bit to clear.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_pio</className> - <version>19.1</version> - <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>clockRate</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> + <key>addressSpan</key> + <value>131072</value> + </entry> <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x10' datawidth='32' /></address-map></value> + <key>addressUnits</key> + <value>WORDS</value> </entry> <entry> - <key>ADDRESS_WIDTH</key> - <value>4</value> + <key>alwaysBurstMaxBurst</key> + <value>false</value> </entry> <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> + <key>associatedClock</key> + <value>clk1</value> </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>external_connection</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>out_port</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>32</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>DATA</name> - <displayName>Data</displayName> - <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description> - <addressOffset>0x0</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>data</name> - <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>DIRECTION</name> - <displayName>Direction</displayName> - <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description> - <addressOffset>0x4</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>direction</name> - <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>IRQ_MASK</name> - <displayName>Interrupt mask</displayName> - <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description> - <addressOffset>0x8</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>interruptmask</name> - <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>EDGE_CAP</name> - <displayName>Edge capture</displayName> - <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description> - <addressOffset>0xc</addressOffset> - <size>32</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>edgecapture</name> - <description>Edge detection for each input port.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>read-write</access> - </field> - </fields> - </register> - <register> - <name>SET_BIT</name> - <displayName>Outset</displayName> - <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x10</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outset</name> - <description>Specifies which bit of the output port to set.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - <register> - <name>CLEAR_BITS</name> - <displayName>Outclear</displayName> - <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> - <addressOffset>0x14</addressOffset> - <size>32</size> - <access>write-only</access> - <resetValue>0x0</resetValue> - <resetMask>0xffffffff</resetMask> - <fields> - <field><name>outclear</name> - <description>Specifies which output bit to clear.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>32</bitWidth> - <access>write-only</access> - </field> - </fields> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars/> - </cmsisInfo> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>associatedReset</key> + <value>reset1</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>131072</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>true</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>1</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_avalon_onchip_memory2</className> + <version>18.0</version> + <displayName>On-Chip Memory (RAM or ROM) Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue></parameterDefaultValue> + <parameterName>autoInitializationFileName</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>UNIQUE_ID</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>NONE</parameterDefaultValue> + <parameterName>deviceFamily</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FAMILY</systemInfotype> + </descriptor> + <descriptor> + <parameterDefaultValue>NONE</parameterDefaultValue> + <parameterName>deviceFeatures</parameterName> + <parameterType>java.lang.String</parameterType> + <systemInfotype>DEVICE_FEATURES</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>s1</key> + <value> + <connectionPointName>s1</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='s1' start='0x0' end='0x20000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>17</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_pio_wdi</hdlLibraryName> + <hdlLibraryName>board_onchip_memory2_0</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_pio_wdi</fileSetName> - <fileSetFixedName>board_pio_wdi</fileSetFixedName> + <fileSetName>board_onchip_memory2_0</fileSetName> + <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_wdi</fileSetName> - <fileSetFixedName>board_pio_wdi</fileSetFixedName> + <fileSetName>board_onchip_memory2_0</fileSetName> + <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_pio_wdi</fileSetName> - <fileSetFixedName>board_pio_wdi</fileSetFixedName> + <fileSetName>board_onchip_memory2_0</fileSetName> + <fileSetFixedName>board_onchip_memory2_0</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_pio_wdi.ip</parameter> + <parameter name="logicalView">ip/board/board_onchip_memory2_0.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap> <entry> - <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key> + <key>embeddedsw.CMacro.ALLOW_IN_SYSTEM_MEMORY_CONTENT_EDITOR</key> <value>0</value> </entry> <entry> - <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key> + <key>embeddedsw.CMacro.ALLOW_MRAM_SIM_CONTENTS_ONLY_FILE</key> <value>0</value> </entry> <entry> - <key>embeddedsw.CMacro.CAPTURE</key> + <key>embeddedsw.CMacro.CONTENTS_INFO</key> + <value>""</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DUAL_PORT</key> <value>0</value> </entry> <entry> - <key>embeddedsw.CMacro.DATA_WIDTH</key> - <value>1</value> + <key>embeddedsw.CMacro.GUI_RAM_BLOCK_TYPE</key> + <value>AUTO</value> </entry> <entry> - <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key> - <value>0</value> + <key>embeddedsw.CMacro.INIT_CONTENTS_FILE</key> + <value>onchip_memory2_0</value> </entry> <entry> - <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key> - <value>0</value> + <key>embeddedsw.CMacro.INIT_MEM_CONTENT</key> + <value>1</value> </entry> <entry> - <key>embeddedsw.CMacro.EDGE_TYPE</key> + <key>embeddedsw.CMacro.INSTANCE_ID</key> <value>NONE</value> </entry> <entry> - <key>embeddedsw.CMacro.FREQ</key> - <value>100000000</value> + <key>embeddedsw.CMacro.NON_DEFAULT_INIT_FILE_ENABLED</key> + <value>1</value> </entry> <entry> - <key>embeddedsw.CMacro.HAS_IN</key> + <key>embeddedsw.CMacro.RAM_BLOCK_TYPE</key> + <value>AUTO</value> + </entry> + <entry> + <key>embeddedsw.CMacro.READ_DURING_WRITE_MODE</key> + <value>DONT_CARE</value> + </entry> + <entry> + <key>embeddedsw.CMacro.SINGLE_CLOCK_OP</key> <value>0</value> </entry> <entry> - <key>embeddedsw.CMacro.HAS_OUT</key> + <key>embeddedsw.CMacro.SIZE_MULTIPLE</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.SIZE_VALUE</key> + <value>131072</value> + </entry> + <entry> + <key>embeddedsw.CMacro.WRITABLE</key> <value>1</value> </entry> <entry> - <key>embeddedsw.CMacro.HAS_TRI</key> - <value>0</value> + <key>embeddedsw.memoryInfo.DAT_SYM_INSTALL_DIR</key> + <value>SIM_DIR</value> + </entry> + <entry> + <key>embeddedsw.memoryInfo.GENERATE_DAT_SYM</key> + <value>1</value> </entry> <entry> - <key>embeddedsw.CMacro.IRQ_TYPE</key> - <value>NONE</value> + <key>embeddedsw.memoryInfo.GENERATE_HEX</key> + <value>1</value> </entry> <entry> - <key>embeddedsw.CMacro.RESET_VALUE</key> + <key>embeddedsw.memoryInfo.HAS_BYTE_LANE</key> <value>0</value> </entry> <entry> - <key>embeddedsw.dts.compatible</key> - <value>altr,pio-1.0</value> - </entry> - <entry> - <key>embeddedsw.dts.group</key> - <value>gpio</value> + <key>embeddedsw.memoryInfo.HEX_INSTALL_DIR</key> + <value>QPF_DIR</value> </entry> <entry> - <key>embeddedsw.dts.name</key> - <value>pio</value> + <key>embeddedsw.memoryInfo.MEM_INIT_DATA_WIDTH</key> + <value>32</value> </entry> <entry> - <key>embeddedsw.dts.params.altr,gpio-bank-width</key> - <value>1</value> + <key>embeddedsw.memoryInfo.MEM_INIT_FILENAME</key> + <value>onchip_memory2_0</value> </entry> <entry> - <key>embeddedsw.dts.params.resetvalue</key> - <value>0</value> + <key>postgeneration.simulation.init_file.param_name</key> + <value>INIT_FILE</value> </entry> <entry> - <key>embeddedsw.dts.vendor</key> - <value>altr</value> + <key>postgeneration.simulation.init_file.type</key> + <value>MEM_INIT</value> </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_dpmm_ctrl" + name="pio_pps" kind="altera_generic_component" version="1.0" enabled="1"> @@ -16912,640 +8394,115 @@ <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_dpmm_ctrl</hdlLibraryName> + <hdlLibraryName>board_pio_pps</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> + <fileSetName>board_pio_pps</fileSetName> + <fileSetFixedName>board_pio_pps</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> + <fileSetName>board_pio_pps</fileSetName> + <fileSetFixedName>board_pio_pps</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_dpmm_ctrl</fileSetName> - <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> + <fileSetName>board_pio_pps</fileSetName> + <fileSetFixedName>board_pio_pps</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_dpmm_ctrl.ip</parameter> + <parameter name="logicalView">ip/board/board_pio_pps.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_dpmm_data" + name="pio_system_info" kind="altera_generic_component" version="1.0" enabled="1"> @@ -17561,7 +8518,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>1</width> + <width>5</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -17625,7 +8582,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>1</width> + <width>5</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -17694,7 +8651,7 @@ </entry> <entry> <key>addressSpan</key> - <value>8</value> + <value>128</value> </entry> <entry> <key>addressUnits</key> @@ -18100,11 +9057,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x80' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>3</value> + <value>7</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -18130,563 +9087,38 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_dpmm_data</hdlLibraryName> + <hdlLibraryName>board_pio_system_info</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_dpmm_data</fileSetName> - <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> + <fileSetName>board_pio_system_info</fileSetName> + <fileSetFixedName>board_pio_system_info</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_dpmm_data</fileSetName> - <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> + <fileSetName>board_pio_system_info</fileSetName> + <fileSetFixedName>board_pio_system_info</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_dpmm_data</fileSetName> - <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> + <fileSetName>board_pio_system_info</fileSetName> + <fileSetFixedName>board_pio_system_info</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_dpmm_data.ip</parameter> + <parameter name="logicalView">ip/board/board_pio_system_info.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_epcs" + name="pio_wdi" kind="altera_generic_component" version="1.0" enabled="1"> @@ -18694,17 +9126,17 @@ <boundary> <interfaces> <interface> - <name>address</name> - <type>conduit</type> + <name>clk</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> + <name>clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -18713,25 +9145,26 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> + <key>clockRate</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>externallyDriven</key> + <value>false</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>clk</name> + <name>external_connection</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>coe_clk_export</name> + <name>out_port</name> <role>export</role> <direction>Output</direction> <width>1</width> @@ -18758,28 +9191,58 @@ </parameters> </interface> <interface> - <name>mem</name> + <name>reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + <value>clk</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>DEASSERT</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>s1</name> <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>avs_mem_address</name> + <name>address</name> <role>address</role> <direction>Input</direction> - <width>3</width> + <width>2</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>avs_mem_write</name> - <role>write</role> + <name>write_n</name> + <role>write_n</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_writedata</name> + <name>writedata</name> <role>writedata</role> <direction>Input</direction> <width>32</width> @@ -18787,15 +9250,15 @@ <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>avs_mem_read</name> - <role>read</role> + <name>chipselect</name> + <role>chipselect</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>avs_mem_readdata</name> + <name>readdata</name> <role>readdata</role> <direction>Output</direction> <width>32</width> @@ -18827,7 +9290,7 @@ <parameterValueMap> <entry> <key>addressAlignment</key> - <value>DYNAMIC</value> + <value>NATIVE</value> </entry> <entry> <key>addressGroup</key> @@ -18835,7 +9298,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32</value> + <value>4</value> </entry> <entry> <key>addressUnits</key> @@ -18847,11 +9310,11 @@ </entry> <entry> <key>associatedClock</key> - <value>system</value> + <value>clk</value> </entry> <entry> <key>associatedReset</key> - <value>system_reset</value> + <value>reset</value> </entry> <entry> <key>bitsPerSymbol</key> @@ -18910,324 +9373,228 @@ </entry> <entry> <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> + <value>0</value> + </entry> <entry> - <key>associatedClock</key> + <key>maximumPendingWriteTransactions</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>clockRate</key> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> <value>0</value> </entry> <entry> - <key>externallyDriven</key> + <key>readWaitStates</key> + <value>1</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> + </entry> + <entry> + <key>registerIncomingSignals</key> <value>false</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>registerOutgoingSignals</key> + <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> - <value>system</value> + <key>setupTime</key> + <value>0</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>timingUnits</key> + <value>Cycles</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> + <key>transparentBridge</key> + <value>false</value> </entry> <entry> - <key>associatedReset</key> + <key>waitrequestAllowance</key> + <value>0</value> </entry> <entry> - <key>prSafe</key> + <key>wellBehavedWaitrequest</key> <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> + <key>writeLatency</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>writeWaitStates</key> + <value>0</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> + <cmsisInfo> + <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> +<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > + <peripherals> + <peripheral> + <name>altera_avalon_pio</name><baseAddress>0x00000000</baseAddress> + <addressBlock> + <offset>0x0</offset> + <size>32</size> + <usage>registers</usage> + </addressBlock> + <registers> + <register> + <name>DATA</name> + <displayName>Data</displayName> + <description>Reading from data returns the value present at the input ports. If the PIO core hardware is configured in output-only mode, reading from data returns an undefined value. Writing to data stores the value to a register that drives the output ports. If the PIO core hardware is configured in input-only mode, writing to data has no effect. If the PIO core hardware is in bidirectional mode, the registered value appears on an output port only when the corresponding bit in the direction register is set to 1 (output).</description> + <addressOffset>0x0</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>data</name> + <description>Reads: Data value currently on PIO inputs. Writes: New value to drive on PIO outputs.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>read-write</access> + </field> + </fields> + </register> + <register> + <name>DIRECTION</name> + <displayName>Direction</displayName> + <description>The direction register controls the data direction for each PIO port, assuming the port is bidirectional. When bit n in direction is set to 1, port n drives out the value in the corresponding bit of the data register The direction register only exists when the PIO core hardware is configured in bidirectional mode. The mode (input, output, or bidirectional) is specified at system generation time, and cannot be changed at runtime. In input-only or output-only mode, the direction register does not exist. In this case, reading direction returns an undefined value, writing direction has no effect. After reset, all bits of direction are 0, so that all bidirectional I/O ports are configured as inputs. If those PIO ports are connected to device pins, the pins are held in a high-impedance state. In bi-directional mode, to change the direction of the PIO port, reprogram the direction register.</description> + <addressOffset>0x4</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>direction</name> + <description>Individual direction control for each I/O port. A value of 0 sets the direction to input; 1 sets the direction to output.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>read-write</access> + </field> + </fields> + </register> + <register> + <name>IRQ_MASK</name> + <displayName>Interrupt mask</displayName> + <description>Setting a bit in the interruptmask register to 1 enables interrupts for the corresponding PIO input port. Interrupt behavior depends on the hardware configuration of the PIO core. The interruptmask register only exists when the hardware is configured to generate IRQs. If the core cannot generate IRQs, reading interruptmask returns an undefined value, and writing to interruptmask has no effect. After reset, all bits of interruptmask are zero, so that interrupts are disabled for all PIO ports.</description> + <addressOffset>0x8</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>interruptmask</name> + <description>IRQ enable/disable for each input port. Setting a bit to 1 enables interrupts for the corresponding port.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>read-write</access> + </field> + </fields> + </register> + <register> + <name>EDGE_CAP</name> + <displayName>Edge capture</displayName> + <description>Bit n in the edgecapture register is set to 1 whenever an edge is detected on input port n. An Avalon-MM master peripheral can read the edgecapture register to determine if an edge has occurred on any of the PIO input ports. If the option Enable bit-clearing for edge capture register is turned off, writing any value to the edgecapture register clears all bits in the register. Otherwise, writing a 1 to a particular bit in the register clears only that bit. The type of edge(s) to detect is fixed in hardware at system generation time. The edgecapture register only exists when the hardware is configured to capture edges. If the core is not configured to capture edges, reading from edgecapture returns an undefined value, and writing to edgecapture has no effect.</description> + <addressOffset>0xc</addressOffset> + <size>32</size> + <access>read-write</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>edgecapture</name> + <description>Edge detection for each input port.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>read-write</access> + </field> + </fields> + </register> + <register> + <name>SET_BIT</name> + <displayName>Outset</displayName> + <description>You can use the outset register to set individual bits of the output port. For example, to set bit 6 of the output port, write 0x40 to the outset register. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> + <addressOffset>0x10</addressOffset> + <size>32</size> + <access>write-only</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>outset</name> + <description>Specifies which bit of the output port to set.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>write-only</access> + </field> + </fields> + </register> + <register> + <name>CLEAR_BITS</name> + <displayName>Outclear</displayName> + <description>You can use the outclear register to clear individual bits of the output port. For example, writing 0x08 to the outclear register clears bit 3 of the output port. This register is only present when the option Enable individual bit set/clear output register is turned on.</description> + <addressOffset>0x14</addressOffset> + <size>32</size> + <access>write-only</access> + <resetValue>0x0</resetValue> + <resetMask>0xffffffff</resetMask> + <fields> + <field><name>outclear</name> + <description>Specifies which output bit to clear.</description> + <bitOffset>0x0</bitOffset> + <bitWidth>32</bitWidth> + <access>write-only</access> + </field> + </fields> + </register> + </registers> + </peripheral> + </peripherals> +</device> </cmsisSrcFileContents> + <addressGroup></addressGroup> + <cmsisVars/> + </cmsisInfo> </interface> </interfaces> </boundary> <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> + <className>altera_avalon_pio</className> + <version>18.0</version> + <displayName>PIO (Parallel I/O) Intel FPGA IP</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> <descriptors> <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>clockRate</parameterName> <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> + <systemInfoArgs>clk</systemInfoArgs> <systemInfotype>CLOCK_RATE</systemInfotype> </descriptor> </descriptors> @@ -19235,17 +9602,30 @@ <systemInfos> <connPtSystemInfos> <entry> - <key>mem</key> + <key>clk</key> <value> - <connectionPointName>mem</connectionPointName> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>s1</key> + <value> + <connectionPointName>s1</connectionPointName> <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> + <value><address-map><slave name='s1' start='0x0' end='0x10' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>5</value> + <value>4</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -19255,579 +9635,118 @@ <consumedSystemInfos/> </value> </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_epcs</hdlLibraryName> + <hdlLibraryName>board_pio_wdi</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_epcs</fileSetName> - <fileSetFixedName>board_reg_epcs</fileSetFixedName> + <fileSetName>board_pio_wdi</fileSetName> + <fileSetFixedName>board_pio_wdi</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_epcs</fileSetName> - <fileSetFixedName>board_reg_epcs</fileSetFixedName> + <fileSetName>board_pio_wdi</fileSetName> + <fileSetFixedName>board_pio_wdi</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_epcs</fileSetName> - <fileSetFixedName>board_reg_epcs</fileSetFixedName> + <fileSetName>board_pio_wdi</fileSetName> + <fileSetFixedName>board_pio_wdi</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_epcs.ip</parameter> + <parameter name="logicalView">ip/board/board_pio_wdi.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.CMacro.BIT_CLEARING_EDGE_REGISTER</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.BIT_MODIFYING_OUTPUT_REGISTER</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.CAPTURE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DATA_WIDTH</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DO_TEST_BENCH_WIRING</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.DRIVEN_SIM_VALUE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.EDGE_TYPE</key> + <value>NONE</value> + </entry> + <entry> + <key>embeddedsw.CMacro.FREQ</key> + <value>100000000</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_IN</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_OUT</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.CMacro.HAS_TRI</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.CMacro.IRQ_TYPE</key> + <value>NONE</value> + </entry> + <entry> + <key>embeddedsw.CMacro.RESET_VALUE</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.compatible</key> + <value>altr,pio-1.0</value> + </entry> + <entry> + <key>embeddedsw.dts.group</key> + <value>gpio</value> + </entry> + <entry> + <key>embeddedsw.dts.name</key> + <value>pio</value> + </entry> + <entry> + <key>embeddedsw.dts.params.altr,gpio-bank-width</key> + <value>1</value> + </entry> + <entry> + <key>embeddedsw.dts.params.resetvalue</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.dts.vendor</key> + <value>altr</value> + </entry> + </assignmentValueMap> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_fpga_temp_sens" + name="reg_dpmm_ctrl" kind="altera_generic_component" version="1.0" enabled="1"> @@ -19843,7 +9762,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>3</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -19907,7 +9826,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>3</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -19976,7 +9895,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32</value> + <value>8</value> </entry> <entry> <key>addressUnits</key> @@ -20352,623 +10271,98 @@ <key>prSafe</key> <value>false</value> </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_fpga_temp_sens</hdlLibraryName> + <hdlLibraryName>board_reg_dpmm_ctrl</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_ctrl</fileSetName> + <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_ctrl</fileSetName> + <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_fpga_temp_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_ctrl</fileSetName> + <fileSetFixedName>board_reg_dpmm_ctrl</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_fpga_temp_sens.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_dpmm_ctrl.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_fpga_voltage_sens" + name="reg_dpmm_data" kind="altera_generic_component" version="1.0" enabled="1"> @@ -20984,7 +10378,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>4</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21048,7 +10442,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>4</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -21117,7 +10511,7 @@ </entry> <entry> <key>addressSpan</key> - <value>64</value> + <value>8</value> </entry> <entry> <key>addressUnits</key> @@ -21523,593 +10917,68 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>6</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>64</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_fpga_voltage_sens</hdlLibraryName> + <hdlLibraryName>board_reg_dpmm_data</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_data</fileSetName> + <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_data</fileSetName> + <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_fpga_voltage_sens</fileSetName> - <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> + <fileSetName>board_reg_dpmm_data</fileSetName> + <fileSetFixedName>board_reg_dpmm_data</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_fpga_voltage_sens.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_dpmm_data.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_mmdp_ctrl" + name="reg_epcs" kind="altera_generic_component" version="1.0" enabled="1"> @@ -22125,7 +10994,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -22189,7 +11058,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -22258,7 +11127,7 @@ </entry> <entry> <key>addressSpan</key> - <value>8</value> + <value>32</value> </entry> <entry> <key>addressUnits</key> @@ -22632,625 +11501,100 @@ </entry> <entry> <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>5</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_mmdp_ctrl</hdlLibraryName> + <hdlLibraryName>board_reg_epcs</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> + <fileSetName>board_reg_epcs</fileSetName> + <fileSetFixedName>board_reg_epcs</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> + <fileSetName>board_reg_epcs</fileSetName> + <fileSetFixedName>board_reg_epcs</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_mmdp_ctrl</fileSetName> - <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> + <fileSetName>board_reg_epcs</fileSetName> + <fileSetFixedName>board_reg_epcs</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_mmdp_ctrl.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_epcs.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_mmdp_data" + name="reg_fpga_temp_sens" kind="altera_generic_component" version="1.0" enabled="1"> @@ -23266,7 +11610,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -23330,7 +11674,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -23399,7 +11743,7 @@ </entry> <entry> <key>addressSpan</key> - <value>8</value> + <value>32</value> </entry> <entry> <key>addressUnits</key> @@ -23805,593 +12149,68 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>3</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> + <value>5</value> </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_mmdp_data</hdlLibraryName> + <hdlLibraryName>board_reg_fpga_temp_sens</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_mmdp_data</fileSetName> - <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> + <fileSetName>board_reg_fpga_temp_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_mmdp_data</fileSetName> - <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> + <fileSetName>board_reg_fpga_temp_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_mmdp_data</fileSetName> - <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> + <fileSetName>board_reg_fpga_temp_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_temp_sens</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_mmdp_data.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_fpga_temp_sens.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_remu" + name="reg_fpga_voltage_sens" kind="altera_generic_component" version="1.0" enabled="1"> @@ -24407,7 +12226,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>3</width> + <width>4</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -24471,7 +12290,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>3</width> + <width>4</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -24540,7 +12359,7 @@ </entry> <entry> <key>addressSpan</key> - <value>32</value> + <value>64</value> </entry> <entry> <key>addressUnits</key> @@ -24910,629 +12729,104 @@ <key>associatedClock</key> </entry> <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>32</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x40' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>6</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_remu</hdlLibraryName> + <hdlLibraryName>board_reg_fpga_voltage_sens</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_remu</fileSetName> - <fileSetFixedName>board_reg_remu</fileSetFixedName> + <fileSetName>board_reg_fpga_voltage_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_remu</fileSetName> - <fileSetFixedName>board_reg_remu</fileSetFixedName> + <fileSetName>board_reg_fpga_voltage_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_remu</fileSetName> - <fileSetFixedName>board_reg_remu</fileSetFixedName> + <fileSetName>board_reg_fpga_voltage_sens</fileSetName> + <fileSetFixedName>board_reg_fpga_voltage_sens</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_remu.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_fpga_voltage_sens.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_unb_pmbus" + name="reg_mmdp_ctrl" kind="altera_generic_component" version="1.0" enabled="1"> @@ -25548,7 +12842,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>6</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -25612,7 +12906,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>6</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -25681,7 +12975,7 @@ </entry> <entry> <key>addressSpan</key> - <value>256</value> + <value>8</value> </entry> <entry> <key>addressUnits</key> @@ -26087,593 +13381,68 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>8</value> + <value>3</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> <value>32</value> </entry> </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_unb_pmbus</hdlLibraryName> + <hdlLibraryName>board_reg_mmdp_ctrl</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_unb_pmbus</fileSetName> - <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> + <fileSetName>board_reg_mmdp_ctrl</fileSetName> + <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_unb_pmbus</fileSetName> - <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> + <fileSetName>board_reg_mmdp_ctrl</fileSetName> + <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_unb_pmbus</fileSetName> - <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> + <fileSetName>board_reg_mmdp_ctrl</fileSetName> + <fileSetFixedName>board_reg_mmdp_ctrl</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_unb_pmbus.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_mmdp_ctrl.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_unb_sens" + name="reg_mmdp_data" kind="altera_generic_component" version="1.0" enabled="1"> @@ -26689,7 +13458,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>6</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26753,7 +13522,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>6</width> + <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -26822,7 +13591,7 @@ </entry> <entry> <key>addressSpan</key> - <value>256</value> + <value>8</value> </entry> <entry> <key>addressUnits</key> @@ -27188,633 +13957,108 @@ </assignments> <parameters> <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>avs_common_mm</className> - <version>1.0</version> - <displayName>avs_common_mm</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>-1</parameterDefaultValue> - <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>system</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>8</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>6</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>256</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_unb_sens</hdlLibraryName> + <hdlLibraryName>board_reg_mmdp_data</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_unb_sens</fileSetName> - <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> + <fileSetName>board_reg_mmdp_data</fileSetName> + <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_unb_sens</fileSetName> - <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> + <fileSetName>board_reg_mmdp_data</fileSetName> + <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_unb_sens</fileSetName> - <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> + <fileSetName>board_reg_mmdp_data</fileSetName> + <fileSetFixedName>board_reg_mmdp_data</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_unb_sens.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_mmdp_data.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="reg_wdi" + name="reg_remu" kind="altera_generic_component" version="1.0" enabled="1"> @@ -27830,7 +14074,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -27894,7 +14138,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>1</width> + <width>3</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -27963,7 +14207,7 @@ </entry> <entry> <key>addressSpan</key> - <value>8</value> + <value>32</value> </entry> <entry> <key>addressUnits</key> @@ -28369,11 +14613,11 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + <value><address-map><slave name='mem' start='0x0' end='0x20' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> - <value>3</value> + <value>5</value> </entry> <entry> <key>MAX_SLAVE_DATA_WIDTH</key> @@ -28383,579 +14627,54 @@ <consumedSystemInfos/> </value> </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_reg_wdi</hdlLibraryName> + <hdlLibraryName>board_reg_remu</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_reg_wdi</fileSetName> - <fileSetFixedName>board_reg_wdi</fileSetFixedName> + <fileSetName>board_reg_remu</fileSetName> + <fileSetFixedName>board_reg_remu</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_wdi</fileSetName> - <fileSetFixedName>board_reg_wdi</fileSetFixedName> + <fileSetName>board_reg_remu</fileSetName> + <fileSetFixedName>board_reg_remu</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_reg_wdi</fileSetName> - <fileSetFixedName>board_reg_wdi</fileSetFixedName> + <fileSetName>board_reg_remu</fileSetName> + <fileSetFixedName>board_reg_remu</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_reg_wdi.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_remu.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="rom_system_info" + name="reg_ta2_unb2b_jesd204b" kind="altera_generic_component" version="1.0" enabled="1"> @@ -28971,7 +14690,7 @@ <name>coe_address_export</name> <role>export</role> <direction>Output</direction> - <width>10</width> + <width>8</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -29035,7 +14754,7 @@ <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>10</width> + <width>8</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -29071,6 +14790,14 @@ <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> + <port> + <name>avs_mem_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> <assignmentValueMap> @@ -29104,7 +14831,7 @@ </entry> <entry> <key>addressSpan</key> - <value>4096</value> + <value>1024</value> </entry> <entry> <key>addressUnits</key> @@ -29207,15 +14934,15 @@ </entry> <entry> <key>readLatency</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>readWaitStates</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>readWaitTime</key> - <value>0</value> + <value>1</value> </entry> <entry> <key>registerIncomingSignals</key> @@ -29419,6 +15146,38 @@ </parameterValueMap> </parameters> </interface> + <interface> + <name>waitrequest</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_waitrequest_export</name> + <role>export</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> <interface> <name>write</name> <type>conduit</type> @@ -29486,9 +15245,9 @@ </interfaces> </boundary> <originalModuleInfo> - <className>avs_common_mm</className> + <className>avs_common_mm_readlatency0</className> <version>1.0</version> - <displayName>avs_common_mm</displayName> + <displayName>avs_common_mm_readlatency0</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> <descriptors> @@ -29510,593 +15269,68 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x1000' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>12</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - <entry> - <key>system</key> - <value> - <connectionPointName>system</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> + <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>address</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_address_export</name> - <role>export</role> - <direction>Output</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_clk_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>avs_mem_address</name> - <role>address</role> - <direction>Input</direction> - <width>10</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>avs_mem_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>avs_mem_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>4096</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>associatedReset</key> - <value>system_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>0</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>read</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_read_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>readdata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_readdata_export</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_reset_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>system_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>csi_system_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>system</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>write</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_write_export</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>writedata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>coe_writedata_export</name> - <role>export</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_rom_system_info</hdlLibraryName> + <hdlLibraryName>board_reg_ta2_unb2b_jesd204b</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_rom_system_info</fileSetName> - <fileSetFixedName>board_rom_system_info</fileSetFixedName> + <fileSetName>board_reg_ta2_unb2b_jesd204b</fileSetName> + <fileSetFixedName>board_reg_ta2_unb2b_jesd204b</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_rom_system_info</fileSetName> - <fileSetFixedName>board_rom_system_info</fileSetFixedName> + <fileSetName>board_reg_ta2_unb2b_jesd204b</fileSetName> + <fileSetFixedName>board_reg_ta2_unb2b_jesd204b</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_rom_system_info</fileSetName> - <fileSetFixedName>board_rom_system_info</fileSetFixedName> + <fileSetName>board_reg_ta2_unb2b_jesd204b</fileSetName> + <fileSetFixedName>board_reg_ta2_unb2b_jesd204b</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_rom_system_info.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_ta2_unb2b_jesd204b.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="ta2_unb2b_10GbE" + name="reg_unb_pmbus" kind="altera_generic_component" version="1.0" enabled="1"> @@ -30104,17 +15338,17 @@ <boundary> <interfaces> <interface> - <name>config_reset</name> - <type>reset</type> + <name>address</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> + <name>coe_address_export</name> + <role>export</role> + <direction>Output</direction> + <width>6</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -30126,21 +15360,24 @@ <key>associatedClock</key> </entry> <entry> - <key>synchronousEdges</key> - <value>NONE</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_clk</name> - <type>clock</type> + <name>clk</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_clk_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -30152,166 +15389,260 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_reset</name> - <type>reset</type> + <name>mem</name> + <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>kernel_reset</name> - <role>reset</role> + <name>avs_mem_address</name> + <role>address</role> + <direction>Input</direction> + <width>6</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_write</name> + <role>write</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> <port> - <name>kernel_snk_data</name> - <role>data</role> + <name>avs_mem_writedata</name> + <role>writedata</role> <direction>Input</direction> - <width>72</width> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> + <name>avs_mem_read</name> + <role>read</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> + <name>avs_mem_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> <entry> <key>associatedClock</key> - <value>kernel_clk</value> + <value>system</value> + </entry> + <entry> + <key>associatedReset</key> + <value>system_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>1</value> </entry> <entry> - <key>associatedReset</key> - <value>kernel_reset</value> + <key>readWaitStates</key> + <value>0</value> </entry> <entry> - <key>beatsPerCycle</key> - <value>1</value> + <key>readWaitTime</key> + <value>0</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> + <key>registerIncomingSignals</key> + <value>false</value> </entry> <entry> - <key>emptyWithinPacket</key> + <key>registerOutgoingSignals</key> <value>false</value> </entry> <entry> - <key>errorDescriptor</key> + <key>setupTime</key> + <value>0</value> </entry> <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <key>timingUnits</key> + <value>Cycles</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> + <key>transparentBridge</key> <value>false</value> </entry> <entry> - <key>maxChannel</key> + <key>waitrequestAllowance</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> + <key>wellBehavedWaitrequest</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> + <key>writeLatency</key> <value>0</value> </entry> <entry> - <key>readyLatency</key> + <key>writeWaitStates</key> <value>0</value> </entry> <entry> - <key>symbolsPerBeat</key> - <value>1</value> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> + <name>read</name> + <type>conduit</type> + <isStart>false</isStart> <ports> <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>72</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> + <name>coe_read_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -30325,71 +15656,58 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> + <key>prSafe</key> <value>false</value> </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>readdata</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_readdata_export</name> + <role>export</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>maxChannel</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>packetDescription</key> - <value></value> + <key>associatedReset</key> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>refclk</name> - <type>clock</type> + <name>reset</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>clk_ref_r</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_reset_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -30401,27 +15719,26 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>rx_serial_data</name> - <type>conduit</type> + <name>system</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>rx_serial_r</name> - <role>conduit</role> + <name>csi_system_clk</name> + <role>clk</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -30434,27 +15751,28 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> + <key>clockRate</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> + <key>externallyDriven</key> + <value>false</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>rx_status</name> - <type>conduit</type> + <name>system_reset</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>rx_status</name> - <role>rx_status</role> - <direction>Output</direction> + <name>csi_system_reset</name> + <role>reset</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -30467,25 +15785,23 @@ <parameterValueMap> <entry> <key>associatedClock</key> + <value>system</value> </entry> <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>tx_serial_data</name> + <name>write</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>tx_serial_r</name> - <role>conduit</role> + <name>coe_write_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -30510,463 +15826,127 @@ </parameterValueMap> </parameters> </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>ta2_unb2b_10GbE</className> - <version>1.0</version> - <displayName>ta2_unb2b_10GbE</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors/> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos/> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>72</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>72</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_ref_r</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_r</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_status</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_status</name> - <role>rx_status</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>tx_serial_r</name> - <role>conduit</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <interface> + <name>writedata</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_writedata_export</name> + <role>export</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>avs_common_mm</className> + <version>1.0</version> + <displayName>avs_common_mm</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>8</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_ta2_unb2b_10GbE</hdlLibraryName> + <hdlLibraryName>board_reg_unb_pmbus</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_ta2_unb2b_10GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_10GbE</fileSetFixedName> + <fileSetName>board_reg_unb_pmbus</fileSetName> + <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_10GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_10GbE</fileSetFixedName> + <fileSetName>board_reg_unb_pmbus</fileSetName> + <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_10GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_10GbE</fileSetFixedName> + <fileSetName>board_reg_unb_pmbus</fileSetName> + <fileSetFixedName>board_reg_unb_pmbus</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_ta2_unb2b_10GbE.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_unb_pmbus.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="ta2_unb2b_1GbE_mc" + name="reg_unb_sens" kind="altera_generic_component" version="1.0" enabled="1"> @@ -30974,17 +15954,17 @@ <boundary> <interfaces> <interface> - <name>kernel_clk</name> - <type>clock</type> + <name>address</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> + <name>coe_address_export</name> + <role>export</role> + <direction>Output</direction> + <width>6</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -30993,28 +15973,27 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_reset</name> - <type>reset</type> + <name>clk</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> + <name>coe_clk_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -31027,210 +16006,260 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> + <name>mem</name> + <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>kernel_snk_data</name> - <role>data</role> + <name>avs_mem_address</name> + <role>address</role> <direction>Input</direction> - <width>40</width> + <width>6</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> + <name>avs_mem_write</name> + <role>write</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>kernel_snk_valid</name> - <role>valid</role> + <name>avs_mem_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_read</name> + <role>read</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> + <port> + <name>avs_mem_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> + <entry> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> + <value>0</value> + </entry> + <entry> + <key>addressSpan</key> + <value>256</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> + <value>false</value> + </entry> <entry> <key>associatedClock</key> - <value>kernel_clk</value> + <value>system</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> + <value>system_reset</value> </entry> <entry> - <key>beatsPerCycle</key> - <value>1</value> + <key>bitsPerSymbol</key> + <value>8</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> </entry> <entry> - <key>emptyWithinPacket</key> + <key>burstOnBurstBoundariesOnly</key> <value>false</value> </entry> <entry> - <key>errorDescriptor</key> + <key>burstcountUnits</key> + <value>WORDS</value> </entry> <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> + <key>linewrapBursts</key> <value>false</value> </entry> <entry> - <key>maxChannel</key> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> + <key>printableDevice</key> + <value>false</value> </entry> <entry> - <key>symbolsPerBeat</key> + <key>readLatency</key> <value>1</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> <entry> - <key>associatedReset</key> - <value>kernel_reset</value> + <key>readWaitStates</key> + <value>0</value> </entry> <entry> - <key>beatsPerCycle</key> - <value>1</value> + <key>readWaitTime</key> + <value>0</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> + <key>registerIncomingSignals</key> + <value>false</value> </entry> <entry> - <key>emptyWithinPacket</key> + <key>registerOutgoingSignals</key> <value>false</value> </entry> <entry> - <key>errorDescriptor</key> + <key>setupTime</key> + <value>0</value> </entry> <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <key>timingUnits</key> + <value>Cycles</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> + <key>transparentBridge</key> <value>false</value> </entry> <entry> - <key>maxChannel</key> + <key>waitrequestAllowance</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> + <key>wellBehavedWaitrequest</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> + <key>writeLatency</key> <value>0</value> </entry> <entry> - <key>readyLatency</key> + <key>writeWaitStates</key> <value>0</value> </entry> <entry> - <key>symbolsPerBeat</key> - <value>1</value> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>st_clk</name> - <type>clock</type> + <name>read</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>st_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_read_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -31242,31 +16271,30 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>st_rst</name> - <type>reset</type> + <name>readdata</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>st_rst</name> - <role>reset</role> + <name>coe_readdata_export</name> + <role>export</role> <direction>Input</direction> - <width>1</width> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -31276,68 +16304,30 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_rx_snk_in</name> - <type>avalon_streaming</type> + <name>reset</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>udp_rx_siso_ready</name> - <role>ready</role> + <name>coe_reset_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - <port> - <name>udp_rx_sosi_data</name> - <role>data</role> - <direction>Input</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_empty</name> - <role>empty</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_eop</name> - <role>endofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_sop</name> - <role>startofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap/> @@ -31346,71 +16336,26 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_rx_snk_in_xon</name> - <type>conduit</type> + <name>system</name> + <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>udp_rx_siso_xon</name> - <role>xon</role> - <direction>Output</direction> + <name>csi_system_clk</name> + <role>clk</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -31422,73 +16367,32 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> - <value>st_clk</value> + <key>clockRate</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> - <value>st_rst</value> + <key>externallyDriven</key> + <value>false</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>ptfSchematicName</key> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_tx_src_out</name> - <type>avalon_streaming</type> - <isStart>true</isStart> + <name>system_reset</name> + <type>reset</type> + <isStart>false</isStart> <ports> <port> - <name>udp_tx_siso_ready</name> - <role>ready</role> + <name>csi_system_reset</name> + <role>reset</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - <port> - <name>udp_tx_sosi_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_empty</name> - <role>empty</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_eop</name> - <role>endofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_sop</name> - <role>startofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap/> @@ -31497,74 +16401,59 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <value>system</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>write</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_write_export</name> + <role>export</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>maxChannel</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>packetDescription</key> - <value></value> + <key>associatedReset</key> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_tx_src_out_xon</name> + <name>writedata</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>udp_tx_siso_xon</name> - <role>xon</role> - <direction>Input</direction> - <width>1</width> + <name>coe_writedata_export</name> + <role>export</role> + <direction>Output</direction> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -31574,11 +16463,9 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> </entry> <entry> <key>prSafe</key> @@ -31590,667 +16477,92 @@ </interfaces> </boundary> <originalModuleInfo> - <className>ta2_unb2b_1GbE_mc</className> + <className>avs_common_mm</className> <version>1.0</version> - <displayName>ta2_unb2b_1GbE_mc</displayName> + <displayName>avs_common_mm</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> - <descriptors/> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> </systemInfoParameterDescriptors> <systemInfos> - <connPtSystemInfos/> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x100' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>8</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>st_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>st_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>st_rst</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>st_rst</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>udp_rx_snk_in</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>udp_rx_siso_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_data</name> - <role>data</role> - <direction>Input</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_empty</name> - <role>empty</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_eop</name> - <role>endofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_sop</name> - <role>startofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>udp_tx_src_out</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>udp_tx_siso_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_empty</name> - <role>empty</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_eop</name> - <role>endofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_sop</name> - <role>startofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>udp_tx_src_out_xon</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>udp_tx_siso_xon</name> - <role>xon</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>udp_rx_snk_in_xon</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>udp_rx_siso_xon</name> - <role>xon</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>st_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_ta2_unb2b_1GbE_mc</hdlLibraryName> + <hdlLibraryName>board_reg_unb_sens</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_ta2_unb2b_1GbE_mc</fileSetName> - <fileSetFixedName>board_ta2_unb2b_1GbE_mc</fileSetFixedName> + <fileSetName>board_reg_unb_sens</fileSetName> + <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_1GbE_mc</fileSetName> - <fileSetFixedName>board_ta2_unb2b_1GbE_mc</fileSetFixedName> + <fileSetName>board_reg_unb_sens</fileSetName> + <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_1GbE_mc</fileSetName> - <fileSetFixedName>board_ta2_unb2b_1GbE_mc</fileSetFixedName> + <fileSetName>board_reg_unb_sens</fileSetName> + <fileSetFixedName>board_reg_unb_sens</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_ta2_unb2b_1GbE_mc.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_unb_sens.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="ta2_unb2b_40GbE" + name="reg_wdi" kind="altera_generic_component" version="1.0" enabled="1"> @@ -32258,17 +16570,17 @@ <boundary> <interfaces> <interface> - <name>config_clk</name> - <type>clock</type> + <name>address</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_address_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -32277,28 +16589,27 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>config_reset</name> - <type>reset</type> + <name>clk</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> + <name>coe_clk_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -32311,57 +16622,260 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>config_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_clk</name> - <type>clock</type> + <name>mem</name> + <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>kernel_clk</name> - <role>clk</role> + <name>avs_mem_address</name> + <role>address</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_write</name> + <role>write</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>avs_mem_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_read</name> + <role>read</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> + <port> + <name>avs_mem_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> <entry> - <key>clockRate</key> + <key>addressAlignment</key> + <value>DYNAMIC</value> + </entry> + <entry> + <key>addressGroup</key> <value>0</value> </entry> <entry> - <key>externallyDriven</key> + <key>addressSpan</key> + <value>8</value> + </entry> + <entry> + <key>addressUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>alwaysBurstMaxBurst</key> <value>false</value> </entry> <entry> - <key>ptfSchematicName</key> + <key>associatedClock</key> + <value>system</value> + </entry> + <entry> + <key>associatedReset</key> + <value>system_reset</value> + </entry> + <entry> + <key>bitsPerSymbol</key> + <value>8</value> + </entry> + <entry> + <key>bridgedAddressOffset</key> + <value>0</value> + </entry> + <entry> + <key>bridgesToMaster</key> + </entry> + <entry> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> + </entry> + <entry> + <key>burstcountUnits</key> + <value>WORDS</value> + </entry> + <entry> + <key>constantBurstBehavior</key> + <value>false</value> + </entry> + <entry> + <key>explicitAddressSpan</key> + <value>0</value> + </entry> + <entry> + <key>holdTime</key> + <value>0</value> + </entry> + <entry> + <key>interleaveBursts</key> + <value>false</value> + </entry> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>1</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>0</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_reset</name> - <type>reset</type> + <name>read</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> + <name>coe_read_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -32374,43 +16888,29 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> + <name>readdata</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> + <name>coe_readdata_export</name> + <role>export</role> <direction>Input</direction> - <width>1</width> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -32420,86 +16920,25 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> + <name>reset</name> + <type>conduit</type> + <isStart>false</isStart> <ports> <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> + <name>coe_reset_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -32513,69 +16952,24 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>refclk</name> + <name>system</name> <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>clk_ref_r</name> + <name>csi_system_clk</name> <role>clk</role> <direction>Input</direction> <width>1</width> @@ -32603,17 +16997,17 @@ </parameters> </interface> <interface> - <name>rx_serial_data</name> - <type>conduit</type> + <name>system_reset</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>rx_serial_r</name> - <role>conduit</role> + <name>csi_system_reset</name> + <role>reset</role> <direction>Input</direction> - <width>4</width> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -32623,25 +17017,23 @@ <parameterValueMap> <entry> <key>associatedClock</key> + <value>system</value> </entry> <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>rx_status</name> + <name>write</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>rx_status</name> - <role>rx_status</role> + <name>coe_write_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -32667,15 +17059,15 @@ </parameters> </interface> <interface> - <name>tx_serial_data</name> + <name>writedata</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>tx_serial_r</name> - <role>conduit</role> + <name>coe_writedata_export</name> + <role>export</role> <direction>Output</direction> - <width>4</width> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -32701,494 +17093,92 @@ </interfaces> </boundary> <originalModuleInfo> - <className>ta2_unb2b_40GbE</className> + <className>avs_common_mm</className> <version>1.0</version> - <displayName>ta2_unb2b_40GbE</displayName> + <displayName>avs_common_mm</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> - <descriptors/> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> </systemInfoParameterDescriptors> <systemInfos> - <connPtSystemInfos/> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>config_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>config_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_ref_r</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_r</name> - <role>conduit</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_status</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_status</name> - <role>rx_status</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>tx_serial_r</name> - <role>conduit</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x8' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>3</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_ta2_unb2b_40GbE</hdlLibraryName> + <hdlLibraryName>board_reg_wdi</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_ta2_unb2b_40GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_40GbE</fileSetFixedName> + <fileSetName>board_reg_wdi</fileSetName> + <fileSetFixedName>board_reg_wdi</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_40GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_40GbE</fileSetFixedName> + <fileSetName>board_reg_wdi</fileSetName> + <fileSetFixedName>board_reg_wdi</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_40GbE</fileSetName> - <fileSetFixedName>board_ta2_unb2b_40GbE</fileSetFixedName> + <fileSetName>board_reg_wdi</fileSetName> + <fileSetFixedName>board_reg_wdi</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_ta2_unb2b_40GbE.ip</parameter> + <parameter name="logicalView">ip/board/board_reg_wdi.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> </module> <module - name="ta2_unb2b_jesd204b" + name="rom_system_info" kind="altera_generic_component" version="1.0" enabled="1"> @@ -33196,34 +17186,18 @@ <boundary> <interfaces> <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> + <name>address</name> + <type>conduit</type> + <isStart>false</isStart> <ports> <port> - <name>kernel_src_data</name> - <role>data</role> + <name>coe_address_export</name> + <role>export</role> <direction>Output</direction> - <width>16</width> + <width>10</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap/> @@ -33232,104 +17206,26 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>config_reset</name> - <type>reset</type> + <name>clk</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> + <name>coe_clk_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -33344,38 +17240,11 @@ <key>associatedClock</key> </entry> <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> + <key>associatedReset</key> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> @@ -33386,31 +17255,31 @@ <isStart>false</isStart> <ports> <port> - <name>jesd204b_mosi_address</name> + <name>avs_mem_address</name> <role>address</role> <direction>Input</direction> - <width>8</width> + <width>10</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>jesd204b_mosi_wrdata</name> - <role>writedata</role> + <name>avs_mem_write</name> + <role>write</role> <direction>Input</direction> - <width>32</width> + <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>jesd204b_mosi_wr</name> - <role>write</role> + <name>avs_mem_writedata</name> + <role>writedata</role> <direction>Input</direction> - <width>1</width> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>jesd204b_mosi_rd</name> + <name>avs_mem_read</name> <role>read</role> <direction>Input</direction> <width>1</width> @@ -33418,21 +17287,13 @@ <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>jesd204b_miso_rddata</name> + <name>avs_mem_readdata</name> <role>readdata</role> <direction>Output</direction> <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> - <port> - <name>jesd204b_miso_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap> @@ -33466,7 +17327,7 @@ </entry> <entry> <key>addressSpan</key> - <value>1024</value> + <value>4096</value> </entry> <entry> <key>addressUnits</key> @@ -33478,11 +17339,11 @@ </entry> <entry> <key>associatedClock</key> - <value>config_clk</value> + <value>system</value> </entry> <entry> <key>associatedReset</key> - <value>config_reset</value> + <value>system_reset</value> </entry> <entry> <key>bitsPerSymbol</key> @@ -33573,11 +17434,11 @@ </entry> <entry> <key>readWaitStates</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>readWaitTime</key> - <value>1</value> + <value>0</value> </entry> <entry> <key>registerIncomingSignals</key> @@ -33596,41 +17457,105 @@ <value>Cycles</value> </entry> <entry> - <key>transparentBridge</key> - <value>false</value> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>read</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_read_export</name> + <role>export</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>readdata</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>coe_readdata_export</name> + <role>export</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> </entry> <entry> - <key>waitrequestAllowance</key> - <value>0</value> + <key>associatedReset</key> </entry> <entry> - <key>wellBehavedWaitrequest</key> + <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>config_clk</name> - <type>clock</type> + <name>reset</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_reset_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -33642,26 +17567,25 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>jesd204b_refclk</name> + <name>system</name> <type>clock</type> <isStart>false</isStart> <ports> <port> - <name>jesd204b_refclk</name> + <name>csi_system_clk</name> <role>clk</role> <direction>Input</direction> <width>1</width> @@ -33689,13 +17613,13 @@ </parameters> </interface> <interface> - <name>jesd204b_sysref</name> - <type>conduit</type> + <name>system_reset</name> + <type>reset</type> <isStart>false</isStart> <ports> <port> - <name>jesd204b_sysref</name> - <role>conduit</role> + <name>csi_system_reset</name> + <role>reset</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -33709,31 +17633,27 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>jesd204b_refclk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> + <value>system</value> </entry> <entry> - <key>prSafe</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>jesd204b_sync_n</name> + <name>write</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>jesd204b_sync_n_arr</name> - <role>conduit</role> + <name>coe_write_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> + <vhdlType>STD_LOGIC</vhdlType> </port> </ports> <assignments> @@ -33743,11 +17663,9 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>jesd204b_refclk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> </entry> <entry> <key>prSafe</key> @@ -33757,15 +17675,15 @@ </parameters> </interface> <interface> - <name>serial_rx_arr</name> + <name>writedata</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>serial_rx_arr</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> + <name>coe_writedata_export</name> + <role>export</role> + <direction>Output</direction> + <width>32</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> @@ -33777,11 +17695,9 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> <key>associatedReset</key> - <value>kernel_reset</value> </entry> <entry> <key>prSafe</key> @@ -33793,12 +17709,20 @@ </interfaces> </boundary> <originalModuleInfo> - <className>ta2_unb2b_jesd204b</className> + <className>avs_common_mm</className> <version>1.0</version> - <displayName>ta2_unb2b_jesd204b</displayName> + <displayName>avs_common_mm</displayName> </originalModuleInfo> <systemInfoParameterDescriptors> - <descriptors/> + <descriptors> + <descriptor> + <parameterDefaultValue>-1</parameterDefaultValue> + <parameterName>AUTO_SYSTEM_CLOCK_RATE</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>system</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> </systemInfoParameterDescriptors> <systemInfos> <connPtSystemInfos> @@ -33809,647 +17733,61 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_mosi_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_mosi_wrdata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_mosi_wr</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204b_mosi_rd</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204b_miso_rddata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_miso_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>config_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>config_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_sysref</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_sysref</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204b_refclk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_sync_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_sync_n_arr</name> - <role>conduit</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204b_refclk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>serial_rx_arr</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>serial_rx_arr</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <value><address-map><slave name='mem' start='0x0' end='0x1000' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>12</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> - <hdlLibraryName>board_ta2_unb2b_jesd204b</hdlLibraryName> + <hdlLibraryName>board_rom_system_info</hdlLibraryName> <fileSets> <fileSet> - <fileSetName>board_ta2_unb2b_jesd204b</fileSetName> - <fileSetFixedName>board_ta2_unb2b_jesd204b</fileSetFixedName> + <fileSetName>board_rom_system_info</fileSetName> + <fileSetFixedName>board_rom_system_info</fileSetFixedName> <fileSetKind>QUARTUS_SYNTH</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_jesd204b</fileSetName> - <fileSetFixedName>board_ta2_unb2b_jesd204b</fileSetFixedName> + <fileSetName>board_rom_system_info</fileSetName> + <fileSetFixedName>board_rom_system_info</fileSetFixedName> <fileSetKind>SIM_VERILOG</fileSetKind> <fileSetFiles/> </fileSet> <fileSet> - <fileSetName>board_ta2_unb2b_jesd204b</fileSetName> - <fileSetFixedName>board_ta2_unb2b_jesd204b</fileSetFixedName> + <fileSetName>board_rom_system_info</fileSetName> + <fileSetFixedName>board_rom_system_info</fileSetFixedName> <fileSetKind>SIM_VHDL</fileSetKind> <fileSetFiles/> </fileSet> </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip/board/board_ta2_unb2b_jesd204b.ip</parameter> + <parameter name="logicalView">ip/board/board_rom_system_info.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> @@ -34561,890 +17899,255 @@ <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isTimerDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> + <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>transparentBridge</key> - <value>false</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>s1</name> + <type>avalon</type> + <isStart>false</isStart> + <ports> + <port> + <name>address</name> + <role>address</role> + <direction>Input</direction> + <width>3</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>16</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>16</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>chipselect</name> + <role>chipselect</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + <port> + <name>write_n</name> + <role>write_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> <entry> - <key>waitrequestAllowance</key> + <key>embeddedsw.configuration.isFlash</key> <value>0</value> </entry> <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> </entry> <entry> - <key>writeLatency</key> + <key>embeddedsw.configuration.isNonVolatileStorage</key> <value>0</value> </entry> <entry> - <key>writeWaitStates</key> + <key>embeddedsw.configuration.isPrintableDevice</key> <value>0</value> </entry> <entry> - <key>writeWaitTime</key> - <value>0</value> + <key>embeddedsw.configuration.isTimerDevice</key> + <value>1</value> </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> -<device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > - <peripherals> - <peripheral> - <name>altera_avalon_timer</name><baseAddress>0x00000000</baseAddress> - <addressBlock> - <offset>0x0</offset> - <size>16</size> - <usage>registers</usage> - </addressBlock> - <registers> - <register> - <name>status</name> - <displayName>Status</displayName> - <description>The status register has two defined bits. TO (timeout), RUN</description> - <addressOffset>0x0</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - <fields> - <field><name>TO</name> - <description>The TO (timeout) bit is set to 1 when the internal counter reaches zero. Once set by a timeout event, the TO bit stays set until explicitly cleared by a master peripheral. Write zero to the status register to clear the TO bit.</description> - <bitOffset>0x0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - <readAction>clear</readAction> - </field> - <field><name>RUN</name> - <description>The RUN bit reads as 1 when the internal counter is running; otherwise this bit reads as 0. The RUN bit is not changed by - a write operation to the status register.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>2</bitOffset> - <bitWidth>14</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </fields> - </register> - <register> - <name>control</name> - <description>The control register has four defined bits. ITO (Timeout Interrupt), CONT (continue), START, STOP</description> - <addressOffset>0x1</addressOffset> - <size>16</size> - <access>read-write</access> - <reset> - <value>0x0</value> - </reset> - <field> - <name>ITO</name> - <description>If the ITO bit is 1, the interval timer core generates an IRQ when the status register's TO bit is 1. When the ITO bit is 0, the timer does not generate IRQs.</description> - <bitOffset>0</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>CONT</name> - <description>The CONT (continuous) bit determines how the internal counter behaves when it reaches zero. If the CONT bit is 1, the counter runs continuously until it is stopped by the STOP bit. If CONT is 0, the counter stops after it reaches zero. When the counter reaches zero, it reloads with the value stored in the period registers, regardless of the CONT bit.</description> - <bitOffset>1</bitOffset> - <bitWidth>1</bitWidth> - <access>read-write</access> - </field> - <field> - <name>START</name> - <description>Writing a 1 to the START bit starts the internal counter running (counting down). The START bit is an event bit that enables the counter when a write operation is performed. If the timer is stopped, writing a 1 to the START bit causes the timer to restart counting from the number currently stored in its counter. If the timer is already running, writing a 1 to START has no effect. Writing 0 to the START bit has no effect.</description> - <bitOffset>2</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>STOP</name> - <description>Writing a 1 to the STOP bit stops the internal counter. The STOP bit is an event bit that causes the counter to stop when a write operation is performed. If the timer is already stopped, writing a 1 to STOP has no effect. Writing a 0 to the stop bit has no effect. If the timer hardware is configured with Start/Stop control bits off, writing the STOP bit has no effect.</description> - <bitOffset>3</bitOffset> - <bitWidth>1</bitWidth> - <access>write-only</access> - </field> - <field> - <name>Reserved</name> - <description>Reserved</description> - <bitOffset>4</bitOffset> - <bitWidth>12</bitWidth> - <access>read-write</access> - <parameters> - <parameter> - <name>Reserved</name> - <value>true</value> - </parameter> - </parameters> - </field> - </register> - <register> - <name>${period_name_0}</name> - <description>The period_n registers together store the timeout period value when a write operation to one of the period_n register or the internal counter reaches 0. The timer's actual period is one cycle greater than the value stored in the period_n registers because the counter assumes the value zero for one clock cycle. Writing to one of the period_n registers stops the internal counter, except when the hardware is configured with Start/Stop control bits off. If Start/Stop control bits is off, writing either register does not stop the counter. When the hardware is configured with Writeable period disabled, writing to one of the period_n registers causes the counter to reset to the fixed Timeout Period specified at system generation time.</description> - <addressOffset>0x2</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_name_1}</name> - <description></description> - <addressOffset>0x3</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_name_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_0}</name> - <description></description> - <addressOffset>0x4</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_0_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${period_snap_1}</name> - <description></description> - <addressOffset>0x5</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>${period_snap_1_reset_value}</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_0}</name> - <description>A master peripheral may request a coherent snapshot of the current internal counter by performing a write operation (write-data ignored) to one of the snap_n registers. When a write occurs, the value of the counter is copied to snap_n registers. The snapshot occurs whether or not the counter is running. Requesting a snapshot does not change the internal counter's operation.</description> - <addressOffset>0x6</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_1}</name> - <description></description> - <addressOffset>0x7</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_2}</name> - <description></description> - <addressOffset>0x8</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - <register> - <name>${snap_3}</name> - <description></description> - <addressOffset>0x9</addressOffset> - <size>16</size> - <access>read-write</access> - <resetValue>0x0</resetValue> - <resetMask>0xffff</resetMask> - </register> - </registers> - </peripheral> - </peripherals> -</device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>period_name_1_reset_value</key> - <value>0x1</value> + <key>addressAlignment</key> + <value>NATIVE</value> </entry> <entry> - <key>snap_0</key> - <value>Reserved</value> + <key>addressGroup</key> + <value>0</value> </entry> <entry> - <key>period_name_0_reset_value</key> - <value>0x869f</value> + <key>addressSpan</key> + <value>8</value> </entry> <entry> - <key>snap_2</key> - <value>Reserved</value> + <key>addressUnits</key> + <value>WORDS</value> </entry> <entry> - <key>snap_1</key> - <value>Reserved</value> + <key>alwaysBurstMaxBurst</key> + <value>false</value> </entry> <entry> - <key>snap_3</key> - <value>Reserved</value> + <key>associatedClock</key> + <value>clk</value> </entry> <entry> - <key>period_name_0</key> - <value>periodl</value> + <key>associatedReset</key> + <value>reset</value> </entry> <entry> - <key>period_name_1</key> - <value>periodh</value> + <key>bitsPerSymbol</key> + <value>8</value> </entry> <entry> - <key>period_snap_1</key> - <value>snaph</value> + <key>bridgedAddressOffset</key> + <value>0</value> </entry> <entry> - <key>period_snap_1_reset_value</key> - <value>0x0</value> + <key>bridgesToMaster</key> </entry> <entry> - <key>period_snap_0_reset_value</key> - <value>0x0</value> + <key>burstOnBurstBoundariesOnly</key> + <value>false</value> </entry> <entry> - <key>period_snap_0</key> - <value>snapl</value> + <key>burstcountUnits</key> + <value>WORDS</value> </entry> - </cmsisVars> - </cmsisInfo> - </interface> - </interfaces> - </boundary> - <originalModuleInfo> - <className>altera_avalon_timer</className> - <version>19.1</version> - <displayName>Interval Timer Intel FPGA IP</displayName> - </originalModuleInfo> - <systemInfoParameterDescriptors> - <descriptors> - <descriptor> - <parameterDefaultValue>0</parameterDefaultValue> - <parameterName>systemFrequency</parameterName> - <parameterType>java.lang.Long</parameterType> - <systemInfoArgs>clk</systemInfoArgs> - <systemInfotype>CLOCK_RATE</systemInfotype> - </descriptor> - </descriptors> - </systemInfoParameterDescriptors> - <systemInfos> - <connPtSystemInfos> - <entry> - <key>clk</key> - <value> - <connectionPointName>clk</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> + <key>constantBurstBehavior</key> + <value>false</value> </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>s1</key> - <value> - <connectionPointName>s1</connectionPointName> - <suppliedSystemInfos> <entry> - <key>ADDRESS_MAP</key> - <value><address-map><slave name='s1' start='0x0' end='0x20' datawidth='16' /></address-map></value> + <key>explicitAddressSpan</key> + <value>0</value> </entry> <entry> - <key>ADDRESS_WIDTH</key> - <value>5</value> + <key>holdTime</key> + <value>0</value> </entry> <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>16</value> + <key>interleaveBursts</key> + <value>false</value> </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> - </connPtSystemInfos> - </systemInfos> -</componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>irq</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>irq</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>timer_0.s1</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>s1</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>address</name> - <role>address</role> - <direction>Input</direction> - <width>3</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>write_n</name> - <role>write_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isTimerDevice</key> - <value>1</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>NATIVE</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>8</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>0</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - <cmsisInfo> - <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> + <entry> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> + <value>false</value> + </entry> + <entry> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>1</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> + </entry> + <entry> + <key>registerIncomingSignals</key> + <value>false</value> + </entry> + <entry> + <key>registerOutgoingSignals</key> + <value>false</value> + </entry> + <entry> + <key>setupTime</key> + <value>0</value> + </entry> + <entry> + <key>timingUnits</key> + <value>Cycles</value> + </entry> + <entry> + <key>transparentBridge</key> + <value>false</value> + </entry> + <entry> + <key>waitrequestAllowance</key> + <value>0</value> + </entry> + <entry> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> + </entry> + </parameterValueMap> + </parameters> + <cmsisInfo> + <cmsisSrcFileContents><?xml version="1.0" encoding="utf-8"?> <device schemaVersion="1.1" xmlns:xs="http://www.w3.org/2001/XMLSchema-instance" xs:noNamespaceSchemaLocation="CMSIS-SVD_Schema_1_1.xsd" > <peripherals> <peripheral> @@ -35621,61 +18324,116 @@ </peripheral> </peripherals> </device> </cmsisSrcFileContents> - <addressGroup></addressGroup> - <cmsisVars> - <entry> - <key>period_name_1_reset_value</key> - <value>0x1</value> - </entry> - <entry> - <key>snap_0</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0_reset_value</key> - <value>0x869f</value> - </entry> - <entry> - <key>snap_2</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_1</key> - <value>Reserved</value> - </entry> - <entry> - <key>snap_3</key> - <value>Reserved</value> - </entry> - <entry> - <key>period_name_0</key> - <value>periodl</value> - </entry> - <entry> - <key>period_name_1</key> - <value>periodh</value> - </entry> - <entry> - <key>period_snap_1</key> - <value>snaph</value> - </entry> - <entry> - <key>period_snap_1_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0_reset_value</key> - <value>0x0</value> - </entry> - <entry> - <key>period_snap_0</key> - <value>snapl</value> - </entry> - </cmsisVars> - </cmsisInfo> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <addressGroup></addressGroup> + <cmsisVars> + <entry> + <key>period_name_1_reset_value</key> + <value>0x1</value> + </entry> + <entry> + <key>snap_0</key> + <value>Reserved</value> + </entry> + <entry> + <key>period_name_0_reset_value</key> + <value>0x869f</value> + </entry> + <entry> + <key>snap_2</key> + <value>Reserved</value> + </entry> + <entry> + <key>snap_1</key> + <value>Reserved</value> + </entry> + <entry> + <key>snap_3</key> + <value>Reserved</value> + </entry> + <entry> + <key>period_name_0</key> + <value>periodl</value> + </entry> + <entry> + <key>period_name_1</key> + <value>periodh</value> + </entry> + <entry> + <key>period_snap_1</key> + <value>snaph</value> + </entry> + <entry> + <key>period_snap_1_reset_value</key> + <value>0x0</value> + </entry> + <entry> + <key>period_snap_0_reset_value</key> + <value>0x0</value> + </entry> + <entry> + <key>period_snap_0</key> + <value>snapl</value> + </entry> + </cmsisVars> + </cmsisInfo> + </interface> + </interfaces> + </boundary> + <originalModuleInfo> + <className>altera_avalon_timer</className> + <version>18.0</version> + <displayName>Interval Timer Intel FPGA IP</displayName> + </originalModuleInfo> + <systemInfoParameterDescriptors> + <descriptors> + <descriptor> + <parameterDefaultValue>0</parameterDefaultValue> + <parameterName>systemFrequency</parameterName> + <parameterType>java.lang.Long</parameterType> + <systemInfoArgs>clk</systemInfoArgs> + <systemInfotype>CLOCK_RATE</systemInfotype> + </descriptor> + </descriptors> + </systemInfoParameterDescriptors> + <systemInfos> + <connPtSystemInfos> + <entry> + <key>clk</key> + <value> + <connectionPointName>clk</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>s1</key> + <value> + <connectionPointName>s1</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='s1' start='0x0' end='0x20' datawidth='16' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>5</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>16</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> + </systemInfos> +</componentDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>board_timer_0</hdlLibraryName> <fileSets> @@ -35761,959 +18519,543 @@ </module> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x03b8" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="kernel_clk_gen.ctrl"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x9000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="kernel_interface.ctrl"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x4000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="cpu_0.debug_mem_slave"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3800" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_unb_sens.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0200" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="rom_system_info.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x1000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="pio_system_info.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="pio_pps.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x03b0" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_wdi.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_remu.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0360" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_epcs.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0340" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x03a8" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_dpmm_data.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x03a0" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0398" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_mmdp_data.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0390" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0320" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_unb_pmbus.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0100" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00c0" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" - end="ta2_unb2b_jesd204b.mem"> - <parameter name="arbitrationPriority" value="1" /> + end="reg_ta2_unb2b_jesd204b.mem"> <parameter name="baseAddress" value="0x0400" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="avs_eth_0.mms_ram"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x8000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="avs_eth_0.mms_reg"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0080" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="avs_eth_0.mms_tse"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x2000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="onchip_memory2_0.s1"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00020000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="pio_wdi.s1"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0380" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.data_master" end="timer_0.s1"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0300" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.instruction_master" end="cpu_0.debug_mem_slave"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3800" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="19.2" + version="18.0" start="cpu_0.instruction_master" end="onchip_memory2_0.s1"> - <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00020000" /> - <parameter name="defaultConnection" value="false" /> - <parameter name="domainAlias" value="" /> - <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> - <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> - <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> - <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> - <parameter name="qsys_mm.interconnectType" value="STANDARD" /> - <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> - <parameter name="qsys_mm.syncResets" value="FALSE" /> - <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> - <connection kind="clock" version="19.2" start="clk_0.clk" end="jtag_uart_0.clk" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="pio_wdi.clk" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="cpu_0.clk" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="timer_0.clk" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="jtag_uart_0.clk" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_wdi.clk" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="cpu_0.clk" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="timer_0.clk" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="kernel_interface.clk" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="kernel_clk_gen.clk" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="onchip_memory2_0.clk1" /> <connection kind="clock" - version="19.2" - start="clk_0.clk" - end="ta2_unb2b_40GbE.config_clk" /> - <connection - kind="clock" - version="19.2" - start="clk_0.clk" - end="ta2_unb2b_jesd204b.config_clk" /> - <connection - kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="kernel_clk_gen.kernel_pll_refclk" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="avs_eth_0.mm" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="avs_eth_0.mm" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_unb_sens.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="rom_system_info.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="pio_system_info.system" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="pio_pps.system" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="reg_wdi.system" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="reg_remu.system" /> - <connection kind="clock" version="19.2" start="clk_0.clk" end="reg_epcs.system" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_pps.system" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wdi.system" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_remu.system" /> + <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_epcs.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_dpmm_ctrl.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_mmdp_data.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_dpmm_data.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_mmdp_ctrl.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_fpga_temp_sens.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_unb_pmbus.system" /> <connection kind="clock" - version="19.2" + version="18.0" start="clk_0.clk" end="reg_fpga_voltage_sens.system" /> <connection kind="clock" - version="19.2" + version="18.0" + start="clk_0.clk" + end="reg_ta2_unb2b_jesd204b.system" /> + <connection + kind="clock" + version="18.0" start="kernel_clk_gen.kernel_clk" end="board_onchip_memory.clk1" /> <connection kind="clock" - version="19.2" + version="18.0" start="kernel_clk_gen.kernel_clk" end="kernel_clk_export.clk_in" /> <connection kind="clock" - version="19.2" + version="18.0" start="kernel_clk_gen.kernel_clk" end="kernel_interface.kernel_clk" /> - <connection - kind="clock" - version="19.2" - start="kernel_clk_gen.kernel_clk" - end="ta2_unb2b_40GbE.kernel_clk" /> - <connection - kind="clock" - version="19.2" - start="kernel_clk_gen.kernel_clk" - end="ta2_unb2b_10GbE.kernel_clk" /> - <connection - kind="clock" - version="19.2" - start="kernel_clk_gen.kernel_clk" - end="ta2_unb2b_1GbE_mc.kernel_clk" /> - <connection - kind="clock" - version="19.2" - start="kernel_clk_gen.kernel_clk" - end="ta2_unb2b_jesd204b.kernel_clk" /> <connection kind="interrupt" - version="19.2" + version="18.0" start="cpu_0.irq" - end="avs_eth_0.interrupt"> - <parameter name="irqNumber" value="0" /> - </connection> + end="avs_eth_0.interrupt" /> <connection kind="interrupt" - version="19.2" + version="18.0" start="cpu_0.irq" end="jtag_uart_0.irq"> <parameter name="irqNumber" value="1" /> </connection> - <connection kind="interrupt" version="19.2" start="cpu_0.irq" end="timer_0.irq"> + <connection kind="interrupt" version="18.0" start="cpu_0.irq" end="timer_0.irq"> <parameter name="irqNumber" value="2" /> </connection> <connection kind="reset" - version="19.2" - start="clk_0.clk_reset" - end="ta2_unb2b_40GbE.config_reset" /> - <connection - kind="reset" - version="19.2" - start="clk_0.clk_reset" - end="ta2_unb2b_10GbE.config_reset" /> - <connection - kind="reset" - version="19.2" - start="clk_0.clk_reset" - end="ta2_unb2b_jesd204b.config_reset" /> - <connection - kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="avs_eth_0.mm_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="jtag_uart_0.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="pio_wdi.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="timer_0.reset" /> - <connection kind="reset" version="19.2" start="clk_0.clk_reset" end="cpu_0.reset" /> + <connection kind="reset" version="18.0" start="clk_0.clk_reset" end="cpu_0.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="kernel_interface.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="kernel_clk_gen.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="onchip_memory2_0.reset1" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_unb_sens.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="rom_system_info.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="pio_system_info.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="pio_pps.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_wdi.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_remu.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_epcs.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_dpmm_ctrl.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_mmdp_data.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_mmdp_ctrl.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_dpmm_data.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_fpga_temp_sens.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_unb_pmbus.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="clk_0.clk_reset" end="reg_fpga_voltage_sens.system_reset" /> <connection kind="reset" - version="19.2" - start="cpu_0.debug_reset_request" - end="ta2_unb2b_40GbE.config_reset" /> - <connection - kind="reset" - version="19.2" - start="cpu_0.debug_reset_request" - end="ta2_unb2b_10GbE.config_reset" /> - <connection - kind="reset" - version="19.2" - start="cpu_0.debug_reset_request" - end="ta2_unb2b_jesd204b.config_reset" /> + version="18.0" + start="clk_0.clk_reset" + end="reg_ta2_unb2b_jesd204b.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="avs_eth_0.mm_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="jtag_uart_0.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="pio_wdi.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="timer_0.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="cpu_0.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="kernel_clk_gen.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="kernel_interface.reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="onchip_memory2_0.reset1" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_unb_sens.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="rom_system_info.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="pio_system_info.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="pio_pps.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_wdi.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_remu.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_epcs.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_dpmm_ctrl.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_mmdp_data.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_dpmm_data.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_mmdp_ctrl.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_fpga_temp_sens.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_unb_pmbus.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="cpu_0.debug_reset_request" end="reg_fpga_voltage_sens.system_reset" /> <connection kind="reset" - version="19.2" - start="kernel_interface.kernel_reset" - end="kernel_clk_export.clk_in_reset" /> - <connection - kind="reset" - version="19.2" - start="kernel_interface.kernel_reset" - end="ta2_unb2b_40GbE.kernel_reset" /> - <connection - kind="reset" - version="19.2" - start="kernel_interface.kernel_reset" - end="ta2_unb2b_10GbE.kernel_reset" /> - <connection - kind="reset" - version="19.2" - start="kernel_interface.kernel_reset" - end="ta2_unb2b_1GbE_mc.kernel_reset" /> + version="18.0" + start="cpu_0.debug_reset_request" + end="reg_ta2_unb2b_jesd204b.system_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="kernel_interface.kernel_reset" - end="ta2_unb2b_jesd204b.kernel_reset" /> + end="kernel_clk_export.clk_in_reset" /> <connection kind="reset" - version="19.2" + version="18.0" start="kernel_interface.kernel_reset" end="board_onchip_memory.reset1" /> + <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="0" /> </system> diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/flat.qsf b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/flat.qsf index 065c54d3aace1b86558a8a4d1edd486ad399c9dd..fa25d1956c3ebab33b251b2e7433ded22755221f 100755 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/flat.qsf +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/flat.qsf @@ -492,7 +492,4 @@ set_location_assignment PIN_U12 -to JESD204B_SYNC[0] set_instance_assignment -name IO_STANDARD "1.8 V" -to JESD204B_SYNC[0] -set_global_assignment -name IP_FILE ip/board/board_ta2_unb2b_40GbE.ip -set_global_assignment -name IP_FILE ip/board/board_ta2_unb2b_10GbE.ip -set_global_assignment -name IP_FILE ip/board/board_ta2_unb2b_1GbE_mc.ip -set_global_assignment -name IP_FILE ip/board/board_ta2_unb2b_jesd204b.ip +set_global_assignment -name IP_FILE ip/board/board_reg_ta2_unb2b_jesd204b.ip diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_cpu_0.ip b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_cpu_0.ip index 7576f2745d01d2071f20ed763182f7fa8f5a1a87..3b9742fd6ba2911dfe92d25b03eed4c2d7c570b2 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_cpu_0.ip +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_cpu_0.ip @@ -2218,7 +2218,7 @@ <spirit:parameter> <spirit:name>dataSlaveMapParam</spirit:name> <spirit:displayName>dataSlaveMapParam</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x8000' end='0x9000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value> + <spirit:value spirit:format="string" spirit:id="dataSlaveMapParam"><![CDATA[<address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='reg_ta2_unb2b_jesd204b.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x8000' end='0x9000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map>]]></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>tightlyCoupledDataMaster0MapParam</spirit:name> @@ -3489,7 +3489,7 @@ <suppliedSystemInfos> <entry> <key>ADDRESS_MAP</key> - <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x8000' end='0x9000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> + <value><address-map><slave name='pio_system_info.mem' start='0x0' end='0x80' datawidth='32' /><slave name='avs_eth_0.mms_reg' start='0x80' end='0xC0' datawidth='32' /><slave name='reg_fpga_voltage_sens.mem' start='0xC0' end='0x100' datawidth='32' /><slave name='reg_unb_pmbus.mem' start='0x100' end='0x200' datawidth='32' /><slave name='reg_unb_sens.mem' start='0x200' end='0x300' datawidth='32' /><slave name='timer_0.s1' start='0x300' end='0x320' datawidth='16' /><slave name='reg_fpga_temp_sens.mem' start='0x320' end='0x340' datawidth='32' /><slave name='reg_epcs.mem' start='0x340' end='0x360' datawidth='32' /><slave name='reg_remu.mem' start='0x360' end='0x380' datawidth='32' /><slave name='pio_wdi.s1' start='0x380' end='0x390' datawidth='32' /><slave name='reg_mmdp_data.mem' start='0x390' end='0x398' datawidth='32' /><slave name='reg_mmdp_ctrl.mem' start='0x398' end='0x3A0' datawidth='32' /><slave name='reg_dpmm_data.mem' start='0x3A0' end='0x3A8' datawidth='32' /><slave name='reg_dpmm_ctrl.mem' start='0x3A8' end='0x3B0' datawidth='32' /><slave name='pio_pps.mem' start='0x3B0' end='0x3B8' datawidth='32' /><slave name='jtag_uart_0.avalon_jtag_slave' start='0x3B8' end='0x3C0' datawidth='32' /><slave name='reg_ta2_unb2b_jesd204b.mem' start='0x400' end='0x800' datawidth='32' /><slave name='rom_system_info.mem' start='0x1000' end='0x2000' datawidth='32' /><slave name='avs_eth_0.mms_tse' start='0x2000' end='0x3000' datawidth='32' /><slave name='reg_wdi.mem' start='0x3000' end='0x3008' datawidth='32' /><slave name='cpu_0.debug_mem_slave' start='0x3800' end='0x4000' datawidth='32' /><slave name='kernel_interface.ctrl' start='0x4000' end='0x8000' datawidth='32' /><slave name='avs_eth_0.mms_ram' start='0x8000' end='0x9000' datawidth='32' /><slave name='kernel_clk_gen.ctrl' start='0x9000' end='0xA000' datawidth='32' /><slave name='onchip_memory2_0.s1' start='0x20000' end='0x40000' datawidth='32' /></address-map></value> </entry> <entry> <key>ADDRESS_WIDTH</key> diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_1GbE_mc.ip b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_reg_ta2_unb2b_jesd204b.ip similarity index 53% rename from applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_1GbE_mc.ip rename to applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_reg_ta2_unb2b_jesd204b.ip index c5df677de7de0ed003fda56bd16c6ef0650aac4e..b4884d2e29f0f16684aa11a9b65e919e267eea17 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_1GbE_mc.ip +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_reg_ta2_unb2b_jesd204b.ip @@ -1,149 +1,258 @@ <?xml version="1.0" ?> <spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_1GbE_mc</spirit:library> - <spirit:name>board_ta2_unb2b_1GbE_mc</spirit:name> + <spirit:vendor>ASTRON</spirit:vendor> + <spirit:library>board_reg_ta2_unb2b_jesd204b</spirit:library> + <spirit:name>board_reg_ta2_unb2b_jesd204b</spirit:name> <spirit:version>1.0</spirit:version> <spirit:busInterfaces> <spirit:busInterface> - <spirit:name>kernel_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> + <spirit:name>address</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>clk</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_clk</spirit:name> + <spirit:name>coe_address_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> <spirit:parameters> <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> + <spirit:name>associatedClock</spirit:name> + <spirit:displayName>associatedClock</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> + <spirit:name>associatedReset</spirit:name> + <spirit:displayName>associatedReset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> + <spirit:name>prSafe</spirit:name> + <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>kernel_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> + <spirit:name>clk</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>reset</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_reset</spirit:name> + <spirit:name>coe_clk_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> <spirit:parameters> <spirit:parameter> <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> + <spirit:displayName>associatedClock</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> + <spirit:name>associatedReset</spirit:name> + <spirit:displayName>associatedReset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>prSafe</spirit:name> + <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>kernel_snk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> + <spirit:name>mem</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>data</spirit:name> + <spirit:name>address</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>avs_mem_address</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>write</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>avs_mem_write</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>writedata</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>avs_mem_writedata</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>read</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_snk_data</spirit:name> + <spirit:name>avs_mem_read</spirit:name> </spirit:physicalPort> </spirit:portMap> <spirit:portMap> <spirit:logicalPort> - <spirit:name>ready</spirit:name> + <spirit:name>readdata</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_snk_ready</spirit:name> + <spirit:name>avs_mem_readdata</spirit:name> </spirit:physicalPort> </spirit:portMap> <spirit:portMap> <spirit:logicalPort> - <spirit:name>valid</spirit:name> + <spirit:name>waitrequest</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_snk_valid</spirit:name> + <spirit:name>avs_mem_waitrequest</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> <spirit:parameters> + <spirit:parameter> + <spirit:name>addressAlignment</spirit:name> + <spirit:displayName>Slave addressing</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="addressAlignment">DYNAMIC</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>addressGroup</spirit:name> + <spirit:displayName>Address group</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="addressGroup">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>addressSpan</spirit:name> + <spirit:displayName>Address span</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="addressSpan">1024</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>addressUnits</spirit:name> + <spirit:displayName>Address units</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="addressUnits">WORDS</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>alwaysBurstMaxBurst</spirit:name> + <spirit:displayName>Always burst maximum burst</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="alwaysBurstMaxBurst">false</spirit:value> + </spirit:parameter> <spirit:parameter> <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> + <spirit:displayName>Associated clock</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> + <spirit:displayName>Associated reset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedReset">system_reset</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>bitsPerSymbol</spirit:name> + <spirit:displayName>Bits per symbol</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="bitsPerSymbol">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>bridgedAddressOffset</spirit:name> + <spirit:displayName>Bridged Address Offset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="bridgedAddressOffset">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>bridgesToMaster</spirit:name> + <spirit:displayName>Bridges to master</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="bridgesToMaster"></spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>burstOnBurstBoundariesOnly</spirit:name> + <spirit:displayName>Burst on burst boundaries only</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="burstOnBurstBoundariesOnly">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>burstcountUnits</spirit:name> + <spirit:displayName>Burstcount units</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="burstcountUnits">WORDS</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>constantBurstBehavior</spirit:name> + <spirit:displayName>Constant burst behavior</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="constantBurstBehavior">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>explicitAddressSpan</spirit:name> + <spirit:displayName>Explicit address span</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="explicitAddressSpan">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>holdTime</spirit:name> + <spirit:displayName>Hold</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="holdTime">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>interleaveBursts</spirit:name> + <spirit:displayName>Interleave bursts</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="interleaveBursts">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>isBigEndian</spirit:name> + <spirit:displayName>Big endian</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="isBigEndian">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>isFlash</spirit:name> + <spirit:displayName>Flash memory</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="isFlash">false</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> + <spirit:name>isMemoryDevice</spirit:name> + <spirit:displayName>Memory device</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="isMemoryDevice">false</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> + <spirit:name>isNonVolatileStorage</spirit:name> + <spirit:displayName>Non-volatile storage</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="isNonVolatileStorage">false</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> + <spirit:name>linewrapBursts</spirit:name> + <spirit:displayName>Linewrap bursts</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="linewrapBursts">false</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> + <spirit:name>maximumPendingReadTransactions</spirit:name> + <spirit:displayName>Maximum pending read transactions</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="maximumPendingReadTransactions">0</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> + <spirit:name>maximumPendingWriteTransactions</spirit:name> + <spirit:displayName>Maximum pending write transactions</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="maximumPendingWriteTransactions">0</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> + <spirit:name>minimumReadLatency</spirit:name> + <spirit:displayName>minimumReadLatency</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="minimumReadLatency">1</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> + <spirit:name>minimumResponseLatency</spirit:name> + <spirit:displayName>Minimum response latency</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="minimumResponseLatency">1</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> + <spirit:name>minimumUninterruptedRunLength</spirit:name> + <spirit:displayName>Minimum uninterrupted run length</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="minimumUninterruptedRunLength">1</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>prSafe</spirit:name> @@ -151,49 +260,110 @@ <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> + <spirit:name>printableDevice</spirit:name> + <spirit:displayName>Can receive stdout/stderr</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="printableDevice">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>readLatency</spirit:name> + <spirit:displayName>Read latency</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="readLatency">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>readWaitStates</spirit:name> + <spirit:displayName>Read wait states</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="readWaitStates">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>readWaitTime</spirit:name> + <spirit:displayName>Read wait</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="readWaitTime">1</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>registerIncomingSignals</spirit:name> + <spirit:displayName>Register incoming signals</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="registerIncomingSignals">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>registerOutgoingSignals</spirit:name> + <spirit:displayName>Register outgoing signals</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="registerOutgoingSignals">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>setupTime</spirit:name> + <spirit:displayName>Setup</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="setupTime">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>timingUnits</spirit:name> + <spirit:displayName>Timing units</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="timingUnits">Cycles</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>transparentBridge</spirit:name> + <spirit:displayName>Transparent bridge</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="transparentBridge">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>waitrequestAllowance</spirit:name> + <spirit:displayName>Waitrequest allowance</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="waitrequestAllowance">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>wellBehavedWaitrequest</spirit:name> + <spirit:displayName>Well-behaved waitrequest</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="wellBehavedWaitrequest">false</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>writeLatency</spirit:name> + <spirit:displayName>Write latency</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="writeLatency">0</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> + <spirit:name>writeWaitStates</spirit:name> + <spirit:displayName>Write wait states</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="writeWaitStates">0</spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> + <spirit:name>writeWaitTime</spirit:name> + <spirit:displayName>Write wait</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="writeWaitTime">0</spirit:value> </spirit:parameter> </spirit:parameters> + <spirit:vendorExtensions> + <altera:altera_assignments> + <spirit:parameters> + <spirit:parameter> + <spirit:name>embeddedsw.configuration.isFlash</spirit:name> + <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isFlash">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>embeddedsw.configuration.isMemoryDevice</spirit:name> + <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isMemoryDevice">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>embeddedsw.configuration.isNonVolatileStorage</spirit:name> + <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isNonVolatileStorage">0</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>embeddedsw.configuration.isPrintableDevice</spirit:name> + <spirit:value spirit:format="string" spirit:id="embeddedsw.configuration.isPrintableDevice">0</spirit:value> + </spirit:parameter> + </spirit:parameters> + </altera:altera_assignments> + </spirit:vendorExtensions> </spirit:busInterface> <spirit:busInterface> - <spirit:name>kernel_src</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> + <spirit:name>read</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> + <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>kernel_src_valid</spirit:name> + <spirit:name>coe_read_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -201,77 +371,86 @@ <spirit:parameter> <spirit:name>associatedClock</spirit:name> <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>associatedReset</spirit:name> <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> + <spirit:name>prSafe</spirit:name> + <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>readdata</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> + <spirit:slave></spirit:slave> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>export</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>coe_readdata_export</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> + <spirit:name>associatedClock</spirit:name> + <spirit:displayName>associatedClock</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> + <spirit:name>associatedReset</spirit:name> + <spirit:displayName>associatedReset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>prSafe</spirit:name> <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> + </spirit:parameters> + </spirit:busInterface> + <spirit:busInterface> + <spirit:name>reset</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> + <spirit:slave></spirit:slave> + <spirit:portMaps> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>export</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>coe_reset_export</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + <spirit:parameters> <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> + <spirit:name>associatedClock</spirit:name> + <spirit:displayName>associatedClock</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> + <spirit:name>associatedReset</spirit:name> + <spirit:displayName>associatedReset</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> + <spirit:name>prSafe</spirit:name> + <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> + <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>st_clk</spirit:name> + <spirit:name>system</spirit:name> <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> @@ -280,7 +459,7 @@ <spirit:name>clk</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>st_clk</spirit:name> + <spirit:name>csi_system_clk</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -303,7 +482,7 @@ </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>st_rst</spirit:name> + <spirit:name>system_reset</spirit:name> <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> @@ -312,7 +491,7 @@ <spirit:name>reset</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>st_rst</spirit:name> + <spirit:name>csi_system_reset</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -320,7 +499,7 @@ <spirit:parameter> <spirit:name>associatedClock</spirit:name> <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">st_clk</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedClock">system</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>synchronousEdges</spirit:name> @@ -330,56 +509,16 @@ </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>udp_rx_snk_in</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> + <spirit:name>waitrequest</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_rx_siso_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_rx_sosi_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>empty</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_rx_sosi_empty</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>endofpacket</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_rx_sosi_eop</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>startofpacket</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>udp_rx_sosi_sop</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_rx_sosi_valid</spirit:name> + <spirit:name>coe_waitrequest_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -387,86 +526,31 @@ <spirit:parameter> <spirit:name>associatedClock</spirit:name> <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">st_clk</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>associatedReset</spirit:name> <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">st_rst</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>prSafe</spirit:name> <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>udp_rx_snk_in_xon</spirit:name> + <spirit:name>write</spirit:name> <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>xon</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>udp_rx_siso_xon</spirit:name> + <spirit:name>coe_write_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -474,12 +558,12 @@ <spirit:parameter> <spirit:name>associatedClock</spirit:name> <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">st_clk</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>associatedReset</spirit:name> <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">st_rst</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>prSafe</spirit:name> @@ -489,143 +573,16 @@ </spirit:parameters> </spirit:busInterface> <spirit:busInterface> - <spirit:name>udp_tx_src_out</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> + <spirit:name>writedata</spirit:name> + <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> + <spirit:slave></spirit:slave> <spirit:portMaps> <spirit:portMap> <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_siso_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_sosi_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>empty</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_sosi_empty</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>endofpacket</spirit:name> + <spirit:name>export</spirit:name> </spirit:logicalPort> <spirit:physicalPort> - <spirit:name>udp_tx_sosi_eop</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>startofpacket</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_sosi_sop</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_sosi_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">st_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">st_rst</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>udp_tx_src_out_xon</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>xon</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>udp_tx_siso_xon</spirit:name> + <spirit:name>coe_writedata_export</spirit:name> </spirit:physicalPort> </spirit:portMap> </spirit:portMaps> @@ -633,12 +590,12 @@ <spirit:parameter> <spirit:name>associatedClock</spirit:name> <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">st_clk</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>associatedReset</spirit:name> <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">st_rst</spirit:value> + <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>prSafe</spirit:name> @@ -653,7 +610,7 @@ <spirit:view> <spirit:name>QUARTUS_SYNTH</spirit:name> <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>ta2_unb2b_1GbE_mc</spirit:modelName> + <spirit:modelName>avs_common_mm_readlatency0</spirit:modelName> <spirit:fileSetRef> <spirit:localName>QUARTUS_SYNTH</spirit:localName> </spirit:fileSetRef> @@ -661,25 +618,9 @@ </spirit:views> <spirit:ports> <spirit:port> - <spirit:name>kernel_snk_data</spirit:name> + <spirit:name>csi_system_clk</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>39</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_snk_ready</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> <spirit:wireTypeDefs> <spirit:wireTypeDef> <spirit:typeName>STD_LOGIC</spirit:typeName> @@ -689,7 +630,7 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>kernel_snk_valid</spirit:name> + <spirit:name>csi_system_reset</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:wireTypeDefs> @@ -701,12 +642,12 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>kernel_src_data</spirit:name> + <spirit:name>avs_mem_address</spirit:name> <spirit:wire> - <spirit:direction>out</spirit:direction> + <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>39</spirit:right> + <spirit:right>7</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -717,43 +658,7 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>kernel_src_ready</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_valid</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_reset</spirit:name> + <spirit:name>avs_mem_write</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:wireTypeDefs> @@ -765,19 +670,23 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>st_clk</spirit:name> + <spirit:name>avs_mem_writedata</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>31</spirit:right> + </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> + <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> </spirit:wireTypeDef> </spirit:wireTypeDefs> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>st_rst</spirit:name> + <spirit:name>avs_mem_read</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> <spirit:wireTypeDefs> @@ -789,40 +698,12 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_rx_siso_ready</spirit:name> + <spirit:name>avs_mem_readdata</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>udp_rx_sosi_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>39</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>udp_rx_sosi_empty</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> + <spirit:right>31</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -833,33 +714,9 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_rx_sosi_eop</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>udp_rx_sosi_sop</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>udp_rx_sosi_valid</spirit:name> + <spirit:name>avs_mem_waitrequest</spirit:name> <spirit:wire> - <spirit:direction>in</spirit:direction> + <spirit:direction>out</spirit:direction> <spirit:wireTypeDefs> <spirit:wireTypeDef> <spirit:typeName>STD_LOGIC</spirit:typeName> @@ -869,9 +726,9 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_siso_ready</spirit:name> + <spirit:name>coe_reset_export</spirit:name> <spirit:wire> - <spirit:direction>in</spirit:direction> + <spirit:direction>out</spirit:direction> <spirit:wireTypeDefs> <spirit:wireTypeDef> <spirit:typeName>STD_LOGIC</spirit:typeName> @@ -881,28 +738,24 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_sosi_data</spirit:name> + <spirit:name>coe_clk_export</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>39</spirit:right> - </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> + <spirit:typeName>STD_LOGIC</spirit:typeName> <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> </spirit:wireTypeDef> </spirit:wireTypeDefs> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_sosi_empty</spirit:name> + <spirit:name>coe_address_export</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> <spirit:vector> <spirit:left>0</spirit:left> - <spirit:right>1</spirit:right> + <spirit:right>7</spirit:right> </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> @@ -913,7 +766,7 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_sosi_eop</spirit:name> + <spirit:name>coe_write_export</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> <spirit:wireTypeDefs> @@ -925,19 +778,23 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_sosi_sop</spirit:name> + <spirit:name>coe_writedata_export</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>31</spirit:right> + </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> + <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> </spirit:wireTypeDef> </spirit:wireTypeDefs> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_sosi_valid</spirit:name> + <spirit:name>coe_read_export</spirit:name> <spirit:wire> <spirit:direction>out</spirit:direction> <spirit:wireTypeDefs> @@ -949,21 +806,25 @@ </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_tx_siso_xon</spirit:name> + <spirit:name>coe_readdata_export</spirit:name> <spirit:wire> <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>31</spirit:right> + </spirit:vector> <spirit:wireTypeDefs> <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> + <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> </spirit:wireTypeDef> </spirit:wireTypeDefs> </spirit:wire> </spirit:port> <spirit:port> - <spirit:name>udp_rx_siso_xon</spirit:name> + <spirit:name>coe_waitrequest_export</spirit:name> <spirit:wire> - <spirit:direction>out</spirit:direction> + <spirit:direction>in</spirit:direction> <spirit:wireTypeDefs> <spirit:wireTypeDef> <spirit:typeName>STD_LOGIC</spirit:typeName> @@ -976,13 +837,29 @@ </spirit:model> <spirit:vendorExtensions> <altera:entity_info> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_1GbE_mc</spirit:library> - <spirit:name>ta2_unb2b_1GbE_mc</spirit:name> + <spirit:vendor>ASTRON</spirit:vendor> + <spirit:library>board_reg_ta2_unb2b_jesd204b</spirit:library> + <spirit:name>avs_common_mm_readlatency0</spirit:name> <spirit:version>1.0</spirit:version> </altera:entity_info> <altera:altera_module_parameters> - <spirit:parameters></spirit:parameters> + <spirit:parameters> + <spirit:parameter> + <spirit:name>g_adr_w</spirit:name> + <spirit:displayName>g_adr_w</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="g_adr_w">8</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>g_dat_w</spirit:name> + <spirit:displayName>g_dat_w</spirit:displayName> + <spirit:value spirit:format="long" spirit:id="g_dat_w">32</spirit:value> + </spirit:parameter> + <spirit:parameter> + <spirit:name>AUTO_SYSTEM_CLOCK_RATE</spirit:name> + <spirit:displayName>Auto CLOCK_RATE</spirit:displayName> + <spirit:value spirit:format="string" spirit:id="AUTO_SYSTEM_CLOCK_RATE">100000000</spirit:value> + </spirit:parameter> + </spirit:parameters> </altera:altera_module_parameters> <altera:altera_system_parameters> <spirit:parameters> @@ -1025,17 +902,17 @@ <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> <interfaces> <interface> - <name>kernel_clk</name> - <type>clock</type> + <name>address</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> + <name>coe_address_export</name> + <role>export</role> + <direction>Output</direction> + <width>8</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -1044,28 +921,27 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_reset</name> - <type>reset</type> + <name>clk</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> + <name>coe_clk_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -1078,210 +954,268 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>kernel_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> + <name>mem</name> + <type>avalon</type> <isStart>false</isStart> <ports> <port> - <name>kernel_snk_data</name> - <role>data</role> + <name>avs_mem_address</name> + <role>address</role> <direction>Input</direction> - <width>40</width> + <width>8</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> + <name>avs_mem_write</name> + <role>write</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> <port> - <name>kernel_snk_valid</name> - <role>valid</role> + <name>avs_mem_writedata</name> + <role>writedata</role> + <direction>Input</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_read</name> + <role>read</role> <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> + <port> + <name>avs_mem_readdata</name> + <role>readdata</role> + <direction>Output</direction> + <width>32</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> + </port> + <port> + <name>avs_mem_waitrequest</name> + <role>waitrequest</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> </ports> <assignments> - <assignmentValueMap/> + <assignmentValueMap> + <entry> + <key>embeddedsw.configuration.isFlash</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isMemoryDevice</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isNonVolatileStorage</key> + <value>0</value> + </entry> + <entry> + <key>embeddedsw.configuration.isPrintableDevice</key> + <value>0</value> + </entry> + </assignmentValueMap> </assignments> <parameters> <parameterValueMap> <entry> - <key>associatedClock</key> - <value>kernel_clk</value> + <key>addressAlignment</key> + <value>DYNAMIC</value> </entry> <entry> - <key>associatedReset</key> - <value>kernel_reset</value> + <key>addressGroup</key> + <value>0</value> </entry> <entry> - <key>beatsPerCycle</key> - <value>1</value> + <key>addressSpan</key> + <value>1024</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> + <key>addressUnits</key> + <value>WORDS</value> </entry> <entry> - <key>emptyWithinPacket</key> + <key>alwaysBurstMaxBurst</key> <value>false</value> </entry> <entry> - <key>errorDescriptor</key> + <key>associatedClock</key> + <value>system</value> </entry> <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <key>associatedReset</key> + <value>system_reset</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> + <key>bitsPerSymbol</key> + <value>8</value> </entry> <entry> - <key>maxChannel</key> + <key>bridgedAddressOffset</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> + <key>bridgesToMaster</key> </entry> <entry> - <key>prSafe</key> + <key>burstOnBurstBoundariesOnly</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> - <value>0</value> + <key>burstcountUnits</key> + <value>WORDS</value> </entry> <entry> - <key>readyLatency</key> - <value>0</value> + <key>constantBurstBehavior</key> + <value>false</value> </entry> <entry> - <key>symbolsPerBeat</key> - <value>1</value> + <key>explicitAddressSpan</key> + <value>0</value> </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> <entry> - <key>associatedClock</key> - <value>kernel_clk</value> + <key>holdTime</key> + <value>0</value> </entry> <entry> - <key>associatedReset</key> - <value>kernel_reset</value> + <key>interleaveBursts</key> + <value>false</value> </entry> <entry> - <key>beatsPerCycle</key> + <key>isBigEndian</key> + <value>false</value> + </entry> + <entry> + <key>isFlash</key> + <value>false</value> + </entry> + <entry> + <key>isMemoryDevice</key> + <value>false</value> + </entry> + <entry> + <key>isNonVolatileStorage</key> + <value>false</value> + </entry> + <entry> + <key>linewrapBursts</key> + <value>false</value> + </entry> + <entry> + <key>maximumPendingReadTransactions</key> + <value>0</value> + </entry> + <entry> + <key>maximumPendingWriteTransactions</key> + <value>0</value> + </entry> + <entry> + <key>minimumReadLatency</key> <value>1</value> </entry> <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> + <key>minimumResponseLatency</key> + <value>1</value> + </entry> + <entry> + <key>minimumUninterruptedRunLength</key> + <value>1</value> </entry> <entry> - <key>emptyWithinPacket</key> + <key>prSafe</key> + <value>false</value> + </entry> + <entry> + <key>printableDevice</key> <value>false</value> </entry> <entry> - <key>errorDescriptor</key> + <key>readLatency</key> + <value>0</value> + </entry> + <entry> + <key>readWaitStates</key> + <value>1</value> + </entry> + <entry> + <key>readWaitTime</key> + <value>1</value> </entry> <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> + <key>registerIncomingSignals</key> + <value>false</value> </entry> <entry> - <key>highOrderSymbolAtMSB</key> + <key>registerOutgoingSignals</key> <value>false</value> </entry> <entry> - <key>maxChannel</key> + <key>setupTime</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> + <key>timingUnits</key> + <value>Cycles</value> </entry> <entry> - <key>prSafe</key> + <key>transparentBridge</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> + <key>waitrequestAllowance</key> <value>0</value> </entry> <entry> - <key>readyLatency</key> + <key>wellBehavedWaitrequest</key> + <value>false</value> + </entry> + <entry> + <key>writeLatency</key> <value>0</value> </entry> <entry> - <key>symbolsPerBeat</key> - <value>1</value> + <key>writeWaitStates</key> + <value>0</value> + </entry> + <entry> + <key>writeWaitTime</key> + <value>0</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>st_clk</name> - <type>clock</type> + <name>read</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>st_clk</name> - <role>clk</role> - <direction>Input</direction> + <name>coe_read_export</name> + <role>export</role> + <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -1293,31 +1227,30 @@ <parameters> <parameterValueMap> <entry> - <key>clockRate</key> - <value>0</value> + <key>associatedClock</key> </entry> <entry> - <key>externallyDriven</key> - <value>false</value> + <key>associatedReset</key> </entry> <entry> - <key>ptfSchematicName</key> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>st_rst</name> - <type>reset</type> + <name>readdata</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>st_rst</name> - <role>reset</role> + <name>coe_readdata_export</name> + <role>export</role> <direction>Input</direction> - <width>1</width> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -1327,68 +1260,30 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_rx_snk_in</name> - <type>avalon_streaming</type> + <name>reset</name> + <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>udp_rx_siso_ready</name> - <role>ready</role> + <name>coe_reset_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> </port> - <port> - <name>udp_rx_sosi_data</name> - <role>data</role> - <direction>Input</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_empty</name> - <role>empty</role> - <direction>Input</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_rx_sosi_eop</name> - <role>endofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_sop</name> - <role>startofpacket</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_rx_sosi_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> </ports> <assignments> <assignmentValueMap/> @@ -1397,71 +1292,89 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> </entry> <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> + <key>prSafe</key> <value>false</value> </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>system</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>csi_system_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>maxChannel</key> + <key>clockRate</key> <value>0</value> </entry> <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> + <key>externallyDriven</key> <value>false</value> </entry> <entry> - <key>readyAllowance</key> - <value>0</value> + <key>ptfSchematicName</key> </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>system_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>csi_system_reset</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> <entry> - <key>readyLatency</key> - <value>0</value> + <key>associatedClock</key> + <value>system</value> </entry> <entry> - <key>symbolsPerBeat</key> - <value>1</value> + <key>synchronousEdges</key> + <value>DEASSERT</value> </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_rx_snk_in_xon</name> + <name>waitrequest</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>udp_rx_siso_xon</name> - <role>xon</role> - <direction>Output</direction> + <name>coe_waitrequest_export</name> + <role>export</role> + <direction>Input</direction> <width>1</width> <lowerBound>0</lowerBound> <vhdlType>STD_LOGIC</vhdlType> @@ -1474,11 +1387,9 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> </entry> <entry> <key>prSafe</key> @@ -1488,53 +1399,13 @@ </parameters> </interface> <interface> - <name>udp_tx_src_out</name> - <type>avalon_streaming</type> - <isStart>true</isStart> + <name>write</name> + <type>conduit</type> + <isStart>false</isStart> <ports> <port> - <name>udp_tx_siso_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_data</name> - <role>data</role> - <direction>Output</direction> - <width>40</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_empty</name> - <role>empty</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>udp_tx_sosi_eop</name> - <role>endofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_sop</name> - <role>startofpacket</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>udp_tx_sosi_valid</name> - <role>valid</role> + <name>coe_write_export</name> + <role>export</role> <direction>Output</direction> <width>1</width> <lowerBound>0</lowerBound> @@ -1548,74 +1419,29 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> </entry> <entry> <key>prSafe</key> <value>false</value> </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> </parameterValueMap> </parameters> </interface> <interface> - <name>udp_tx_src_out_xon</name> + <name>writedata</name> <type>conduit</type> <isStart>false</isStart> <ports> <port> - <name>udp_tx_siso_xon</name> - <role>xon</role> - <direction>Input</direction> - <width>1</width> + <name>coe_writedata_export</name> + <role>export</role> + <direction>Output</direction> + <width>32</width> <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> + <vhdlType>STD_LOGIC_VECTOR</vhdlType> </port> </ports> <assignments> @@ -1625,11 +1451,9 @@ <parameterValueMap> <entry> <key>associatedClock</key> - <value>st_clk</value> </entry> <entry> <key>associatedReset</key> - <value>st_rst</value> </entry> <entry> <key>prSafe</key> @@ -1645,58 +1469,87 @@ <spirit:name>systemInfos</spirit:name> <spirit:displayName>systemInfos</spirit:displayName> <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> + <connPtSystemInfos> + <entry> + <key>mem</key> + <value> + <connectionPointName>mem</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>ADDRESS_MAP</key> + <value><address-map><slave name='mem' start='0x0' end='0x400' datawidth='32' /></address-map></value> + </entry> + <entry> + <key>ADDRESS_WIDTH</key> + <value>10</value> + </entry> + <entry> + <key>MAX_SLAVE_DATA_WIDTH</key> + <value>32</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>system</key> + <value> + <connectionPointName>system</connectionPointName> + <suppliedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </suppliedSystemInfos> + <consumedSystemInfos/> + </value> + </entry> + </connPtSystemInfos> </systemInfosDefinition>]]></spirit:value> </spirit:parameter> </spirit:parameters> </altera:altera_system_parameters> <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="kernel_clk" altera:internal="board_ta2_unb2b_1GbE_mc.kernel_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="kernel_clk" altera:internal="kernel_clk"></altera:port_mapping> + <altera:interface_mapping altera:name="address" altera:internal="board_reg_ta2_unb2b_jesd204b.address" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_address_export" altera:internal="coe_address_export"></altera:port_mapping> + </altera:interface_mapping> + <altera:interface_mapping altera:name="clk" altera:internal="board_reg_ta2_unb2b_jesd204b.clk" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_clk_export" altera:internal="coe_clk_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_reset" altera:internal="board_ta2_unb2b_1GbE_mc.kernel_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="kernel_reset" altera:internal="kernel_reset"></altera:port_mapping> + <altera:interface_mapping altera:name="mem" altera:internal="board_reg_ta2_unb2b_jesd204b.mem" altera:type="avalon" altera:dir="end"> + <altera:port_mapping altera:name="avs_mem_address" altera:internal="avs_mem_address"></altera:port_mapping> + <altera:port_mapping altera:name="avs_mem_read" altera:internal="avs_mem_read"></altera:port_mapping> + <altera:port_mapping altera:name="avs_mem_readdata" altera:internal="avs_mem_readdata"></altera:port_mapping> + <altera:port_mapping altera:name="avs_mem_waitrequest" altera:internal="avs_mem_waitrequest"></altera:port_mapping> + <altera:port_mapping altera:name="avs_mem_write" altera:internal="avs_mem_write"></altera:port_mapping> + <altera:port_mapping altera:name="avs_mem_writedata" altera:internal="avs_mem_writedata"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_snk" altera:internal="board_ta2_unb2b_1GbE_mc.kernel_snk" altera:type="avalon_streaming" altera:dir="end"> - <altera:port_mapping altera:name="kernel_snk_data" altera:internal="kernel_snk_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_ready" altera:internal="kernel_snk_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_valid" altera:internal="kernel_snk_valid"></altera:port_mapping> + <altera:interface_mapping altera:name="read" altera:internal="board_reg_ta2_unb2b_jesd204b.read" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_read_export" altera:internal="coe_read_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_src" altera:internal="board_ta2_unb2b_1GbE_mc.kernel_src" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="kernel_src_data" altera:internal="kernel_src_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_ready" altera:internal="kernel_src_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_valid" altera:internal="kernel_src_valid"></altera:port_mapping> + <altera:interface_mapping altera:name="readdata" altera:internal="board_reg_ta2_unb2b_jesd204b.readdata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_readdata_export" altera:internal="coe_readdata_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="st_clk" altera:internal="board_ta2_unb2b_1GbE_mc.st_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="st_clk" altera:internal="st_clk"></altera:port_mapping> + <altera:interface_mapping altera:name="reset" altera:internal="board_reg_ta2_unb2b_jesd204b.reset" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_reset_export" altera:internal="coe_reset_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="st_rst" altera:internal="board_ta2_unb2b_1GbE_mc.st_rst" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="st_rst" altera:internal="st_rst"></altera:port_mapping> + <altera:interface_mapping altera:name="system" altera:internal="board_reg_ta2_unb2b_jesd204b.system" altera:type="clock" altera:dir="end"> + <altera:port_mapping altera:name="csi_system_clk" altera:internal="csi_system_clk"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="udp_rx_snk_in" altera:internal="board_ta2_unb2b_1GbE_mc.udp_rx_snk_in" altera:type="avalon_streaming" altera:dir="end"> - <altera:port_mapping altera:name="udp_rx_siso_ready" altera:internal="udp_rx_siso_ready"></altera:port_mapping> - <altera:port_mapping altera:name="udp_rx_sosi_data" altera:internal="udp_rx_sosi_data"></altera:port_mapping> - <altera:port_mapping altera:name="udp_rx_sosi_empty" altera:internal="udp_rx_sosi_empty"></altera:port_mapping> - <altera:port_mapping altera:name="udp_rx_sosi_eop" altera:internal="udp_rx_sosi_eop"></altera:port_mapping> - <altera:port_mapping altera:name="udp_rx_sosi_sop" altera:internal="udp_rx_sosi_sop"></altera:port_mapping> - <altera:port_mapping altera:name="udp_rx_sosi_valid" altera:internal="udp_rx_sosi_valid"></altera:port_mapping> + <altera:interface_mapping altera:name="system_reset" altera:internal="board_reg_ta2_unb2b_jesd204b.system_reset" altera:type="reset" altera:dir="end"> + <altera:port_mapping altera:name="csi_system_reset" altera:internal="csi_system_reset"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="udp_rx_snk_in_xon" altera:internal="board_ta2_unb2b_1GbE_mc.udp_rx_snk_in_xon" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="udp_rx_siso_xon" altera:internal="udp_rx_siso_xon"></altera:port_mapping> + <altera:interface_mapping altera:name="waitrequest" altera:internal="board_reg_ta2_unb2b_jesd204b.waitrequest" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_waitrequest_export" altera:internal="coe_waitrequest_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="udp_tx_src_out" altera:internal="board_ta2_unb2b_1GbE_mc.udp_tx_src_out" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="udp_tx_siso_ready" altera:internal="udp_tx_siso_ready"></altera:port_mapping> - <altera:port_mapping altera:name="udp_tx_sosi_data" altera:internal="udp_tx_sosi_data"></altera:port_mapping> - <altera:port_mapping altera:name="udp_tx_sosi_empty" altera:internal="udp_tx_sosi_empty"></altera:port_mapping> - <altera:port_mapping altera:name="udp_tx_sosi_eop" altera:internal="udp_tx_sosi_eop"></altera:port_mapping> - <altera:port_mapping altera:name="udp_tx_sosi_sop" altera:internal="udp_tx_sosi_sop"></altera:port_mapping> - <altera:port_mapping altera:name="udp_tx_sosi_valid" altera:internal="udp_tx_sosi_valid"></altera:port_mapping> + <altera:interface_mapping altera:name="write" altera:internal="board_reg_ta2_unb2b_jesd204b.write" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_write_export" altera:internal="coe_write_export"></altera:port_mapping> </altera:interface_mapping> - <altera:interface_mapping altera:name="udp_tx_src_out_xon" altera:internal="board_ta2_unb2b_1GbE_mc.udp_tx_src_out_xon" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="udp_tx_siso_xon" altera:internal="udp_tx_siso_xon"></altera:port_mapping> + <altera:interface_mapping altera:name="writedata" altera:internal="board_reg_ta2_unb2b_jesd204b.writedata" altera:type="conduit" altera:dir="end"> + <altera:port_mapping altera:name="coe_writedata_export" altera:internal="coe_writedata_export"></altera:port_mapping> </altera:interface_mapping> </altera:altera_interface_boundary> - <altera:altera_has_warnings>true</altera:altera_has_warnings> + <altera:altera_has_warnings>false</altera:altera_has_warnings> <altera:altera_has_errors>false</altera:altera_has_errors> </spirit:vendorExtensions> </spirit:component> \ No newline at end of file diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_10GbE.ip b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_10GbE.ip deleted file mode 100644 index 278aec03c9d63fab81dbc622548d474c91e01fe2..0000000000000000000000000000000000000000 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_10GbE.ip +++ /dev/null @@ -1,1112 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_10GbE</spirit:library> - <spirit:name>board_ta2_unb2b_10GbE</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>config_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>config_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_snk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_src</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>refclk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk_ref_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_serial_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>conduit</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_serial_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_status</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_status</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_status</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tx_serial_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>conduit</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>tx_serial_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>ta2_unb2b_10GbE</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>kernel_snk_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>71</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_snk_ready</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_snk_valid</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_data</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>71</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_ready</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_valid</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>clk_ref_r</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_serial_r</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>tx_serial_r</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>config_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_status</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_10GbE</spirit:library> - <spirit:name>ta2_unb2b_10GbE</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters></spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>72</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>72</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_ref_r</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_r</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_status</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_status</name> - <role>rx_status</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>tx_serial_r</name> - <role>conduit</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="config_reset" altera:internal="board_ta2_unb2b_10GbE.config_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="config_reset" altera:internal="config_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_clk" altera:internal="board_ta2_unb2b_10GbE.kernel_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="kernel_clk" altera:internal="kernel_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_reset" altera:internal="board_ta2_unb2b_10GbE.kernel_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="kernel_reset" altera:internal="kernel_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_snk" altera:internal="board_ta2_unb2b_10GbE.kernel_snk" altera:type="avalon_streaming" altera:dir="end"> - <altera:port_mapping altera:name="kernel_snk_data" altera:internal="kernel_snk_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_ready" altera:internal="kernel_snk_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_valid" altera:internal="kernel_snk_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_src" altera:internal="board_ta2_unb2b_10GbE.kernel_src" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="kernel_src_data" altera:internal="kernel_src_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_ready" altera:internal="kernel_src_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_valid" altera:internal="kernel_src_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="refclk" altera:internal="board_ta2_unb2b_10GbE.refclk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk_ref_r" altera:internal="clk_ref_r"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_serial_data" altera:internal="board_ta2_unb2b_10GbE.rx_serial_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_serial_r" altera:internal="rx_serial_r"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_status" altera:internal="board_ta2_unb2b_10GbE.rx_status" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_status" altera:internal="rx_status"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tx_serial_data" altera:internal="board_ta2_unb2b_10GbE.tx_serial_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="tx_serial_r" altera:internal="tx_serial_r"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_40GbE.ip b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_40GbE.ip deleted file mode 100644 index 137c3b32e9b6e19596ad6ead9a982385ac6354e1..0000000000000000000000000000000000000000 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_40GbE.ip +++ /dev/null @@ -1,1201 +0,0 @@ -<?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_40GbE</spirit:library> - <spirit:name>board_ta2_unb2b_40GbE</spirit:name> - <spirit:version>1.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>config_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>config_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>config_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>config_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">config_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_clk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_clk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_reset</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">DEASSERT</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_snk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_snk_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>kernel_src</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="avalon_streaming" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>data</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_data</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>ready</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_ready</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>valid</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>kernel_src_valid</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock">kernel_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset">kernel_reset</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>beatsPerCycle</spirit:name> - <spirit:displayName>Beats Per Cycle</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="beatsPerCycle">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dataBitsPerSymbol</spirit:name> - <spirit:displayName>Data bits per symbol</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dataBitsPerSymbol">8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>emptyWithinPacket</spirit:name> - <spirit:displayName>emptyWithinPacket</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="emptyWithinPacket">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>errorDescriptor</spirit:name> - <spirit:displayName>Error descriptor</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="errorDescriptor"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>firstSymbolInHighOrderBits</spirit:name> - <spirit:displayName>First Symbol In High-Order Bits</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="firstSymbolInHighOrderBits">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>highOrderSymbolAtMSB</spirit:name> - <spirit:displayName>highOrderSymbolAtMSB</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="highOrderSymbolAtMSB">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>maxChannel</spirit:name> - <spirit:displayName>Maximum channel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="maxChannel">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>packetDescription</spirit:name> - <spirit:displayName>Packet description </spirit:displayName> - <spirit:value spirit:format="string" spirit:id="packetDescription"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyAllowance</spirit:name> - <spirit:displayName>Ready allowance</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyAllowance">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>readyLatency</spirit:name> - <spirit:displayName>Ready latency</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="readyLatency">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>symbolsPerBeat</spirit:name> - <spirit:displayName>Symbols per beat </spirit:displayName> - <spirit:value spirit:format="long" spirit:id="symbolsPerBeat">1</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>refclk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>clk_ref_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_serial_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>conduit</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_serial_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>rx_status</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>rx_status</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rx_status</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>tx_serial_data</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>conduit</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>tx_serial_r</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>ta2_unb2b_40GbE</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>kernel_snk_data</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>263</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_snk_ready</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_snk_valid</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_data</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>263</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_ready</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_src_valid</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>config_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_clk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>clk_ref_r</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_serial_r</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>tx_serial_r</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:vector> - <spirit:left>0</spirit:left> - <spirit:right>3</spirit:right> - </spirit:vector> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC_VECTOR</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>config_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>kernel_reset</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>rx_status</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> - <altera:entity_info> - <spirit:vendor>Altera Corporation</spirit:vendor> - <spirit:library>board_ta2_unb2b_40GbE</spirit:library> - <spirit:name>ta2_unb2b_40GbE</spirit:name> - <spirit:version>1.0</spirit:version> - </altera:entity_info> - <altera:altera_module_parameters> - <spirit:parameters></spirit:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData -{ -} -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>config_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>config_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_snk</name> - <type>avalon_streaming</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_snk_data</name> - <role>data</role> - <direction>Input</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_snk_ready</name> - <role>ready</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_snk_valid</name> - <role>valid</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>264</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>clk_ref_r</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_r</name> - <role>conduit</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_status</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_status</name> - <role>rx_status</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>tx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>tx_serial_r</name> - <role>conduit</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos/> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="config_clk" altera:internal="board_ta2_unb2b_40GbE.config_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="config_clk" altera:internal="config_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="config_reset" altera:internal="board_ta2_unb2b_40GbE.config_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="config_reset" altera:internal="config_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_clk" altera:internal="board_ta2_unb2b_40GbE.kernel_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="kernel_clk" altera:internal="kernel_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_reset" altera:internal="board_ta2_unb2b_40GbE.kernel_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="kernel_reset" altera:internal="kernel_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_snk" altera:internal="board_ta2_unb2b_40GbE.kernel_snk" altera:type="avalon_streaming" altera:dir="end"> - <altera:port_mapping altera:name="kernel_snk_data" altera:internal="kernel_snk_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_ready" altera:internal="kernel_snk_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_snk_valid" altera:internal="kernel_snk_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_src" altera:internal="board_ta2_unb2b_40GbE.kernel_src" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="kernel_src_data" altera:internal="kernel_src_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_ready" altera:internal="kernel_src_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_valid" altera:internal="kernel_src_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="refclk" altera:internal="board_ta2_unb2b_40GbE.refclk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="clk_ref_r" altera:internal="clk_ref_r"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_serial_data" altera:internal="board_ta2_unb2b_40GbE.rx_serial_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_serial_r" altera:internal="rx_serial_r"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="rx_status" altera:internal="board_ta2_unb2b_40GbE.rx_status" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="rx_status" altera:internal="rx_status"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="tx_serial_data" altera:internal="board_ta2_unb2b_40GbE.tx_serial_data" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="tx_serial_r" altera:internal="tx_serial_r"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_jesd204b.ip b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_jesd204b.ip deleted file mode 100644 index 01a10b4b68696731e8d36608d74107fb13bacdfd..0000000000000000000000000000000000000000 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/board/board_ta2_unb2b_jesd204b.ip +++ /dev/null @@ -1,1686 +0,0 @@ -<?xml version="1.0" ?> -<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> - <ipxact:vendor>Altera Corporation</ipxact:vendor> - <ipxact:library>board_ta2_unb2b_jesd204b</ipxact:library> - <ipxact:name>board_ta2_unb2b_jesd204b</ipxact:name> - <ipxact:version>1.0</ipxact:version> - <ipxact:busInterfaces> - <ipxact:busInterface> - <ipxact:name>kernel_src</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="avalon_streaming" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="avalon_streaming" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>data</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>kernel_src_data</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>ready</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>kernel_src_ready</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>valid</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>kernel_src_valid</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:master></ipxact:master> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>associatedClock</ipxact:displayName> - <ipxact:value>kernel_clk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedReset" type="string"> - <ipxact:name>associatedReset</ipxact:name> - <ipxact:displayName>associatedReset</ipxact:displayName> - <ipxact:value>kernel_reset</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="beatsPerCycle" type="int"> - <ipxact:name>beatsPerCycle</ipxact:name> - <ipxact:displayName>Beats Per Cycle</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="dataBitsPerSymbol" type="int"> - <ipxact:name>dataBitsPerSymbol</ipxact:name> - <ipxact:displayName>Data bits per symbol</ipxact:displayName> - <ipxact:value>8</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="emptyWithinPacket" type="bit"> - <ipxact:name>emptyWithinPacket</ipxact:name> - <ipxact:displayName>emptyWithinPacket</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="errorDescriptor" type="string"> - <ipxact:name>errorDescriptor</ipxact:name> - <ipxact:displayName>Error descriptor</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="firstSymbolInHighOrderBits" type="bit"> - <ipxact:name>firstSymbolInHighOrderBits</ipxact:name> - <ipxact:displayName>First Symbol In High-Order Bits</ipxact:displayName> - <ipxact:value>true</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="highOrderSymbolAtMSB" type="bit"> - <ipxact:name>highOrderSymbolAtMSB</ipxact:name> - <ipxact:displayName>highOrderSymbolAtMSB</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="maxChannel" type="int"> - <ipxact:name>maxChannel</ipxact:name> - <ipxact:displayName>Maximum channel</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="packetDescription" type="string"> - <ipxact:name>packetDescription</ipxact:name> - <ipxact:displayName>Packet description </ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="prSafe" type="bit"> - <ipxact:name>prSafe</ipxact:name> - <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="readyAllowance" type="int"> - <ipxact:name>readyAllowance</ipxact:name> - <ipxact:displayName>Ready allowance</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="readyLatency" type="int"> - <ipxact:name>readyLatency</ipxact:name> - <ipxact:displayName>Ready latency</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="symbolsPerBeat" type="int"> - <ipxact:name>symbolsPerBeat</ipxact:name> - <ipxact:displayName>Symbols per beat </ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>kernel_clk</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="clock" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>clk</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>kernel_clk</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="clockRate" type="longint"> - <ipxact:name>clockRate</ipxact:name> - <ipxact:displayName>Clock rate</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="externallyDriven" type="bit"> - <ipxact:name>externallyDriven</ipxact:name> - <ipxact:displayName>Externally driven</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="ptfSchematicName" type="string"> - <ipxact:name>ptfSchematicName</ipxact:name> - <ipxact:displayName>PTF schematic name</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>config_reset</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="reset" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>reset</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>config_reset</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>Associated clock</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="synchronousEdges" type="string"> - <ipxact:name>synchronousEdges</ipxact:name> - <ipxact:displayName>Synchronous edges</ipxact:displayName> - <ipxact:value>NONE</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>kernel_reset</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="reset" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>reset</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>kernel_reset</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>Associated clock</ipxact:displayName> - <ipxact:value>kernel_clk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="synchronousEdges" type="string"> - <ipxact:name>synchronousEdges</ipxact:name> - <ipxact:displayName>Synchronous edges</ipxact:displayName> - <ipxact:value>DEASSERT</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>mem</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="avalon" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="avalon" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>address</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_mosi_address</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>writedata</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_mosi_wrdata</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>write</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_mosi_wr</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>read</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_mosi_rd</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>readdata</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_miso_rddata</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>waitrequest</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_miso_waitrequest</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="addressAlignment" type="string"> - <ipxact:name>addressAlignment</ipxact:name> - <ipxact:displayName>Slave addressing</ipxact:displayName> - <ipxact:value>DYNAMIC</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="addressGroup" type="int"> - <ipxact:name>addressGroup</ipxact:name> - <ipxact:displayName>Address group</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="addressSpan" type="string"> - <ipxact:name>addressSpan</ipxact:name> - <ipxact:displayName>Address span</ipxact:displayName> - <ipxact:value>1024</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="addressUnits" type="string"> - <ipxact:name>addressUnits</ipxact:name> - <ipxact:displayName>Address units</ipxact:displayName> - <ipxact:value>WORDS</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="alwaysBurstMaxBurst" type="bit"> - <ipxact:name>alwaysBurstMaxBurst</ipxact:name> - <ipxact:displayName>Always burst maximum burst</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>Associated clock</ipxact:displayName> - <ipxact:value>config_clk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedReset" type="string"> - <ipxact:name>associatedReset</ipxact:name> - <ipxact:displayName>Associated reset</ipxact:displayName> - <ipxact:value>config_reset</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="bitsPerSymbol" type="int"> - <ipxact:name>bitsPerSymbol</ipxact:name> - <ipxact:displayName>Bits per symbol</ipxact:displayName> - <ipxact:value>8</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="bridgedAddressOffset" type="string"> - <ipxact:name>bridgedAddressOffset</ipxact:name> - <ipxact:displayName>Bridged Address Offset</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="bridgesToMaster" type="string"> - <ipxact:name>bridgesToMaster</ipxact:name> - <ipxact:displayName>Bridges to master</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="burstOnBurstBoundariesOnly" type="bit"> - <ipxact:name>burstOnBurstBoundariesOnly</ipxact:name> - <ipxact:displayName>Burst on burst boundaries only</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="burstcountUnits" type="string"> - <ipxact:name>burstcountUnits</ipxact:name> - <ipxact:displayName>Burstcount units</ipxact:displayName> - <ipxact:value>WORDS</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="constantBurstBehavior" type="bit"> - <ipxact:name>constantBurstBehavior</ipxact:name> - <ipxact:displayName>Constant burst behavior</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="explicitAddressSpan" type="string"> - <ipxact:name>explicitAddressSpan</ipxact:name> - <ipxact:displayName>Explicit address span</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="holdTime" type="int"> - <ipxact:name>holdTime</ipxact:name> - <ipxact:displayName>Hold</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="interleaveBursts" type="bit"> - <ipxact:name>interleaveBursts</ipxact:name> - <ipxact:displayName>Interleave bursts</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="isBigEndian" type="bit"> - <ipxact:name>isBigEndian</ipxact:name> - <ipxact:displayName>Big endian</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="isFlash" type="bit"> - <ipxact:name>isFlash</ipxact:name> - <ipxact:displayName>Flash memory</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="isMemoryDevice" type="bit"> - <ipxact:name>isMemoryDevice</ipxact:name> - <ipxact:displayName>Memory device</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="isNonVolatileStorage" type="bit"> - <ipxact:name>isNonVolatileStorage</ipxact:name> - <ipxact:displayName>Non-volatile storage</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="linewrapBursts" type="bit"> - <ipxact:name>linewrapBursts</ipxact:name> - <ipxact:displayName>Linewrap bursts</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="maximumPendingReadTransactions" type="int"> - <ipxact:name>maximumPendingReadTransactions</ipxact:name> - <ipxact:displayName>Maximum pending read transactions</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="maximumPendingWriteTransactions" type="int"> - <ipxact:name>maximumPendingWriteTransactions</ipxact:name> - <ipxact:displayName>Maximum pending write transactions</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="minimumReadLatency" type="int"> - <ipxact:name>minimumReadLatency</ipxact:name> - <ipxact:displayName>minimumReadLatency</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="minimumResponseLatency" type="int"> - <ipxact:name>minimumResponseLatency</ipxact:name> - <ipxact:displayName>Minimum response latency</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="minimumUninterruptedRunLength" type="int"> - <ipxact:name>minimumUninterruptedRunLength</ipxact:name> - <ipxact:displayName>Minimum uninterrupted run length</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="prSafe" type="bit"> - <ipxact:name>prSafe</ipxact:name> - <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="printableDevice" type="bit"> - <ipxact:name>printableDevice</ipxact:name> - <ipxact:displayName>Can receive stdout/stderr</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="readLatency" type="int"> - <ipxact:name>readLatency</ipxact:name> - <ipxact:displayName>Read latency</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="readWaitStates" type="int"> - <ipxact:name>readWaitStates</ipxact:name> - <ipxact:displayName>Read wait states</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="readWaitTime" type="int"> - <ipxact:name>readWaitTime</ipxact:name> - <ipxact:displayName>Read wait</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="registerIncomingSignals" type="bit"> - <ipxact:name>registerIncomingSignals</ipxact:name> - <ipxact:displayName>Register incoming signals</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="registerOutgoingSignals" type="bit"> - <ipxact:name>registerOutgoingSignals</ipxact:name> - <ipxact:displayName>Register outgoing signals</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="setupTime" type="int"> - <ipxact:name>setupTime</ipxact:name> - <ipxact:displayName>Setup</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="timingUnits" type="string"> - <ipxact:name>timingUnits</ipxact:name> - <ipxact:displayName>Timing units</ipxact:displayName> - <ipxact:value>Cycles</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="transparentBridge" type="bit"> - <ipxact:name>transparentBridge</ipxact:name> - <ipxact:displayName>Transparent bridge</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="waitrequestAllowance" type="int"> - <ipxact:name>waitrequestAllowance</ipxact:name> - <ipxact:displayName>Waitrequest allowance</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="wellBehavedWaitrequest" type="bit"> - <ipxact:name>wellBehavedWaitrequest</ipxact:name> - <ipxact:displayName>Well-behaved waitrequest</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="writeLatency" type="int"> - <ipxact:name>writeLatency</ipxact:name> - <ipxact:displayName>Write latency</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="writeWaitStates" type="int"> - <ipxact:name>writeWaitStates</ipxact:name> - <ipxact:displayName>Write wait states</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="writeWaitTime" type="int"> - <ipxact:name>writeWaitTime</ipxact:name> - <ipxact:displayName>Write wait</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - <ipxact:vendorExtensions> - <altera:altera_assignments> - <ipxact:parameters> - <ipxact:parameter parameterId="embeddedsw.configuration.isFlash" type="string"> - <ipxact:name>embeddedsw.configuration.isFlash</ipxact:name> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="embeddedsw.configuration.isMemoryDevice" type="string"> - <ipxact:name>embeddedsw.configuration.isMemoryDevice</ipxact:name> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="embeddedsw.configuration.isNonVolatileStorage" type="string"> - <ipxact:name>embeddedsw.configuration.isNonVolatileStorage</ipxact:name> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="embeddedsw.configuration.isPrintableDevice" type="string"> - <ipxact:name>embeddedsw.configuration.isPrintableDevice</ipxact:name> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </altera:altera_assignments> - </ipxact:vendorExtensions> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>config_clk</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="clock" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>clk</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>config_clk</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="clockRate" type="longint"> - <ipxact:name>clockRate</ipxact:name> - <ipxact:displayName>Clock rate</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="externallyDriven" type="bit"> - <ipxact:name>externallyDriven</ipxact:name> - <ipxact:displayName>Externally driven</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="ptfSchematicName" type="string"> - <ipxact:name>ptfSchematicName</ipxact:name> - <ipxact:displayName>PTF schematic name</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>jesd204b_refclk</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="clock" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>clk</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_refclk</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="clockRate" type="longint"> - <ipxact:name>clockRate</ipxact:name> - <ipxact:displayName>Clock rate</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="externallyDriven" type="bit"> - <ipxact:name>externallyDriven</ipxact:name> - <ipxact:displayName>Externally driven</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="ptfSchematicName" type="string"> - <ipxact:name>ptfSchematicName</ipxact:name> - <ipxact:displayName>PTF schematic name</ipxact:displayName> - <ipxact:value></ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>jesd204b_sysref</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>conduit</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_sysref</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>associatedClock</ipxact:displayName> - <ipxact:value>jesd204b_refclk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedReset" type="string"> - <ipxact:name>associatedReset</ipxact:name> - <ipxact:displayName>associatedReset</ipxact:displayName> - <ipxact:value>kernel_reset</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="prSafe" type="bit"> - <ipxact:name>prSafe</ipxact:name> - <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>jesd204b_sync_n</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>conduit</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>jesd204b_sync_n_arr</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>associatedClock</ipxact:displayName> - <ipxact:value>jesd204b_refclk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedReset" type="string"> - <ipxact:name>associatedReset</ipxact:name> - <ipxact:displayName>associatedReset</ipxact:displayName> - <ipxact:value>kernel_reset</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="prSafe" type="bit"> - <ipxact:name>prSafe</ipxact:name> - <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - <ipxact:busInterface> - <ipxact:name>serial_rx_arr</ipxact:name> - <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:busType> - <ipxact:abstractionTypes> - <ipxact:abstractionType> - <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.2"></ipxact:abstractionRef> - <ipxact:portMaps> - <ipxact:portMap> - <ipxact:logicalPort> - <ipxact:name>conduit</ipxact:name> - </ipxact:logicalPort> - <ipxact:physicalPort> - <ipxact:name>serial_rx_arr</ipxact:name> - </ipxact:physicalPort> - </ipxact:portMap> - </ipxact:portMaps> - </ipxact:abstractionType> - </ipxact:abstractionTypes> - <ipxact:slave></ipxact:slave> - <ipxact:parameters> - <ipxact:parameter parameterId="associatedClock" type="string"> - <ipxact:name>associatedClock</ipxact:name> - <ipxact:displayName>associatedClock</ipxact:displayName> - <ipxact:value>kernel_clk</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="associatedReset" type="string"> - <ipxact:name>associatedReset</ipxact:name> - <ipxact:displayName>associatedReset</ipxact:displayName> - <ipxact:value>kernel_reset</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="prSafe" type="bit"> - <ipxact:name>prSafe</ipxact:name> - <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </ipxact:busInterface> - </ipxact:busInterfaces> - <ipxact:model> - <ipxact:views> - <ipxact:view> - <ipxact:name>QUARTUS_SYNTH</ipxact:name> - <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> - <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> - </ipxact:view> - </ipxact:views> - <ipxact:instantiations> - <ipxact:componentInstantiation> - <ipxact:name>QUARTUS_SYNTH</ipxact:name> - <ipxact:moduleName>ta2_unb2b_jesd204b</ipxact:moduleName> - <ipxact:fileSetRef> - <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> - </ipxact:fileSetRef> - <ipxact:parameters></ipxact:parameters> - </ipxact:componentInstantiation> - </ipxact:instantiations> - <ipxact:ports> - <ipxact:port> - <ipxact:name>kernel_src_data</ipxact:name> - <ipxact:wire> - <ipxact:direction>out</ipxact:direction> - <ipxact:vectors> - <ipxact:vector> - <ipxact:left>0</ipxact:left> - <ipxact:right>15</ipxact:right> - </ipxact:vector> - </ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>kernel_src_ready</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>kernel_src_valid</ipxact:name> - <ipxact:wire> - <ipxact:direction>out</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>kernel_clk</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>config_reset</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>kernel_reset</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_mosi_address</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors> - <ipxact:vector> - <ipxact:left>0</ipxact:left> - <ipxact:right>7</ipxact:right> - </ipxact:vector> - </ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_mosi_wrdata</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors> - <ipxact:vector> - <ipxact:left>0</ipxact:left> - <ipxact:right>31</ipxact:right> - </ipxact:vector> - </ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_mosi_wr</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_mosi_rd</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_miso_rddata</ipxact:name> - <ipxact:wire> - <ipxact:direction>out</ipxact:direction> - <ipxact:vectors> - <ipxact:vector> - <ipxact:left>0</ipxact:left> - <ipxact:right>31</ipxact:right> - </ipxact:vector> - </ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_miso_waitrequest</ipxact:name> - <ipxact:wire> - <ipxact:direction>out</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>config_clk</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_refclk</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_sysref</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>jesd204b_sync_n_arr</ipxact:name> - <ipxact:wire> - <ipxact:direction>out</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - <ipxact:port> - <ipxact:name>serial_rx_arr</ipxact:name> - <ipxact:wire> - <ipxact:direction>in</ipxact:direction> - <ipxact:vectors></ipxact:vectors> - <ipxact:wireTypeDefs> - <ipxact:wireTypeDef> - <ipxact:typeName>STD_LOGIC_VECTOR</ipxact:typeName> - <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> - </ipxact:wireTypeDef> - </ipxact:wireTypeDefs> - </ipxact:wire> - </ipxact:port> - </ipxact:ports> - </ipxact:model> - <ipxact:vendorExtensions> - <altera:entity_info> - <ipxact:vendor>Altera Corporation</ipxact:vendor> - <ipxact:library>board_ta2_unb2b_jesd204b</ipxact:library> - <ipxact:name>ta2_unb2b_jesd204b</ipxact:name> - <ipxact:version>1.0</ipxact:version> - </altera:entity_info> - <altera:altera_module_parameters> - <ipxact:parameters></ipxact:parameters> - </altera:altera_module_parameters> - <altera:altera_system_parameters> - <ipxact:parameters> - <ipxact:parameter parameterId="device" type="string"> - <ipxact:name>device</ipxact:name> - <ipxact:displayName>Device</ipxact:displayName> - <ipxact:value>10AX115U2F45E1SG</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="deviceFamily" type="string"> - <ipxact:name>deviceFamily</ipxact:name> - <ipxact:displayName>Device family</ipxact:displayName> - <ipxact:value>Arria 10</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> - <ipxact:name>deviceSpeedGrade</ipxact:name> - <ipxact:displayName>Device Speed Grade</ipxact:displayName> - <ipxact:value>1</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="generationId" type="int"> - <ipxact:name>generationId</ipxact:name> - <ipxact:displayName>Generation Id</ipxact:displayName> - <ipxact:value>0</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="bonusData" type="string"> - <ipxact:name>bonusData</ipxact:name> - <ipxact:displayName>bonusData</ipxact:displayName> - <ipxact:value>bonusData -{ - element $system - { - datum _originalDeviceFamily - { - value = "Arria 10"; - type = "String"; - } - } - element board_ta2_unb2b_jesd204b - { - } -} -</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> - <ipxact:name>hideFromIPCatalog</ipxact:name> - <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> - <ipxact:value>false</ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> - <ipxact:name>lockedInterfaceDefinition</ipxact:name> - <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> - <ipxact:value><boundaryDefinition> - <interfaces> - <interface> - <name>kernel_src</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>kernel_src_data</name> - <role>data</role> - <direction>Output</direction> - <width>16</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>kernel_src_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>kernel_src_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>kernel_reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>kernel_reset</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>mem</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_mosi_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_mosi_wrdata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_mosi_wr</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204b_mosi_rd</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204b_miso_rddata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204b_miso_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>config_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>config_reset</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>config_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>config_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_sysref</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_sysref</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204b_refclk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204b_sync_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204b_sync_n_arr</name> - <role>conduit</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204b_refclk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>serial_rx_arr</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>serial_rx_arr</name> - <role>conduit</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>kernel_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>kernel_reset</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition></ipxact:value> - </ipxact:parameter> - <ipxact:parameter parameterId="systemInfos" type="string"> - <ipxact:name>systemInfos</ipxact:name> - <ipxact:displayName>systemInfos</ipxact:displayName> - <ipxact:value><systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>mem</key> - <value> - <connectionPointName>mem</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>ADDRESS_MAP</key> - <value>&lt;address-map&gt;&lt;slave name='mem' start='0x0' end='0x400' datawidth='32' /&gt;&lt;/address-map&gt;</value> - </entry> - <entry> - <key>ADDRESS_WIDTH</key> - <value>10</value> - </entry> - <entry> - <key>MAX_SLAVE_DATA_WIDTH</key> - <value>32</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition></ipxact:value> - </ipxact:parameter> - </ipxact:parameters> - </altera:altera_system_parameters> - <altera:altera_interface_boundary> - <altera:interface_mapping altera:name="config_clk" altera:internal="board_ta2_unb2b_jesd204b.config_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="config_clk" altera:internal="config_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="config_reset" altera:internal="board_ta2_unb2b_jesd204b.config_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="config_reset" altera:internal="config_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204b_refclk" altera:internal="board_ta2_unb2b_jesd204b.jesd204b_refclk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="jesd204b_refclk" altera:internal="jesd204b_refclk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204b_sync_n" altera:internal="board_ta2_unb2b_jesd204b.jesd204b_sync_n" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204b_sync_n_arr" altera:internal="jesd204b_sync_n_arr"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="jesd204b_sysref" altera:internal="board_ta2_unb2b_jesd204b.jesd204b_sysref" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="jesd204b_sysref" altera:internal="jesd204b_sysref"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_clk" altera:internal="board_ta2_unb2b_jesd204b.kernel_clk" altera:type="clock" altera:dir="end"> - <altera:port_mapping altera:name="kernel_clk" altera:internal="kernel_clk"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_reset" altera:internal="board_ta2_unb2b_jesd204b.kernel_reset" altera:type="reset" altera:dir="end"> - <altera:port_mapping altera:name="kernel_reset" altera:internal="kernel_reset"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="kernel_src" altera:internal="board_ta2_unb2b_jesd204b.kernel_src" altera:type="avalon_streaming" altera:dir="start"> - <altera:port_mapping altera:name="kernel_src_data" altera:internal="kernel_src_data"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_ready" altera:internal="kernel_src_ready"></altera:port_mapping> - <altera:port_mapping altera:name="kernel_src_valid" altera:internal="kernel_src_valid"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="mem" altera:internal="board_ta2_unb2b_jesd204b.mem" altera:type="avalon" altera:dir="end"> - <altera:port_mapping altera:name="jesd204b_miso_rddata" altera:internal="jesd204b_miso_rddata"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204b_miso_waitrequest" altera:internal="jesd204b_miso_waitrequest"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204b_mosi_address" altera:internal="jesd204b_mosi_address"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204b_mosi_rd" altera:internal="jesd204b_mosi_rd"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204b_mosi_wr" altera:internal="jesd204b_mosi_wr"></altera:port_mapping> - <altera:port_mapping altera:name="jesd204b_mosi_wrdata" altera:internal="jesd204b_mosi_wrdata"></altera:port_mapping> - </altera:interface_mapping> - <altera:interface_mapping altera:name="serial_rx_arr" altera:internal="board_ta2_unb2b_jesd204b.serial_rx_arr" altera:type="conduit" altera:dir="end"> - <altera:port_mapping altera:name="serial_rx_arr" altera:internal="serial_rx_arr"></altera:port_mapping> - </altera:interface_mapping> - </altera:altera_interface_boundary> - <altera:altera_has_warnings>false</altera:altera_has_warnings> - <altera:altera_has_errors>false</altera:altera_has_errors> - </ipxact:vendorExtensions> -</ipxact:component> \ No newline at end of file diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_1GbE_mc/ta2_unb2b_1GbE_mc.vhd b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_1GbE_mc/ta2_unb2b_1GbE_mc.vhd index 048f57b0dcd2cbdc2d9c870312cd1fc3f58249fc..79c186c7754c16d066413a1dbe84f3d8a6042518 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_1GbE_mc/ta2_unb2b_1GbE_mc.vhd +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_1GbE_mc/ta2_unb2b_1GbE_mc.vhd @@ -56,22 +56,11 @@ ENTITY ta2_unb2b_1GbE_mc IS st_rst : IN STD_LOGIC; -- eth1g UDP streaming ports - udp_tx_sosi_data : OUT STD_LOGIC_VECTOR(5*c_byte_w -1 DOWNTO 0); - udp_tx_sosi_valid : OUT STD_LOGIC; - udp_tx_sosi_sop : OUT STD_LOGIC; - udp_tx_sosi_eop : OUT STD_LOGIC; - udp_tx_sosi_empty : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); - udp_tx_siso_ready : IN STD_LOGIC; - udp_tx_siso_xon : IN STD_LOGIC; + udp_tx_sosi : OUT t_dp_sosi; + udp_tx_siso : IN t_dp_siso; + udp_rx_sosi : IN t_dp_sosi; + udp_rx_siso : OUT t_dp_siso; - udp_rx_sosi_data : IN STD_LOGIC_VECTOR(5*c_byte_w -1 DOWNTO 0); - udp_rx_sosi_valid : IN STD_LOGIC; - udp_rx_sosi_sop : IN STD_LOGIC; - udp_rx_sosi_eop : IN STD_LOGIC; - udp_rx_sosi_empty : IN STD_LOGIC_VECTOR(1 DOWNTO 0); - udp_rx_siso_ready : OUT STD_LOGIC; - udp_rx_siso_xon : OUT STD_LOGIC; - kernel_clk : IN STD_LOGIC; -- Kernel clock (runs the kernel_* I/O below) kernel_reset : IN STD_LOGIC; @@ -109,31 +98,8 @@ ARCHITECTURE str OF ta2_unb2b_1GbE_mc IS SIGNAL dp_xonoff_src_out : t_dp_sosi; SIGNAL dp_xonoff_src_in : t_dp_siso; - SIGNAL udp_tx_sosi : t_dp_sosi; - SIGNAL udp_tx_siso : t_dp_siso; - SIGNAL udp_rx_sosi : t_dp_sosi; - SIGNAL udp_rx_siso : t_dp_siso; - BEGIN - udp_tx_sosi_data <= udp_tx_sosi.data(39 DOWNTO 0); - udp_tx_sosi_valid <= udp_tx_sosi.valid; - udp_tx_sosi_sop <= udp_tx_sosi.sop; - udp_tx_sosi_eop <= udp_tx_sosi.eop; - udp_tx_sosi_empty <= udp_tx_sosi.empty(1 DOWNTO 0); - - udp_tx_siso.ready <= udp_tx_siso_ready; - udp_tx_siso.xon <= udp_tx_siso_xon; - - udp_rx_sosi.data(39 DOWNTO 0) <= udp_rx_sosi_data; - udp_rx_sosi.valid <= udp_rx_sosi_valid; - udp_rx_sosi.sop <= udp_rx_sosi_sop; - udp_rx_sosi.eop <= udp_rx_sosi_eop; - udp_rx_sosi.empty(1 DOWNTO 0) <= udp_rx_sosi_empty; - - udp_rx_siso_ready <= udp_rx_siso.ready; - udp_rx_siso_xon <= udp_rx_siso.xon; - ------------------------------------------------------- -- Mapping Data from OpenCL kernel to 1GbE Interface -- ------------------------------------------------------- diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd index c2a6e2194b51b16200d0d0345f8a284cca003f31..506ffc71d94f343ad02865d95cf65149dc0b9eb6 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd @@ -55,12 +55,8 @@ ENTITY ta2_unb2b_jesd204b IS config_reset : IN STD_LOGIC; -- MM Control - jesd204b_mosi_address : IN STD_LOGIC_VECTOR(7 DOWNTO 0); - jesd204b_mosi_wrdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); - jesd204b_mosi_wr : IN STD_LOGIC; - jesd204b_mosi_rd : IN STD_LOGIC; - jesd204b_miso_rddata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); - jesd204b_miso_waitrequest : OUT STD_LOGIC; + jesd204b_mosi : IN t_mem_mosi; + jesd204b_miso : OUT t_mem_miso; -- JESD204B external signals jesd204b_refclk : IN STD_LOGIC := '0'; -- Reference clock. For AD9683 use 200MHz direct from clock reference pin @@ -101,20 +97,10 @@ ARCHITECTURE str OF ta2_unb2b_jesd204b IS SIGNAL jesd204b_frame_clk : STD_LOGIC; SIGNAL jesd204b_rx_src_out_flat_w_sync : t_dp_sosi; - SIGNAL jesd204b_mosi : t_mem_mosi; - SIGNAL jesd204b_miso : t_mem_miso; - SIGNAL i_jesd204b_sync_n_arr : STD_LOGIC_VECTOR(c_nof_streams_jesd204b-1 DOWNTO 0); SIGNAL jesd204b_serial_rx_arr : STD_LOGIC_VECTOR(c_nof_streams_jesd204b-1 DOWNTO 0) := (OTHERS => '0'); BEGIN - jesd204b_mosi.address(7 DOWNTO 0) <= jesd204b_mosi_address; - jesd204b_mosi.wrdata(31 DOWNTO 0) <= jesd204b_mosi_wrdata; - jesd204b_mosi.wr <= jesd204b_mosi_wr; - jesd204b_mosi.rd <= jesd204b_mosi_rd; - jesd204b_miso_rddata <= jesd204b_miso.rddata(31 DOWNTO 0); - jesd204b_miso_waitrequest <= jesd204b_miso.waitrequest; - jesd204b_sync_n_arr <= i_jesd204b_sync_n_arr(c_nof_connected_streams_jesd204b -1 DOWNTO 0); jesd204b_serial_rx_arr(c_nof_connected_streams_jesd204b -1 DOWNTO 0) <= serial_rx_arr; diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/opencl_bsp_ip.qsf b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/opencl_bsp_ip.qsf index f8d1dd23856996aa7799cf161a78991ff2ebb659..f0b654c9aa8384792f6d19eb249c928cb45079a4 100755 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/opencl_bsp_ip.qsf +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/opencl_bsp_ip.qsf @@ -24,6 +24,7 @@ source device.tcl #============================================================ set_global_assignment -name TOP_LEVEL_ENTITY top set_global_assignment -name VERILOG_INPUT_VERSION SYSTEMVERILOG_2005 +set_global_assignment -name VHDL_FILE top_components_pkg.vhd set_global_assignment -name VHDL_FILE top.vhd set_global_assignment -name VERILOG_FILE ip/freeze_wrapper.v set_global_assignment -name VERILOG_FILE ip/pr_region.v @@ -37,6 +38,12 @@ set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_PIN_COUNT 1932 set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 4 set_global_assignment -name QSYS_FILE board.qsys + +set_global_assignment -name VHDL_FILE ip/ta2_unb2b_10GbE/ta2_unb2b_10GbE.vhd +set_global_assignment -name VHDL_FILE ip/ta2_unb2b_40GbE/ta2_unb2b_40GbE.vhd +set_global_assignment -name VHDL_FILE ip/ta2_unb2b_1GbE_mc/ta2_unb2b_1GbE_mc.vhd +set_global_assignment -name VHDL_FILE ip/ta2_unb2b_jesd204b/ta2_unb2b_jesd204b.vhd + set_global_assignment -name IP_FILE ip/board/board_reg_unb_pmbus.ip set_global_assignment -name IP_FILE ip/board/board_kernel_clk_gen.ip set_global_assignment -name IP_FILE ip/board/board_reg_epcs.ip @@ -62,4 +69,4 @@ set_global_assignment -name IP_FILE ip/board/board_reg_remu.ip set_global_assignment -name IP_FILE ip/board/board_jtag_uart_0.ip set_global_assignment -name IP_FILE ip/board/board_kernel_clk.ip set_global_assignment -name IP_FILE ip/board/board_onchip_memory.ip - +set_global_assignment -name IP_FILE ip/board/board_reg_ta2_unb2b_jesd204b.ip diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top.vhd b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top.vhd index 187413cc2d03e4331d1800312741b9f029b8e17c..c46698e6439c996bf9b9913e6e67021b92b5dca0 100644 --- a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top.vhd +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top.vhd @@ -29,6 +29,7 @@ USE technology_lib.technology_pkg.ALL; USE unb2b_board_lib.unb2b_board_pkg.ALL; USE unb2b_board_lib.unb2b_board_peripherals_pkg.ALL; USE dp_lib.dp_stream_pkg.ALL; +USE work.top_components_pkg.ALL; ENTITY top IS GENERIC ( @@ -185,6 +186,10 @@ ARCHITECTURE str OF top IS SIGNAL reg_remu_mosi : t_mem_mosi; SIGNAL reg_remu_miso : t_mem_miso; + -- JESD204b + SIGNAL reg_ta2_unb2b_jesd204b_mosi : t_mem_mosi; + SIGNAL reg_ta2_unb2b_jesd204b_miso : t_mem_miso; + -- 10GbE SIGNAL i_QSFP_TX : t_unb2b_board_qsfp_bus_2arr(c_nof_qsfp_bus-1 DOWNTO 0); SIGNAL i_QSFP_RX : t_unb2b_board_qsfp_bus_2arr(c_nof_qsfp_bus-1 DOWNTO 0); @@ -198,11 +203,9 @@ ARCHITECTURE str OF top IS SIGNAL unb2b_board_qsfp_leds_tx_src_in_arr : t_dp_siso_arr(c_nof_qsfp_bus*c_quad-1 DOWNTO 0) := (OTHERS => c_dp_siso_rst); SIGNAL i_reset_n : STD_LOGIC; - - + SIGNAL i_kernel_rst : STD_LOGIC; -- OpenCL kernel - SIGNAL board_kernel_clk_clk : std_logic; SIGNAL board_kernel_clk2x_clk : std_logic; SIGNAL board_kernel_reset_reset_n : std_logic; @@ -244,7 +247,6 @@ ARCHITECTURE str OF top IS SIGNAL board_kernel_stream_snk_10GbE_ready : std_logic; SIGNAL ta2_unb2b_10gbe_rx_status_rx_status : std_logic; -- rx_status - SIGNAL board_kernel_stream_src_1GbE_data : std_logic_vector(39 downto 0); SIGNAL board_kernel_stream_src_1GbE_valid : std_logic; SIGNAL board_kernel_stream_src_1GbE_ready : std_logic; @@ -256,264 +258,220 @@ ARCHITECTURE str OF top IS SIGNAL board_kernel_stream_src_ADC_valid : std_logic; SIGNAL board_kernel_stream_src_ADC_ready : std_logic; - component board is - port ( - avs_eth_0_clk_export : out std_logic; -- export - avs_eth_0_irq_export : in std_logic := 'X'; -- export - avs_eth_0_ram_address_export : out std_logic_vector(9 downto 0); -- export - avs_eth_0_ram_read_export : out std_logic; -- export - avs_eth_0_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_ram_write_export : out std_logic; -- export - avs_eth_0_ram_writedata_export : out std_logic_vector(31 downto 0); -- export - avs_eth_0_reg_address_export : out std_logic_vector(3 downto 0); -- export - avs_eth_0_reg_read_export : out std_logic; -- export - avs_eth_0_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_reg_write_export : out std_logic; -- export - avs_eth_0_reg_writedata_export : out std_logic_vector(31 downto 0); -- export - avs_eth_0_reset_export : out std_logic; -- export - avs_eth_0_tse_address_export : out std_logic_vector(9 downto 0); -- export - avs_eth_0_tse_read_export : out std_logic; -- export - avs_eth_0_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - avs_eth_0_tse_waitrequest_export : in std_logic := 'X'; -- export - avs_eth_0_tse_write_export : out std_logic; -- export - avs_eth_0_tse_writedata_export : out std_logic_vector(31 downto 0); -- export - clk_clk : in std_logic := 'X'; -- clk - kernel_clk_clk : out std_logic; -- clk - kernel_clk2x_clk : out std_logic; -- clk - kernel_cra_waitrequest : in std_logic := 'X'; -- waitrequest - kernel_cra_readdata : in std_logic_vector(63 downto 0) := (others => 'X'); -- readdata - kernel_cra_readdatavalid : in std_logic := 'X'; -- readdatavalid - kernel_cra_burstcount : out std_logic_vector(0 downto 0); -- burstcount - kernel_cra_writedata : out std_logic_vector(63 downto 0); -- writedata - kernel_cra_address : out std_logic_vector(29 downto 0); -- address - kernel_cra_write : out std_logic; -- write - kernel_cra_read : out std_logic; -- read - kernel_cra_byteenable : out std_logic_vector(7 downto 0); -- byteenable - kernel_cra_debugaccess : out std_logic; -- debugaccess - kernel_interface_sw_reset_in_reset : in std_logic := 'X'; -- reset - kernel_irq_irq : in std_logic_vector(0 downto 0) := (others => 'X'); -- irq - kernel_reset_reset_n : out std_logic; -- reset_n - pio_pps_address_export : out std_logic_vector(0 downto 0); -- export - pio_pps_clk_export : out std_logic; -- export - pio_pps_read_export : out std_logic; -- export - pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - pio_pps_reset_export : out std_logic; -- export - pio_pps_write_export : out std_logic; -- export - pio_pps_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_system_info_address_export : out std_logic_vector(4 downto 0); -- export - pio_system_info_clk_export : out std_logic; -- export - pio_system_info_read_export : out std_logic; -- export - pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - pio_system_info_reset_export : out std_logic; -- export - pio_system_info_write_export : out std_logic; -- export - pio_system_info_writedata_export : out std_logic_vector(31 downto 0); -- export - pio_wdi_external_connection_export : out std_logic; -- export - reg_dpmm_ctrl_address_export : out std_logic_vector(0 downto 0); -- export - reg_dpmm_ctrl_clk_export : out std_logic; -- export - reg_dpmm_ctrl_read_export : out std_logic; -- export - reg_dpmm_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dpmm_ctrl_reset_export : out std_logic; -- export - reg_dpmm_ctrl_write_export : out std_logic; -- export - reg_dpmm_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_dpmm_data_address_export : out std_logic_vector(0 downto 0); -- export - reg_dpmm_data_clk_export : out std_logic; -- export - reg_dpmm_data_read_export : out std_logic; -- export - reg_dpmm_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_dpmm_data_reset_export : out std_logic; -- export - reg_dpmm_data_write_export : out std_logic; -- export - reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_epcs_address_export : out std_logic_vector(2 downto 0); -- export - reg_epcs_clk_export : out std_logic; -- export - reg_epcs_read_export : out std_logic; -- export - reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_epcs_reset_export : out std_logic; -- export - reg_epcs_write_export : out std_logic; -- export - reg_epcs_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_fpga_temp_sens_address_export : out std_logic_vector(2 downto 0); -- export - reg_fpga_temp_sens_clk_export : out std_logic; -- export - reg_fpga_temp_sens_read_export : out std_logic; -- export - reg_fpga_temp_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_fpga_temp_sens_reset_export : out std_logic; -- export - reg_fpga_temp_sens_write_export : out std_logic; -- export - reg_fpga_temp_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_fpga_voltage_sens_address_export : out std_logic_vector(3 downto 0); -- export - reg_fpga_voltage_sens_clk_export : out std_logic; -- export - reg_fpga_voltage_sens_read_export : out std_logic; -- export - reg_fpga_voltage_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_fpga_voltage_sens_reset_export : out std_logic; -- export - reg_fpga_voltage_sens_write_export : out std_logic; -- export - reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- export - reg_mmdp_ctrl_clk_export : out std_logic; -- export - reg_mmdp_ctrl_read_export : out std_logic; -- export - reg_mmdp_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_mmdp_ctrl_reset_export : out std_logic; -- export - reg_mmdp_ctrl_write_export : out std_logic; -- export - reg_mmdp_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_mmdp_data_address_export : out std_logic_vector(0 downto 0); -- export - reg_mmdp_data_clk_export : out std_logic; -- export - reg_mmdp_data_read_export : out std_logic; -- export - reg_mmdp_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_mmdp_data_reset_export : out std_logic; -- export - reg_mmdp_data_write_export : out std_logic; -- export - reg_mmdp_data_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_remu_address_export : out std_logic_vector(2 downto 0); -- export - reg_remu_clk_export : out std_logic; -- export - reg_remu_read_export : out std_logic; -- export - reg_remu_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_remu_reset_export : out std_logic; -- export - reg_remu_write_export : out std_logic; -- export - reg_remu_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_unb_pmbus_address_export : out std_logic_vector(5 downto 0); -- export - reg_unb_pmbus_clk_export : out std_logic; -- export - reg_unb_pmbus_read_export : out std_logic; -- export - reg_unb_pmbus_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_unb_pmbus_reset_export : out std_logic; -- export - reg_unb_pmbus_write_export : out std_logic; -- export - reg_unb_pmbus_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_unb_sens_address_export : out std_logic_vector(5 downto 0); -- export - reg_unb_sens_clk_export : out std_logic; -- export - reg_unb_sens_read_export : out std_logic; -- export - reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_unb_sens_reset_export : out std_logic; -- export - reg_unb_sens_write_export : out std_logic; -- export - reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_wdi_address_export : out std_logic_vector(0 downto 0); -- export - reg_wdi_clk_export : out std_logic; -- export - reg_wdi_read_export : out std_logic; -- export - reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_wdi_reset_export : out std_logic; -- export - reg_wdi_write_export : out std_logic; -- export - reg_wdi_writedata_export : out std_logic_vector(31 downto 0); -- export - kernel_register_mem_address : in std_logic_vector(6 downto 0) := (others => 'X'); -- address - kernel_register_mem_clken : in std_logic := 'X'; -- clken - kernel_register_mem_chipselect : in std_logic := 'X'; -- chipselect - kernel_register_mem_write : in std_logic := 'X'; -- write - kernel_register_mem_readdata : out std_logic_vector(255 downto 0); -- readdata - kernel_register_mem_writedata : in std_logic_vector(255 downto 0) := (others => 'X'); -- writedata - kernel_register_mem_byteenable : in std_logic_vector(31 downto 0) := (others => 'X'); -- byteenable - reset_reset_n : in std_logic := 'X'; -- reset_n - rom_system_info_address_export : out std_logic_vector(9 downto 0); -- export - rom_system_info_clk_export : out std_logic; -- export - rom_system_info_read_export : out std_logic; -- export - rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - rom_system_info_reset_export : out std_logic; -- export - rom_system_info_write_export : out std_logic; -- export - rom_system_info_writedata_export : out std_logic_vector(31 downto 0); -- export - ta2_unb2b_10gbe_kernel_snk_data : in std_logic_vector(71 downto 0) := (others => 'X'); -- data - ta2_unb2b_10gbe_kernel_snk_ready : out std_logic; -- ready - ta2_unb2b_10gbe_kernel_snk_valid : in std_logic := 'X'; -- valid - ta2_unb2b_10gbe_kernel_src_data : out std_logic_vector(71 downto 0); -- data - ta2_unb2b_10gbe_kernel_src_ready : in std_logic := 'X'; -- ready - ta2_unb2b_10gbe_kernel_src_valid : out std_logic; -- valid - ta2_unb2b_10gbe_refclk_clk : in std_logic := 'X'; -- clk - ta2_unb2b_10gbe_rx_serial_data_conduit : in std_logic := 'X'; -- conduit - ta2_unb2b_10gbe_rx_status_rx_status : out std_logic; -- rx_status - ta2_unb2b_10gbe_tx_serial_data_conduit : out std_logic; -- conduit - ta2_unb2b_40gbe_kernel_snk_data : in std_logic_vector(263 downto 0) := (others => 'X'); -- data - ta2_unb2b_40gbe_kernel_snk_ready : out std_logic; -- ready - ta2_unb2b_40gbe_kernel_snk_valid : in std_logic := 'X'; -- valid - ta2_unb2b_40gbe_kernel_src_data : out std_logic_vector(263 downto 0); -- data - ta2_unb2b_40gbe_kernel_src_ready : in std_logic := 'X'; -- ready - ta2_unb2b_40gbe_kernel_src_valid : out std_logic; -- valid - ta2_unb2b_40gbe_refclk_clk : in std_logic := 'X'; -- clk - ta2_unb2b_40gbe_rx_serial_data_conduit : in std_logic_vector(3 downto 0) := (others => 'X'); -- conduit - ta2_unb2b_40gbe_rx_status_rx_status : out std_logic; -- rx_status - ta2_unb2b_40gbe_tx_serial_data_conduit : out std_logic_vector(3 downto 0); -- conduit - - ta2_unb2b_1gbe_mc_kernel_snk_data : in std_logic_vector(39 downto 0) := (others => 'X'); -- data - ta2_unb2b_1gbe_mc_kernel_snk_ready : out std_logic; -- ready - ta2_unb2b_1gbe_mc_kernel_snk_valid : in std_logic := 'X'; -- valid - ta2_unb2b_1gbe_mc_kernel_src_data : out std_logic_vector(39 downto 0); -- data - ta2_unb2b_1gbe_mc_kernel_src_ready : in std_logic := 'X'; -- ready - ta2_unb2b_1gbe_mc_kernel_src_valid : out std_logic; -- valid - ta2_unb2b_1gbe_mc_st_clk_clk : in std_logic := 'X'; -- clk - ta2_unb2b_1gbe_mc_st_rst_reset : in std_logic := 'X'; -- reset - ta2_unb2b_1gbe_mc_udp_rx_snk_in_ready : out std_logic; -- ready - ta2_unb2b_1gbe_mc_udp_rx_snk_in_data : in std_logic_vector(39 downto 0) := (others => 'X'); -- data - ta2_unb2b_1gbe_mc_udp_rx_snk_in_empty : in std_logic_vector(1 downto 0) := (others => 'X'); -- empty - ta2_unb2b_1gbe_mc_udp_rx_snk_in_endofpacket : in std_logic := 'X'; -- endofpacket - ta2_unb2b_1gbe_mc_udp_rx_snk_in_startofpacket : in std_logic := 'X'; -- startofpacket - ta2_unb2b_1gbe_mc_udp_rx_snk_in_valid : in std_logic := 'X'; -- valid - ta2_unb2b_1gbe_mc_udp_rx_snk_in_xon_xon : out std_logic; -- xon - ta2_unb2b_1gbe_mc_udp_tx_src_out_ready : in std_logic := 'X'; -- ready - ta2_unb2b_1gbe_mc_udp_tx_src_out_data : out std_logic_vector(39 downto 0); -- data - ta2_unb2b_1gbe_mc_udp_tx_src_out_empty : out std_logic_vector(1 downto 0); -- empty - ta2_unb2b_1gbe_mc_udp_tx_src_out_endofpacket : out std_logic; -- endofpacket - ta2_unb2b_1gbe_mc_udp_tx_src_out_startofpacket : out std_logic; -- startofpacket - ta2_unb2b_1gbe_mc_udp_tx_src_out_valid : out std_logic; -- valid - ta2_unb2b_1gbe_mc_udp_tx_src_out_xon_xon : in std_logic := 'X'; -- xon - - ta2_unb2b_jesd204b_kernel_src_data : out std_logic_vector(15 downto 0); -- data - ta2_unb2b_jesd204b_kernel_src_ready : in std_logic := 'X'; -- ready - ta2_unb2b_jesd204b_kernel_src_valid : out std_logic; -- valid - ta2_unb2b_jesd204b_jesd204b_refclk_clk : in std_logic := 'X'; -- clk - ta2_unb2b_jesd204b_jesd204b_sysref_conduit : in std_logic := 'X'; -- conduit - ta2_unb2b_jesd204b_jesd204b_sync_n_conduit : out std_logic_vector(0 downto 0); -- conduit - ta2_unb2b_jesd204b_serial_rx_arr_conduit : in std_logic_vector(0 downto 0) := (others => 'X') -- conduit - - ); - end component board; - - component freeze_wrapper is - port ( - board_kernel_clk_clk : in std_logic; --input - board_kernel_clk2x_clk : in std_logic; --input - board_kernel_reset_reset_n : in std_logic; --input - board_kernel_irq_irq : out std_logic_vector(0 downto 0); --output [0:0] - board_kernel_cra_waitrequest : out std_logic; --output - board_kernel_cra_readdata : out std_logic_vector(63 downto 0); --output [63:0] - board_kernel_cra_readdatavalid : out std_logic; --output - board_kernel_cra_burstcount : in std_logic_vector(0 downto 0); --input [0:0] - board_kernel_cra_writedata : in std_logic_vector(63 downto 0); --input [63:0] - board_kernel_cra_address : in std_logic_vector(29 downto 0); --input [29:0] - board_kernel_cra_write : in std_logic; --input - board_kernel_cra_read : in std_logic; --input - board_kernel_cra_byteenable : in std_logic_vector(7 downto 0); --input [7:0] - board_kernel_cra_debugaccess : in std_logic; --input - - board_kernel_register_mem_address : out std_logic_vector(6 downto 0); -- := (others => 'X'); -- address - board_kernel_register_mem_clken : out std_logic; -- := 'X'; -- clken - board_kernel_register_mem_chipselect : out std_logic; -- := 'X'; -- chipselect - board_kernel_register_mem_write : out std_logic; -- := 'X'; -- write - board_kernel_register_mem_readdata : in std_logic_vector(255 downto 0); -- readdata - board_kernel_register_mem_writedata : out std_logic_vector(255 downto 0); -- := (others => 'X'); -- writedata - board_kernel_register_mem_byteenable : out std_logic_vector(31 downto 0); -- := (others => 'X'); -- byteenable - - board_kernel_stream_src_40GbE_data : in std_logic_vector(263 downto 0); - board_kernel_stream_src_40GbE_valid : in std_logic; - board_kernel_stream_src_40GbE_ready : out std_logic; - board_kernel_stream_snk_40GbE_data : out std_logic_vector(263 downto 0); - board_kernel_stream_snk_40GbE_valid : out std_logic; - board_kernel_stream_snk_40GbE_ready : in std_logic; - - board_kernel_stream_src_10GbE_data : in std_logic_vector(71 downto 0); - board_kernel_stream_src_10GbE_valid : in std_logic; - board_kernel_stream_src_10GbE_ready : out std_logic; - board_kernel_stream_snk_10GbE_data : out std_logic_vector(71 downto 0); - board_kernel_stream_snk_10GbE_valid : out std_logic; - board_kernel_stream_snk_10GbE_ready : in std_logic; - - board_kernel_stream_src_1GbE_data : in std_logic_vector(39 downto 0); - board_kernel_stream_src_1GbE_valid : in std_logic; - board_kernel_stream_src_1GbE_ready : out std_logic; - board_kernel_stream_snk_1GbE_data : out std_logic_vector(39 downto 0); - board_kernel_stream_snk_1GbE_valid : out std_logic; - board_kernel_stream_snk_1GbE_ready : in std_logic; - - board_kernel_stream_src_ADC_data : in std_logic_vector(15 downto 0); - board_kernel_stream_src_ADC_valid : in std_logic; - board_kernel_stream_src_ADC_ready : out std_logic - - ); - end component freeze_wrapper; +BEGIN + ------------ + -- Front IO + ------------ + -- put the QSFP_TX/RX ports into arrays + i_QSFP_RX(0) <= QSFP_0_RX; + i_QSFP_RX(1) <= QSFP_1_RX; + QSFP_0_TX <= i_QSFP_TX(0); + QSFP_1_TX <= i_QSFP_TX(1); -BEGIN + u_unb2b_board_front_io : ENTITY unb2b_board_lib.unb2b_board_front_io + GENERIC MAP ( + g_nof_qsfp_bus => c_nof_qsfp_bus + ) + PORT MAP ( + serial_tx_arr => unb2b_board_front_io_serial_tx_arr, + serial_rx_arr => unb2b_board_front_io_serial_rx_arr, - i_reset_n <= NOT mm_rst; + green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), + red_led_arr => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), + + QSFP_RX => i_QSFP_RX, + QSFP_TX => i_QSFP_TX, + + QSFP_LED => QSFP_LED + ); + + ------------------------ + -- qsfp LEDs controller + ------------------------ + unb2b_board_qsfp_leds_tx_src_in_arr(4).xon <= ta2_unb2b_40gbe_rx_status_rx_status; + unb2b_board_qsfp_leds_tx_src_in_arr(0).xon <= ta2_unb2b_10gbe_rx_status_rx_status; + u_unb2b_board_qsfp_leds : ENTITY unb2b_board_lib.unb2b_board_qsfp_leds + GENERIC MAP ( + g_sim => g_sim, + g_factory_image => g_factory_image, + g_nof_qsfp => c_nof_qsfp_bus, + g_pulse_us => 1000 / (10**9 / c_mm_clk_freq) -- nof clk cycles to get us period + ) + PORT MAP ( + rst => mm_rst, + clk => mm_clk, + + tx_siso_arr => unb2b_board_qsfp_leds_tx_src_in_arr, + + green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), + red_led_arr => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0) + ); + + ---------- + -- 40GbE + ---------- + u_ta2_unb2b_40GbE : ENTITY work.ta2_unb2b_40GbE + PORT MAP ( + config_clk => mm_clk, + config_reset => mm_rst, + + clk_ref_r => SA_CLK, + + tx_serial_r => unb2b_board_front_io_serial_tx_arr(7 DOWNTO 4), + rx_serial_r => unb2b_board_front_io_serial_rx_arr(7 DOWNTO 4), + + kernel_clk => board_kernel_clk_clk, + kernel_reset => i_kernel_rst, + + kernel_src_data => board_kernel_stream_src_40GbE_data, + kernel_src_valid => board_kernel_stream_src_40GbE_valid, + kernel_src_ready => board_kernel_stream_src_40GbE_ready, + + kernel_snk_data => board_kernel_stream_snk_40GbE_data, + kernel_snk_valid => board_kernel_stream_snk_40GbE_valid, + kernel_snk_ready => board_kernel_stream_snk_40GbE_ready, + + rx_status => ta2_unb2b_40gbe_rx_status_rx_status + ); + + ---------- + -- 10GbE + ---------- + u_ta2_unb2b_10GbE : ENTITY work.ta2_unb2b_10GbE + PORT MAP ( + config_reset => mm_rst, + + clk_ref_r => SA_CLK, + + tx_serial_r => unb2b_board_front_io_serial_tx_arr(0), + rx_serial_r => unb2b_board_front_io_serial_rx_arr(0), + + kernel_clk => board_kernel_clk_clk, + kernel_reset => i_kernel_rst, + + kernel_src_data => board_kernel_stream_src_10GbE_data, + kernel_src_valid => board_kernel_stream_src_10GbE_valid, + kernel_src_ready => board_kernel_stream_src_10GbE_ready, + + kernel_snk_data => board_kernel_stream_snk_10GbE_data, + kernel_snk_valid => board_kernel_stream_snk_10GbE_valid, + kernel_snk_ready => board_kernel_stream_snk_10GbE_ready, + + rx_status => ta2_unb2b_10gbe_rx_status_rx_status + ); + + + ----------------------------- + -- 1GbE Monitoring & Control + ----------------------------- + u_ta2_unb2b_1GbE_mc : ENTITY work.ta2_unb2b_1GbE_mc + PORT MAP ( + st_clk => st_clk, + st_rst => st_rst, + + udp_tx_sosi => eth1g_udp_tx_sosi_arr(0), + udp_tx_siso => eth1g_udp_tx_siso_arr(0), + udp_rx_sosi => eth1g_udp_rx_sosi_arr(0), + udp_rx_siso => eth1g_udp_rx_siso_arr(0), + + kernel_clk => board_kernel_clk_clk, + kernel_reset => i_kernel_rst, + + kernel_src_data => board_kernel_stream_src_1GbE_data, + kernel_src_valid => board_kernel_stream_src_1GbE_valid, + kernel_src_ready => board_kernel_stream_src_1GbE_ready, + kernel_snk_data => board_kernel_stream_snk_1GbE_data, + kernel_snk_valid => board_kernel_stream_snk_1GbE_valid, + kernel_snk_ready => board_kernel_stream_snk_1GbE_ready + + ); + + ---------- + -- ADC + ---------- + u_ta2_unb2b_jesd204b : ENTITY work.ta2_unb2b_jesd204b + PORT MAP( + config_clk => mm_clk, + config_reset => mm_rst, + + jesd204b_mosi => reg_ta2_unb2b_jesd204b_mosi, + jesd204b_miso => reg_ta2_unb2b_jesd204b_miso, + + -- JESD204B external signals + jesd204b_refclk => BCK_REF_CLK, + jesd204b_sysref => JESD204B_SYSREF, + jesd204b_sync_n_arr => JESD204B_SYNC, + + serial_rx_arr => BCK_RX, + + kernel_clk => board_kernel_clk_clk, + kernel_reset => i_kernel_rst, + + kernel_src_data => board_kernel_stream_src_ADC_data, + kernel_src_valid => board_kernel_stream_src_ADC_valid, + kernel_src_ready => board_kernel_stream_src_ADC_ready + + ); + + + ----------------------------------------------------------------------------- + -- Freeze wrapper instantiation + ----------------------------------------------------------------------------- + freeze_wrapper_inst : freeze_wrapper + PORT MAP( + board_kernel_clk_clk => board_kernel_clk_clk, + board_kernel_clk2x_clk => board_kernel_clk2x_clk, + board_kernel_reset_reset_n => board_kernel_reset_reset_n, + board_kernel_irq_irq => board_kernel_irq_irq, + board_kernel_cra_waitrequest => board_kernel_cra_waitrequest, + board_kernel_cra_readdata => board_kernel_cra_readdata, + board_kernel_cra_readdatavalid => board_kernel_cra_readdatavalid, + board_kernel_cra_burstcount => board_kernel_cra_burstcount, + board_kernel_cra_writedata => board_kernel_cra_writedata, + board_kernel_cra_address => board_kernel_cra_address, + board_kernel_cra_write => board_kernel_cra_write, + board_kernel_cra_read => board_kernel_cra_read, + board_kernel_cra_byteenable => board_kernel_cra_byteenable, + board_kernel_cra_debugaccess => board_kernel_cra_debugaccess, + board_kernel_register_mem_address => board_kernel_register_mem_address, + board_kernel_register_mem_clken => board_kernel_register_mem_clken, + board_kernel_register_mem_chipselect => board_kernel_register_mem_chipselect, + board_kernel_register_mem_write => board_kernel_register_mem_write, + board_kernel_register_mem_readdata => board_kernel_register_mem_readdata, + board_kernel_register_mem_writedata => board_kernel_register_mem_writedata, + board_kernel_register_mem_byteenable => board_kernel_register_mem_byteenable, + + board_kernel_stream_src_40GbE_data => board_kernel_stream_src_40GbE_data, + board_kernel_stream_src_40GbE_valid => board_kernel_stream_src_40GbE_valid, + board_kernel_stream_src_40GbE_ready => board_kernel_stream_src_40GbE_ready, + board_kernel_stream_snk_40GbE_data => board_kernel_stream_snk_40GbE_data, + board_kernel_stream_snk_40GbE_valid => board_kernel_stream_snk_40GbE_valid, + board_kernel_stream_snk_40GbE_ready => board_kernel_stream_snk_40GbE_ready, + + board_kernel_stream_src_10GbE_data => board_kernel_stream_src_10GbE_data, + board_kernel_stream_src_10GbE_valid => board_kernel_stream_src_10GbE_valid, + board_kernel_stream_src_10GbE_ready => board_kernel_stream_src_10GbE_ready, + board_kernel_stream_snk_10GbE_data => board_kernel_stream_snk_10GbE_data, + board_kernel_stream_snk_10GbE_valid => board_kernel_stream_snk_10GbE_valid, + board_kernel_stream_snk_10GbE_ready => board_kernel_stream_snk_10GbE_ready, + + board_kernel_stream_src_1GbE_data => board_kernel_stream_src_1GbE_data, + board_kernel_stream_src_1GbE_valid => board_kernel_stream_src_1GbE_valid, + board_kernel_stream_src_1GbE_ready => board_kernel_stream_src_1GbE_ready, + board_kernel_stream_snk_1GbE_data => board_kernel_stream_snk_1GbE_data, + board_kernel_stream_snk_1GbE_valid => board_kernel_stream_snk_1GbE_valid, + board_kernel_stream_snk_1GbE_ready => board_kernel_stream_snk_1GbE_ready, + board_kernel_stream_src_ADC_data => board_kernel_stream_src_ADC_data, + board_kernel_stream_src_ADC_valid => board_kernel_stream_src_ADC_valid, + board_kernel_stream_src_ADC_ready => board_kernel_stream_src_ADC_ready + + ); + + i_reset_n <= NOT mm_rst; + i_kernel_rst <= NOT board_kernel_reset_reset_n; ----------------------------------------------------------------------------- -- General control function ----------------------------------------------------------------------------- @@ -644,58 +602,6 @@ BEGIN ); - ------------ - -- Front IO - ------------ - - -- put the QSFP_TX/RX ports into arrays - i_QSFP_RX(0) <= QSFP_0_RX; - i_QSFP_RX(1) <= QSFP_1_RX; - - QSFP_0_TX <= i_QSFP_TX(0); - QSFP_1_TX <= i_QSFP_TX(1); - - u_unb2b_board_front_io : ENTITY unb2b_board_lib.unb2b_board_front_io - GENERIC MAP ( - g_nof_qsfp_bus => c_nof_qsfp_bus - ) - PORT MAP ( - serial_tx_arr => unb2b_board_front_io_serial_tx_arr, - serial_rx_arr => unb2b_board_front_io_serial_rx_arr, - - green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), - red_led_arr => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), - - QSFP_RX => i_QSFP_RX, - QSFP_TX => i_QSFP_TX, - - QSFP_LED => QSFP_LED - ); - - ------------------------ - -- qsfp LEDs controller - ------------------------ - unb2b_board_qsfp_leds_tx_src_in_arr(4).xon <= ta2_unb2b_40gbe_rx_status_rx_status; - unb2b_board_qsfp_leds_tx_src_in_arr(0).xon <= ta2_unb2b_10gbe_rx_status_rx_status; - u_unb2b_board_qsfp_leds : ENTITY unb2b_board_lib.unb2b_board_qsfp_leds - GENERIC MAP ( - g_sim => g_sim, - g_factory_image => g_factory_image, - g_nof_qsfp => c_nof_qsfp_bus, - g_pulse_us => 1000 / (10**9 / c_mm_clk_freq) -- nof clk cycles to get us period - ) - PORT MAP ( - rst => mm_rst, - clk => mm_clk, - - tx_siso_arr => unb2b_board_qsfp_leds_tx_src_in_arr, - - green_led_arr => qsfp_green_led_arr(c_nof_qsfp_bus-1 DOWNTO 0), - red_led_arr => qsfp_red_led_arr(c_nof_qsfp_bus-1 DOWNTO 0) - ); - - - ----------------------------------------------------------------------------- -- Board qsys ----------------------------------------------------------------------------- @@ -816,6 +722,13 @@ BEGIN reg_mmdp_ctrl_write_export => reg_mmdp_ctrl_mosi.wr, reg_mmdp_ctrl_writedata_export => reg_mmdp_ctrl_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_ta2_unb2b_jesd204b_address_export => reg_ta2_unb2b_jesd204b_mosi.address(7 DOWNTO 0), + reg_ta2_unb2b_jesd204b_read_export => reg_ta2_unb2b_jesd204b_mosi.rd, + reg_ta2_unb2b_jesd204b_readdata_export => reg_ta2_unb2b_jesd204b_miso.rddata(c_word_w-1 DOWNTO 0), + reg_ta2_unb2b_jesd204b_write_export => reg_ta2_unb2b_jesd204b_mosi.wr, + reg_ta2_unb2b_jesd204b_writedata_export => reg_ta2_unb2b_jesd204b_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_ta2_unb2b_jesd204b_waitrequest_export => reg_ta2_unb2b_jesd204b_miso.waitrequest, + kernel_cra_waitrequest => board_kernel_cra_waitrequest, kernel_cra_readdata => board_kernel_cra_readdata, kernel_cra_readdatavalid => board_kernel_cra_readdatavalid, @@ -835,120 +748,9 @@ BEGIN kernel_register_mem_write => board_kernel_register_mem_write, kernel_register_mem_readdata => board_kernel_register_mem_readdata, kernel_register_mem_writedata => board_kernel_register_mem_writedata, - kernel_register_mem_byteenable => board_kernel_register_mem_byteenable, - - ta2_unb2b_10gbe_kernel_snk_data => board_kernel_stream_snk_10GbE_data, - ta2_unb2b_10gbe_kernel_snk_ready => board_kernel_stream_snk_10GbE_ready, - ta2_unb2b_10gbe_kernel_snk_valid => board_kernel_stream_snk_10GbE_valid, - ta2_unb2b_10gbe_kernel_src_data => board_kernel_stream_src_10GbE_data, - ta2_unb2b_10gbe_kernel_src_ready => board_kernel_stream_src_10GbE_ready, - ta2_unb2b_10gbe_kernel_src_valid => board_kernel_stream_src_10GbE_valid, - ta2_unb2b_10gbe_refclk_clk => SA_CLK, - ta2_unb2b_10gbe_rx_serial_data_conduit => unb2b_board_front_io_serial_rx_arr(0), - ta2_unb2b_10gbe_rx_status_rx_status => ta2_unb2b_10gbe_rx_status_rx_status, - ta2_unb2b_10gbe_tx_serial_data_conduit => unb2b_board_front_io_serial_tx_arr(0), - - ta2_unb2b_40gbe_kernel_snk_data => board_kernel_stream_snk_40GbE_data, - ta2_unb2b_40gbe_kernel_snk_ready => board_kernel_stream_snk_40GbE_ready, - ta2_unb2b_40gbe_kernel_snk_valid => board_kernel_stream_snk_40GbE_valid, - ta2_unb2b_40gbe_kernel_src_data => board_kernel_stream_src_40GbE_data, - ta2_unb2b_40gbe_kernel_src_ready => board_kernel_stream_src_40GbE_ready, - ta2_unb2b_40gbe_kernel_src_valid => board_kernel_stream_src_40GbE_valid, - ta2_unb2b_40gbe_refclk_clk => SA_CLK, - ta2_unb2b_40gbe_rx_serial_data_conduit => unb2b_board_front_io_serial_rx_arr(7 DOWNTO 4), - ta2_unb2b_40gbe_rx_status_rx_status => ta2_unb2b_40gbe_rx_status_rx_status, - ta2_unb2b_40gbe_tx_serial_data_conduit => unb2b_board_front_io_serial_tx_arr(7 DOWNTO 4), - - ta2_unb2b_1gbe_mc_kernel_snk_data => board_kernel_stream_snk_1GbE_data, - ta2_unb2b_1gbe_mc_kernel_snk_ready => board_kernel_stream_snk_1GbE_ready, - ta2_unb2b_1gbe_mc_kernel_snk_valid => board_kernel_stream_snk_1GbE_valid, - ta2_unb2b_1gbe_mc_kernel_src_data => board_kernel_stream_src_1GbE_data, - ta2_unb2b_1gbe_mc_kernel_src_ready => board_kernel_stream_src_1GbE_ready, - ta2_unb2b_1gbe_mc_kernel_src_valid => board_kernel_stream_src_1GbE_valid, - - ta2_unb2b_1gbe_mc_st_clk_clk => st_clk, - ta2_unb2b_1gbe_mc_st_rst_reset => st_rst, - - ta2_unb2b_1gbe_mc_udp_rx_snk_in_data => eth1g_udp_rx_sosi_arr(0).data(39 DOWNTO 0), - ta2_unb2b_1gbe_mc_udp_rx_snk_in_empty => eth1g_udp_rx_sosi_arr(0).empty(1 DOWNTO 0), - ta2_unb2b_1gbe_mc_udp_rx_snk_in_endofpacket => eth1g_udp_rx_sosi_arr(0).eop, - ta2_unb2b_1gbe_mc_udp_rx_snk_in_startofpacket => eth1g_udp_rx_sosi_arr(0).sop, - ta2_unb2b_1gbe_mc_udp_rx_snk_in_valid => eth1g_udp_rx_sosi_arr(0).valid, - ta2_unb2b_1gbe_mc_udp_rx_snk_in_ready => eth1g_udp_rx_siso_arr(0).ready, - ta2_unb2b_1gbe_mc_udp_rx_snk_in_xon_xon => eth1g_udp_rx_siso_arr(0).xon, - ta2_unb2b_1gbe_mc_udp_tx_src_out_data => eth1g_udp_tx_sosi_arr(0).data(39 DOWNTO 0), - ta2_unb2b_1gbe_mc_udp_tx_src_out_empty => eth1g_udp_tx_sosi_arr(0).empty(1 DOWNTO 0), - ta2_unb2b_1gbe_mc_udp_tx_src_out_endofpacket => eth1g_udp_tx_sosi_arr(0).eop, - ta2_unb2b_1gbe_mc_udp_tx_src_out_startofpacket => eth1g_udp_tx_sosi_arr(0).sop, - ta2_unb2b_1gbe_mc_udp_tx_src_out_valid => eth1g_udp_tx_sosi_arr(0).valid, - ta2_unb2b_1gbe_mc_udp_tx_src_out_ready => eth1g_udp_tx_siso_arr(0).ready, - ta2_unb2b_1gbe_mc_udp_tx_src_out_xon_xon => eth1g_udp_tx_siso_arr(0).xon, - - ta2_unb2b_jesd204b_kernel_src_data => board_kernel_stream_src_ADC_data, - ta2_unb2b_jesd204b_kernel_src_ready => board_kernel_stream_src_ADC_ready, - ta2_unb2b_jesd204b_kernel_src_valid => board_kernel_stream_src_ADC_valid, - ta2_unb2b_jesd204b_jesd204b_refclk_clk => BCK_REF_CLK, - ta2_unb2b_jesd204b_jesd204b_sysref_conduit => JESD204B_SYSREF, - ta2_unb2b_jesd204b_jesd204b_sync_n_conduit => JESD204B_SYNC, - ta2_unb2b_jesd204b_serial_rx_arr_conduit => BCK_RX - + kernel_register_mem_byteenable => board_kernel_register_mem_byteenable ); - ----------------------------------------------------------------------------- - -- Freeze wrapper instantiation - ----------------------------------------------------------------------------- - freeze_wrapper_inst : freeze_wrapper - PORT MAP( - board_kernel_clk_clk => board_kernel_clk_clk, - board_kernel_clk2x_clk => board_kernel_clk2x_clk, - board_kernel_reset_reset_n => board_kernel_reset_reset_n, - board_kernel_irq_irq => board_kernel_irq_irq, - board_kernel_cra_waitrequest => board_kernel_cra_waitrequest, - board_kernel_cra_readdata => board_kernel_cra_readdata, - board_kernel_cra_readdatavalid => board_kernel_cra_readdatavalid, - board_kernel_cra_burstcount => board_kernel_cra_burstcount, - board_kernel_cra_writedata => board_kernel_cra_writedata, - board_kernel_cra_address => board_kernel_cra_address, - board_kernel_cra_write => board_kernel_cra_write, - board_kernel_cra_read => board_kernel_cra_read, - board_kernel_cra_byteenable => board_kernel_cra_byteenable, - board_kernel_cra_debugaccess => board_kernel_cra_debugaccess, - board_kernel_register_mem_address => board_kernel_register_mem_address, - board_kernel_register_mem_clken => board_kernel_register_mem_clken, - board_kernel_register_mem_chipselect => board_kernel_register_mem_chipselect, - board_kernel_register_mem_write => board_kernel_register_mem_write, - board_kernel_register_mem_readdata => board_kernel_register_mem_readdata, - board_kernel_register_mem_writedata => board_kernel_register_mem_writedata, - board_kernel_register_mem_byteenable => board_kernel_register_mem_byteenable, - - board_kernel_stream_src_40GbE_data => board_kernel_stream_src_40GbE_data, - board_kernel_stream_src_40GbE_valid => board_kernel_stream_src_40GbE_valid, - board_kernel_stream_src_40GbE_ready => board_kernel_stream_src_40GbE_ready, - board_kernel_stream_snk_40GbE_data => board_kernel_stream_snk_40GbE_data, - board_kernel_stream_snk_40GbE_valid => board_kernel_stream_snk_40GbE_valid, - board_kernel_stream_snk_40GbE_ready => board_kernel_stream_snk_40GbE_ready, - - board_kernel_stream_src_10GbE_data => board_kernel_stream_src_10GbE_data, - board_kernel_stream_src_10GbE_valid => board_kernel_stream_src_10GbE_valid, - board_kernel_stream_src_10GbE_ready => board_kernel_stream_src_10GbE_ready, - board_kernel_stream_snk_10GbE_data => board_kernel_stream_snk_10GbE_data, - board_kernel_stream_snk_10GbE_valid => board_kernel_stream_snk_10GbE_valid, - board_kernel_stream_snk_10GbE_ready => board_kernel_stream_snk_10GbE_ready, - - board_kernel_stream_src_1GbE_data => board_kernel_stream_src_1GbE_data, - board_kernel_stream_src_1GbE_valid => board_kernel_stream_src_1GbE_valid, - board_kernel_stream_src_1GbE_ready => board_kernel_stream_src_1GbE_ready, - board_kernel_stream_snk_1GbE_data => board_kernel_stream_snk_1GbE_data, - board_kernel_stream_snk_1GbE_valid => board_kernel_stream_snk_1GbE_valid, - board_kernel_stream_snk_1GbE_ready => board_kernel_stream_snk_1GbE_ready, - - board_kernel_stream_src_ADC_data => board_kernel_stream_src_ADC_data, - board_kernel_stream_src_ADC_valid => board_kernel_stream_src_ADC_valid, - board_kernel_stream_src_ADC_ready => board_kernel_stream_src_ADC_ready - - ); - - END str; diff --git a/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top_components_pkg.vhd b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top_components_pkg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..748b2e5a8d7c92a7b14decd276e23a37e6a6269d --- /dev/null +++ b/applications/ta2/libraries/ta2_unb2b_bsp/hardware/unb2b/top_components_pkg.vhd @@ -0,0 +1,239 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2020 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; + +PACKAGE top_components_pkg IS + + component board is + port ( + avs_eth_0_clk_export : out std_logic; -- export + avs_eth_0_irq_export : in std_logic := 'X'; -- export + avs_eth_0_ram_address_export : out std_logic_vector(9 downto 0); -- export + avs_eth_0_ram_read_export : out std_logic; -- export + avs_eth_0_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + avs_eth_0_ram_write_export : out std_logic; -- export + avs_eth_0_ram_writedata_export : out std_logic_vector(31 downto 0); -- export + avs_eth_0_reg_address_export : out std_logic_vector(3 downto 0); -- export + avs_eth_0_reg_read_export : out std_logic; -- export + avs_eth_0_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + avs_eth_0_reg_write_export : out std_logic; -- export + avs_eth_0_reg_writedata_export : out std_logic_vector(31 downto 0); -- export + avs_eth_0_reset_export : out std_logic; -- export + avs_eth_0_tse_address_export : out std_logic_vector(9 downto 0); -- export + avs_eth_0_tse_read_export : out std_logic; -- export + avs_eth_0_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + avs_eth_0_tse_waitrequest_export : in std_logic := 'X'; -- export + avs_eth_0_tse_write_export : out std_logic; -- export + avs_eth_0_tse_writedata_export : out std_logic_vector(31 downto 0); -- export + clk_clk : in std_logic := 'X'; -- clk + kernel_clk_clk : out std_logic; -- clk + kernel_clk2x_clk : out std_logic; -- clk + kernel_cra_waitrequest : in std_logic := 'X'; -- waitrequest + kernel_cra_readdata : in std_logic_vector(63 downto 0) := (others => 'X'); -- readdata + kernel_cra_readdatavalid : in std_logic := 'X'; -- readdatavalid + kernel_cra_burstcount : out std_logic_vector(0 downto 0); -- burstcount + kernel_cra_writedata : out std_logic_vector(63 downto 0); -- writedata + kernel_cra_address : out std_logic_vector(29 downto 0); -- address + kernel_cra_write : out std_logic; -- write + kernel_cra_read : out std_logic; -- read + kernel_cra_byteenable : out std_logic_vector(7 downto 0); -- byteenable + kernel_cra_debugaccess : out std_logic; -- debugaccess + kernel_interface_sw_reset_in_reset : in std_logic := 'X'; -- reset + kernel_irq_irq : in std_logic_vector(0 downto 0) := (others => 'X'); -- irq + kernel_register_mem_address : in std_logic_vector(6 downto 0) := (others => 'X'); -- address + kernel_register_mem_clken : in std_logic := 'X'; -- clken + kernel_register_mem_chipselect : in std_logic := 'X'; -- chipselect + kernel_register_mem_write : in std_logic := 'X'; -- write + kernel_register_mem_readdata : out std_logic_vector(255 downto 0); -- readdata + kernel_register_mem_writedata : in std_logic_vector(255 downto 0) := (others => 'X'); -- writedata + kernel_register_mem_byteenable : in std_logic_vector(31 downto 0) := (others => 'X'); -- byteenable + kernel_reset_reset_n : out std_logic; -- reset_n + pio_pps_address_export : out std_logic_vector(0 downto 0); -- export + pio_pps_clk_export : out std_logic; -- export + pio_pps_read_export : out std_logic; -- export + pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + pio_pps_reset_export : out std_logic; -- export + pio_pps_write_export : out std_logic; -- export + pio_pps_writedata_export : out std_logic_vector(31 downto 0); -- export + pio_system_info_address_export : out std_logic_vector(4 downto 0); -- export + pio_system_info_clk_export : out std_logic; -- export + pio_system_info_read_export : out std_logic; -- export + pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + pio_system_info_reset_export : out std_logic; -- export + pio_system_info_write_export : out std_logic; -- export + pio_system_info_writedata_export : out std_logic_vector(31 downto 0); -- export + pio_wdi_external_connection_export : out std_logic; -- export + reg_dpmm_ctrl_address_export : out std_logic_vector(0 downto 0); -- export + reg_dpmm_ctrl_clk_export : out std_logic; -- export + reg_dpmm_ctrl_read_export : out std_logic; -- export + reg_dpmm_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_dpmm_ctrl_reset_export : out std_logic; -- export + reg_dpmm_ctrl_write_export : out std_logic; -- export + reg_dpmm_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_dpmm_data_address_export : out std_logic_vector(0 downto 0); -- export + reg_dpmm_data_clk_export : out std_logic; -- export + reg_dpmm_data_read_export : out std_logic; -- export + reg_dpmm_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_dpmm_data_reset_export : out std_logic; -- export + reg_dpmm_data_write_export : out std_logic; -- export + reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_epcs_address_export : out std_logic_vector(2 downto 0); -- export + reg_epcs_clk_export : out std_logic; -- export + reg_epcs_read_export : out std_logic; -- export + reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_epcs_reset_export : out std_logic; -- export + reg_epcs_write_export : out std_logic; -- export + reg_epcs_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_fpga_temp_sens_address_export : out std_logic_vector(2 downto 0); -- export + reg_fpga_temp_sens_clk_export : out std_logic; -- export + reg_fpga_temp_sens_read_export : out std_logic; -- export + reg_fpga_temp_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_fpga_temp_sens_reset_export : out std_logic; -- export + reg_fpga_temp_sens_write_export : out std_logic; -- export + reg_fpga_temp_sens_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_fpga_voltage_sens_address_export : out std_logic_vector(3 downto 0); -- export + reg_fpga_voltage_sens_clk_export : out std_logic; -- export + reg_fpga_voltage_sens_read_export : out std_logic; -- export + reg_fpga_voltage_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_fpga_voltage_sens_reset_export : out std_logic; -- export + reg_fpga_voltage_sens_write_export : out std_logic; -- export + reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- export + reg_mmdp_ctrl_clk_export : out std_logic; -- export + reg_mmdp_ctrl_read_export : out std_logic; -- export + reg_mmdp_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_mmdp_ctrl_reset_export : out std_logic; -- export + reg_mmdp_ctrl_write_export : out std_logic; -- export + reg_mmdp_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_mmdp_data_address_export : out std_logic_vector(0 downto 0); -- export + reg_mmdp_data_clk_export : out std_logic; -- export + reg_mmdp_data_read_export : out std_logic; -- export + reg_mmdp_data_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_mmdp_data_reset_export : out std_logic; -- export + reg_mmdp_data_write_export : out std_logic; -- export + reg_mmdp_data_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_remu_address_export : out std_logic_vector(2 downto 0); -- export + reg_remu_clk_export : out std_logic; -- export + reg_remu_read_export : out std_logic; -- export + reg_remu_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_remu_reset_export : out std_logic; -- export + reg_remu_write_export : out std_logic; -- export + reg_remu_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_ta2_unb2b_jesd204b_address_export : out std_logic_vector(7 downto 0); -- export + reg_ta2_unb2b_jesd204b_clk_export : out std_logic; -- export + reg_ta2_unb2b_jesd204b_read_export : out std_logic; -- export + reg_ta2_unb2b_jesd204b_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_ta2_unb2b_jesd204b_reset_export : out std_logic; -- export + reg_ta2_unb2b_jesd204b_waitrequest_export : in std_logic := 'X'; -- export + reg_ta2_unb2b_jesd204b_write_export : out std_logic; -- export + reg_ta2_unb2b_jesd204b_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_unb_pmbus_address_export : out std_logic_vector(5 downto 0); -- export + reg_unb_pmbus_clk_export : out std_logic; -- export + reg_unb_pmbus_read_export : out std_logic; -- export + reg_unb_pmbus_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_unb_pmbus_reset_export : out std_logic; -- export + reg_unb_pmbus_write_export : out std_logic; -- export + reg_unb_pmbus_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_unb_sens_address_export : out std_logic_vector(5 downto 0); -- export + reg_unb_sens_clk_export : out std_logic; -- export + reg_unb_sens_read_export : out std_logic; -- export + reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_unb_sens_reset_export : out std_logic; -- export + reg_unb_sens_write_export : out std_logic; -- export + reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_wdi_address_export : out std_logic_vector(0 downto 0); -- export + reg_wdi_clk_export : out std_logic; -- export + reg_wdi_read_export : out std_logic; -- export + reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_wdi_reset_export : out std_logic; -- export + reg_wdi_write_export : out std_logic; -- export + reg_wdi_writedata_export : out std_logic_vector(31 downto 0); -- export + reset_reset_n : in std_logic := 'X'; -- reset_n + rom_system_info_address_export : out std_logic_vector(9 downto 0); -- export + rom_system_info_clk_export : out std_logic; -- export + rom_system_info_read_export : out std_logic; -- export + rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + rom_system_info_reset_export : out std_logic; -- export + rom_system_info_write_export : out std_logic; -- export + rom_system_info_writedata_export : out std_logic_vector(31 downto 0) -- export + ); + end component board; + + + component freeze_wrapper is + port ( + board_kernel_clk_clk : in std_logic; --input + board_kernel_clk2x_clk : in std_logic; --input + board_kernel_reset_reset_n : in std_logic; --input + board_kernel_irq_irq : out std_logic_vector(0 downto 0); --output [0:0] + board_kernel_cra_waitrequest : out std_logic; --output + board_kernel_cra_readdata : out std_logic_vector(63 downto 0); --output [63:0] + board_kernel_cra_readdatavalid : out std_logic; --output + board_kernel_cra_burstcount : in std_logic_vector(0 downto 0); --input [0:0] + board_kernel_cra_writedata : in std_logic_vector(63 downto 0); --input [63:0] + board_kernel_cra_address : in std_logic_vector(29 downto 0); --input [29:0] + board_kernel_cra_write : in std_logic; --input + board_kernel_cra_read : in std_logic; --input + board_kernel_cra_byteenable : in std_logic_vector(7 downto 0); --input [7:0] + board_kernel_cra_debugaccess : in std_logic; --input + + board_kernel_register_mem_address : out std_logic_vector(6 downto 0); -- := (others => 'X'); -- address + board_kernel_register_mem_clken : out std_logic; -- := 'X'; -- clken + board_kernel_register_mem_chipselect : out std_logic; -- := 'X'; -- chipselect + board_kernel_register_mem_write : out std_logic; -- := 'X'; -- write + board_kernel_register_mem_readdata : in std_logic_vector(255 downto 0); -- readdata + board_kernel_register_mem_writedata : out std_logic_vector(255 downto 0); -- := (others => 'X'); -- writedata + board_kernel_register_mem_byteenable : out std_logic_vector(31 downto 0); -- := (others => 'X'); -- byteenable + + board_kernel_stream_src_40GbE_data : in std_logic_vector(263 downto 0); + board_kernel_stream_src_40GbE_valid : in std_logic; + board_kernel_stream_src_40GbE_ready : out std_logic; + board_kernel_stream_snk_40GbE_data : out std_logic_vector(263 downto 0); + board_kernel_stream_snk_40GbE_valid : out std_logic; + board_kernel_stream_snk_40GbE_ready : in std_logic; + + board_kernel_stream_src_10GbE_data : in std_logic_vector(71 downto 0); + board_kernel_stream_src_10GbE_valid : in std_logic; + board_kernel_stream_src_10GbE_ready : out std_logic; + board_kernel_stream_snk_10GbE_data : out std_logic_vector(71 downto 0); + board_kernel_stream_snk_10GbE_valid : out std_logic; + board_kernel_stream_snk_10GbE_ready : in std_logic; + + board_kernel_stream_src_1GbE_data : in std_logic_vector(39 downto 0); + board_kernel_stream_src_1GbE_valid : in std_logic; + board_kernel_stream_src_1GbE_ready : out std_logic; + board_kernel_stream_snk_1GbE_data : out std_logic_vector(39 downto 0); + board_kernel_stream_snk_1GbE_valid : out std_logic; + board_kernel_stream_snk_1GbE_ready : in std_logic; + + board_kernel_stream_src_ADC_data : in std_logic_vector(15 downto 0); + board_kernel_stream_src_ADC_valid : in std_logic; + board_kernel_stream_src_ADC_ready : out std_logic + + ); + end component freeze_wrapper; + +END top_components_pkg; + +