From b778cdf078fe08cc30e903858a78989dba0ce91c Mon Sep 17 00:00:00 2001
From: David Brouwer <dbrouwer@astron.nl>
Date: Tue, 14 Nov 2023 15:37:15 +0100
Subject: [PATCH] Updated information. Added component descriptions for
 ip_agi027_xxxx: ip_agi027_xxxx_ddio_in and ip_agi027_xxxx_ddio_out.

---
 .../iobuf/tech_iobuf_component_pkg.vhd        | 64 ++++++++++++++-----
 1 file changed, 49 insertions(+), 15 deletions(-)

diff --git a/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd b/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
index fdae4b1c72..4a15c8a935 100644
--- a/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
+++ b/libraries/technology/iobuf/tech_iobuf_component_pkg.vhd
@@ -1,25 +1,27 @@
--------------------------------------------------------------------------------
+-- -----------------------------------------------------------------------------
 --
--- Copyright (C) 2014
+-- Copyright 2014-2023
 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
 -- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
 --
--- This program is free software: you can redistribute it and/or modify
--- it under the terms of the GNU General Public License as published by
--- the Free Software Foundation, either version 3 of the License, or
--- (at your option) any later version.
+-- Licensed under the Apache License, Version 2.0 (the "License");
+-- you may not use this file except in compliance with the License.
+-- You may obtain a copy of the License at
 --
--- This program is distributed in the hope that it will be useful,
--- but WITHOUT ANY WARRANTY; without even the implied warranty of
--- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
--- GNU General Public License for more details.
+-- http://www.apache.org/licenses/LICENSE-2.0
 --
--- You should have received a copy of the GNU General Public License
--- along with this program.  If not, see <http://www.gnu.org/licenses/>.
+-- Unless required by applicable law or agreed to in writing, software
+-- distributed under the License is distributed on an "AS IS" BASIS,
+-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
+-- See the License for the specific language governing permissions and
+-- limitations under the License.
 --
--------------------------------------------------------------------------------
-
--- Purpose: IP components declarations for various devices that get wrapped by the tech components
+-- -----------------------------------------------------------------------------
+--
+-- Author : -
+-- Changed by : D.F. Brouwer
+-- Purpose: 
+--   IP components declarations for various devices that get wrapped by the tech components
 
 library IEEE;
 use IEEE.std_logic_1164.all;
@@ -187,4 +189,36 @@ package tech_iobuf_component_pkg is
   );
   end component;
 
+  -----------------------------------------------------------------------------
+  -- ip_agi027_xxxx
+  -----------------------------------------------------------------------------
+
+  component ip_agi027_xxxx_ddio_in is
+  generic (
+    g_width : natural := 1
+  );
+  port (
+    in_dat      : in  std_logic_vector(g_width - 1 downto 0);
+    in_clk      : in  std_logic;
+    in_clk_en   : in  std_logic := '1';  -- Not Connected
+    rst         : in  std_logic := '0';
+    out_dat_hi  : out std_logic_vector(g_width - 1 downto 0);
+    out_dat_lo  : out std_logic_vector(g_width - 1 downto 0)
+  );
+  end component;
+
+  component ip_agi027_xxxx_ddio_out is
+  generic(
+    g_width : natural := 1
+  );
+  port (
+    rst        : in   std_logic := '0';
+    in_clk     : in   std_logic;
+    in_clk_en  : in   std_logic := '1';  -- Not Connected
+    in_dat_hi  : in   std_logic_vector(g_width - 1 downto 0);
+    in_dat_lo  : in   std_logic_vector(g_width - 1 downto 0);
+    out_dat    : out  std_logic_vector(g_width - 1 downto 0)
+  );
+  end component;
+
 end tech_iobuf_component_pkg;
-- 
GitLab