From b33cbbef7bb7e681c67adda30fb1896cd4ecaee7 Mon Sep 17 00:00:00 2001 From: Reinier van der Walle <walle@astron.nl> Date: Thu, 20 Jan 2022 12:01:14 +0100 Subject: [PATCH] corrected register names --- .../qsys_lofar2_unb2b_sdp_station_pkg.vhd | 28 +++++++++---------- .../qsys_lofar2_unb2c_sdp_station_pkg.vhd | 28 +++++++++---------- .../lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd | 5 ++-- 3 files changed, 30 insertions(+), 31 deletions(-) diff --git a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd index a5ebbddb51..268c6556e1 100644 --- a/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_sdp_station/src/vhdl/qsys_lofar2_unb2b_sdp_station_pkg.vhd @@ -309,13 +309,13 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS reg_hdr_dat_reset_export : out std_logic; -- export reg_hdr_dat_write_export : out std_logic; -- export reg_hdr_dat_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_input_monitor_address_export : out std_logic_vector(6 downto 0); -- export - reg_input_monitor_clk_export : out std_logic; -- export - reg_input_monitor_read_export : out std_logic; -- export - reg_input_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_input_monitor_reset_export : out std_logic; -- export - reg_input_monitor_write_export : out std_logic; -- export - reg_input_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_input_address_export : out std_logic_vector(6 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_input_clk_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_read_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_input_reset_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_write_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_writedata_export : out std_logic_vector(31 downto 0); -- export reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- export reg_mmdp_ctrl_clk_export : out std_logic; -- export reg_mmdp_ctrl_read_export : out std_logic; -- export @@ -365,13 +365,13 @@ PACKAGE qsys_lofar2_unb2b_sdp_station_pkg IS reg_tr_10gbe_mac_reset_export : out std_logic; -- export reg_tr_10gbe_mac_write_export : out std_logic; -- export reg_tr_10gbe_mac_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_output_monitor_address_export : out std_logic_vector(2 downto 0); -- export - reg_output_monitor_clk_export : out std_logic; -- export - reg_output_monitor_read_export : out std_logic; -- export - reg_output_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export - reg_output_monitor_reset_export : out std_logic; -- export - reg_output_monitor_write_export : out std_logic; -- export - reg_output_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_output_address_export : out std_logic_vector(2 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_output_clk_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_read_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_output_reset_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_write_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_writedata_export : out std_logic_vector(31 downto 0); -- export reg_remu_address_export : out std_logic_vector(2 downto 0); -- export reg_remu_clk_export : out std_logic; -- export reg_remu_read_export : out std_logic; -- export diff --git a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd index 5cd8bc2750..90c717b141 100644 --- a/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd +++ b/applications/lofar2/designs/lofar2_unb2c_sdp_station/src/vhdl/qsys_lofar2_unb2c_sdp_station_pkg.vhd @@ -309,13 +309,13 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS reg_hdr_dat_reset_export : out std_logic; -- export reg_hdr_dat_write_export : out std_logic; -- export reg_hdr_dat_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_input_monitor_reset_export : out std_logic; -- export - reg_input_monitor_clk_export : out std_logic; -- export - reg_input_monitor_address_export : out std_logic_vector(6 downto 0); -- export - reg_input_monitor_write_export : out std_logic; -- export - reg_input_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_input_monitor_read_export : out std_logic; -- export - reg_input_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_input_address_export : out std_logic_vector(6 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_input_clk_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_read_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_input_reset_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_write_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_input_writedata_export : out std_logic_vector(31 downto 0); -- export reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- export reg_mmdp_ctrl_clk_export : out std_logic; -- export reg_mmdp_ctrl_read_export : out std_logic; -- export @@ -351,13 +351,13 @@ PACKAGE qsys_lofar2_unb2c_sdp_station_pkg IS reg_nw_10gbe_mac_reset_export : out std_logic; -- export reg_nw_10gbe_mac_write_export : out std_logic; -- export reg_nw_10gbe_mac_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_output_monitor_reset_export : out std_logic; -- export - reg_output_monitor_clk_export : out std_logic; -- export - reg_output_monitor_address_export : out std_logic_vector(2 downto 0); -- export - reg_output_monitor_write_export : out std_logic; -- export - reg_output_monitor_writedata_export : out std_logic_vector(31 downto 0); -- export - reg_output_monitor_read_export : out std_logic; -- export - reg_output_monitor_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_output_address_export : out std_logic_vector(2 downto 0); -- export + reg_bsn_monitor_v2_bsn_align_output_clk_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_read_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_readdata_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export + reg_bsn_monitor_v2_bsn_align_output_reset_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_write_export : out std_logic; -- export + reg_bsn_monitor_v2_bsn_align_output_writedata_export : out std_logic_vector(31 downto 0); -- export reg_remu_address_export : out std_logic_vector(2 downto 0); -- export reg_remu_clk_export : out std_logic; -- export reg_remu_read_export : out std_logic; -- export diff --git a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd index 5537620154..71b4c59b9d 100644 --- a/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd +++ b/applications/lofar2/libraries/sdp/src/vhdl/sdp_pkg.vhd @@ -469,10 +469,9 @@ PACKAGE sdp_pkg is CONSTANT c_sdp_reg_bsn_sync_scheduler_xsub_addr_w : NATURAL := 4; CONSTANT c_sdp_ram_st_xsq_addr_w : NATURAL := ceil_log2(c_sdp_N_crosslets_max * c_sdp_X_sq * c_nof_complex * c_sdp_W_statistic_sz); CONSTANT c_sdp_ram_st_xsq_arr_addr_w : NATURAL := ceil_log2(c_sdp_P_sq) + c_sdp_ram_st_xsq_addr_w; - CONSTANT c_sdp_reg_bsn_align_addr_w : NATURAL := ceil_log2(c_sdp_P_sq); - CONSTANT c_sdp_reg_input_monitor_addr_w : NATURAL := ceil_log2(c_sdp_P_sq) + ceil_Log2(7); - CONSTANT c_sdp_reg_output_monitor_addr_w : NATURAL := ceil_Log2(7); + CONSTANT c_sdp_reg_bsn_monitor_v2_bsn_align_input_addr_w : NATURAL := ceil_log2(c_sdp_P_sq) + ceil_Log2(7); + CONSTANT c_sdp_reg_bsn_monitor_v2_bsn_align_output_addr_w : NATURAL := ceil_Log2(7); CONSTANT c_sdp_reg_xst_udp_monitor_addr_w : NATURAL := ceil_Log2(7); CONSTANT c_sdp_reg_ring_lane_info_xst_addr_w : NATURAL := 1; CONSTANT c_sdp_reg_bsn_monitor_v2_ring_rx_xst_addr_w : NATURAL := ceil_log2(c_sdp_N_pn_max) + ceil_Log2(7); -- GitLab