diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
index 2fa52a97ad601af1106280b527b715bbf32e354c..af7bae2dc1b9f67c5d5f411b80a32052b2d52260 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/lofar2_unb2c_ring.vhd
@@ -185,14 +185,6 @@ ARCHITECTURE str OF lofar2_unb2c_ring IS
   SIGNAL rom_unb_system_info_copi   : t_mem_copi := c_mem_copi_rst;
   SIGNAL rom_unb_system_info_cipo   : t_mem_cipo := c_mem_cipo_rst;
 
-  -- UniBoard I2C sens
-  SIGNAL reg_unb_sens_copi          : t_mem_copi := c_mem_copi_rst;
-  SIGNAL reg_unb_sens_cipo          : t_mem_cipo := c_mem_cipo_rst;
-
-  -- pm bus
-  SIGNAL reg_unb_pmbus_copi         : t_mem_copi := c_mem_copi_rst;
-  SIGNAL reg_unb_pmbus_cipo         : t_mem_cipo := c_mem_cipo_rst;
-
   -- FPGA sensors
   SIGNAL reg_fpga_temp_sens_copi     : t_mem_copi := c_mem_copi_rst;
   SIGNAL reg_fpga_temp_sens_cipo     : t_mem_cipo := c_mem_cipo_rst;
@@ -480,10 +472,6 @@ BEGIN
     reg_unb_system_info_cipo               => reg_unb_system_info_cipo,
     rom_unb_system_info_copi               => rom_unb_system_info_copi,
     rom_unb_system_info_cipo               => rom_unb_system_info_cipo, 
-    reg_unb_sens_copi                      => reg_unb_sens_copi,
-    reg_unb_sens_cipo                      => reg_unb_sens_cipo, 
-    reg_unb_pmbus_copi                     => reg_unb_pmbus_copi,
-    reg_unb_pmbus_cipo                     => reg_unb_pmbus_cipo,
     reg_fpga_temp_sens_copi                => reg_fpga_temp_sens_copi,
     reg_fpga_temp_sens_cipo                => reg_fpga_temp_sens_cipo,
     reg_fpga_voltage_sens_copi             => reg_fpga_voltage_sens_copi,
diff --git a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
index 94fac191443c3aa20f61966af340309349877992..1ead618cec9a0108d7de6cd14790421cba83c051 100644
--- a/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
+++ b/applications/lofar2/designs/lofar2_unb2c_ring/src/vhdl/qsys_lofar2_unb2c_ring_pkg.vhd
@@ -141,7 +141,7 @@ PACKAGE qsys_lofar2_unb2c_ring_pkg IS
             reg_dpmm_data_reset_export                         : out std_logic;                                        -- export
             reg_dpmm_data_write_export                         : out std_logic;                                        -- export
             reg_dpmm_data_writedata_export                     : out std_logic_vector(31 downto 0);                    -- export
-            reg_epcs_address_export                            : out std_logc_vector(2 downto 0);                     -- export
+            reg_epcs_address_export                            : out std_logic_vector(2 downto 0);                     -- export
             reg_epcs_clk_export                                : out std_logic;                                        -- export
             reg_epcs_read_export                               : out std_logic;                                        -- export
             reg_epcs_readdata_export                           : in  std_logic_vector(31 downto 0) := (others => 'X'); -- export
diff --git a/applications/lofar2/images/images.txt b/applications/lofar2/images/images.txt
index b78540b1c2692165e811822ecb2f39e37cf0ecd5..43116b2542708e3b03f00b297fadc40207363fdd 100644
--- a/applications/lofar2/images/images.txt
+++ b/applications/lofar2/images/images.txt
@@ -10,4 +10,5 @@ lofar2_unb2b_sdp_station_bf-r087d98be6              | 2021-06-14    | R vd Walle
 lofar2_unb2b_sdp_station_xsub_one-r087d98be6        | 2021-06-14    | R vd Walle           | 
 unb2b_minimal-rce6b96eed                            | 2021-08-26    | P. Donker            | unb2b_minimal with new mmap, rbf maid with option --unb2_factory
 lofar2_unb2c_sdp_station_full-rbd06c78bb            | 2021-11-11    | R vd Walle           | Lofar2 SDP station full design for UniBoard2c.
-lofar2_unb2b_sdp_station_full-r8026db491            | 2021-11-15    | R vd Walle           | Lofar2 SDP station full design for UniBoard2b.
+lofar2_unb2b_sdp_station_full-r8026db491            | 2021-11-15    | R vd Walle           | Deprecated, statistics packets have wrong data_id. Better use newer version.
+lofar2_unb2b_sdp_station_full-r13eddc87d            | 2021-12-14    | R vd Walle           | Lofar2 SDP station full design for UniBoard2b.
diff --git a/applications/lofar2/images/lofar2_unb2b_sdp_station_full-r13eddc87d.tar.gz b/applications/lofar2/images/lofar2_unb2b_sdp_station_full-r13eddc87d.tar.gz
new file mode 100644
index 0000000000000000000000000000000000000000..39315e42072212a78335800b0c0e136c19396a3c
Binary files /dev/null and b/applications/lofar2/images/lofar2_unb2b_sdp_station_full-r13eddc87d.tar.gz differ
diff --git a/boards/uniboard2c/images/images.txt b/boards/uniboard2c/images/images.txt
index 1b782b1adee68a376ea8d54c107149c6cf64050c..1579a7bf7813e28d9bcc368c70632d79378ab7c3 100644
--- a/boards/uniboard2c/images/images.txt
+++ b/boards/uniboard2c/images/images.txt
@@ -1,3 +1,3 @@
 Image name                                          | Date          | Author               | Usage
 ---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
-unb2c_test_heater-r8a0bf9f5a                        | 2021-10-12    | R vd Walle           | See, $UPE_GEAR/peripherals/util_heater.py.
+unb2c_test_heater-r6825a1822                        | 2021-12-10    | R vd Walle           | See, $UPE_GEAR/peripherals/util_heater.py.
diff --git a/boards/uniboard2c/images/unb2c_test_heater-r8a0bf9f5a.tar.gz b/boards/uniboard2c/images/unb2c_test_heater-r6825a1822.tar.gz
similarity index 73%
rename from boards/uniboard2c/images/unb2c_test_heater-r8a0bf9f5a.tar.gz
rename to boards/uniboard2c/images/unb2c_test_heater-r6825a1822.tar.gz
index a0329f85c2080a988069c73f97412da8b65b4efc..d58381ce2ed81d7b56f8737bc1c56dc2389b6793 100644
Binary files a/boards/uniboard2c/images/unb2c_test_heater-r8a0bf9f5a.tar.gz and b/boards/uniboard2c/images/unb2c_test_heater-r6825a1822.tar.gz differ
diff --git a/libraries/base/ring/src/vhdl/ring_lane.vhd b/libraries/base/ring/src/vhdl/ring_lane.vhd
index e0afcc2efffd9056c47a16cb486628751de84c8e..73ab5af32b24ac3c13b5e9aabd9e6172092674ce 100644
--- a/libraries/base/ring/src/vhdl/ring_lane.vhd
+++ b/libraries/base/ring/src/vhdl/ring_lane.vhd
@@ -171,6 +171,7 @@ BEGIN
     reg_bsn_monitor_v2_copi => reg_bsn_monitor_v2_ring_tx_copi,                
     reg_bsn_monitor_v2_cipo => reg_bsn_monitor_v2_ring_tx_cipo,                
                                            
+    ref_sync       => bs_sosi.sync,
     tx_select      => tx_select,
     remove_channel => lane_info.transport_nof_hops,
     this_rn        => this_rn, 
diff --git a/libraries/base/ring/src/vhdl/ring_tx.vhd b/libraries/base/ring/src/vhdl/ring_tx.vhd
index 30adb166c2cb7c70a573120724ebdf715ac52d22..a25a81e8d8abf0a01f5ded8f20b459cf8bdfa673 100644
--- a/libraries/base/ring/src/vhdl/ring_tx.vhd
+++ b/libraries/base/ring/src/vhdl/ring_tx.vhd
@@ -63,6 +63,7 @@ ENTITY ring_tx IS
     reg_bsn_monitor_v2_copi : IN  t_mem_copi;
     reg_bsn_monitor_v2_cipo : OUT t_mem_cipo;
    
+    ref_sync                : IN  STD_LOGIC;
     tx_select               : IN  STD_LOGIC;
     remove_channel          : IN  STD_LOGIC_VECTOR(c_dp_stream_channel_w-1 DOWNTO 0);
     this_rn                 : IN  STD_LOGIC_VECTOR(c_byte_w-1 DOWNTO 0);
@@ -233,7 +234,8 @@ BEGIN
 
       dp_rst      => dp_rst,
       dp_clk      => dp_clk,
-      in_sosi_arr => monitor_sosi_arr
+      in_sosi_arr => monitor_sosi_arr,
+      ref_sync    => ref_sync
     );
 
   END GENERATE; 
diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
index a887efbab77e3513f1719c8ad8fde3707ddeaa9a..aa0f730882561b2ac714e6e7b8d061d00d6e8536 100644
--- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
+++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd
@@ -368,15 +368,15 @@ BEGIN
 
       rx_xcvr_ready_in_arr(i) <= '1' when  rx_csr_lane_powerdown_arr(i)='1' OR xcvr_rst_ctrl_rx_ready_arr(i)='1' else '0';
       -- synchronize rx_xcvr_ready_in_arr to mm_clk
-      u_common_areset_rx_xcvr_ready : ENTITY common_lib.common_areset
+      u_common_async_rx_xcvr_ready : ENTITY common_lib.common_async
       GENERIC MAP (
-        g_in_rst_level => '0', -- rst is asserted immediately in_rst = '0'
-        g_rst_level    => '0'  -- When in_rst is asserted, out_rst = '0'
+        g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
       )
       PORT MAP (
-        in_rst  => rx_xcvr_ready_in_arr(i),
-        clk     => mm_clk,
-        out_rst => mm_rx_xcvr_ready_in_arr(i)
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => rx_xcvr_ready_in_arr(i),
+        dout => mm_rx_xcvr_ready_in_arr(i)
       ); 
 
       -- Invert thr active-low resets
diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
index 71124917e549c7ae5ead18a08bf725ae44ef3a1a..9ad874300f414645181cfa5a95d400de1306956b 100644
--- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
+++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b.vhd
@@ -367,15 +367,15 @@ BEGIN
 
       rx_xcvr_ready_in_arr(i) <= '1' when  rx_csr_lane_powerdown_arr(i)='1' OR xcvr_rst_ctrl_rx_ready_arr(i)='1' else '0';
       -- synchronize rx_xcvr_ready_in_arr to mm_clk
-      u_common_areset_rx_xcvr_ready : ENTITY common_lib.common_areset
+      u_common_async_rx_xcvr_ready : ENTITY common_lib.common_async
       GENERIC MAP (
-        g_in_rst_level => '0', -- rst is asserted immediately in_rst = '0'
-        g_rst_level    => '0'  -- When in_rst is asserted, out_rst = '0'
+        g_rst_level => '0'  -- When in_rst is asserted, dout = '0'
       )
       PORT MAP (
-        in_rst  => rx_xcvr_ready_in_arr(i),
-        clk     => mm_clk,
-        out_rst => mm_rx_xcvr_ready_in_arr(i)
+        rst  => mm_rst,
+        clk  => mm_clk,
+        din  => rx_xcvr_ready_in_arr(i),
+        dout => mm_rx_xcvr_ready_in_arr(i)
       ); 
 
       -- Invert thr active-low resets