diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
index 8650f9d1c5a0868a9d26e318db2fe0a91ea64fd4..06c63273cbc090029b37ddbb0e65ce592c5a65a5 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf(rtl).vhd
@@ -133,7 +133,7 @@ begin
   cnt_proc : process (cnt, in_val, in_sync)
   begin
     nxt_cnt <= cnt;
-    if in_sync = '1' or signed(cnt) =-1 then
+    if in_sync = '1' or signed(cnt) = -1 then
       nxt_cnt  <= (others => '0');
     elsif in_val = '1' then
       nxt_cnt <= std_logic_vector(unsigned(cnt) + 1);
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
index 0e3feed2a58188f1bb0e8fa3d924de4654656dda..0497d8b8564e54c8ca2629f7cd05a557bf1393f9 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_bf.vhd
@@ -182,7 +182,7 @@ begin
   cnt_proc : process (cnt, in_val, in_sync)
   begin
     nxt_cnt <= cnt;
-    if in_sync = '1' or signed(cnt) =-1 then
+    if in_sync = '1' or signed(cnt) = -1 then
       nxt_cnt  <= (others => '0');
     elsif in_val = '1' then
       nxt_cnt <= std_logic_vector(unsigned(cnt) + 1);
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
index fb1990b020dd29ec8ead3d729ca130b259a758be..b5b97ee960275171a3808ae42c912b34919c91ac 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate(rtl).vhd
@@ -112,7 +112,7 @@ begin
     if page_rdy = '1' then
       nxt_cnt      <= (others => '1');
       nxt_rden <= '1';
-    elsif signed(cnt) /=-2 then
+    elsif signed(cnt) /= -2 then
       nxt_cnt <= std_logic_vector(unsigned(cnt) + 1);
       nxt_rden <= '1';
     end if;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
index 21ae81944a3e0a4bbb8e51c117638d698bc7d3ba..41325aa1fa2e48c8c363f981fcdfa42527636d80 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_separate.vhd
@@ -160,7 +160,7 @@ begin
     if page_rdy = '1' then
       nxt_cnt      <= (others => '1');
       nxt_rden <= '1';
-    elsif signed(cnt) /=-2 then
+    elsif signed(cnt) /= -2 then
       nxt_cnt <= std_logic_vector(unsigned(cnt) + 1);
       nxt_rden <= '1';
     end if;
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
index 978b68cb3f7721c0c70d1dab6c498405248f3573..e7243c4796e8b23b5890c6bc3ab04bf1523dbf3b 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch(rtl).vhd
@@ -50,7 +50,7 @@ begin
 
   lfsr_ctrl: process(cnt,in_val)
   begin
-    if signed(cnt) =-1 and in_val = '1' then
+    if signed(cnt) = -1 and in_val = '1' then
       lfsr_en <= '1';
     else
       lfsr_en <= '0';
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
index dc0573854a7d6ec0c94ab0b07b6a68af747cd3c9..2932e0745d73a698ab45b3d4011ca7acb6a10e36 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_switch.vhd
@@ -95,7 +95,7 @@ begin
 
   lfsr_ctrl: process(cnt,in_val)
   begin
-    if signed(cnt) =-1 and in_val = '1' then
+    if signed(cnt) = -1 and in_val = '1' then
       lfsr_en <= '1';
     else
       lfsr_en <= '0';
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
index e965007495cda0174c7313a789b42f588ebe0118..896495e46a6f6abe35cd9852abd88ff26713befc 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch(rtl).vhd
@@ -51,7 +51,7 @@ begin
 
   lfsr_ctrl: process(cnt,in_val)
   begin
-    if signed(cnt) =-1 and in_val = '1' then
+    if signed(cnt) = -1 and in_val = '1' then
       lfsr_en <= '1';
     else
       lfsr_en <= '0';
diff --git a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
index b4d481800a005966276c8922f76d0b33fd3810c8..81add2c9817a505467689b534089547fd4dad4d5 100644
--- a/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
+++ b/applications/lofar1/RSP/pft2/src/vhdl/pft_unswitch.vhd
@@ -96,7 +96,7 @@ begin
 
   lfsr_ctrl: process(cnt,in_val)
   begin
-    if signed(cnt) =-1 and in_val = '1' then
+    if signed(cnt) = -1 and in_val = '1' then
       lfsr_en <= '1';
     else
       lfsr_en <= '0';
diff --git a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
index b843fe15ba5ddc3add409fa05347e2a662b2502a..95495f5428cbfbda370325f91eb8bc5db29aa21a 100644
--- a/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
+++ b/applications/lofar2/libraries/ddrctrl/src/vhdl/ddrctrl_controller.vhd
@@ -306,7 +306,7 @@ begin
         v.last_adr_to_write_to(c_adr_w - 1 downto c_bitshift_w)     := v.stop_adr(c_adr_w - 1 downto c_bitshift_w);
       end if;
       v.last_adr_to_write_to(c_bitshift_w - 1 downto 0)             := (others => '0');
-      v.stop_burstsize                                            := TO_UINT(INCR_UVEC(INCR_UVEC(v.stop_adr(c_adr_w - 1 downto 0),-1 * TO_UINT(v.last_adr_to_write_to)),1));
+      v.stop_burstsize                                            := TO_UINT(INCR_UVEC(INCR_UVEC(v.stop_adr(c_adr_w - 1 downto 0), -1 * TO_UINT(v.last_adr_to_write_to)),1));
 
       -- still a write cyle
       -- if adr mod g_burstsize = 0
@@ -404,7 +404,7 @@ begin
       v.ddrctrl_ctrl_state(c_high_state_ndx downto c_low_state_ndx) := TO_UVEC(8, c_state_ndx_w);
       -- this state generates the first read burst, the size of this burst is dependend on the size of the last write burst.
       v.dvr_mosi.burstbegin     := '0';
-      v.outp_bsn                := INCR_UVEC(inp_sosi.bsn,-1 * g_bim);
+      v.outp_bsn                := INCR_UVEC(inp_sosi.bsn, -1 * g_bim);
       v.wr_sosi.valid           := '0';
 
       if dvr_miso.done = '1' and v.rd_burst_en = '1' and q_reg.dvr_mosi.burstbegin = '0' then
diff --git a/libraries/base/common/src/vhdl/common_int2float.vhd b/libraries/base/common/src/vhdl/common_int2float.vhd
index 0e590789fc0b79291d0b2e795197df6ec044e318..4f66730799522dc1f7523b20e62a1f0f2d5e8935 100644
--- a/libraries/base/common/src/vhdl/common_int2float.vhd
+++ b/libraries/base/common/src/vhdl/common_int2float.vhd
@@ -103,7 +103,7 @@ begin
     p_float: process (reg_dat)
     begin
       if unsigned(reg_dat(in_dat'high downto out_dat'high - 1)) = 0 or
-           signed(reg_dat(in_dat'high downto out_dat'high - 1)) =-1 then
+           signed(reg_dat(in_dat'high downto out_dat'high - 1)) = -1 then
         nxt_out_dat <= '0' & reg_dat(out_dat'high - 1 downto 0);
       else
         nxt_out_dat <= '1' & reg_dat(in_dat'high downto in_dat'high - out_dat'high + 1);
diff --git a/libraries/base/common/src/vhdl/common_interval_monitor.vhd b/libraries/base/common/src/vhdl/common_interval_monitor.vhd
index 6a86625f9cec5796f11c6fd2608754e62072b9ca..be26be9693b00717976bcc8c1a6ab24c113a6457 100644
--- a/libraries/base/common/src/vhdl/common_interval_monitor.vhd
+++ b/libraries/base/common/src/vhdl/common_interval_monitor.vhd
@@ -79,7 +79,7 @@ begin
       -- If there is an in_evt pulse, then capture the i_clk_cnt into interval_cnt and restart i_clk_cnt
       nxt_clk_cnt      <= (others => '0');
       nxt_interval_cnt <= INCR_UVEC(i_clk_cnt, 1);
-    elsif signed(i_clk_cnt) =-1 then
+    elsif signed(i_clk_cnt) = -1 then
       -- If there occur no in_evt pulses, then i_clk_cnt will eventually stop at maximum (= -1)
       nxt_clk_cnt      <= (others => '1');
       nxt_interval_cnt <= (others => '1');
diff --git a/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd b/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
index 33b5291eae77ffde1de08cb1f924ccd49b04030a..5d6a184eb845bdc66bb6d29f1480158b4905368b 100644
--- a/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_reorder_symbol.vhd
@@ -127,7 +127,7 @@ begin
   clk <= not clk or tb_end after clk_period / 2;
   rst <= '1', '0' after 3 * clk_period;
 
-  tb_end <= '1' when signed(in_dat) =-1 else '0';
+  tb_end <= '1' when signed(in_dat) = -1 else '0';
 
   p_clk : process (rst, clk)
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd b/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
index ba5310de3ea3021e9c7802a60196e015f8058092..d7aca1f8176da8e3e02a8f0cc5826402448cf0f2 100644
--- a/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_select_m_symbols.vhd
@@ -110,7 +110,7 @@ begin
   clk <= not clk or tb_end after clk_period / 2;
   rst <= '1', '0' after 3 * clk_period;
 
-  tb_end <= '1' when signed(in_dat) =-1 else '0';
+  tb_end <= '1' when signed(in_dat) = -1 else '0';
 
   p_clk : process (rst, clk)
   begin
diff --git a/libraries/base/common/tb/vhdl/tb_common_transpose.vhd b/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
index 842c6e7de1f392268d7d2c613fb61f9830462c3f..176ebc3051b585444cca0693402d8804ef40974f 100644
--- a/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_transpose.vhd
@@ -199,7 +199,7 @@ begin
     wait;
   end process;
 
-  stimuli_end <= '1' when signed(in_data) =-1 else '0';
+  stimuli_end <= '1' when signed(in_data) = -1 else '0';
 
   in_addr <= stimuli_data(g_addr_w - 1 downto 0);
   in_data <= stimuli_data(g_data_w - 1 downto 0);
diff --git a/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd b/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
index 3129e04644b2e545397e182619e853ada0fd9783..9dd8ce887d7ee83b3446e2f75b4d9bd4343f3040 100644
--- a/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
+++ b/libraries/base/common/tb/vhdl/tb_common_transpose_symbol.vhd
@@ -82,7 +82,7 @@ begin
   clk <= not clk or tb_end after clk_period / 2;
   rst <= '1', '0' after 3 * clk_period;
 
-  tb_end <= '1' when signed(in_dat) =-1 else '0';
+  tb_end <= '1' when signed(in_dat) = -1 else '0';
 
   p_clk : process (rst, clk)
   begin
diff --git a/libraries/base/dp/src/vhdl/dp_repack.vhd b/libraries/base/dp/src/vhdl/dp_repack.vhd
index 711c17fb6bfad1529fdbcdf228c919edb99735fb..80ff6b55ca31d117d8e87239898858ba317f251d 100644
--- a/libraries/base/dp/src/vhdl/dp_repack.vhd
+++ b/libraries/base/dp/src/vhdl/dp_repack.vhd
@@ -152,7 +152,7 @@ begin
     out_val <= out_val_vec(0);
     out_eof <= out_eof_vec(0);
 
-    buf_load  <= '1' when signed(in_val_vec) =-1 else '0';
+    buf_load  <= '1' when signed(in_val_vec) = -1 else '0';
                           -- in_val_vec=-1: input set complete, ready to be repacked
     buf_flush <= '1' when (unsigned(out_val_vec) = 1 or unsigned(out_val_vec) = 0) and buf_val = '1' else '0';
                           -- out_val_vec=0: ready to repack first input set
diff --git a/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd b/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
index 26c54d072aff72b9155e4df78f7f957644993d91..bbf5cac26cfeaed221a9bfece2ee12c821bb6162 100644
--- a/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
+++ b/libraries/base/dp/src/vhdl/dp_repack_legacy.vhd
@@ -152,7 +152,7 @@ begin
     out_val <= out_val_vec(0);
     out_eof <= out_eof_vec(0);
 
-    buf_load  <= '1' when signed(in_val_vec) =-1 else '0';
+    buf_load  <= '1' when signed(in_val_vec) = -1 else '0';
                           -- in_val_vec=-1: input set complete, ready to be repacked
     buf_flush <= '1' when (unsigned(out_val_vec) = 1 or unsigned(out_val_vec) = 0) and buf_val = '1' else '0';
                           -- out_val_vec=0: ready to repack first input set
diff --git a/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd b/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
index 5cc397477786b4b8f4774aeb3c9c0eeca80598b6..dd28809da6ce2f655770f186c00f9ba924569582 100644
--- a/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
+++ b/libraries/dsp/fringe_stop/tb/vhdl/tb_mmf_fringe_stop_unit.vhd
@@ -354,8 +354,8 @@ begin
       -- . Verify that output has reached +-c_in_amplitude
       assert out_re_max = c_in_amplitude report "Wrong out_re_max" severity ERROR;
       assert out_im_max = c_in_amplitude report "Wrong out_im_max" severity ERROR;
-      assert out_re_min =-c_in_amplitude report "Wrong out_re_min" severity ERROR;
-      assert out_im_min =-c_in_amplitude report "Wrong out_im_min" severity ERROR;
+      assert out_re_min = -c_in_amplitude report "Wrong out_re_min" severity ERROR;
+      assert out_im_min = -c_in_amplitude report "Wrong out_im_min" severity ERROR;
     end if;
     wait;
  end process;
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
index fa3e4ae25da98ad58c1d121eaf42290faaa33657..b50d804d9e99b7f2c136cffe6aa965703f8f1289 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv.vhd
@@ -88,13 +88,13 @@ architecture tb of tb_iquv is
                                                      84864,84864,84864,84864,
                                                      134086688,134086688,134086688,134086688);
   constant q_nint8_exp_arr : t_integer_arr(0 to 35) := (140,1100,3084,6092,
-                                                     - 140,-1100,-3084,-6092,
+                                                     - 140, -1100, -3084, -6092,
                                                      140,1100,3084,6092,
-                                                     - 140,-1100,-3084,-6092,
+                                                     - 140, -1100, -3084, -6092,
                                                      0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
-                                                     - 77440,-77440,-77440,-77440,
+                                                     - 77440, -77440, -77440, -77440,
                                                      0,0,0,0);
   constant u_nint8_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
                                                      0,0,0,0,
@@ -103,8 +103,8 @@ architecture tb of tb_iquv is
                                                      280,2200,6168,12184,
                                                      280,2200,6168,12184,
                                                      560,4400,12336,24368,
-                                                     - 16640,-16640,-16640,-16640,
-                                                     - 134086688,-134086688,-134086688,-134086688);
+                                                     - 16640, -16640, -16640, -16640,
+                                                     - 134086688, -134086688, -134086688, -134086688);
   constant v_nint8_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
@@ -112,7 +112,7 @@ architecture tb of tb_iquv is
                                                      0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
-                                                     - 30464,-30464,-30464,-30464,
+                                                     - 30464, -30464, -30464, -30464,
                                                      0,0,0,0);
 
   constant i_nint16_exp_arr : t_integer_arr(0 to 35) := (1240,9176,25304,49624,
@@ -125,13 +125,13 @@ architecture tb of tb_iquv is
                                                      169728,169728,169728,169728,
                                                      268173376,268173376,268173376,268173376);
   constant q_nint16_exp_arr : t_integer_arr(0 to 35) := (1240,9176,25304,49624,
-                                                     - 1240,-9176,-25304,-49624,
+                                                     - 1240, -9176, -25304, -49624,
                                                      1240,9176,25304,49624,
-                                                     - 1240,-9176,-25304,-49624,
+                                                     - 1240, -9176, -25304, -49624,
                                                      0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
-                                                     - 154880,-154880,-154880,-154880,
+                                                     - 154880, -154880, -154880, -154880,
                                                      0,0,0,0);
   constant u_nint16_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
                                                      0,0,0,0,
@@ -140,8 +140,8 @@ architecture tb of tb_iquv is
                                                      2480,18352,50608,99248,
                                                      2480,18352,50608,99248,
                                                      4960,36704,101216,198496,
-                                                     - 33280,-33280,-33280,-33280,
-                                                     - 268173376,-268173376,-268173376,-268173376);
+                                                     - 33280, -33280, -33280, -33280,
+                                                     - 268173376, -268173376, -268173376, -268173376);
   constant v_nint16_exp_arr : t_integer_arr(0 to 35) := (0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
@@ -149,7 +149,7 @@ architecture tb of tb_iquv is
                                                      0,0,0,0,
                                                      0,0,0,0,
                                                      0,0,0,0,
-                                                     - 60928,-60928,-60928,-60928,
+                                                     - 60928, -60928, -60928, -60928,
                                                      0,0,0,0);
 
 
diff --git a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
index 352eecc7380e0425e4d96ebc7309eef24c846b97..bccdaa1fb11760d00eb63f0ff34d1803eb024d03 100644
--- a/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
+++ b/libraries/dsp/iquv/tb/vhdl/tb_iquv_iab.vhd
@@ -87,20 +87,20 @@ architecture tb of tb_iquv_iab is
   -- expected data values
   constant i_nint8_exp_arr : t_integer_arr(0 to 7) := (164340,125340,110340,119340,
                                                        3142644,3142644,3142644,3142644);
-  constant q_nint8_exp_arr : t_integer_arr(0 to 7) := (-81708,-59208,-43908,-35808,
+  constant q_nint8_exp_arr : t_integer_arr(0 to 7) := (-81708, -59208, -43908, -35808,
                                                        0,0,0,0);
-  constant u_nint8_exp_arr : t_integer_arr(0 to 7) := (2160,-14340,-30840,-47340,
-                                                       - 1571328,-1571328,-1571328,-1571328);
-  constant v_nint8_exp_arr : t_integer_arr(0 to 7) := (5904,13404,11304,-396,
+  constant u_nint8_exp_arr : t_integer_arr(0 to 7) := (2160, -14340, -30840, -47340,
+                                                       - 1571328, -1571328, -1571328, -1571328);
+  constant v_nint8_exp_arr : t_integer_arr(0 to 7) := (5904,13404,11304, -396,
                                                        0,0,0,0);
 
   constant i_nint16_exp_arr : t_integer_arr(0 to 7) := (144840,114840,180840,342840,
                                                        3142656,3142656,3142656,3142656);
-  constant q_nint16_exp_arr : t_integer_arr(0 to 7) := (-70452,-39852,-38052,-65052,
+  constant q_nint16_exp_arr : t_integer_arr(0 to 7) := (-70452, -39852, -38052, -65052,
                                                        0,0,0,0);
-  constant u_nint16_exp_arr : t_integer_arr(0 to 7) := (-6096,-39096,-72096,-105096,
-                                                       - 1571328,-1571328,-1571328,-1571328);
-  constant v_nint16_exp_arr : t_integer_arr(0 to 7) := (9660,5460,-37140,-118140,
+  constant u_nint16_exp_arr : t_integer_arr(0 to 7) := (-6096, -39096, -72096, -105096,
+                                                       - 1571328, -1571328, -1571328, -1571328);
+  constant v_nint16_exp_arr : t_integer_arr(0 to 7) := (9660,5460, -37140, -118140,
                                                        0,0,0,0);