diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg index 0d94bd741518d1f5a16e8a49d75d106e1bfc2b8f..8b3ee8e65d70455c1aac2ed7df096944141cfc69 100644 --- a/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg +++ b/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg @@ -17,6 +17,8 @@ test_bench_files = [modelsim_project_file] modelsim_copy_files = + tb/wave/wave_multichannel.do . + tb/wave/readregs.do . [quartus_project_file] diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd index bad655f343ac1549ffa1f526f0eace3695904b55..7b201d484f6a7be52d9e2ed83a3eadbac3488f50 100644 --- a/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd +++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/vhdl/tb_lofar2_unb2b_adc_multichannel.vhd @@ -55,26 +55,26 @@ ARCHITECTURE tb OF tb_lofar2_unb2b_adc_multichannel IS CONSTANT c_jesd204b_sampclk_period : TIME := 5 ns; CONSTANT c_pps_period : NATURAL := 1000; CONSTANT c_bondingclk_period : TIME := 10 ns; - CONSTANT c_sysref_period : NATURAL := 20000; -- number of sample clocks between sysref pulses + CONSTANT c_sysref_period : NATURAL := 10000; -- number of sample clocks between sysref pulses -- Transport delays - TYPE t_time_arr IS ARRAY (11 DOWNTO 0) OF TIME; + TYPE t_time_arr IS ARRAY (0 TO 11) OF TIME; CONSTANT c_nof_jesd204b_tx : NATURAL := 3; -- number of jesd204b input sources to instantiate - CONSTANT c_delay_data_arr : t_time_arr := (800 ps, - 900 ps, - 950 ps, - 800 ps, - 900 ps, - 950 ps, - 800 ps, - 900 ps, - 950 ps, - 800 ps, - 900 ps, - 950 ps) ; -- transport delays tx to rx data - CONSTANT c_delay_sysreftoadc_arr : t_time_arr := (1000 ps, - 1000 ps, - 1000 ps, + CONSTANT c_delay_data_arr : t_time_arr := (4000 ps, + 5000 ps, + 6000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps, + 5000 ps) ; -- transport delays tx to rx data + CONSTANT c_delay_sysreftoadc_arr : t_time_arr := (4000 ps, + 5000 ps, + 6000 ps, 1000 ps, 1000 ps, 1000 ps, @@ -84,7 +84,7 @@ ARCHITECTURE tb OF tb_lofar2_unb2b_adc_multichannel IS 1000 ps, 1000 ps, 1000 ps) ; -- transport delays clock source to adc(tx) - CONSTANT c_delay_sysreftofpga : TIME := 1000 ps; + CONSTANT c_delay_sysreftofpga : TIME := 10200 ps; @@ -154,6 +154,12 @@ ARCHITECTURE tb OF tb_lofar2_unb2b_adc_multichannel IS SIGNAL jesd204b_tx_link_ready : STD_LOGIC_VECTOR(11 DOWNTO 0); SIGNAL jesd204b_tx_frame_ready : STD_LOGIC_VECTOR(11 DOWNTO 0); + -- Diagnostic signals + SIGNAL avs_chipselect : STD_LOGIC_VECTOR(11 DOWNTO 0); + SIGNAL avs_read : STD_LOGIC_VECTOR(11 DOWNTO 0); + SIGNAL avs_readdata : t_slv_32_arr(11 DOWNTO 0); + SIGNAL avs_address : t_slv_8_arr(11 DOWNTO 0); + BEGIN @@ -232,10 +238,10 @@ BEGIN ----------------------------------------------------------------------------- gen_transport : FOR i IN 0 TO c_nof_jesd204b_tx-1 GENERATE - bck_rx(i) <= transport serial_tx(i) after c_delay_data_arr(i); jesd204b_sampclk_adc(i) <= transport jesd204b_sampclk after c_delay_sysreftoadc_arr(i); jesd204b_sysref_adc(i) <= transport jesd204b_sysref after c_delay_sysreftoadc_arr(i); -- txlink_clk(i) <= jesd204b_sampclk_div2 after c_delay_sysreftoadc_arr(i); + bck_rx(i) <= transport serial_tx(i) after c_delay_data_arr(i); jesd204b_sync_adc(i) <= transport jesd204b_sync_fpga(i) after c_delay_data_arr(i); END GENERATE; @@ -267,10 +273,10 @@ BEGIN csr_tx_testpattern_d => OPEN, csr_s => OPEN, dev_sync_n => dev_sync_n(i), --out - jesd204_tx_avs_chipselect => '0', --jesd204b_mosi_arr(i).chipselect, - jesd204_tx_avs_address => (others => '0'), - jesd204_tx_avs_read => '0', - jesd204_tx_avs_readdata => open, + jesd204_tx_avs_chipselect => avs_chipselect(i), --jesd204b_mosi_arr(i).chipselect, + jesd204_tx_avs_address => avs_address(i), + jesd204_tx_avs_read => avs_read(i), + jesd204_tx_avs_readdata => avs_readdata(i), jesd204_tx_avs_waitrequest => open, jesd204_tx_avs_write => '0', jesd204_tx_avs_writedata => (others => '0'), @@ -303,29 +309,47 @@ BEGIN proc_data : PROCESS (jesd204b_sampclk_adc(i), mm_rst) VARIABLE data : INTEGER := 0; + VARIABLE even_sample : BOOLEAN := TRUE; BEGIN IF mm_rst = '1' THEN jesd204b_tx_link_data_arr(i) <= (others => '0'); jesd204b_tx_link_valid(i) <= '0'; txlink_clk(i) <= '0'; data := 0; + even_sample := TRUE; ELSE IF rising_edge(jesd204b_sampclk_adc(i)) THEN - jesd204b_tx_link_valid(i) <= '1'; txlink_clk(i) <= not txlink_clk(i); - jesd204b_tx_link_data_arr(i) <= TO_SVEC(data, 32); jesd204b_sysref_adc_1(i) <= jesd204b_sysref_adc(i); jesd204b_sysref_adc_2(i) <= jesd204b_sysref_adc_1(i); - IF (jesd204b_sysref_adc_1(i) = '1') THEN + IF (jesd204b_sysref_adc(i) = '1' and jesd204b_sysref_adc_1(i) = '0') THEN data := 1000; - ELSIF (jesd204b_sysref_adc_2(i) = '1') THEN + ELSIF (jesd204b_sysref_adc_1(i) = '1' and jesd204b_sysref_adc_2(i) = '0') THEN data := -1000; + ELSE + data := 0; + END IF; + + -- Frame the data to 32 bits at half the rate + IF(jesd204b_tx_link_ready(i) = '0') THEN + even_sample := TRUE; + ELSE + even_sample := not even_sample; + END IF; + IF (even_sample = TRUE) THEN + jesd204b_tx_link_data_arr(i)(15 downto 0) <= TO_SVEC(data, 16); + jesd204b_tx_link_valid(i) <= '0'; + ELSE + jesd204b_tx_link_data_arr(i)(31 downto 16) <= TO_SVEC(data, 16); + jesd204b_tx_link_valid(i) <= '1'; END IF; + END IF; END IF; END PROCESS; + END GENERATE; @@ -382,7 +406,7 @@ BEGIN count := count + 1; END IF; - IF count > c_sysref_period-40 THEN + IF count > c_sysref_period-8 THEN jesd204b_sysref <= '1'; ELSE jesd204b_sysref <= '0'; @@ -390,8 +414,82 @@ BEGIN END IF; END IF; END PROCESS; - + ------------------------------------------------------------------------------ + -- Diagnostics + ------------------------------------------------------------------------------ + proc_read_avs_regs : PROCESS + BEGIN + wait for 100ns; + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait until avs_rst_n = '1'; + while true loop + wait until rising_edge(mm_clk); + avs_address(0) <= X"14"; -- dll control + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + wait until rising_edge(mm_clk); + avs_address(0) <= X"15"; -- syncn_sysref control + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + wait until rising_edge(mm_clk); + + avs_address(0) <= X"18"; -- syncn_sysref control + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + wait until rising_edge(mm_clk); + avs_address(0) <= X"19"; -- syncn_sysref control + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + wait until rising_edge(mm_clk); + + avs_address(0) <= X"20"; -- tx control0 + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + wait until rising_edge(mm_clk); + avs_address(0) <= X"26"; -- tx control0 + avs_chipselect(0) <= '1'; + avs_read(0) <= '1'; + wait for c_mm_clk_period * 1; + wait until rising_edge(mm_clk); + avs_address(0) <= (others => '0'); + avs_chipselect(0) <= '0'; + avs_read(0) <= '0'; + wait for c_mm_clk_period * 32; + END LOOP; + END PROCESS; ------------------------------------------------------------------------------ -- Simulation end diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/readregs.do b/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/readregs.do new file mode 100644 index 0000000000000000000000000000000000000000..46ad43e16b6ef53f161dddfcb40cc23f69cf0d57 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/readregs.do @@ -0,0 +1,61 @@ +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_read 1 0 + +force -drive sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_chipselect 1 0 + +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h14 0 +run 200ns + +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h15 0 +run 200ns + +# 0x60 rx_err0 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h18 0 +run 200ns + +# 0x64 rx_err1 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h19 0 +run 200ns + +# 0x80 rx_status0 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h20 0 +run 200ns + +# 0x84 rx_status1 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h21 0 +run 200ns + +# 0x88 rx_status2 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h22 0 +run 200ns + +# 0x8C rx_status2 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h23 0 +run 200ns + +# 0x94 ilas_data1 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h25 0 +run 200ns + +# 0x98 ilas_data2 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h26 0 +run 200ns + +# 0xF0 rx_status4 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h3C 0 +run 200ns + +# 0xF4 rx_status5 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h3D 0 +run 200ns + +# 0xF8 rx_status6 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h3E 0 +run 200ns + +# 0xFC rx_status7 +force -freeze sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address 8'h3F 0 +run 200ns + +noforce sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_chipselect +noforce sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address +noforce sim:/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_read diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/wave_multichannel.do b/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/wave_multichannel.do new file mode 100644 index 0000000000000000000000000000000000000000..74f3adec49b040418438efe1c4d0b8cf9599987e --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/tb/wave/wave_multichannel.do @@ -0,0 +1,680 @@ +onerror {resume} +quietly virtual signal -install /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc { /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(0).data(15 downto 0)} jesd204b_rx_src_out_arr_0 +quietly virtual signal -install /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc { /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(1).data(15 downto 0)} jesd204b_rx_src_out_arr_1 +quietly virtual signal -install /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc { /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(2).data(15 downto 0)} jesd204b_rx_src_out_arr_2 +quietly virtual signal -install /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc { /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(0).channel(1 downto 0)} jesd204b_rx_src_out_arr_0_somf +quietly WaveActivateNextPane {} 0 +add wave -noupdate -group #vsim_capacity# -format Analog-Step -height 500 -radix decimal /#vsim_capacity#/totals +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/classes +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/qdas +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/assertions +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/covergroups +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/solver +add wave -noupdate -group #vsim_capacity# -radix unsigned /#vsim_capacity#/memories +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_cf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_cs +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_f +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_hd +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_k +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_l +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_lane_powerdown +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_m +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_np +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_s +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testmode +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_a +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_b +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_c +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_d +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/dev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_chipselect +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_address +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_read +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_readdata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_waitrequest +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_write +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_writedata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_rst_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_kchar_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_error +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_int +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/mdev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/pll_locked +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/somf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/sysref +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/tx_analogreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/tx_bonding_clocks +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/tx_cal_busy +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/tx_digitalreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/tx_serial_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/txlink_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/txlink_rst_n_reset_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(0) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/txphy_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_cf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_cs +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_f +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_hd +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_k +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_l +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_lane_powerdown +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_m +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_np +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_s +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testmode +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_a +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_b +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_c +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_d +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/dev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_chipselect +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_address +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_read +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_readdata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_waitrequest +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_write +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_writedata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_rst_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_kchar_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_error +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_int +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/mdev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/pll_locked +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/somf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/sysref +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/tx_analogreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/tx_bonding_clocks +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/tx_cal_busy +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/tx_digitalreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/tx_serial_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/txlink_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/txlink_rst_n_reset_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(1) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(1)/u_ip_arria10_e1sg_jesd204b_tx/txphy_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_cf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_cs +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_f +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_hd +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_k +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_l +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_lane_powerdown +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_m +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_np +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_s +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testmode +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_a +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_b +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_c +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/csr_tx_testpattern_d +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/dev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_chipselect +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_address +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_read +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_readdata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_waitrequest +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_write +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_writedata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_avs_rst_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_dlb_kchar_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_error +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_frame_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_int +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_tx_link_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/mdev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/pll_locked +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/somf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/sysref +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/tx_analogreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/tx_bonding_clocks +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/tx_cal_busy +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/tx_digitalreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/tx_serial_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/txlink_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/txlink_rst_n_reset_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group gen_jesd204b_tx(2) -group u_ip_arria10_e1sg_jesd204b_tx -radix unsigned /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(2)/u_ip_arria10_e1sg_jesd204b_tx/txphy_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/reg_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/reg_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/dp_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/dp_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/sync_in +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/in_siso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_evt_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_sync_timeout_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_ready_stable_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_xon_stable_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_bsn_at_sync_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_nof_sop_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_nof_err_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_nof_valid_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_bsn_first_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/mon_bsn_first_cycle_cnt_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/reg_mosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_bsn_monitor -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_bsn_monitor/reg_miso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/cs_sim +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/xo_ethclk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/xo_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/xo_rst_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ext_clk200 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ext_rst200 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/dp_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/dp_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/dp_pps +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/dp_rst_in +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/dp_clk_in +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mb_I_ref_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mb_II_ref_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/this_chip_id +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/this_bck_id +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/app_led_red +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/app_led_green +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/pout_wdi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_wdi_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_wdi_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_remu_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_remu_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_dpmm_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_dpmm_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_dpmm_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_dpmm_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_mmdp_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_mmdp_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_mmdp_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_mmdp_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_epcs_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_epcs_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/rom_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/rom_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_pmbus_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_unb_pmbus_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_fpga_temp_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_fpga_temp_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_fpga_voltage_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_fpga_voltage_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_ppsh_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/reg_ppsh_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_tse_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_tse_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_reg_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_reg_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_reg_interrupt +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_ram_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_ram_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/udp_tx_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/udp_tx_siso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/udp_rx_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/udp_rx_siso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/PPS +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/WDI +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/INTA +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/INTB +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/VERSION +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ID +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/TESTIO +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/SENS_SC +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/SENS_SD +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/PMBUS_SC +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/PMBUS_SD +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/PMBUS_ALERT +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/MB_I_REF_CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/MB_II_REF_CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ETH_CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ETH_SGIN +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ETH_SGOUT +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_ext_clk200 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/ext_pps +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/common_areset_in_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_xo_ethclk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_xo_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_locked +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_sim_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/epcs_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/clk125 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/clk100 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/clk50 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_wdi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_st_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_st_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_pulse_ms +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_pulse_s +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/mm_board_sens_start +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/led_toggle +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/led_toggle_red +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/led_toggle_green +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/i_tse_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_led +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/wdi_override +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/temp_alarm +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_udp_tx_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_udp_tx_siso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_udp_rx_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_ctrl -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_ctrl/eth1g_udp_rx_siso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/dp_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/dp_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_data_buf_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_data_buf_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/ram_data_buf_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/ram_data_buf_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_rx_seq_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_rx_seq_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/in_sync +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/in_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/in_data_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/ram_data_buf_mosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/ram_data_buf_miso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_data_buf_mosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_diag_data_buffer -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_diag_data_buffer/reg_data_buf_miso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_refclk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_sysref +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_sync_n_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_frame_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/jesd204b_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/serial_tx_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_jesd204b -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/serial_rx_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/pout_wdi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_wdi_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_wdi_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/rom_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/rom_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_fpga_temp_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_fpga_temp_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_fpga_voltage_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_fpga_voltage_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_pmbus_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_unb_pmbus_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_ppsh_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_ppsh_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_tse_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_tse_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_reg_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_reg_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_reg_interrupt +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_ram_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/eth1g_ram_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_dpmm_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_dpmm_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_dpmm_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_dpmm_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_mmdp_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_mmdp_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_mmdp_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_mmdp_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_epcs_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_epcs_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_remu_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_remu_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/jesd204b_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/jesd204b_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/ram_diag_data_buf_jesd_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/ram_diag_data_buf_jesd_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_diag_data_buf_jesd_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/reg_diag_data_buf_jesd_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -group u_mmm -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_mmm/i_reset_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/PPS +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/WDI +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/INTA +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/INTB +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/VERSION +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ID +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/TESTIO +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/SENS_SC +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/SENS_SD +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/PMBUS_SC +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/PMBUS_SD +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/PMBUS_ALERT +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ETH_CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ETH_SGIN +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ETH_SGOUT +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/QSFP_LED +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/BCK_RX +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/BCK_REF_CLK +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/JESD204B_SYSREF +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/JESD204B_SYNC +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/wg_out_ovr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/wg_out_val +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/wg_out_data +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/wg_out_sync +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/wg_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/mux_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/nxt_mux_sosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/cs_sim +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/xo_ethclk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/xo_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/xo_rst_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/st_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/st_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/st_pps +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/dp_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/dp_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/pout_wdi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_wdi_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_wdi_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_ppsh_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_ppsh_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/rom_unb_system_info_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/rom_unb_system_info_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_pmbus_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_unb_pmbus_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_fpga_temp_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_fpga_temp_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_fpga_voltage_sens_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_fpga_voltage_sens_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_mm_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_tse_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_tse_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_reg_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_reg_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_reg_interrupt +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_ram_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/eth1g_ram_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_dpmm_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_dpmm_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_dpmm_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_dpmm_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_mmdp_data_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_mmdp_data_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_mmdp_ctrl_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_mmdp_ctrl_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_epcs_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_epcs_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_remu_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_remu_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_wg_mosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_wg_miso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ram_wg_mosi_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ram_wg_miso_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_bsn_monitor_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_bsn_monitor_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/qsfp_green_led_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/qsfp_red_led_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ram_diag_data_buf_jesd_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/ram_diag_data_buf_jesd_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_diag_data_buf_jesd_mosi +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/reg_diag_data_buf_jesd_miso +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/diag_data_buf_snk_in_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -group u_lofar_unb2b_adc -radix unsigned /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_frame_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/avs_chipselect +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/avs_read +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/avs_readdata +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/avs_address +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0) -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(31) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(30) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(29) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(28) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(27) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(26) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(25) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(24) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(23) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(22) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(21) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(20) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(19) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(18) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(17) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(16) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(15) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(14) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(13) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(12) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(0) -radix unsigned}}}} -expand -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0) {-height 16 -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(31) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(30) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(29) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(28) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(27) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(26) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(25) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(24) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(23) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(22) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(21) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(20) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(19) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(18) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(17) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(16) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(15) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(14) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(13) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(12) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(0) -radix unsigned}}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(31) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(30) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(29) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(28) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(27) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(26) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(25) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(24) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(23) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(22) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(21) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(20) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(19) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(18) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(17) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(16) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(15) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(14) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(13) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(12) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0)(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/dev_sync_n +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/tx_analogreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/tx_digitalreset +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/tx_bonding_clocks +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/pll_locked +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/mm_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/tb_end +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/sim_done +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/ext_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/pps +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/pps_rst +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/serial_tx(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/serial_tx(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/serial_tx(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/serial_tx(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/serial_tx(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/serial_tx(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/serial_tx +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/bck_rx(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/bck_rx(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/bck_rx(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/bck_rx(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/bck_rx +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc_1 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc_2 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -divider {rx data outputs} +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(2).valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(1).valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr(0).valid +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr_2 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr_1 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr_0 +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -radix hexadecimal -expand /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_rx_src_out_arr_0_somf +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_frame_clk +add wave -noupdate -expand -group tb_lofar2_unb2b_adc_multichannel -divider Clocks +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/mm_rst +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/txlink_rst_n +add wave -noupdate -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/txlink_clk(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/txlink_clk(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/txlink_clk(0) -radix unsigned}} -expand -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/txlink_clk(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/txlink_clk(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/txlink_clk(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/txlink_clk +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk +add wave -noupdate -divider FPGA +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_fpga +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_fpga +add wave -noupdate -radix unsigned -childformat {{/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(11) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(10) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(9) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(8) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(7) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(6) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(5) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(4) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(3) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(2) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(1) -radix unsigned} {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(0) -radix unsigned}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(11) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(10) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(9) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(8) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(7) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(6) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(5) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(4) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(3) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(2) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(1) {-height 16 -radix unsigned} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga(0) {-height 16 -radix unsigned}} /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_fpga +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/txphy_clk +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/bck_rx(2) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/bck_rx(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/bck_rx(0) +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/jesd204b_frame_clk +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/somf +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(1)/u_ip_arria10_e1sg_jesd204b_rx/somf +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(2)/u_ip_arria10_e1sg_jesd204b_rx/somf +add wave -noupdate -divider {ADC 0} +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(0) +add wave -noupdate -radix decimal /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_frame_ready(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_ready(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_valid(0) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/serial_tx(0) +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/pll_locked +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/somf +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/csr_tx_testmode +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/jesd204_tx_avs_rst_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/tx_analogreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/tx_digitalreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/txlink_rst_n_reset_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/txphy_clk +add wave -noupdate -divider {ADC 1} +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(1) +add wave -noupdate -radix decimal /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(1) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/serial_tx(1) +add wave -noupdate -divider {ADC 2} +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(2) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sampclk_adc(2) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sync_adc(2) +add wave -noupdate -radix decimal /tb_lofar2_unb2b_adc_multichannel/jesd204b_tx_link_data_arr(2) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/jesd204b_sysref_adc(2) +add wave -noupdate -radix unsigned /tb_lofar2_unb2b_adc_multichannel/serial_tx(2) +add wave -noupdate -divider {Rx channel 0 in FPGA} +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/xcvr_rst_arr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/xcvr_rst_ctrl_rx_ready_arr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/rx_csr_lane_powerdown_arr +add wave -noupdate -divider {reset sequencer (0)} +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/av_address +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/av_readdata +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/av_read +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/av_writedata +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/av_write +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/irq +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/csr_reset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset1_dsrt_qual +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset2_dsrt_qual +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset5_dsrt_qual +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_in0 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out0 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out1 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out2 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out3 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out4 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out5 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out6 +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx_reset_seq/reset_out7 +add wave -noupdate -divider {altjesd rx (0)} +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_cf +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_cs +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_f +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_hd +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_k +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_l +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_lane_powerdown +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_m +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_np +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_rx_testmode +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/csr_s +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/dev_lane_aligned +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/dev_sync_n +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_chipselect +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_address +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_read +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_readdata +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_waitrequest +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_write +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_writedata +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_avs_rst_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_dlb_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_dlb_data_valid +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_dlb_disperr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_dlb_errdetect +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_dlb_kchar_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_frame_error +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_int +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_link_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_link_valid +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/jesd204_rx_link_ready +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/pll_ref_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rx_analogreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rx_cal_busy +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rx_digitalreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rx_islockedtodata +add wave -noupdate -expand /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rx_serial_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rxlink_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rxlink_rst_n_reset_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/rxphy_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/sof +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/somf +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/sysref +add wave -noupdate -divider {altjesd rx phy} +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/csr_lane_polarity +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/csr_lane_powerdown +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/jesd204_rx_pcs_data +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/jesd204_rx_pcs_data_valid +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/jesd204_rx_pcs_disperr +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/jesd204_rx_pcs_errdetect +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/jesd204_rx_pcs_kchar_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/patternalign_en +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/phy_csr_rx_cal_busy +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/phy_csr_rx_locked_to_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/phy_csr_rx_pcfifo_empty +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/phy_csr_rx_pcfifo_full +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/pll_ref_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rx_analogreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rx_digitalreset +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rx_serial_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rxlink_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rxlink_rst_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/rxphy_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_phy_adapter_rxphy_clk_export +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_phy_adapter_phy_rxlink_clk_export +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_phy_adapter_phy_rxlink_rst_n_export +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_phy_adapter_rx_refclk_phy_clk +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_phy_adapter_phy_rx_coreclkin_clk +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_clkout_clk +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_parallel_data_rx_parallel_data +add wave -noupdate -radix hexadecimal -childformat {{/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(3) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(2) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(1) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(0) -radix hexadecimal}} -expand -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(3) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(2) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(1) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak(0) {-height 16 -radix hexadecimal}} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_datak_rx_datak +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_errdetect_rx_errdetect +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_disperr_rx_disperr +add wave -noupdate -radix hexadecimal -childformat {{/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(3) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(2) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(1) -radix hexadecimal} {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(0) -radix hexadecimal}} -subitemconfig {/tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(3) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(2) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(1) {-height 16 -radix hexadecimal} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus(0) {-height 16 -radix hexadecimal}} /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_syncstatus_rx_syncstatus +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_is_lockedtodata_rx_is_lockedtodata +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_cal_busy_rx_cal_busy +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_std_pcfifo_full_rx_std_pcfifo_full +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_std_pcfifo_empty_rx_std_pcfifo_empty +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_rx_mlpcs_phy_lane_polarity_rx_polinv +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_rx_mlpcs_phy_bit_reversal_rx_std_bitrev_ena +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_rx_mlpcs_phy_byte_reversal_rx_std_byterev_ena +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_rx_mlpcs_phy_patternalign_en_rx_std_wa_patternalign +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_runningdisp_rx_runningdisp +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_patterndetect_rx_patterndetect +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_unused_rx_parallel_data_unused_rx_parallel_data +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/gen_jesd204b_rx/gen_jesd204b_rx_channels(0)/u_ip_arria10_e1sg_jesd204b_rx/jesd204_0/inst_phy/inst_xcvr_rx_parallel_data +add wave -noupdate -divider {jesd ip} +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/xcvr_rst_ctrl_rx_ready_arr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/rx_csr_lane_powerdown_arr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/u_jesd204b/gen_ip_arria10_e1sg/u0/u_ip_arria10_e1sg_jesd204b/rx_xcvr_ready_in_arr +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/u_lofar_unb2b_adc/mm_rst +add wave -noupdate -divider {altjesd tx (0)} +add wave -noupdate -radix hexadecimal /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/jesd204_tx_link_data +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/jesd204_tx_link_valid +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/jesd204_tx_link_ready +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/mdev_sync_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/pll_locked +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/somf +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/sync_n +add wave -noupdate /tb_lofar2_unb2b_adc_multichannel/gen_jesd204b_tx(0)/u_ip_arria10_e1sg_jesd204b_tx/jesd204_0/sysref +add wave -noupdate -divider {ADC(0) test signal} +TreeUpdate [SetDefaultTree] +WaveRestoreCursors {{Cursor 1} {100774483841 fs} 0} {{Cursor 2} {400801605107 fs} 0} {{Cursor 3} {200763500000 fs} 0} {{Cursor 4} {51751500000 fs} 0} {{Cursor 5} {51751500000 fs} 0} +quietly wave cursor active 5 +configure wave -namecolwidth 442 +configure wave -valuecolwidth 100 +configure wave -justifyvalue left +configure wave -signalnamewidth 1 +configure wave -snapdistance 10 +configure wave -datasetprefix 0 +configure wave -rowmargin 4 +configure wave -childrowmargin 2 +configure wave -gridoffset 0 +configure wave -gridperiod 1 +configure wave -griddelta 40 +configure wave -timeline 0 +configure wave -timelineunits fs +update +WaveRestoreZoom {51316330112 fs} {52186669888 fs} diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd index cc028549d152b6ec933ee6565b9d555a1a69be48..ae0029e0f76f765c37c52a4dc285d26144141a8f 100644 --- a/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd +++ b/libraries/technology/ip_arria10_e1sg/jesd204b/ip_arria10_e1sg_jesd204b.vhd @@ -78,6 +78,7 @@ ARCHITECTURE str OF ip_arria10_e1sg_jesd204b IS -- Clocks SIGNAL rxframe_clk : STD_LOGIC; SIGNAL rxlink_clk : STD_LOGIC; + SIGNAL jesd204b_avs_clk : STD_LOGIC; -- Reset and control signals SIGNAL dev_lane_aligned : STD_LOGIC_VECTOR(g_nof_channels-1 DOWNTO 0); -- 1 bit, each interface channel has 1 lane @@ -100,64 +101,17 @@ ARCHITECTURE str OF ip_arria10_e1sg_jesd204b IS SIGNAL f2_div1_cnt_arr : STD_LOGIC_VECTOR(g_nof_channels-1 DOWNTO 0); SIGNAL core_pll_locked : STD_LOGIC; SIGNAL core_pll_locked_reg : STD_LOGIC; + SIGNAL jesd204b_sysref_1 : STD_LOGIC; + SIGNAL jesd204b_sysref_2 : STD_LOGIC; -- Data path SIGNAL jesd204b_rx_link_data_arr : STD_LOGIC_VECTOR(32*g_nof_channels-1 DOWNTO 0); SIGNAL jesd204b_rx_link_valid_arr : STD_LOGIC_VECTOR(g_nof_channels-1 DOWNTO 0); + SIGNAL jesd204b_rx_somf_arr : STD_LOGIC_VECTOR(4*g_nof_channels-1 DOWNTO 0); -- Component declarations for the IP blocks --- component ip_arria10_e1sg_jesd204b_rx is --- port ( --- jesd204_0_alldev_lane_aligned_export : in std_logic := 'X'; -- export --- csr_cf_export : out std_logic_vector(4 downto 0); -- export --- csr_cs_export : out std_logic_vector(1 downto 0); -- export --- csr_f_export : out std_logic_vector(7 downto 0); -- export --- csr_hd_export : out std_logic; -- export --- csr_k_export : out std_logic_vector(4 downto 0); -- export --- csr_l_export : out std_logic_vector(4 downto 0); -- export --- csr_lane_powerdown_export : out std_logic_vector(0 downto 0); -- export --- csr_m_export : out std_logic_vector(7 downto 0); -- export --- csr_n_export : out std_logic_vector(4 downto 0); -- export --- csr_np_export : out std_logic_vector(4 downto 0); -- export --- csr_rx_testmode_export : out std_logic_vector(3 downto 0); -- export --- csr_s_export : out std_logic_vector(4 downto 0); -- export --- dev_lane_aligned_export : out std_logic; -- export --- dev_sync_n_export : out std_logic; -- export --- jesd204_rx_avs_chipselect : in std_logic := 'X'; -- chipselect --- jesd204_rx_avs_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address --- jesd204_rx_avs_read : in std_logic := 'X'; -- read --- jesd204_rx_avs_readdata : out std_logic_vector(31 downto 0); -- readdata --- jesd204_rx_avs_waitrequest : out std_logic; -- waitrequest --- jesd204_rx_avs_write : in std_logic := 'X'; -- write --- jesd204_rx_avs_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata --- jesd204_rx_avs_clk_clk : in std_logic := 'X'; -- clk --- jesd204_rx_avs_rst_n_reset_n : in std_logic := 'X'; -- reset_n --- jesd204_rx_dlb_data_export : in std_logic_vector(31 downto 0) := (others => 'X'); -- export --- jesd204_rx_dlb_data_valid_export : in std_logic_vector(0 downto 0) := (others => 'X'); -- export --- jesd204_rx_dlb_disperr_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export --- jesd204_rx_dlb_errdetect_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export --- jesd204_rx_dlb_kchar_data_export : in std_logic_vector(3 downto 0) := (others => 'X'); -- export --- jesd204_rx_frame_error_export : in std_logic := 'X'; -- export --- jesd204_rx_int_irq : out std_logic; -- irq --- jesd204_rx_link_data : out std_logic_vector(31 downto 0); -- data --- jesd204_rx_link_valid : out std_logic; -- valid --- jesd204_rx_link_ready : in std_logic := 'X'; -- ready --- pll_ref_clk_clk : in std_logic := 'X'; -- clk --- rx_analogreset_rx_analogreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_analogreset --- rx_cal_busy_rx_cal_busy : out std_logic_vector(0 downto 0); -- rx_cal_busy --- rx_digitalreset_rx_digitalreset : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_digitalreset --- rx_islockedtodata_rx_is_lockedtodata : out std_logic_vector(0 downto 0); -- rx_is_lockedtodata --- rx_serial_data_rx_serial_data : in std_logic_vector(0 downto 0) := (others => 'X'); -- rx_serial_data --- rxlink_clk_clk : in std_logic := 'X'; -- clk --- rxlink_rst_n_reset_n : in std_logic := 'X'; -- reset_n --- rxphy_clk_export : out std_logic_vector(0 downto 0); -- export --- sof_export : out std_logic_vector(3 downto 0); -- export --- somf_export : out std_logic_vector(3 downto 0); -- export --- sysref_export : in std_logic := 'X' -- export --- ); --- end component ip_arria10_e1sg_jesd204b_rx; component ip_arria10_e1sg_jesd204b_rx is port ( @@ -262,6 +216,15 @@ ARCHITECTURE str OF ip_arria10_e1sg_jesd204b IS BEGIN jesd204b_frame_clk <= rxframe_clk; + + gen_simclock : IF g_sim = TRUE GENERATE + jesd204b_avs_clk <= rxlink_clk; + END GENERATE; + + gen_synthclock : IF g_sim = FALSE GENERATE + jesd204b_avs_clk <= mm_clk; + END GENERATE; + gen_jesd204b_rx : IF g_direction = "RX_ONLY" GENERATE gen_jesd204b_rx_channels : FOR I IN 0 TO g_nof_channels-1 GENERATE @@ -269,56 +232,6 @@ BEGIN ----------------------------------------------------------------------------- -- The JESD204 IP (rx only) ----------------------------------------------------------------------------- --- u_ip_arria10_e1sg_jesd204b_rx : ip_arria10_e1sg_jesd204b_rx --- PORT MAP --- ( --- jesd204_0_alldev_lane_aligned_export => dev_lane_aligned_arr(i), --- csr_cf_export => OPEN, --- csr_cs_export => OPEN, --- csr_f_export => OPEN, --- csr_hd_export => OPEN, --- csr_k_export => OPEN, --- csr_l_export => OPEN, --- csr_lane_powerdown_export => rx_csr_lane_powerdown_arr(i downto i), --- csr_m_export => OPEN, --- csr_n_export => OPEN, --- csr_np_export => OPEN, --- csr_rx_testmode_export => OPEN, --- csr_s_export => OPEN, --- dev_lane_aligned_export => dev_lane_aligned_arr(i), --- dev_sync_n_export => jesd204b_sync_n_arr(i), --- jesd204_rx_avs_chipselect => '0', --jesd204b_mosi_arr(i).chipselect, --- jesd204_rx_avs_address => jesd204b_mosi_arr(i).address(7 downto 0), --- jesd204_rx_avs_read => jesd204b_mosi_arr(i).rd, --- jesd204_rx_avs_readdata => jesd204b_miso_arr(i).rddata(31 downto 0), --- jesd204_rx_avs_waitrequest => jesd204b_miso_arr(i).waitrequest, --- jesd204_rx_avs_write => jesd204b_mosi_arr(i).wr, --- jesd204_rx_avs_writedata => jesd204b_mosi_arr(i).wrdata(31 downto 0), --- jesd204_rx_avs_clk_clk => mm_clk, --- jesd204_rx_avs_rst_n_reset_n => rx_avs_rst_n_arr(i), -- Todo: Check if this could use mm_rst, --- jesd204_rx_dlb_data_export => (others => '0'), -- debug/loopback testing --- jesd204_rx_dlb_data_valid_export => (others => '0'), -- debug/loopback testing --- jesd204_rx_dlb_disperr_export => (others => '0'), -- debug/loopback testing --- jesd204_rx_dlb_errdetect_export => (others => '0'), -- debug/loopback testing --- jesd204_rx_dlb_kchar_data_export => (others => '0'), -- debug/loopback testing --- jesd204_rx_frame_error_export => '0', -- jesd204_rx_frame_error.export --- jesd204_rx_int_irq => OPEN, -- Connected to status IO in example design --- jesd204_rx_link_data => jesd204b_rx_link_data_arr(i*32+31 DOWNTO i*32), --- jesd204_rx_link_valid => jesd204b_rx_link_valid_arr(i), --- jesd204_rx_link_ready => '1', --- pll_ref_clk_clk => jesd204b_refclk, -- Aka device_clock, same as reference for the link/frame clock IOPLL (Intel JESD204B-UG p63) --- rx_analogreset_rx_analogreset => rx_analogreset_arr(I DOWNTO I), --- rx_cal_busy_rx_cal_busy => rx_cal_busy_arr(I DOWNTO I), --- rx_digitalreset_rx_digitalreset => rx_digitalreset_arr(I DOWNTO I), --- rx_islockedtodata_rx_is_lockedtodata => rx_islockedtodata_arr(I DOWNTO I), --- rx_serial_data_rx_serial_data => serial_rx_arr(i downto i), --- rxlink_clk_clk => rxlink_clk, -- TODO: still not clear if this should be 100MHz or 200MHz (Intel JESD204B-UG p63) --- rxlink_rst_n_reset_n => rxlink_rst_n_arr(i), -- Assoc with rxlink_clk (Intel JESD204B-UG p69) --- rxphy_clk_export => OPEN, -- Not used in Subclass 0 (Intel JESD204B-UG p63) --- sof_export => OPEN, --- somf_export => OPEN, --- sysref_export => jesd204b_sysref --- ); u_ip_arria10_e1sg_jesd204b_rx : ip_arria10_e1sg_jesd204b_rx PORT MAP @@ -345,7 +258,7 @@ BEGIN jesd204_rx_avs_waitrequest => jesd204b_miso_arr(i).waitrequest, jesd204_rx_avs_write => jesd204b_mosi_arr(i).wr, jesd204_rx_avs_writedata => jesd204b_mosi_arr(i).wrdata(31 downto 0), - jesd204_rx_avs_clk => mm_clk, + jesd204_rx_avs_clk => jesd204b_avs_clk, --mm_clk, jesd204_rx_avs_rst_n => rx_avs_rst_n_arr(i), -- Todo: Check if this could use mm_rst, jesd204_rx_dlb_data => (others => '0'), -- debug/loopback testing jesd204_rx_dlb_data_valid => (others => '0'), -- debug/loopback testing @@ -367,8 +280,8 @@ BEGIN rxlink_rst_n_reset_n => rxlink_rst_n_arr(i), -- Assoc with rxlink_clk (Intel JESD204B-UG p69) rxphy_clk => OPEN, -- Not used in Subclass 0 (Intel JESD204B-UG p63) sof => OPEN, - somf => OPEN, - sysref => jesd204b_sysref + somf => jesd204b_rx_somf_arr(4*i+3 downto 4*i), + sysref => jesd204b_sysref_2 ); ----------------------------------------------------------------------------- @@ -407,6 +320,7 @@ BEGIN rxframe_rst_n_arr(i) <= not rxframe_rst_arr(i); + ----------------------------------------------------------------------------- -- Minimal deframer (transport layer) ----------------------------------------------------------------------------- @@ -415,16 +329,20 @@ BEGIN IF rising_edge(rxframe_clk) THEN IF rxframe_rst_n_arr(i) = '0' THEN rx_src_out_arr(i).data(15 downto 0) <= (OTHERS => '0'); + rx_src_out_arr(i).channel(1 downto 0) <= (OTHERS => '0'); f2_div1_cnt_arr(i) <= '0'; ELSE rx_src_out_arr(i).valid <= jesd204b_rx_link_valid_arr(i); IF jesd204b_rx_link_valid_arr(i) = '0' THEN rx_src_out_arr(i).data(15 downto 0) <= (OTHERS => '0'); + rx_src_out_arr(i).channel(1 downto 0) <= (OTHERS => '0'); ELSE IF f2_div1_cnt_arr(i) = '1' THEN - rx_src_out_arr(i).data(15 downto 0) <= jesd204b_rx_link_data_arr(32*i+15 downto 32*i); + rx_src_out_arr(i).data(15 downto 0) <= jesd204b_rx_link_data_arr(32*i+15 downto 32*i); + rx_src_out_arr(i).channel(1 downto 0) <= jesd204b_rx_somf_arr(4*i+1 downto 4*i); ELSE - rx_src_out_arr(i).data(15 downto 0) <= jesd204b_rx_link_data_arr(32*i+31 downto 32*i+16); + rx_src_out_arr(i).data(15 downto 0) <= jesd204b_rx_link_data_arr(32*i+31 downto 32*i+16); + rx_src_out_arr(i).channel(1 downto 0) <= jesd204b_rx_somf_arr(4*i+3 downto 4*i+2); END IF; f2_div1_cnt_arr(i) <= not f2_div1_cnt_arr(i); END IF; @@ -433,6 +351,23 @@ BEGIN END PROCESS; END GENERATE; + + ----------------------------------------------------------------------------- + -- Reclock sysref + ----------------------------------------------------------------------------- + p_reclocksysref : PROCESS (rxlink_clk, core_pll_locked) + BEGIN + IF core_pll_locked = '0' THEN + jesd204b_sysref_1 <= '0'; + jesd204b_sysref_2 <= '0'; + ELSE + IF rising_edge(rxlink_clk) THEN + jesd204b_sysref_1 <= jesd204b_sysref; + jesd204b_sysref_2 <= jesd204b_sysref_1; + END IF; + END IF; + END PROCESS; + -- IOPLL in source synchronous or normal mode. (Intel JESD204B-UG p66) u_ip_arria10_e1sg_jesd204b_rx_corepll : ip_arria10_e1sg_jesd204b_rx_core_pll