diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..a66650e5b4234bb30714ecce9841d9be484905e4 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/hdllib.cfg @@ -0,0 +1,23 @@ +hdl_lib_name = lofar2_unb2b_adc +hdl_library_clause_name = lofar2_unb2b_adc_lib +hdl_lib_uses_synth = common technology mm unb2b_board dp eth tech_tse tr_10GbE diagnostics diag tech_jesd +hdl_lib_uses_sim = +hdl_lib_technology = ip_arria10_e1sg + +synth_files = + src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd + src/vhdl/lofar2_unb2b_adc_pkg.vhd + src/vhdl/mmm_lofar2_unb2b_adc.vhd + src/vhdl/lofar2_unb2b_adc.vhd + +test_bench_files = +# tb/vhdl/tb_lofar2_unb2b_adc.vhd + + +[modelsim_project_file] +modelsim_copy_files = + + +[quartus_project_file] +quartus_copy_files = + diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/hdllib.cfg b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/hdllib.cfg new file mode 100644 index 0000000000000000000000000000000000000000..1e583673e1db63634efb7ee8e899b50a8d86d692 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/hdllib.cfg @@ -0,0 +1,68 @@ +hdl_lib_name = lofar2_unb2b_adc_one_node +hdl_library_clause_name = lofar2_unb2b_adc_one_node_lib +hdl_lib_uses_synth = common mm technology unb2b_board lofar2_unb2b_adc +hdl_lib_uses_sim = +hdl_lib_technology = ip_arria10_e1sg + + synth_files = + lofar2_unb2b_adc_one_node.vhd + +test_bench_files = + + + +[modelsim_project_file] +modelsim_copy_files = +# Pinning design only intended for synthesis + + +[quartus_project_file] +synth_top_level_entity = + +quartus_copy_files = + ../../quartus . + ../../src/hex hex + +quartus_qsf_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2c_board/quartus/unb2c_board.qsf + +quartus_sdc_pre_files = + quartus/lofar_unb2b_adc_one_node.sdc + +quartus_sdc_files = + $RADIOHDL_WORK/boards/uniboard2b/libraries/unb2b_board/quartus/unb2b_board.sdc + +quartus_tcl_files = + quartus/lofar_unb2b_adc_one_node_pins.tcl + +quartus_vhdl_files = + +quartus_qip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar_unb2b_adc_one_node/qsys_lofar_unb2b_adc/qsys_lofar_unb2b_adc.qip + +quartus_ip_files = + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_eth_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_avs_common_mm_1.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_clk_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_cpu_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_jtag_uart_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_onchip_memory2_0.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_pps.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_pio_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_dpmm_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_epcs.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_temp_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_fpga_voltage_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_ctrl.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_mmdp_data.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_remu.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_pmbus.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_unb_sens.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_reg_wdi.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_rom_system_info.ip + $RADIOHDL_BUILD_DIR/unb2b/quartus/lofar2_unb2b_adc/ip/qsys_unb2b_minimal/qsys_unb2b_minimal_timer_0.ip + +nios2_app_userflags = -DCOMPILE_FOR_GEN2_UNB2 diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd new file mode 100644 index 0000000000000000000000000000000000000000..9cc06592a2ac9424d07bd81b7b4c6e3ee3b58d1a --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/lofar2_unb2b_adc_one_node.vhd @@ -0,0 +1,223 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +LIBRARY IEEE, common_lib, unb2b_board_lib, unb2b_test_lib, technology_lib, tech_ddr_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; -- use the original package +USE technology_lib.technology_pkg.ALL; +USE tech_ddr_lib.tech_ddr_pkg.ALL; + + +ENTITY lofar2_unb2b_adc_one_node IS + GENERIC ( + g_design_name : STRING := "lofar2_unb2b_adc_one_node"; + g_design_note : STRING := "Lofar2 adc with one node"; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC; -- Clock 10GbE front (qsfp) and ring lines + SB_CLK : IN STD_LOGIC; -- Clock 10GbE back. From on-board XTAL + BCK_REF_CLK : IN STD_LOGIC; -- Use as JESD204B_REFCLK + + -- DDR reference clocks + MB_I_REF_CLK : IN STD_LOGIC; -- Reference clock for MB_I + MB_II_REF_CLK : IN STD_LOGIC; -- Reference clock for MB_II + + -- back transceivers + BCK_RX : IN STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.bus_w * c_unb2b_board_tr_jesd204b.nof_bus)-1 downto 0); + --BCK_TX : OUT STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0); + + -- jesd204b syncronization signals + JESD204B_SYSREF : IN STD_LOGIC; + JESD204B_SYNC : OUT STD_LOGIC_VECTOR((c_unb2b_board_tr_jesd204b.nof_bus * c_unb2b_board_tr_jesd204b.bus_w)-1 DOWNTO 0); + + -- ring transceivers + RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + -- pmbus + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC; + -- front transceivers + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_2_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_2_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_3_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_3_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_4_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_4_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_5_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_5_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + QSFP_SDA : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.i2c_w-1 downto 0); + QSFP_SCL : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.i2c_w-1 downto 0); + + -- SO-DIMM Memory Bank I + MB_I_IN : IN t_tech_ddr4_phy_in; + MB_I_IO : INOUT t_tech_ddr4_phy_io; + MB_I_OU : OUT t_tech_ddr4_phy_ou; + + -- SO-DIMM Memory Bank II + MB_II_IN : IN t_tech_ddr4_phy_in; + MB_II_IO : INOUT t_tech_ddr4_phy_io; + MB_II_OU : OUT t_tech_ddr4_phy_ou; + + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0) + ); +END lofar2_unb2b_adc_one_node; + + +ARCHITECTURE str OF lofar2_unb2b_adc_one_node IS + + SIGNAL BCK_RX_INTERNAL : STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0) := (others => '0'); + +BEGIN + + gen_bck_to_jesd204b : FOR i IN 0 TO (c_unb2b_board_tr_jesd204b.nof_bus * c_unb2b_board_tr_jesd204b.bus_w)-1 GENERATE + BCK_RX_INTERNAL(i) <= BCK_RX(0); + END GENERATE; + + u_revision : ENTITY unb2b_test_lib.unb2b_test + GENERIC MAP ( + g_design_name => g_design_name, + g_design_note => g_design_note, + g_sim => g_sim, + g_sim_unb_nr => g_sim_unb_nr, + g_sim_node_nr => g_sim_node_nr, + g_stamp_date => g_stamp_date, + g_stamp_time => g_stamp_time, + g_revision_id => g_revision_id + ) + PORT MAP ( + -- GENERAL + CLK => CLK, + PPS => PPS, + WDI => WDI, + INTA => INTA, + INTB => INTB, + + -- Others + VERSION => VERSION, + ID => ID, + TESTIO => TESTIO, + + -- I2C Interface to Sensors + SENS_SC => SENS_SC, + SENS_SD => SENS_SD, + + -- 1GbE Control Interface + ETH_clk => ETH_clk, + ETH_SGIN => ETH_SGIN, + ETH_SGOUT => ETH_SGOUT, + + -- Transceiver clocks + SA_CLK => SA_CLK, + SB_CLK => SB_CLK, + BCK_REF_CLK => BCK_REF_CLK, + + -- DDR reference clocks + MB_I_REF_CLK => MB_I_REF_CLK, + MB_II_REF_CLK => MB_II_REF_CLK, + + -- back transceivers + BCK_RX => BCK_RX_INTERNAL, + BCK_TX => open, + + -- ring transceivers + RING_0_RX => RING_0_RX, + RING_0_TX => RING_0_TX, + RING_1_RX => RING_1_RX, + RING_1_TX => RING_1_TX, + + -- jesd204b syncronization signals + JESD204B_SYSREF => JESD204B_SYSREF, + JESD204B_SYNC => JESD204B_SYNC, + + -- pmbus + PMBUS_SC => PMBUS_SC, + PMBUS_SD => PMBUS_SD, + PMBUS_ALERT => PMBUS_ALERT, + + -- front transceivers + QSFP_0_RX => QSFP_0_RX, + QSFP_0_TX => QSFP_0_TX, + QSFP_1_RX => QSFP_1_RX, + QSFP_1_TX => QSFP_1_TX, + QSFP_2_RX => QSFP_2_RX, + QSFP_2_TX => QSFP_2_TX, + QSFP_3_RX => QSFP_3_RX, + QSFP_3_TX => QSFP_3_TX, + QSFP_4_RX => QSFP_4_RX, + QSFP_4_TX => QSFP_4_TX, + QSFP_5_RX => QSFP_5_RX, + QSFP_5_TX => QSFP_5_TX, + + QSFP_SDA => QSFP_SDA, + QSFP_SCL => QSFP_SCL, + + -- SO-DIMM Memory Bank I + MB_I_IN => MB_I_IN, + MB_I_IO => MB_I_IO, + MB_I_OU => MB_I_OU, + + -- SO-DIMM Memory Bank II + MB_II_IN => MB_II_IN, + MB_II_IO => MB_II_IO, + MB_II_OU => MB_II_OU, + + QSFP_LED => QSFP_LED + ); +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node.sdc b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node.sdc new file mode 100644 index 0000000000000000000000000000000000000000..e0a8d1b58168ab6b944a51e297c8478e8c28fac5 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node.sdc @@ -0,0 +1 @@ +#Placeholder diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node_pins.tcl b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node_pins.tcl new file mode 100644 index 0000000000000000000000000000000000000000..18a4560ef559210d6eda56f6abf6fa4a5a69bc0e --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/revisions/lofar2_unb2b_adc_one_node/quartus/lofar2_unb2b_adc_one_node_pins.tcl @@ -0,0 +1,24 @@ +############################################################################### +# +# Copyright (C) 2014 +# ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +# P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +# +# This program is free software: you can redistribute it and/or modify +# it under the terms of the GNU General Public License as published by +# the Free Software Foundation, either version 3 of the License, or +# (at your option) any later version. +# +# This program is distributed in the hope that it will be useful, +# but WITHOUT ANY WARRANTY; without even the implied warranty of +# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +# GNU General Public License for more details. +# +# You should have received a copy of the GNU General Public License +# along with this program. If not, see <http://www.gnu.org/licenses/>. +# +############################################################################### + +source $::env(RADIOHDL_WORK)/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl +source $::env(RADIOHDL_WORK)/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_jesd204b_pins.tcl +source $::env(RADIOHDL_WORK)/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_ddr_pins.tcl diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd new file mode 100644 index 0000000000000000000000000000000000000000..57bfac6eca5353f2a2e02cbb8a53b997ad71ad41 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc.vhd @@ -0,0 +1,147 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- JIVE (Joint Institute for VLBI in Europe) <http://www.jive.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +LIBRARY IEEE, common_lib, unb2b_board_lib, unb2b_board_10gbe_lib, dp_lib, eth_lib, tr_10GbE_lib, diag_lib, technology_lib, tech_ddr_lib, io_ddr_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE common_lib.common_interface_layers_pkg.ALL; +USE common_lib.common_network_layers_pkg.ALL; +USE common_lib.common_field_pkg.ALL; +USE technology_lib.technology_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE dp_lib.dp_stream_pkg.ALL; +USE diag_lib.diag_pkg.ALL; +USE eth_lib.eth_pkg.ALL; +USE tech_ddr_lib.tech_ddr_pkg.ALL; +USE work.unb2b_test_pkg.ALL; + +ENTITY lofar2_unb2b_adc IS + GENERIC ( + g_design_name : STRING := "lofar2_unb2b_adc"; + g_design_note : STRING := "UNUSED"; + g_technology : NATURAL := c_tech_arria10_e1sg; + g_sim : BOOLEAN := FALSE; --Overridden by TB + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_sim_model_ddr : BOOLEAN := FALSE; + g_stamp_date : NATURAL := 0; -- Date (YYYYMMDD) -- set by QSF + g_stamp_time : NATURAL := 0; -- Time (HHMMSS) -- set by QSF + g_revision_id : STRING := "" -- revision ID -- set by QSF + g_factory_image : BOOLEAN := FALSE + ); + PORT ( + -- GENERAL + CLK : IN STD_LOGIC; -- System Clock + PPS : IN STD_LOGIC; -- System Sync + WDI : OUT STD_LOGIC; -- Watchdog Clear + INTA : INOUT STD_LOGIC; -- FPGA interconnect line + INTB : INOUT STD_LOGIC; -- FPGA interconnect line + + -- Others + VERSION : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.version_w-1 DOWNTO 0); + ID : IN STD_LOGIC_VECTOR(c_unb2b_board_aux.id_w-1 DOWNTO 0); + TESTIO : INOUT STD_LOGIC_VECTOR(c_unb2b_board_aux.testio_w-1 DOWNTO 0); + + -- I2C Interface to Sensors + SENS_SC : INOUT STD_LOGIC; + SENS_SD : INOUT STD_LOGIC; + + -- 1GbE Control Interface + ETH_CLK : IN STD_LOGIC; + ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2b_board_nof_eth-1 DOWNTO 0); + + -- Transceiver clocks + SA_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE front (qsfp) and ring lines + SB_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE back upper 24 lines + BCK_REF_CLK : IN STD_LOGIC := '0'; -- Clock 10GbE back lower 24 lines + + -- DDR reference clocks + MB_I_REF_CLK : IN STD_LOGIC := '0'; -- Reference clock for MB_I + MB_II_REF_CLK : IN STD_LOGIC := '0'; -- Reference clock for MB_II + + -- back transceivers + --BCK_RX : IN STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0) := (OTHERS=>'0'); + --BCK_TX : OUT STD_LOGIC_VECTOR((c_unb2b_board_tr_back.bus_w * c_unb2b_board_tr_back.nof_bus)-1 downto 0); + --BCK_RX : IN STD_LOGIC_VECTOR(4-1 downto 0) := (OTHERS=>'0'); + --BCK_TX : OUT STD_LOGIC_VECTOR(4-1 downto 0); + + BCK_SDA : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_back.i2c_w-1 downto 0); + BCK_SCL : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_back.i2c_w-1 downto 0); + BCK_ERR : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_back.i2c_w-1 downto 0); + + -- ring transceivers + --RING_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0) := (OTHERS=>'0'); + --RING_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + --RING_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0) := (OTHERS=>'0'); + --RING_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_ring.bus_w-1 downto 0); + + -- pmbus + PMBUS_SC : INOUT STD_LOGIC; + PMBUS_SD : INOUT STD_LOGIC; + PMBUS_ALERT : IN STD_LOGIC := '0'; + + -- front transceivers + QSFP_0_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_0_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_1_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_1_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_2_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_2_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_3_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_3_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_4_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_4_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + QSFP_5_RX : IN STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0) := (OTHERS=>'0'); + QSFP_5_TX : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.bus_w-1 downto 0); + + QSFP_SDA : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.i2c_w-1 downto 0); + QSFP_SCL : INOUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp.i2c_w-1 downto 0); + QSFP_RST : INOUT STD_LOGIC; + + -- SO-DIMM Memory Bank I + MB_I_IN : IN t_tech_ddr4_phy_in := c_tech_ddr4_phy_in_x; + MB_I_IO : INOUT t_tech_ddr4_phy_io; + MB_I_OU : OUT t_tech_ddr4_phy_ou; + + -- SO-DIMM Memory Bank II + MB_II_IN : IN t_tech_ddr4_phy_in := c_tech_ddr4_phy_in_x; + MB_II_IO : INOUT t_tech_ddr4_phy_io; + MB_II_OU : OUT t_tech_ddr4_phy_ou; + + -- Leds + QSFP_LED : OUT STD_LOGIC_VECTOR(c_unb2b_board_tr_qsfp_nof_leds-1 DOWNTO 0) + ); +END lofar2_unb2b_adc; + + +ARCHITECTURE str OF lofar2_unb2b_adc IS + + +BEGIN + + + +END str; + diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..585c81182085039db557a8a29c382777fbc29adc --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/lofar2_unb2b_adc_pkg.vhd @@ -0,0 +1,60 @@ +-------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +-------------------------------------------------------------------------------- + +LIBRARY IEEE, common_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.numeric_std.ALL; +USE common_lib.common_pkg.ALL; + +PACKAGE lofar2_unb2b_adc IS + + ----------------------------------------------------------------------------- + -- Revision control + ----------------------------------------------------------------------------- + + TYPE t_lofar2_unb2b_adc_config IS RECORD + nof_adc_input_streams : BOOLEAN; + END RECORD; + + -- nof inputs + CONSTANT c_one_node : t_lofar2_unb2b_adc_config := ( 1 ); + CONSTANT c_full : t_lofar2_unb2b_adc_config := ( 12); + + -- Function to select the revision configuration. + FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2b_adc_config; + + +END lofar2_unb2b_adc; + + +PACKAGE BODY lofar2_unb2b_adc IS + + FUNCTION func_sel_revision_rec(g_design_name : STRING) RETURN t_lofar2_unb2b_adc_config IS + BEGIN + IF g_design_name = "lofar2_unb2b_adc_one_node" THEN RETURN c_one_node; + ELSIF g_design_name = "lofar2_unb2b_adc_full" THEN RETURN c_full; + ELSE RETURN c_one_node; + END IF; + END; + + +END lofar2_unb2b_adc; + diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd new file mode 100644 index 0000000000000000000000000000000000000000..19c2ae37d4818345b1106b08728024eee2201f1c --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/mmm_lofar2_unb2b_adc.vhd @@ -0,0 +1,863 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +LIBRARY IEEE, common_lib, unb2b_board_lib, mm_lib, eth_lib, technology_lib, tech_tse_lib, tech_mac_10g_lib, io_ddr_lib; +USE IEEE.STD_LOGIC_1164.ALL; +USE IEEE.NUMERIC_STD.ALL; +USE common_lib.common_pkg.ALL; +USE common_lib.common_mem_pkg.ALL; +USE common_lib.tb_common_mem_pkg.ALL; +USE common_lib.common_field_pkg.ALL; +USE common_lib.common_network_total_header_pkg.ALL; +USE common_lib.common_network_layers_pkg.ALL; +USE unb2b_board_lib.unb2b_board_pkg.ALL; +USE unb2b_board_lib.unb2b_board_peripherals_pkg.ALL; +USE mm_lib.mm_file_pkg.ALL; +USE mm_lib.mm_file_unb_pkg.ALL; +USE eth_lib.eth_pkg.ALL; +USE technology_lib.technology_pkg.ALL; +USE tech_tse_lib.tech_tse_pkg.ALL; +USE tech_tse_lib.tb_tech_tse_pkg.ALL; +USE work.qsys_unb2b_test_pkg.ALL; +USE tech_mac_10g_lib.tech_mac_10g_component_pkg.ALL; +USE work.unb2b_test_pkg.ALL; + + + +ENTITY mmm_lofar2_unb2b_adc IS + GENERIC ( + g_sim : BOOLEAN := FALSE; --FALSE: use SOPC; TRUE: use mm_file I/O + g_sim_unb_nr : NATURAL := 0; + g_sim_node_nr : NATURAL := 0; + g_technology : NATURAL := c_tech_arria10_e1sg; + g_bg_block_size : NATURAL; + g_hdr_field_arr : t_common_field_arr; + g_nof_streams_1GbE : NATURAL; + g_nof_streams_qsfp : NATURAL; + g_nof_streams_ring : NATURAL; + g_nof_streams_back0 : NATURAL; + g_nof_streams_back1 : NATURAL + ); + PORT ( + mm_rst : IN STD_LOGIC; + mm_clk : IN STD_LOGIC; + + pout_wdi : OUT STD_LOGIC; + + -- Manual WDI override + reg_wdi_mosi : OUT t_mem_mosi; + reg_wdi_miso : IN t_mem_miso; + + -- system_info + reg_unb_system_info_mosi : OUT t_mem_mosi; + reg_unb_system_info_miso : IN t_mem_miso; + rom_unb_system_info_mosi : OUT t_mem_mosi; + rom_unb_system_info_miso : IN t_mem_miso; + + -- UniBoard I2C sensors + reg_unb_sens_mosi : OUT t_mem_mosi; + reg_unb_sens_miso : IN t_mem_miso; + + reg_fpga_temp_sens_mosi : OUT t_mem_mosi; + reg_fpga_temp_sens_miso : IN t_mem_miso; + reg_fpga_voltage_sens_mosi: OUT t_mem_mosi; + reg_fpga_voltage_sens_miso: IN t_mem_miso; + + reg_unb_pmbus_mosi : OUT t_mem_mosi; + reg_unb_pmbus_miso : IN t_mem_miso; + + -- PPSH + reg_ppsh_mosi : OUT t_mem_mosi; + reg_ppsh_miso : IN t_mem_miso; + + -- eth1g ch0 + eth1g_eth0_mm_rst : OUT STD_LOGIC; + eth1g_eth0_tse_mosi : OUT t_mem_mosi; + eth1g_eth0_tse_miso : IN t_mem_miso; + eth1g_eth0_reg_mosi : OUT t_mem_mosi; + eth1g_eth0_reg_miso : IN t_mem_miso; + eth1g_eth0_reg_interrupt : IN STD_LOGIC; + eth1g_eth0_ram_mosi : OUT t_mem_mosi; + eth1g_eth0_ram_miso : IN t_mem_miso; + + -- eth1g ch1 + eth1g_eth1_mm_rst : OUT STD_LOGIC; + eth1g_eth1_tse_mosi : OUT t_mem_mosi; + eth1g_eth1_tse_miso : IN t_mem_miso; + eth1g_eth1_reg_mosi : OUT t_mem_mosi; + eth1g_eth1_reg_miso : IN t_mem_miso; + eth1g_eth1_reg_interrupt : IN STD_LOGIC; + eth1g_eth1_ram_mosi : OUT t_mem_mosi; + eth1g_eth1_ram_miso : IN t_mem_miso; + + -- EPCS read + reg_dpmm_data_mosi : OUT t_mem_mosi; + reg_dpmm_data_miso : IN t_mem_miso; + reg_dpmm_ctrl_mosi : OUT t_mem_mosi; + reg_dpmm_ctrl_miso : IN t_mem_miso; + + -- EPCS write + reg_mmdp_data_mosi : OUT t_mem_mosi; + reg_mmdp_data_miso : IN t_mem_miso; + reg_mmdp_ctrl_mosi : OUT t_mem_mosi; + reg_mmdp_ctrl_miso : IN t_mem_miso; + + -- EPCS status/control + reg_epcs_mosi : OUT t_mem_mosi; + reg_epcs_miso : IN t_mem_miso; + + -- Remote Update + reg_remu_mosi : OUT t_mem_mosi; + reg_remu_miso : IN t_mem_miso; + + -- block gen + ram_diag_bg_1GbE_mosi : OUT t_mem_mosi; + ram_diag_bg_1GbE_miso : IN t_mem_miso; + reg_diag_bg_1GbE_mosi : OUT t_mem_mosi; + reg_diag_bg_1GbE_miso : IN t_mem_miso; + reg_diag_tx_seq_1GbE_mosi : OUT t_mem_mosi; + reg_diag_tx_seq_1GbE_miso : IN t_mem_miso; + + ram_diag_bg_10GbE_mosi : OUT t_mem_mosi; + ram_diag_bg_10GbE_miso : IN t_mem_miso; + reg_diag_bg_10GbE_mosi : OUT t_mem_mosi; + reg_diag_bg_10GbE_miso : IN t_mem_miso; + reg_diag_tx_seq_10GbE_mosi : OUT t_mem_mosi; + reg_diag_tx_seq_10GbE_miso : IN t_mem_miso; + + -- dp_offload_tx + --reg_dp_offload_tx_1GbE_mosi : OUT t_mem_mosi; + --reg_dp_offload_tx_1GbE_miso : IN t_mem_miso; + --reg_dp_offload_tx_1GbE_hdr_dat_mosi : OUT t_mem_mosi; + --reg_dp_offload_tx_1GbE_hdr_dat_miso : IN t_mem_miso; + + -- dp_offload_rx + --reg_dp_offload_rx_1GbE_hdr_dat_mosi : OUT t_mem_mosi; + --reg_dp_offload_rx_1GbE_hdr_dat_miso : IN t_mem_miso; + + -- bsn + reg_bsn_monitor_1GbE_mosi : OUT t_mem_mosi; + reg_bsn_monitor_1GbE_miso : IN t_mem_miso; + reg_bsn_monitor_10GbE_mosi : OUT t_mem_mosi; + reg_bsn_monitor_10GbE_miso : IN t_mem_miso; + + -- databuffer + ram_diag_data_buf_1GbE_mosi : OUT t_mem_mosi; + ram_diag_data_buf_1GbE_miso : IN t_mem_miso; + reg_diag_data_buf_1GbE_mosi : OUT t_mem_mosi; + reg_diag_data_buf_1GbE_miso : IN t_mem_miso; + reg_diag_rx_seq_1GbE_mosi : OUT t_mem_mosi; + reg_diag_rx_seq_1GbE_miso : IN t_mem_miso; + + ram_diag_data_buf_10GbE_mosi : OUT t_mem_mosi; + ram_diag_data_buf_10GbE_miso : IN t_mem_miso; + reg_diag_data_buf_10GbE_mosi : OUT t_mem_mosi; + reg_diag_data_buf_10GbE_miso : IN t_mem_miso; + reg_diag_rx_seq_10GbE_mosi : OUT t_mem_mosi; + reg_diag_rx_seq_10GbE_miso : IN t_mem_miso; + + -- 10GbE + reg_10gbase_r_24_mosi : OUT t_mem_mosi; + reg_10gbase_r_24_miso : IN t_mem_miso; + reg_tr_10GbE_qsfp_ring_mosi : OUT t_mem_mosi; + reg_tr_10GbE_qsfp_ring_miso : IN t_mem_miso; + reg_tr_10GbE_back0_mosi : OUT t_mem_mosi; + reg_tr_10GbE_back0_miso : IN t_mem_miso; + reg_tr_10GbE_back1_mosi : OUT t_mem_mosi; + reg_tr_10GbE_back1_miso : IN t_mem_miso; + + reg_eth10g_qsfp_ring_mosi : OUT t_mem_mosi; + reg_eth10g_qsfp_ring_miso : IN t_mem_miso; + reg_eth10g_back0_mosi : OUT t_mem_mosi; + reg_eth10g_back0_miso : IN t_mem_miso; + reg_eth10g_back1_mosi : OUT t_mem_mosi; + reg_eth10g_back1_miso : IN t_mem_miso; + + -- DDR4 : MB I + reg_io_ddr_MB_I_mosi : OUT t_mem_mosi; + reg_io_ddr_MB_I_miso : IN t_mem_miso; + + reg_diag_tx_seq_ddr_MB_I_mosi : OUT t_mem_mosi; + reg_diag_tx_seq_ddr_MB_I_miso : IN t_mem_miso; + + reg_diag_rx_seq_ddr_MB_I_mosi : OUT t_mem_mosi; + reg_diag_rx_seq_ddr_MB_I_miso : IN t_mem_miso; + + reg_diag_data_buf_ddr_MB_I_mosi : OUT t_mem_mosi; + reg_diag_data_buf_ddr_MB_I_miso : IN t_mem_miso; + ram_diag_data_buf_ddr_MB_I_mosi : OUT t_mem_mosi; + ram_diag_data_buf_ddr_MB_I_miso : IN t_mem_miso; + + -- DDR4 : MB II + reg_io_ddr_MB_II_mosi : OUT t_mem_mosi; + reg_io_ddr_MB_II_miso : IN t_mem_miso; + + reg_diag_tx_seq_ddr_MB_II_mosi : OUT t_mem_mosi; + reg_diag_tx_seq_ddr_MB_II_miso : IN t_mem_miso; + + reg_diag_rx_seq_ddr_MB_II_mosi : OUT t_mem_mosi; + reg_diag_rx_seq_ddr_MB_II_miso : IN t_mem_miso; + + reg_diag_data_buf_ddr_MB_II_mosi : OUT t_mem_mosi; + reg_diag_data_buf_ddr_MB_II_miso : IN t_mem_miso; + ram_diag_data_buf_ddr_MB_II_mosi : OUT t_mem_mosi; + ram_diag_data_buf_ddr_MB_II_miso : IN t_mem_miso + ); +END mmm_lofar2_unb2b_adc; + + +ARCHITECTURE str OF mmm_unb2b_test IS + + CONSTANT c_sim_node_nr : NATURAL := g_sim_node_nr; + CONSTANT c_sim_node_type : STRING(1 TO 2):= "FN"; + + CONSTANT g_nof_streams_10GbE : NATURAL := g_nof_streams_qsfp + g_nof_streams_ring + g_nof_streams_back0 + g_nof_streams_back1; + + -- Block generator + -- check with python: from common import * + -- ceil_log2(48 * 2**ceil_log2(900)) + CONSTANT c_ram_diag_bg_1GbE_addr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(ceil_log2(g_bg_block_size))); + CONSTANT c_ram_diag_bg_10GbE_addr_w : NATURAL := ceil_log2(g_nof_streams_10GbE * pow2(ceil_log2(g_bg_block_size))); + CONSTANT c_ram_diag_databuffer_10GbE_addr_w : NATURAL := ceil_log2(g_nof_streams_10GbE * pow2(ceil_log2(g_bg_block_size))); + CONSTANT c_ram_diag_databuffer_1GbE_addr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(ceil_log2(g_bg_block_size))); + CONSTANT c_ram_diag_databuffer_ddr_addr_w : NATURAL := ceil_log2(2 * pow2(ceil_log2(g_bg_block_size))); + + -- dp_offload +-- CONSTANT c_reg_dp_offload_tx_adr_w : NATURAL := 1; -- Dev note: add to c_unb2b_board_peripherals_mm_reg_default +-- CONSTANT c_reg_dp_offload_tx_1GbE_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_adr_w)); +-- +-- CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w); +-- CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w : NATURAL := ceil_log2(c_reg_dp_offload_tx_1GbE_hdr_dat_nof_words); +-- CONSTANT c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_tx_1GbE_hdr_dat_adr_w)); +-- +-- CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words : NATURAL := field_nof_words(c_hdr_field_arr, c_word_w); +-- CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w : NATURAL := ceil_log2(c_reg_dp_offload_rx_1GbE_hdr_dat_nof_words); +-- CONSTANT c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_reg_dp_offload_rx_1GbE_hdr_dat_adr_w)); + + -- tr_10GbE + CONSTANT c_reg_tr_10GbE_adr_w : NATURAL := func_tech_mac_10g_csr_addr_w(g_technology); + CONSTANT c_reg_tr_10GbE_qsfp_ring_multi_adr_w : NATURAL := ceil_log2((g_nof_streams_qsfp+g_nof_streams_ring) * pow2(c_reg_tr_10GbE_adr_w)); + CONSTANT c_reg_tr_10GbE_back0_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_back0 * pow2(c_reg_tr_10GbE_adr_w)); + CONSTANT c_reg_tr_10GbE_back1_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_back1 * pow2(c_reg_tr_10GbE_adr_w)); + + -- reg_eth10g + CONSTANT c_reg_eth10g_adr_w : NATURAL := 1; + CONSTANT c_reg_eth10g_qsfp_ring_multi_adr_w : NATURAL := ceil_log2((g_nof_streams_qsfp+g_nof_streams_ring) * pow2(c_reg_eth10g_adr_w)); + CONSTANT c_reg_eth10g_back0_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_back0 * pow2(c_reg_eth10g_adr_w)); + CONSTANT c_reg_eth10g_back1_multi_adr_w : NATURAL := ceil_log2(g_nof_streams_back1 * pow2(c_reg_eth10g_adr_w)); + + -- BSN monitors + CONSTANT c_reg_rsp_bsn_monitor_1GbE_adr_w : NATURAL := ceil_log2(g_nof_streams_1GbE * pow2(c_unb2b_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w)); + CONSTANT c_reg_rsp_bsn_monitor_10GbE_adr_w : NATURAL := ceil_log2(g_nof_streams_10GbE * pow2(c_unb2b_board_peripherals_mm_reg_default.reg_bsn_monitor_adr_w)); + + -- Simulation + CONSTANT c_sim_eth_src_mac : STD_LOGIC_VECTOR(c_network_eth_mac_slv'RANGE) := X"00228608" & TO_UVEC(g_sim_unb_nr, c_byte_w) & TO_UVEC(g_sim_node_nr, c_byte_w); + CONSTANT c_sim_eth_control_rx_en : NATURAL := 2**c_eth_mm_reg_control_bi.rx_en; + + SIGNAL sim_eth_mm_bus_switch : STD_LOGIC; + SIGNAL sim_eth_psc_access : STD_LOGIC; + + SIGNAL i_eth1g_eth0_reg_mosi : t_mem_mosi; + SIGNAL i_eth1g_eth0_reg_miso : t_mem_miso; + SIGNAL i_eth1g_eth1_reg_mosi : t_mem_mosi; + SIGNAL i_eth1g_eth1_reg_miso : t_mem_miso; + + SIGNAL sim_eth1g_eth0_reg_mosi : t_mem_mosi; + SIGNAL sim_eth1g_eth1_reg_mosi : t_mem_mosi; + SIGNAL i_reset_n : STD_LOGIC; + +BEGIN + + ---------------------------------------------------------------------------- + -- MM <-> file I/O for simulation. The files are created in $HDL_IOFILE_SIM_DIR. + ---------------------------------------------------------------------------- + gen_mm_file_io : IF g_sim = TRUE GENERATE + + eth1g_eth0_mm_rst <= mm_rst; + eth1g_eth1_mm_rst <= mm_rst; + + u_mm_file_reg_unb_system_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_SYSTEM_INFO") + PORT MAP(mm_rst, mm_clk, reg_unb_system_info_mosi, reg_unb_system_info_miso ); + + u_mm_file_rom_unb_system_info : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "ROM_SYSTEM_INFO") + PORT MAP(mm_rst, mm_clk, rom_unb_system_info_mosi, rom_unb_system_info_miso ); + + u_mm_file_reg_wdi : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_WDI") + PORT MAP(mm_rst, mm_clk, reg_wdi_mosi, reg_wdi_miso ); + + u_mm_file_reg_unb_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_SENS") + PORT MAP(mm_rst, mm_clk, reg_unb_sens_mosi, reg_unb_sens_miso ); + + u_mm_file_reg_unb_pmbus : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_UNB_PMBUS") + PORT MAP(mm_rst, mm_clk, reg_unb_pmbus_mosi, reg_unb_pmbus_miso ); + + u_mm_file_reg_fpga_temp_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_TEMP_SENS") + PORT MAP(mm_rst, mm_clk, reg_fpga_temp_sens_mosi, reg_fpga_temp_sens_miso ); + + u_mm_file_reg_fpga_voltage_sens : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_FPGA_VOLTAGE_SENS") + PORT MAP(mm_rst, mm_clk, reg_fpga_voltage_sens_mosi, reg_fpga_voltage_sens_miso ); + + u_mm_file_reg_ppsh : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "PIO_PPS") + PORT MAP(mm_rst, mm_clk, reg_ppsh_mosi, reg_ppsh_miso ); + + u_mm_file_reg_diag_bg_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_1GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_bg_1GbE_mosi, reg_diag_bg_1GbE_miso); + u_mm_file_ram_diag_bg_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_1GBE") + PORT MAP(mm_rst, mm_clk, ram_diag_bg_1GbE_mosi, ram_diag_bg_1GbE_miso); + u_mm_file_reg_diag_tx_seq_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_1GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_tx_seq_1GbE_mosi, reg_diag_tx_seq_1GbE_miso); + + u_mm_file_reg_diag_bg_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_BG_10GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_bg_10GbE_mosi, reg_diag_bg_10GbE_miso); + u_mm_file_ram_diag_bg_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_BG_10GBE") + PORT MAP(mm_rst, mm_clk, ram_diag_bg_10GbE_mosi, ram_diag_bg_10GbE_miso); + u_mm_file_reg_diag_tx_seq_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_10GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_tx_seq_10GbE_mosi, reg_diag_tx_seq_10GbE_miso); + +-- u_mm_file_reg_dp_offload_tx_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE") +-- PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_mosi, reg_dp_offload_tx_1GbE_miso); +-- +-- u_mm_file_reg_dp_offload_tx_1GbE_hdr_dat : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_TX_1GBE_HDR_DAT") +-- PORT MAP(mm_rst, mm_clk, reg_dp_offload_tx_1GbE_hdr_dat_mosi, reg_dp_offload_tx_1GbE_hdr_dat_miso); +-- +-- u_mm_file_reg_dp_offload_rx_1GbE_hdr_dat : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DP_OFFLOAD_RX_1GBE_HDR_DAT") +-- PORT MAP(mm_rst, mm_clk, reg_dp_offload_rx_1GbE_hdr_dat_mosi, reg_dp_offload_rx_1GbE_hdr_dat_miso); + + u_mm_file_reg_bsn_monitor_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_1GBE") + PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_1GbE_mosi, reg_bsn_monitor_1GbE_miso); + u_mm_file_reg_bsn_monitor_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_BSN_MONITOR_10GBE") + PORT MAP(mm_rst, mm_clk, reg_bsn_monitor_10GbE_mosi, reg_bsn_monitor_10GbE_miso); + + u_mm_file_reg_diag_data_buffer_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_1GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_data_buf_1GbE_mosi, reg_diag_data_buf_1GbE_miso); + u_mm_file_ram_diag_data_buffer_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_1GBE") + PORT MAP(mm_rst, mm_clk, ram_diag_data_buf_1GbE_mosi, ram_diag_data_buf_1GbE_miso); + u_mm_file_reg_diag_rx_seq_1GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_1GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_rx_seq_1GbE_mosi, reg_diag_rx_seq_1GbE_miso); + + u_mm_file_reg_diag_data_buffer_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_10GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_data_buf_10GbE_mosi, reg_diag_data_buf_10GbE_miso); + u_mm_file_ram_diag_data_buffer_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_10GBE") + PORT MAP(mm_rst, mm_clk, ram_diag_data_buf_10GbE_mosi, ram_diag_data_buf_10GbE_miso); + u_mm_file_reg_diag_rx_seq_10GbE : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_10GBE") + PORT MAP(mm_rst, mm_clk, reg_diag_rx_seq_10GbE_mosi, reg_diag_rx_seq_10GbE_miso); + + u_mm_file_reg_io_ddr_MB_I : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_I") + PORT MAP(mm_rst, mm_clk, reg_io_ddr_MB_I_mosi, reg_io_ddr_MB_I_miso); + u_mm_file_reg_diag_tx_seq_ddr_MB_I : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_I") + PORT MAP(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_I_mosi, reg_diag_tx_seq_ddr_MB_I_miso); + u_mm_file_reg_diag_rx_seq_ddr_MB_I : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_I") + PORT MAP(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_I_mosi, reg_diag_rx_seq_ddr_MB_I_miso); + u_mm_file_reg_diag_data_buffer_ddr_MB_I : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_I") + PORT MAP(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_I_mosi, reg_diag_data_buf_ddr_MB_I_miso); + u_mm_file_ram_diag_data_buffer_ddr_MB_I : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_I") + PORT MAP(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_I_mosi, ram_diag_data_buf_ddr_MB_I_miso); + + u_mm_file_reg_io_ddr_MB_II : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_IO_DDR_MB_II") + PORT MAP(mm_rst, mm_clk, reg_io_ddr_MB_II_mosi, reg_io_ddr_MB_II_miso); + u_mm_file_reg_diag_tx_seq_ddr_MB_II : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_TX_SEQ_DDR_MB_II") + PORT MAP(mm_rst, mm_clk, reg_diag_tx_seq_ddr_MB_II_mosi, reg_diag_tx_seq_ddr_MB_II_miso); + u_mm_file_reg_diag_rx_seq_ddr_MB_II : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_RX_SEQ_DDR_MB_II") + PORT MAP(mm_rst, mm_clk, reg_diag_rx_seq_ddr_MB_II_mosi, reg_diag_rx_seq_ddr_MB_II_miso); + u_mm_file_reg_diag_data_buffer_ddr_MB_II : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_DIAG_DATA_BUFFER_DDR_MB_II") + PORT MAP(mm_rst, mm_clk, reg_diag_data_buf_ddr_MB_II_mosi, reg_diag_data_buf_ddr_MB_II_miso); + u_mm_file_ram_diag_data_buffer_ddr_MB_II : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "RAM_DIAG_DATA_BUFFER_DDR_MB_II") + PORT MAP(mm_rst, mm_clk, ram_diag_data_buf_ddr_MB_II_mosi, ram_diag_data_buf_ddr_MB_II_miso); + + -- Note: the eth1g RAM and TSE buses are only required by unb_osy on the NIOS as they provide the ethernet<->MM gateway. + u_mm_file_reg_eth0 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_0_MMS_REG") + PORT MAP(mm_rst, mm_clk, i_eth1g_eth0_reg_mosi, eth1g_eth0_reg_miso); + u_mm_file_reg_eth1 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "AVS_ETH_1_MMS_REG") + PORT MAP(mm_rst, mm_clk, i_eth1g_eth1_reg_mosi, eth1g_eth1_reg_miso); + + u_mm_file_reg_10gbase_r_24 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_10GBASE_R_24") + PORT MAP(mm_rst, mm_clk, reg_10gbase_r_24_mosi, reg_10gbase_r_24_miso); + + u_mm_file_reg_tr_10GbE_qsfp_ring : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_QSFP_RING") + PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_qsfp_ring_mosi, reg_tr_10GbE_qsfp_ring_miso); + u_mm_file_reg_tr_10GbE_back0 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK0") + PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_back0_mosi, reg_tr_10GbE_back0_miso); + u_mm_file_reg_tr_10GbE_back1 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_TR_10GBE_BACK1") + PORT MAP(mm_rst, mm_clk, reg_tr_10GbE_back1_mosi, reg_tr_10GbE_back1_miso); + + u_mm_file_reg_eth10g_qsfp_ring : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_QSFP_RING") + PORT MAP(mm_rst, mm_clk, reg_eth10g_qsfp_ring_mosi, reg_eth10g_qsfp_ring_miso); + u_mm_file_reg_eth10g_back0 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK0") + PORT MAP(mm_rst, mm_clk, reg_eth10g_back0_mosi, reg_eth10g_back0_miso); + u_mm_file_reg_eth10g_back1 : mm_file GENERIC MAP(mmf_unb_file_prefix(g_sim_unb_nr, c_sim_node_nr, c_sim_node_type) & "REG_ETH10G_BACK1") + PORT MAP(mm_rst, mm_clk, reg_eth10g_back1_mosi, reg_eth10g_back1_miso); + + ---------------------------------------------------------------------------- + -- 1GbE setup sequence normally performed by unb_os@NIOS + ---------------------------------------------------------------------------- + p_eth_setup : PROCESS + BEGIN + sim_eth_mm_bus_switch <= '1'; + + eth1g_eth0_tse_mosi.wr <= '0'; + eth1g_eth0_tse_mosi.rd <= '0'; + WAIT FOR 400 ns; + WAIT UNTIL rising_edge(mm_clk); + proc_tech_tse_setup(c_tech_arria10_e1sg, FALSE, c_tech_tse_tx_fifo_depth, c_tech_tse_rx_fifo_depth, c_tech_tse_tx_ready_latency, c_sim_eth_src_mac, sim_eth_psc_access, mm_clk, eth1g_eth0_tse_miso, eth1g_eth0_tse_mosi); + + -- Enable RX + proc_mem_mm_bus_wr(c_eth_reg_control_wi+0, c_sim_eth_control_rx_en, mm_clk, eth1g_eth0_reg_miso, sim_eth1g_eth0_reg_mosi); -- control rx en + sim_eth_mm_bus_switch <= '0'; + + WAIT; + END PROCESS; + + p_switch : PROCESS(sim_eth_mm_bus_switch, sim_eth1g_eth0_reg_mosi, i_eth1g_eth0_reg_mosi) + BEGIN + IF sim_eth_mm_bus_switch = '1' THEN + eth1g_eth0_reg_mosi <= sim_eth1g_eth0_reg_mosi; + ELSE + eth1g_eth0_reg_mosi <= i_eth1g_eth0_reg_mosi; + END IF; + END PROCESS; + + + ---------------------------------------------------------------------------- + -- Procedure that polls a sim control file that can be used to e.g. get + -- the simulation time in ns + ---------------------------------------------------------------------------- + mmf_poll_sim_ctrl_file(mm_clk,c_mmf_unb_file_path & "sim.ctrl", c_mmf_unb_file_path & "sim.stat"); + + END GENERATE; + + i_reset_n <= NOT mm_rst; + + ---------------------------------------------------------------------------- + -- QSYS for synthesis + ---------------------------------------------------------------------------- + gen_qsys : IF g_sim = FALSE GENERATE + u_qsys : qsys_lofar2_unb2b_adc + PORT MAP ( + + clk_clk => mm_clk, + reset_reset_n => i_reset_n, + + -- the_pio_wdi: toggled by NIOS II application unb_osy. Connects to WDI via ctrl_unb2b_board. + pio_wdi_external_connection_export => pout_wdi, + + avs_eth_0_reset_export => eth1g_eth0_mm_rst, + avs_eth_0_clk_export => OPEN, + avs_eth_0_tse_address_export => eth1g_eth0_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0), + avs_eth_0_tse_write_export => eth1g_eth0_tse_mosi.wr, + avs_eth_0_tse_read_export => eth1g_eth0_tse_mosi.rd, + avs_eth_0_tse_writedata_export => eth1g_eth0_tse_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_0_tse_readdata_export => eth1g_eth0_tse_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_0_tse_waitrequest_export => eth1g_eth0_tse_miso.waitrequest, + avs_eth_0_reg_address_export => eth1g_eth0_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0), + avs_eth_0_reg_write_export => eth1g_eth0_reg_mosi.wr, + avs_eth_0_reg_read_export => eth1g_eth0_reg_mosi.rd, + avs_eth_0_reg_writedata_export => eth1g_eth0_reg_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_0_reg_readdata_export => eth1g_eth0_reg_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_0_ram_address_export => eth1g_eth0_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0), + avs_eth_0_ram_write_export => eth1g_eth0_ram_mosi.wr, + avs_eth_0_ram_read_export => eth1g_eth0_ram_mosi.rd, + avs_eth_0_ram_writedata_export => eth1g_eth0_ram_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_0_ram_readdata_export => eth1g_eth0_ram_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_0_irq_export => eth1g_eth0_reg_interrupt, + + avs_eth_1_reset_export => eth1g_eth1_mm_rst, + avs_eth_1_clk_export => OPEN, + avs_eth_1_tse_address_export => eth1g_eth1_tse_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_tse_adr_w-1 DOWNTO 0), + avs_eth_1_tse_write_export => eth1g_eth1_tse_mosi.wr, + avs_eth_1_tse_read_export => eth1g_eth1_tse_mosi.rd, + avs_eth_1_tse_writedata_export => eth1g_eth1_tse_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_1_tse_readdata_export => eth1g_eth1_tse_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_1_tse_waitrequest_export => eth1g_eth1_tse_miso.waitrequest, + avs_eth_1_reg_address_export => eth1g_eth1_reg_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_eth_adr_w-1 DOWNTO 0), + avs_eth_1_reg_write_export => eth1g_eth1_reg_mosi.wr, + avs_eth_1_reg_read_export => eth1g_eth1_reg_mosi.rd, + avs_eth_1_reg_writedata_export => eth1g_eth1_reg_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_1_reg_readdata_export => eth1g_eth1_reg_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_1_ram_address_export => eth1g_eth1_ram_mosi.address(c_unb2b_board_peripherals_mm_reg_default.ram_eth_adr_w-1 DOWNTO 0), + avs_eth_1_ram_write_export => eth1g_eth1_ram_mosi.wr, + avs_eth_1_ram_read_export => eth1g_eth1_ram_mosi.rd, + avs_eth_1_ram_writedata_export => eth1g_eth1_ram_mosi.wrdata(c_word_w-1 DOWNTO 0), + avs_eth_1_ram_readdata_export => eth1g_eth1_ram_miso.rddata(c_word_w-1 DOWNTO 0), + avs_eth_1_irq_export => eth1g_eth1_reg_interrupt, + + reg_unb_sens_reset_export => OPEN, + reg_unb_sens_clk_export => OPEN, + reg_unb_sens_address_export => reg_unb_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_sens_adr_w-1 DOWNTO 0), + reg_unb_sens_write_export => reg_unb_sens_mosi.wr, + reg_unb_sens_writedata_export => reg_unb_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_unb_sens_read_export => reg_unb_sens_mosi.rd, + reg_unb_sens_readdata_export => reg_unb_sens_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_unb_pmbus_reset_export => OPEN, + reg_unb_pmbus_clk_export => OPEN, + reg_unb_pmbus_address_export => reg_unb_pmbus_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_pmbus_adr_w-1 DOWNTO 0), + reg_unb_pmbus_write_export => reg_unb_pmbus_mosi.wr, + reg_unb_pmbus_writedata_export => reg_unb_pmbus_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_unb_pmbus_read_export => reg_unb_pmbus_mosi.rd, + reg_unb_pmbus_readdata_export => reg_unb_pmbus_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_fpga_temp_sens_reset_export => OPEN, + reg_fpga_temp_sens_clk_export => OPEN, + reg_fpga_temp_sens_address_export => reg_fpga_temp_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_temp_sens_adr_w-1 DOWNTO 0), + reg_fpga_temp_sens_write_export => reg_fpga_temp_sens_mosi.wr, + reg_fpga_temp_sens_writedata_export => reg_fpga_temp_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_fpga_temp_sens_read_export => reg_fpga_temp_sens_mosi.rd, + reg_fpga_temp_sens_readdata_export => reg_fpga_temp_sens_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_fpga_voltage_sens_reset_export => OPEN, + reg_fpga_voltage_sens_clk_export => OPEN, + reg_fpga_voltage_sens_address_export => reg_fpga_voltage_sens_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_fpga_voltage_sens_adr_w-1 DOWNTO 0), + reg_fpga_voltage_sens_write_export => reg_fpga_voltage_sens_mosi.wr, + reg_fpga_voltage_sens_writedata_export => reg_fpga_voltage_sens_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_fpga_voltage_sens_read_export => reg_fpga_voltage_sens_mosi.rd, + reg_fpga_voltage_sens_readdata_export => reg_fpga_voltage_sens_miso.rddata(c_word_w-1 DOWNTO 0), + + rom_system_info_reset_export => OPEN, + rom_system_info_clk_export => OPEN, + rom_system_info_address_export => rom_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.rom_unb_system_info_adr_w-1 DOWNTO 0), + rom_system_info_write_export => rom_unb_system_info_mosi.wr, + rom_system_info_writedata_export => rom_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), + rom_system_info_read_export => rom_unb_system_info_mosi.rd, + rom_system_info_readdata_export => rom_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), + + pio_system_info_reset_export => OPEN, + pio_system_info_clk_export => OPEN, + pio_system_info_address_export => reg_unb_system_info_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_unb_system_info_adr_w-1 DOWNTO 0), + pio_system_info_write_export => reg_unb_system_info_mosi.wr, + pio_system_info_writedata_export => reg_unb_system_info_mosi.wrdata(c_word_w-1 DOWNTO 0), + pio_system_info_read_export => reg_unb_system_info_mosi.rd, + pio_system_info_readdata_export => reg_unb_system_info_miso.rddata(c_word_w-1 DOWNTO 0), + + pio_pps_reset_export => OPEN, + pio_pps_clk_export => OPEN, + pio_pps_address_export => reg_ppsh_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_ppsh_adr_w-1 DOWNTO 0), + pio_pps_write_export => reg_ppsh_mosi.wr, + pio_pps_writedata_export => reg_ppsh_mosi.wrdata(c_word_w-1 DOWNTO 0), + pio_pps_read_export => reg_ppsh_mosi.rd, + pio_pps_readdata_export => reg_ppsh_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_wdi_reset_export => OPEN, + reg_wdi_clk_export => OPEN, + reg_wdi_address_export => reg_wdi_mosi.address(0 DOWNTO 0), + reg_wdi_write_export => reg_wdi_mosi.wr, + reg_wdi_writedata_export => reg_wdi_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_wdi_read_export => reg_wdi_mosi.rd, + reg_wdi_readdata_export => reg_wdi_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_remu_reset_export => OPEN, + reg_remu_clk_export => OPEN, + reg_remu_address_export => reg_remu_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_remu_adr_w-1 DOWNTO 0), + reg_remu_write_export => reg_remu_mosi.wr, + reg_remu_writedata_export => reg_remu_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_remu_read_export => reg_remu_mosi.rd, + reg_remu_readdata_export => reg_remu_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_epcs_reset_export => OPEN, + reg_epcs_clk_export => OPEN, + reg_epcs_address_export => reg_epcs_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_epcs_adr_w-1 DOWNTO 0), + reg_epcs_write_export => reg_epcs_mosi.wr, + reg_epcs_writedata_export => reg_epcs_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_epcs_read_export => reg_epcs_mosi.rd, + reg_epcs_readdata_export => reg_epcs_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_dpmm_ctrl_reset_export => OPEN, + reg_dpmm_ctrl_clk_export => OPEN, + reg_dpmm_ctrl_address_export => reg_dpmm_ctrl_mosi.address(0 DOWNTO 0), + reg_dpmm_ctrl_write_export => reg_dpmm_ctrl_mosi.wr, + reg_dpmm_ctrl_writedata_export => reg_dpmm_ctrl_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_dpmm_ctrl_read_export => reg_dpmm_ctrl_mosi.rd, + reg_dpmm_ctrl_readdata_export => reg_dpmm_ctrl_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_mmdp_data_reset_export => OPEN, + reg_mmdp_data_clk_export => OPEN, + reg_mmdp_data_address_export => reg_mmdp_data_mosi.address(0 DOWNTO 0), + reg_mmdp_data_write_export => reg_mmdp_data_mosi.wr, + reg_mmdp_data_writedata_export => reg_mmdp_data_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_mmdp_data_read_export => reg_mmdp_data_mosi.rd, + reg_mmdp_data_readdata_export => reg_mmdp_data_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_dpmm_data_reset_export => OPEN, + reg_dpmm_data_clk_export => OPEN, + reg_dpmm_data_address_export => reg_dpmm_data_mosi.address(0 DOWNTO 0), + reg_dpmm_data_read_export => reg_dpmm_data_mosi.rd, + reg_dpmm_data_readdata_export => reg_dpmm_data_miso.rddata(c_word_w-1 DOWNTO 0), + reg_dpmm_data_write_export => reg_dpmm_data_mosi.wr, + reg_dpmm_data_writedata_export => reg_dpmm_data_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_mmdp_ctrl_reset_export => OPEN, + reg_mmdp_ctrl_clk_export => OPEN, + reg_mmdp_ctrl_address_export => reg_mmdp_ctrl_mosi.address(0 DOWNTO 0), + reg_mmdp_ctrl_read_export => reg_mmdp_ctrl_mosi.rd, + reg_mmdp_ctrl_readdata_export => reg_mmdp_ctrl_miso.rddata(c_word_w-1 DOWNTO 0), + reg_mmdp_ctrl_write_export => reg_mmdp_ctrl_mosi.wr, + reg_mmdp_ctrl_writedata_export => reg_mmdp_ctrl_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_10gbase_r_24_reset_export => OPEN, + reg_10gbase_r_24_clk_export => OPEN, + reg_10gbase_r_24_address_export => reg_10gbase_r_24_mosi.address(14 DOWNTO 0), + reg_10gbase_r_24_write_export => reg_10gbase_r_24_mosi.wr, + reg_10gbase_r_24_writedata_export => reg_10gbase_r_24_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_10gbase_r_24_read_export => reg_10gbase_r_24_mosi.rd, + reg_10gbase_r_24_readdata_export => reg_10gbase_r_24_miso.rddata(c_word_w-1 DOWNTO 0), + reg_10gbase_r_24_waitrequest_export => reg_10gbase_r_24_miso.waitrequest, + + reg_tr_10gbe_qsfp_ring_reset_export => OPEN, + reg_tr_10gbe_qsfp_ring_clk_export => OPEN, + reg_tr_10gbe_qsfp_ring_address_export => reg_tr_10GbE_qsfp_ring_mosi.address(c_reg_tr_10GbE_qsfp_ring_multi_adr_w-1 DOWNTO 0), + reg_tr_10gbe_qsfp_ring_write_export => reg_tr_10GbE_qsfp_ring_mosi.wr, + reg_tr_10gbe_qsfp_ring_writedata_export => reg_tr_10GbE_qsfp_ring_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_qsfp_ring_read_export => reg_tr_10GbE_qsfp_ring_mosi.rd, + reg_tr_10gbe_qsfp_ring_readdata_export => reg_tr_10GbE_qsfp_ring_miso.rddata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_qsfp_ring_waitrequest_export => reg_tr_10GbE_qsfp_ring_miso.waitrequest, + + reg_tr_10gbe_back0_reset_export => OPEN, + reg_tr_10gbe_back0_clk_export => OPEN, + reg_tr_10gbe_back0_address_export => reg_tr_10GbE_back0_mosi.address(c_reg_tr_10GbE_back0_multi_adr_w-1 DOWNTO 0), + reg_tr_10gbe_back0_write_export => reg_tr_10GbE_back0_mosi.wr, + reg_tr_10gbe_back0_writedata_export => reg_tr_10GbE_back0_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_back0_read_export => reg_tr_10GbE_back0_mosi.rd, + reg_tr_10gbe_back0_readdata_export => reg_tr_10GbE_back0_miso.rddata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_back0_waitrequest_export => reg_tr_10GbE_back0_miso.waitrequest, + + reg_tr_10gbe_back1_reset_export => OPEN, + reg_tr_10gbe_back1_clk_export => OPEN, + reg_tr_10gbe_back1_address_export => reg_tr_10GbE_back1_mosi.address(c_reg_tr_10GbE_back1_multi_adr_w-1 DOWNTO 0), + reg_tr_10gbe_back1_write_export => reg_tr_10GbE_back1_mosi.wr, + reg_tr_10gbe_back1_writedata_export => reg_tr_10GbE_back1_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_back1_read_export => reg_tr_10GbE_back1_mosi.rd, + reg_tr_10gbe_back1_readdata_export => reg_tr_10GbE_back1_miso.rddata(c_word_w-1 DOWNTO 0), + reg_tr_10gbe_back1_waitrequest_export => reg_tr_10GbE_back1_miso.waitrequest, + + reg_eth10g_qsfp_ring_reset_export => OPEN, + reg_eth10g_qsfp_ring_clk_export => OPEN, + reg_eth10g_qsfp_ring_address_export => reg_eth10g_qsfp_ring_mosi.address(c_reg_eth10g_qsfp_ring_multi_adr_w-1 DOWNTO 0), + reg_eth10g_qsfp_ring_write_export => reg_eth10g_qsfp_ring_mosi.wr, + reg_eth10g_qsfp_ring_writedata_export => reg_eth10g_qsfp_ring_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_eth10g_qsfp_ring_read_export => reg_eth10g_qsfp_ring_mosi.rd, + reg_eth10g_qsfp_ring_readdata_export => reg_eth10g_qsfp_ring_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_eth10g_back0_reset_export => OPEN, + reg_eth10g_back0_clk_export => OPEN, + reg_eth10g_back0_address_export => reg_eth10g_back0_mosi.address(c_reg_eth10g_back0_multi_adr_w-1 DOWNTO 0), + reg_eth10g_back0_write_export => reg_eth10g_back0_mosi.wr, + reg_eth10g_back0_writedata_export => reg_eth10g_back0_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_eth10g_back0_read_export => reg_eth10g_back0_mosi.rd, + reg_eth10g_back0_readdata_export => reg_eth10g_back0_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_eth10g_back1_reset_export => OPEN, + reg_eth10g_back1_clk_export => OPEN, + reg_eth10g_back1_address_export => reg_eth10g_back1_mosi.address(c_reg_eth10g_back1_multi_adr_w-1 DOWNTO 0), + reg_eth10g_back1_write_export => reg_eth10g_back1_mosi.wr, + reg_eth10g_back1_writedata_export => reg_eth10g_back1_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_eth10g_back1_read_export => reg_eth10g_back1_mosi.rd, + reg_eth10g_back1_readdata_export => reg_eth10g_back1_miso.rddata(c_word_w-1 DOWNTO 0), + +-- -- the_reg_dp_offload_tx_1GbE +-- reg_dp_offload_tx_1GbE_address_export => reg_dp_offload_tx_1GbE_mosi.address(c_reg_dp_offload_tx_1GbE_multi_adr_w-1 DOWNTO 0), +-- reg_dp_offload_tx_1GbE_clk_export => OPEN, +-- reg_dp_offload_tx_1GbE_read_export => reg_dp_offload_tx_1GbE_mosi.rd, +-- reg_dp_offload_tx_1GbE_readdata_export => reg_dp_offload_tx_1GbE_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_offload_tx_1GbE_reset_export => OPEN, +-- reg_dp_offload_tx_1GbE_write_export => reg_dp_offload_tx_1GbE_mosi.wr, +-- reg_dp_offload_tx_1GbE_writedata_export => reg_dp_offload_tx_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), +-- +-- -- the_reg_dp_offload_tx_1GbE_hdr_dat +-- reg_dp_offload_tx_1GbE_hdr_dat_address_export => reg_dp_offload_tx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_tx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0), +-- reg_dp_offload_tx_1GbE_hdr_dat_clk_export => OPEN, +-- reg_dp_offload_tx_1GbE_hdr_dat_read_export => reg_dp_offload_tx_1GbE_hdr_dat_mosi.rd, +-- reg_dp_offload_tx_1GbE_hdr_dat_readdata_export => reg_dp_offload_tx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_offload_tx_1GbE_hdr_dat_reset_export => OPEN, +-- reg_dp_offload_tx_1GbE_hdr_dat_write_export => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wr, +-- reg_dp_offload_tx_1GbE_hdr_dat_writedata_export => reg_dp_offload_tx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0), +-- +-- -- the_reg_dp_offload_rx_1GbE_hdr_dat +-- reg_dp_offload_rx_1GbE_hdr_dat_address_export => reg_dp_offload_rx_1GbE_hdr_dat_mosi.address(c_reg_dp_offload_rx_1GbE_hdr_dat_multi_adr_w-1 DOWNTO 0), +-- reg_dp_offload_rx_1GbE_hdr_dat_clk_export => OPEN, +-- reg_dp_offload_rx_1GbE_hdr_dat_read_export => reg_dp_offload_rx_1GbE_hdr_dat_mosi.rd, +-- reg_dp_offload_rx_1GbE_hdr_dat_readdata_export => reg_dp_offload_rx_1GbE_hdr_dat_miso.rddata(c_word_w-1 DOWNTO 0), +-- reg_dp_offload_rx_1GbE_hdr_dat_reset_export => OPEN, +-- reg_dp_offload_rx_1GbE_hdr_dat_write_export => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wr, +-- reg_dp_offload_rx_1GbE_hdr_dat_writedata_export => reg_dp_offload_rx_1GbE_hdr_dat_mosi.wrdata(c_word_w-1 DOWNTO 0), + + + reg_bsn_monitor_1gbe_reset_export => OPEN, + reg_bsn_monitor_1gbe_clk_export => OPEN, + reg_bsn_monitor_1gbe_address_export => reg_bsn_monitor_1GbE_mosi.address(c_reg_rsp_bsn_monitor_1GbE_adr_w-1 DOWNTO 0), + reg_bsn_monitor_1gbe_write_export => reg_bsn_monitor_1GbE_mosi.wr, + reg_bsn_monitor_1gbe_writedata_export => reg_bsn_monitor_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_bsn_monitor_1gbe_read_export => reg_bsn_monitor_1GbE_mosi.rd, + reg_bsn_monitor_1gbe_readdata_export => reg_bsn_monitor_1GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_bsn_monitor_10gbe_reset_export => OPEN, + reg_bsn_monitor_10gbe_clk_export => OPEN, + reg_bsn_monitor_10gbe_address_export => reg_bsn_monitor_10GbE_mosi.address(c_reg_rsp_bsn_monitor_10GbE_adr_w-1 DOWNTO 0), + reg_bsn_monitor_10gbe_write_export => reg_bsn_monitor_10GbE_mosi.wr, + reg_bsn_monitor_10gbe_writedata_export => reg_bsn_monitor_10GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_bsn_monitor_10gbe_read_export => reg_bsn_monitor_10GbE_mosi.rd, + reg_bsn_monitor_10gbe_readdata_export => reg_bsn_monitor_10GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_data_buffer_1gbe_reset_export => OPEN, + reg_diag_data_buffer_1gbe_clk_export => OPEN, + reg_diag_data_buffer_1gbe_address_export => reg_diag_data_buf_1gbe_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w-1 DOWNTO 0), + reg_diag_data_buffer_1gbe_write_export => reg_diag_data_buf_1gbe_mosi.wr, + reg_diag_data_buffer_1gbe_writedata_export => reg_diag_data_buf_1gbe_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_1gbe_read_export => reg_diag_data_buf_1gbe_mosi.rd, + reg_diag_data_buffer_1gbe_readdata_export => reg_diag_data_buf_1gbe_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_data_buffer_10gbe_reset_export => OPEN, + reg_diag_data_buffer_10gbe_clk_export => OPEN, + reg_diag_data_buffer_10gbe_address_export => reg_diag_data_buf_10gbe_mosi.address(5 DOWNTO 0), + reg_diag_data_buffer_10gbe_write_export => reg_diag_data_buf_10gbe_mosi.wr, + reg_diag_data_buffer_10gbe_writedata_export => reg_diag_data_buf_10gbe_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_10gbe_read_export => reg_diag_data_buf_10gbe_mosi.rd, + reg_diag_data_buffer_10gbe_readdata_export => reg_diag_data_buf_10gbe_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_data_buffer_1gbe_clk_export => OPEN, + ram_diag_data_buffer_1gbe_reset_export => OPEN, + ram_diag_data_buffer_1gbe_address_export => ram_diag_data_buf_1gbe_mosi.address(c_ram_diag_databuffer_1GbE_addr_w-1 DOWNTO 0), + ram_diag_data_buffer_1gbe_write_export => ram_diag_data_buf_1gbe_mosi.wr, + ram_diag_data_buffer_1gbe_writedata_export => ram_diag_data_buf_1gbe_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_1gbe_read_export => ram_diag_data_buf_1gbe_mosi.rd, + ram_diag_data_buffer_1gbe_readdata_export => ram_diag_data_buf_1gbe_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_data_buffer_10gbe_clk_export => OPEN, + ram_diag_data_buffer_10gbe_reset_export => OPEN, + ram_diag_data_buffer_10gbe_address_export => ram_diag_data_buf_10gbe_mosi.address(c_ram_diag_databuffer_10GbE_addr_w-1 DOWNTO 0), + ram_diag_data_buffer_10gbe_write_export => ram_diag_data_buf_10gbe_mosi.wr, + ram_diag_data_buffer_10gbe_writedata_export => ram_diag_data_buf_10gbe_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_10gbe_read_export => ram_diag_data_buf_10gbe_mosi.rd, + ram_diag_data_buffer_10gbe_readdata_export => ram_diag_data_buf_10gbe_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_bg_1GbE_reset_export => OPEN, + reg_diag_bg_1GbE_clk_export => OPEN, + reg_diag_bg_1GbE_address_export => reg_diag_bg_1GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w-1 DOWNTO 0), + reg_diag_bg_1GbE_write_export => reg_diag_bg_1GbE_mosi.wr, + reg_diag_bg_1GbE_writedata_export => reg_diag_bg_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_bg_1GbE_read_export => reg_diag_bg_1GbE_mosi.rd, + reg_diag_bg_1GbE_readdata_export => reg_diag_bg_1GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_bg_10GbE_reset_export => OPEN, + reg_diag_bg_10GbE_clk_export => OPEN, + reg_diag_bg_10GbE_address_export => reg_diag_bg_10GbE_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_bg_adr_w-1 DOWNTO 0), + reg_diag_bg_10GbE_write_export => reg_diag_bg_10GbE_mosi.wr, + reg_diag_bg_10GbE_writedata_export => reg_diag_bg_10GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_bg_10GbE_read_export => reg_diag_bg_10GbE_mosi.rd, + reg_diag_bg_10GbE_readdata_export => reg_diag_bg_10GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_bg_1GbE_reset_export => OPEN, + ram_diag_bg_1GbE_clk_export => OPEN, + ram_diag_bg_1GbE_address_export => ram_diag_bg_1GbE_mosi.address(c_ram_diag_bg_1GbE_addr_w-1 DOWNTO 0), + ram_diag_bg_1GbE_write_export => ram_diag_bg_1GbE_mosi.wr, + ram_diag_bg_1GbE_writedata_export => ram_diag_bg_1GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_bg_1GbE_read_export => ram_diag_bg_1GbE_mosi.rd, + ram_diag_bg_1GbE_readdata_export => ram_diag_bg_1GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_bg_10GbE_reset_export => OPEN, + ram_diag_bg_10GbE_clk_export => OPEN, + ram_diag_bg_10GbE_address_export => ram_diag_bg_10GbE_mosi.address(c_ram_diag_bg_10GbE_addr_w-1 DOWNTO 0), + ram_diag_bg_10GbE_write_export => ram_diag_bg_10GbE_mosi.wr, + ram_diag_bg_10GbE_writedata_export => ram_diag_bg_10GbE_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_bg_10GbE_read_export => ram_diag_bg_10GbE_mosi.rd, + ram_diag_bg_10GbE_readdata_export => ram_diag_bg_10GbE_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_io_ddr_MB_I_address_export => reg_io_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w-1 DOWNTO 0), + reg_io_ddr_MB_I_clk_export => OPEN, + reg_io_ddr_MB_I_read_export => reg_io_ddr_MB_I_mosi.rd, + reg_io_ddr_MB_I_readdata_export => reg_io_ddr_MB_I_miso.rddata(c_word_w-1 DOWNTO 0), + reg_io_ddr_MB_I_reset_export => OPEN, + reg_io_ddr_MB_I_write_export => reg_io_ddr_MB_I_mosi.wr, + reg_io_ddr_MB_I_writedata_export => reg_io_ddr_MB_I_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_io_ddr_MB_II_address_export => reg_io_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_io_ddr_adr_w-1 DOWNTO 0), + reg_io_ddr_MB_II_clk_export => OPEN, + reg_io_ddr_MB_II_read_export => reg_io_ddr_MB_II_mosi.rd, + reg_io_ddr_MB_II_readdata_export => reg_io_ddr_MB_II_miso.rddata(c_word_w-1 DOWNTO 0), + reg_io_ddr_MB_II_reset_export => OPEN, + reg_io_ddr_MB_II_write_export => reg_io_ddr_MB_II_mosi.wr, + reg_io_ddr_MB_II_writedata_export => reg_io_ddr_MB_II_mosi.wrdata(c_word_w-1 DOWNTO 0), + + reg_diag_tx_seq_ddr_MB_I_reset_export => OPEN, + reg_diag_tx_seq_ddr_MB_I_clk_export => OPEN, + reg_diag_tx_seq_ddr_MB_I_address_export => reg_diag_tx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w-1 downto 0), + reg_diag_tx_seq_ddr_MB_I_write_export => reg_diag_tx_seq_ddr_MB_I_mosi.wr, + reg_diag_tx_seq_ddr_MB_I_writedata_export => reg_diag_tx_seq_ddr_MB_I_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_tx_seq_ddr_MB_I_read_export => reg_diag_tx_seq_ddr_MB_I_mosi.rd, + reg_diag_tx_seq_ddr_MB_I_readdata_export => reg_diag_tx_seq_ddr_MB_I_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_tx_seq_ddr_MB_II_reset_export => OPEN, + reg_diag_tx_seq_ddr_MB_II_clk_export => OPEN, + reg_diag_tx_seq_ddr_MB_II_address_export => reg_diag_tx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_tx_seq_w-1 downto 0), + reg_diag_tx_seq_ddr_MB_II_write_export => reg_diag_tx_seq_ddr_MB_II_mosi.wr, + reg_diag_tx_seq_ddr_MB_II_writedata_export => reg_diag_tx_seq_ddr_MB_II_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_tx_seq_ddr_MB_II_read_export => reg_diag_tx_seq_ddr_MB_II_mosi.rd, + reg_diag_tx_seq_ddr_MB_II_readdata_export => reg_diag_tx_seq_ddr_MB_II_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_rx_seq_ddr_MB_I_reset_export => OPEN, + reg_diag_rx_seq_ddr_MB_I_clk_export => OPEN, + reg_diag_rx_seq_ddr_MB_I_address_export => reg_diag_rx_seq_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w-1 downto 0), + reg_diag_rx_seq_ddr_MB_I_write_export => reg_diag_rx_seq_ddr_MB_I_mosi.wr, + reg_diag_rx_seq_ddr_MB_I_writedata_export => reg_diag_rx_seq_ddr_MB_I_mosi.wrdata(c_word_w-1 downto 0), + reg_diag_rx_seq_ddr_MB_I_read_export => reg_diag_rx_seq_ddr_MB_I_mosi.rd, + reg_diag_rx_seq_ddr_MB_I_readdata_export => reg_diag_rx_seq_ddr_MB_I_miso.rddata(c_word_w-1 downto 0), + + reg_diag_rx_seq_ddr_MB_II_reset_export => OPEN, + reg_diag_rx_seq_ddr_MB_II_clk_export => OPEN, + reg_diag_rx_seq_ddr_MB_II_address_export => reg_diag_rx_seq_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_rx_seq_w-1 downto 0), + reg_diag_rx_seq_ddr_MB_II_write_export => reg_diag_rx_seq_ddr_MB_II_mosi.wr, + reg_diag_rx_seq_ddr_MB_II_writedata_export => reg_diag_rx_seq_ddr_MB_II_mosi.wrdata(c_word_w-1 downto 0), + reg_diag_rx_seq_ddr_MB_II_read_export => reg_diag_rx_seq_ddr_MB_II_mosi.rd, + reg_diag_rx_seq_ddr_MB_II_readdata_export => reg_diag_rx_seq_ddr_MB_II_miso.rddata(c_word_w-1 downto 0), + + reg_diag_data_buffer_ddr_MB_I_reset_export => OPEN, + reg_diag_data_buffer_ddr_MB_I_clk_export => OPEN, + reg_diag_data_buffer_ddr_MB_I_address_export => reg_diag_data_buf_ddr_MB_I_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w-1 DOWNTO 0), + reg_diag_data_buffer_ddr_MB_I_write_export => reg_diag_data_buf_ddr_MB_I_mosi.wr, + reg_diag_data_buffer_ddr_MB_I_writedata_export => reg_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_ddr_MB_I_read_export => reg_diag_data_buf_ddr_MB_I_mosi.rd, + reg_diag_data_buffer_ddr_MB_I_readdata_export => reg_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w-1 DOWNTO 0), + + reg_diag_data_buffer_ddr_MB_II_reset_export => OPEN, + reg_diag_data_buffer_ddr_MB_II_clk_export => OPEN, + reg_diag_data_buffer_ddr_MB_II_address_export => reg_diag_data_buf_ddr_MB_II_mosi.address(c_unb2b_board_peripherals_mm_reg_default.reg_diag_db_adr_w-1 DOWNTO 0), + reg_diag_data_buffer_ddr_MB_II_write_export => reg_diag_data_buf_ddr_MB_II_mosi.wr, + reg_diag_data_buffer_ddr_MB_II_writedata_export => reg_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w-1 DOWNTO 0), + reg_diag_data_buffer_ddr_MB_II_read_export => reg_diag_data_buf_ddr_MB_II_mosi.rd, + reg_diag_data_buffer_ddr_MB_II_readdata_export => reg_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_data_buffer_ddr_MB_I_clk_export => OPEN, + ram_diag_data_buffer_ddr_MB_I_reset_export => OPEN, + ram_diag_data_buffer_ddr_MB_I_address_export => ram_diag_data_buf_ddr_MB_I_mosi.address(c_ram_diag_databuffer_ddr_addr_w-1 DOWNTO 0), + ram_diag_data_buffer_ddr_MB_I_write_export => ram_diag_data_buf_ddr_MB_I_mosi.wr, + ram_diag_data_buffer_ddr_MB_I_writedata_export => ram_diag_data_buf_ddr_MB_I_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_ddr_MB_I_read_export => ram_diag_data_buf_ddr_MB_I_mosi.rd, + ram_diag_data_buffer_ddr_MB_I_readdata_export => ram_diag_data_buf_ddr_MB_I_miso.rddata(c_word_w-1 DOWNTO 0), + + ram_diag_data_buffer_ddr_MB_II_clk_export => OPEN, + ram_diag_data_buffer_ddr_MB_II_reset_export => OPEN, + ram_diag_data_buffer_ddr_MB_II_address_export => ram_diag_data_buf_ddr_MB_II_mosi.address(c_ram_diag_databuffer_ddr_addr_w-1 DOWNTO 0), + ram_diag_data_buffer_ddr_MB_II_write_export => ram_diag_data_buf_ddr_MB_II_mosi.wr, + ram_diag_data_buffer_ddr_MB_II_writedata_export => ram_diag_data_buf_ddr_MB_II_mosi.wrdata(c_word_w-1 DOWNTO 0), + ram_diag_data_buffer_ddr_MB_II_read_export => ram_diag_data_buf_ddr_MB_II_mosi.rd, + ram_diag_data_buffer_ddr_MB_II_readdata_export => ram_diag_data_buf_ddr_MB_II_miso.rddata(c_word_w-1 DOWNTO 0) + ); + END GENERATE; + +END str; diff --git a/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd new file mode 100644 index 0000000000000000000000000000000000000000..3f65ef343603eacf4ef78ffec631e56fe6a32008 --- /dev/null +++ b/applications/lofar2/designs/lofar2_unb2b_adc/src/vhdl/qsys_lofar2_unb2b_adc_pkg.vhd @@ -0,0 +1,400 @@ +------------------------------------------------------------------------------- +-- +-- Copyright (C) 2015 +-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> +-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands +-- +-- This program is free software: you can redistribute it and/or modify +-- it under the terms of the GNU General Public License as published by +-- the Free Software Foundation, either version 3 of the License, or +-- (at your option) any later version. +-- +-- This program is distributed in the hope that it will be useful, +-- but WITHOUT ANY WARRANTY; without even the implied warranty of +-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the +-- GNU General Public License for more details. +-- +-- You should have received a copy of the GNU General Public License +-- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- +------------------------------------------------------------------------------- + +LIBRARY IEEE; +USE IEEE.STD_LOGIC_1164.ALL; + +PACKAGE qsys_unb2b_test_pkg IS + + ----------------------------------------------------------------------------- + -- this component declaration is copy-pasted from Quartus QSYS builder generated file: + -- $RADIOHDL_WORK/build/unb2b/quartus/unb2b_test_ddr/qsys_unb2b_test/sim/qsys_unb2b_test.vhd + ----------------------------------------------------------------------------- + + component qsys_lofar2_unb2b_adc is + port ( + avs_eth_0_clk_export : out std_logic; -- avs_eth_0_clk.export + avs_eth_0_irq_export : in std_logic := '0'; -- avs_eth_0_irq.export + avs_eth_0_ram_address_export : out std_logic_vector(9 downto 0); -- avs_eth_0_ram_address.export + avs_eth_0_ram_read_export : out std_logic; -- avs_eth_0_ram_read.export + avs_eth_0_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_0_ram_readdata.export + avs_eth_0_ram_write_export : out std_logic; -- avs_eth_0_ram_write.export + avs_eth_0_ram_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_0_ram_writedata.export + avs_eth_0_reg_address_export : out std_logic_vector(3 downto 0); -- avs_eth_0_reg_address.export + avs_eth_0_reg_read_export : out std_logic; -- avs_eth_0_reg_read.export + avs_eth_0_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_0_reg_readdata.export + avs_eth_0_reg_write_export : out std_logic; -- avs_eth_0_reg_write.export + avs_eth_0_reg_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_0_reg_writedata.export + avs_eth_0_reset_export : out std_logic; -- avs_eth_0_reset.export + avs_eth_0_tse_address_export : out std_logic_vector(9 downto 0); -- avs_eth_0_tse_address.export + avs_eth_0_tse_read_export : out std_logic; -- avs_eth_0_tse_read.export + avs_eth_0_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_0_tse_readdata.export + avs_eth_0_tse_waitrequest_export : in std_logic := '0'; -- avs_eth_0_tse_waitrequest.export + avs_eth_0_tse_write_export : out std_logic; -- avs_eth_0_tse_write.export + avs_eth_0_tse_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_0_tse_writedata.export + avs_eth_1_clk_export : out std_logic; -- avs_eth_1_clk.export + avs_eth_1_irq_export : in std_logic := '0'; -- avs_eth_1_irq.export + avs_eth_1_ram_address_export : out std_logic_vector(9 downto 0); -- avs_eth_1_ram_address.export + avs_eth_1_ram_read_export : out std_logic; -- avs_eth_1_ram_read.export + avs_eth_1_ram_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_1_ram_readdata.export + avs_eth_1_ram_write_export : out std_logic; -- avs_eth_1_ram_write.export + avs_eth_1_ram_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_1_ram_writedata.export + avs_eth_1_reg_address_export : out std_logic_vector(3 downto 0); -- avs_eth_1_reg_address.export + avs_eth_1_reg_read_export : out std_logic; -- avs_eth_1_reg_read.export + avs_eth_1_reg_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_1_reg_readdata.export + avs_eth_1_reg_write_export : out std_logic; -- avs_eth_1_reg_write.export + avs_eth_1_reg_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_1_reg_writedata.export + avs_eth_1_reset_export : out std_logic; -- avs_eth_1_reset.export + avs_eth_1_tse_address_export : out std_logic_vector(9 downto 0); -- avs_eth_1_tse_address.export + avs_eth_1_tse_read_export : out std_logic; -- avs_eth_1_tse_read.export + avs_eth_1_tse_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- avs_eth_1_tse_readdata.export + avs_eth_1_tse_waitrequest_export : in std_logic := '0'; -- avs_eth_1_tse_waitrequest.export + avs_eth_1_tse_write_export : out std_logic; -- avs_eth_1_tse_write.export + avs_eth_1_tse_writedata_export : out std_logic_vector(31 downto 0); -- avs_eth_1_tse_writedata.export + clk_clk : in std_logic := '0'; -- clk.clk + pio_pps_address_export : out std_logic_vector(0 downto 0); -- pio_pps_address.export + pio_pps_clk_export : out std_logic; -- pio_pps_clk.export + pio_pps_read_export : out std_logic; -- pio_pps_read.export + pio_pps_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- pio_pps_readdata.export + pio_pps_reset_export : out std_logic; -- pio_pps_reset.export + pio_pps_write_export : out std_logic; -- pio_pps_write.export + pio_pps_writedata_export : out std_logic_vector(31 downto 0); -- pio_pps_writedata.export + pio_system_info_address_export : out std_logic_vector(4 downto 0); -- pio_system_info_address.export + pio_system_info_clk_export : out std_logic; -- pio_system_info_clk.export + pio_system_info_read_export : out std_logic; -- pio_system_info_read.export + pio_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- pio_system_info_readdata.export + pio_system_info_reset_export : out std_logic; -- pio_system_info_reset.export + pio_system_info_write_export : out std_logic; -- pio_system_info_write.export + pio_system_info_writedata_export : out std_logic_vector(31 downto 0); -- pio_system_info_writedata.export + pio_wdi_external_connection_export : out std_logic; -- pio_wdi_external_connection.export + ram_diag_bg_10gbe_address_export : out std_logic_vector(16 downto 0); -- ram_diag_bg_10gbe_address.export + ram_diag_bg_10gbe_clk_export : out std_logic; -- ram_diag_bg_10gbe_clk.export + ram_diag_bg_10gbe_read_export : out std_logic; -- ram_diag_bg_10gbe_read.export + ram_diag_bg_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_bg_10gbe_readdata.export + ram_diag_bg_10gbe_reset_export : out std_logic; -- ram_diag_bg_10gbe_reset.export + ram_diag_bg_10gbe_write_export : out std_logic; -- ram_diag_bg_10gbe_write.export + ram_diag_bg_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_bg_10gbe_writedata.export + ram_diag_bg_1gbe_address_export : out std_logic_vector(10 downto 0); -- ram_diag_bg_1gbe_address.export + ram_diag_bg_1gbe_clk_export : out std_logic; -- ram_diag_bg_1gbe_clk.export + ram_diag_bg_1gbe_read_export : out std_logic; -- ram_diag_bg_1gbe_read.export + ram_diag_bg_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_bg_1gbe_readdata.export + ram_diag_bg_1gbe_reset_export : out std_logic; -- ram_diag_bg_1gbe_reset.export + ram_diag_bg_1gbe_write_export : out std_logic; -- ram_diag_bg_1gbe_write.export + ram_diag_bg_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_bg_1gbe_writedata.export + ram_diag_data_buffer_10gbe_address_export : out std_logic_vector(16 downto 0); -- ram_diag_data_buffer_10gbe_address.export + ram_diag_data_buffer_10gbe_clk_export : out std_logic; -- ram_diag_data_buffer_10gbe_clk.export + ram_diag_data_buffer_10gbe_read_export : out std_logic; -- ram_diag_data_buffer_10gbe_read.export + ram_diag_data_buffer_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_data_buffer_10gbe_readdata.export + ram_diag_data_buffer_10gbe_reset_export : out std_logic; -- ram_diag_data_buffer_10gbe_reset.export + ram_diag_data_buffer_10gbe_write_export : out std_logic; -- ram_diag_data_buffer_10gbe_write.export + ram_diag_data_buffer_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_data_buffer_10gbe_writedata.export + ram_diag_data_buffer_1gbe_address_export : out std_logic_vector(10 downto 0); -- ram_diag_data_buffer_1gbe_address.export + ram_diag_data_buffer_1gbe_clk_export : out std_logic; -- ram_diag_data_buffer_1gbe_clk.export + ram_diag_data_buffer_1gbe_read_export : out std_logic; -- ram_diag_data_buffer_1gbe_read.export + ram_diag_data_buffer_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_data_buffer_1gbe_readdata.export + ram_diag_data_buffer_1gbe_reset_export : out std_logic; -- ram_diag_data_buffer_1gbe_reset.export + ram_diag_data_buffer_1gbe_write_export : out std_logic; -- ram_diag_data_buffer_1gbe_write.export + ram_diag_data_buffer_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_data_buffer_1gbe_writedata.export + ram_diag_data_buffer_ddr_mb_i_address_export : out std_logic_vector(10 downto 0); -- ram_diag_data_buffer_ddr_mb_i_address.export + ram_diag_data_buffer_ddr_mb_i_clk_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_i_clk.export + ram_diag_data_buffer_ddr_mb_i_read_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_i_read.export + ram_diag_data_buffer_ddr_mb_i_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_data_buffer_ddr_mb_i_readdata.export + ram_diag_data_buffer_ddr_mb_i_reset_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_i_reset.export + ram_diag_data_buffer_ddr_mb_i_write_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_i_write.export + ram_diag_data_buffer_ddr_mb_i_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_data_buffer_ddr_mb_i_writedata.export + ram_diag_data_buffer_ddr_mb_ii_address_export : out std_logic_vector(10 downto 0); -- ram_diag_data_buffer_ddr_mb_ii_address.export + ram_diag_data_buffer_ddr_mb_ii_clk_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_ii_clk.export + ram_diag_data_buffer_ddr_mb_ii_read_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_ii_read.export + ram_diag_data_buffer_ddr_mb_ii_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- ram_diag_data_buffer_ddr_mb_ii_readdata.export + ram_diag_data_buffer_ddr_mb_ii_reset_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_ii_reset.export + ram_diag_data_buffer_ddr_mb_ii_write_export : out std_logic; -- ram_diag_data_buffer_ddr_mb_ii_write.export + ram_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0); -- ram_diag_data_buffer_ddr_mb_ii_writedata.export + reg_bsn_monitor_10gbe_address_export : out std_logic_vector(10 downto 0); -- reg_bsn_monitor_10gbe_address.export + reg_bsn_monitor_10gbe_clk_export : out std_logic; -- reg_bsn_monitor_10gbe_clk.export + reg_bsn_monitor_10gbe_read_export : out std_logic; -- reg_bsn_monitor_10gbe_read.export + reg_bsn_monitor_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_bsn_monitor_10gbe_readdata.export + reg_bsn_monitor_10gbe_reset_export : out std_logic; -- reg_bsn_monitor_10gbe_reset.export + reg_bsn_monitor_10gbe_write_export : out std_logic; -- reg_bsn_monitor_10gbe_write.export + reg_bsn_monitor_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_bsn_monitor_10gbe_writedata.export + reg_bsn_monitor_1gbe_address_export : out std_logic_vector(4 downto 0); -- reg_bsn_monitor_1gbe_address.export + reg_bsn_monitor_1gbe_clk_export : out std_logic; -- reg_bsn_monitor_1gbe_clk.export + reg_bsn_monitor_1gbe_read_export : out std_logic; -- reg_bsn_monitor_1gbe_read.export + reg_bsn_monitor_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_bsn_monitor_1gbe_readdata.export + reg_bsn_monitor_1gbe_reset_export : out std_logic; -- reg_bsn_monitor_1gbe_reset.export + reg_bsn_monitor_1gbe_write_export : out std_logic; -- reg_bsn_monitor_1gbe_write.export + reg_bsn_monitor_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_bsn_monitor_1gbe_writedata.export + reg_diag_bg_10gbe_address_export : out std_logic_vector(2 downto 0); -- reg_diag_bg_10gbe_address.export + reg_diag_bg_10gbe_clk_export : out std_logic; -- reg_diag_bg_10gbe_clk.export + reg_diag_bg_10gbe_read_export : out std_logic; -- reg_diag_bg_10gbe_read.export + reg_diag_bg_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_bg_10gbe_readdata.export + reg_diag_bg_10gbe_reset_export : out std_logic; -- reg_diag_bg_10gbe_reset.export + reg_diag_bg_10gbe_write_export : out std_logic; -- reg_diag_bg_10gbe_write.export + reg_diag_bg_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_bg_10gbe_writedata.export + reg_diag_bg_1gbe_address_export : out std_logic_vector(2 downto 0); -- reg_diag_bg_1gbe_address.export + reg_diag_bg_1gbe_clk_export : out std_logic; -- reg_diag_bg_1gbe_clk.export + reg_diag_bg_1gbe_read_export : out std_logic; -- reg_diag_bg_1gbe_read.export + reg_diag_bg_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_bg_1gbe_readdata.export + reg_diag_bg_1gbe_reset_export : out std_logic; -- reg_diag_bg_1gbe_reset.export + reg_diag_bg_1gbe_write_export : out std_logic; -- reg_diag_bg_1gbe_write.export + reg_diag_bg_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_bg_1gbe_writedata.export + reg_diag_data_buffer_10gbe_address_export : out std_logic_vector(5 downto 0); -- reg_diag_data_buffer_10gbe_address.export + reg_diag_data_buffer_10gbe_clk_export : out std_logic; -- reg_diag_data_buffer_10gbe_clk.export + reg_diag_data_buffer_10gbe_read_export : out std_logic; -- reg_diag_data_buffer_10gbe_read.export + reg_diag_data_buffer_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_data_buffer_10gbe_readdata.export + reg_diag_data_buffer_10gbe_reset_export : out std_logic; -- reg_diag_data_buffer_10gbe_reset.export + reg_diag_data_buffer_10gbe_write_export : out std_logic; -- reg_diag_data_buffer_10gbe_write.export + reg_diag_data_buffer_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_data_buffer_10gbe_writedata.export + reg_diag_data_buffer_1gbe_address_export : out std_logic_vector(4 downto 0); -- reg_diag_data_buffer_1gbe_address.export + reg_diag_data_buffer_1gbe_clk_export : out std_logic; -- reg_diag_data_buffer_1gbe_clk.export + reg_diag_data_buffer_1gbe_read_export : out std_logic; -- reg_diag_data_buffer_1gbe_read.export + reg_diag_data_buffer_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_data_buffer_1gbe_readdata.export + reg_diag_data_buffer_1gbe_reset_export : out std_logic; -- reg_diag_data_buffer_1gbe_reset.export + reg_diag_data_buffer_1gbe_write_export : out std_logic; -- reg_diag_data_buffer_1gbe_write.export + reg_diag_data_buffer_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_data_buffer_1gbe_writedata.export + reg_diag_data_buffer_ddr_mb_i_address_export : out std_logic_vector(4 downto 0); -- reg_diag_data_buffer_ddr_mb_i_address.export + reg_diag_data_buffer_ddr_mb_i_clk_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_i_clk.export + reg_diag_data_buffer_ddr_mb_i_read_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_i_read.export + reg_diag_data_buffer_ddr_mb_i_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_data_buffer_ddr_mb_i_readdata.export + reg_diag_data_buffer_ddr_mb_i_reset_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_i_reset.export + reg_diag_data_buffer_ddr_mb_i_write_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_i_write.export + reg_diag_data_buffer_ddr_mb_i_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_data_buffer_ddr_mb_i_writedata.export + reg_diag_data_buffer_ddr_mb_ii_address_export : out std_logic_vector(4 downto 0); -- reg_diag_data_buffer_ddr_mb_ii_address.export + reg_diag_data_buffer_ddr_mb_ii_clk_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_ii_clk.export + reg_diag_data_buffer_ddr_mb_ii_read_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_ii_read.export + reg_diag_data_buffer_ddr_mb_ii_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_data_buffer_ddr_mb_ii_readdata.export + reg_diag_data_buffer_ddr_mb_ii_reset_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_ii_reset.export + reg_diag_data_buffer_ddr_mb_ii_write_export : out std_logic; -- reg_diag_data_buffer_ddr_mb_ii_write.export + reg_diag_data_buffer_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_data_buffer_ddr_mb_ii_writedata.export + reg_diag_rx_seq_10gbe_address_export : out std_logic_vector(4 downto 0); -- reg_diag_rx_seq_10gbe_address.export + reg_diag_rx_seq_10gbe_clk_export : out std_logic; -- reg_diag_rx_seq_10gbe_clk.export + reg_diag_rx_seq_10gbe_read_export : out std_logic; -- reg_diag_rx_seq_10gbe_read.export + reg_diag_rx_seq_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_rx_seq_10gbe_readdata.export + reg_diag_rx_seq_10gbe_reset_export : out std_logic; -- reg_diag_rx_seq_10gbe_reset.export + reg_diag_rx_seq_10gbe_write_export : out std_logic; -- reg_diag_rx_seq_10gbe_write.export + reg_diag_rx_seq_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_rx_seq_10gbe_writedata.export + reg_diag_rx_seq_1gbe_address_export : out std_logic_vector(2 downto 0); -- reg_diag_rx_seq_1gbe_address.export + reg_diag_rx_seq_1gbe_clk_export : out std_logic; -- reg_diag_rx_seq_1gbe_clk.export + reg_diag_rx_seq_1gbe_read_export : out std_logic; -- reg_diag_rx_seq_1gbe_read.export + reg_diag_rx_seq_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_rx_seq_1gbe_readdata.export + reg_diag_rx_seq_1gbe_reset_export : out std_logic; -- reg_diag_rx_seq_1gbe_reset.export + reg_diag_rx_seq_1gbe_write_export : out std_logic; -- reg_diag_rx_seq_1gbe_write.export + reg_diag_rx_seq_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_rx_seq_1gbe_writedata.export + reg_diag_rx_seq_ddr_mb_i_address_export : out std_logic_vector(2 downto 0); -- reg_diag_rx_seq_ddr_mb_i_address.export + reg_diag_rx_seq_ddr_mb_i_clk_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_i_clk.export + reg_diag_rx_seq_ddr_mb_i_read_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_i_read.export + reg_diag_rx_seq_ddr_mb_i_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_rx_seq_ddr_mb_i_readdata.export + reg_diag_rx_seq_ddr_mb_i_reset_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_i_reset.export + reg_diag_rx_seq_ddr_mb_i_write_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_i_write.export + reg_diag_rx_seq_ddr_mb_i_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_rx_seq_ddr_mb_i_writedata.export + reg_diag_rx_seq_ddr_mb_ii_address_export : out std_logic_vector(2 downto 0); -- reg_diag_rx_seq_ddr_mb_ii_address.export + reg_diag_rx_seq_ddr_mb_ii_clk_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_ii_clk.export + reg_diag_rx_seq_ddr_mb_ii_read_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_ii_read.export + reg_diag_rx_seq_ddr_mb_ii_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_rx_seq_ddr_mb_ii_readdata.export + reg_diag_rx_seq_ddr_mb_ii_reset_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_ii_reset.export + reg_diag_rx_seq_ddr_mb_ii_write_export : out std_logic; -- reg_diag_rx_seq_ddr_mb_ii_write.export + reg_diag_rx_seq_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_rx_seq_ddr_mb_ii_writedata.export + reg_diag_tx_seq_10gbe_address_export : out std_logic_vector(3 downto 0); -- reg_diag_tx_seq_10gbe_address.export + reg_diag_tx_seq_10gbe_clk_export : out std_logic; -- reg_diag_tx_seq_10gbe_clk.export + reg_diag_tx_seq_10gbe_read_export : out std_logic; -- reg_diag_tx_seq_10gbe_read.export + reg_diag_tx_seq_10gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_tx_seq_10gbe_readdata.export + reg_diag_tx_seq_10gbe_reset_export : out std_logic; -- reg_diag_tx_seq_10gbe_reset.export + reg_diag_tx_seq_10gbe_write_export : out std_logic; -- reg_diag_tx_seq_10gbe_write.export + reg_diag_tx_seq_10gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_tx_seq_10gbe_writedata.export + reg_diag_tx_seq_1gbe_address_export : out std_logic_vector(1 downto 0); -- reg_diag_tx_seq_1gbe_address.export + reg_diag_tx_seq_1gbe_clk_export : out std_logic; -- reg_diag_tx_seq_1gbe_clk.export + reg_diag_tx_seq_1gbe_read_export : out std_logic; -- reg_diag_tx_seq_1gbe_read.export + reg_diag_tx_seq_1gbe_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_tx_seq_1gbe_readdata.export + reg_diag_tx_seq_1gbe_reset_export : out std_logic; -- reg_diag_tx_seq_1gbe_reset.export + reg_diag_tx_seq_1gbe_write_export : out std_logic; -- reg_diag_tx_seq_1gbe_write.export + reg_diag_tx_seq_1gbe_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_tx_seq_1gbe_writedata.export + reg_diag_tx_seq_ddr_mb_i_address_export : out std_logic_vector(1 downto 0); -- reg_diag_tx_seq_ddr_mb_i_address.export + reg_diag_tx_seq_ddr_mb_i_clk_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_i_clk.export + reg_diag_tx_seq_ddr_mb_i_read_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_i_read.export + reg_diag_tx_seq_ddr_mb_i_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_tx_seq_ddr_mb_i_readdata.export + reg_diag_tx_seq_ddr_mb_i_reset_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_i_reset.export + reg_diag_tx_seq_ddr_mb_i_write_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_i_write.export + reg_diag_tx_seq_ddr_mb_i_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_tx_seq_ddr_mb_i_writedata.export + reg_diag_tx_seq_ddr_mb_ii_address_export : out std_logic_vector(1 downto 0); -- reg_diag_tx_seq_ddr_mb_ii_address.export + reg_diag_tx_seq_ddr_mb_ii_clk_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_ii_clk.export + reg_diag_tx_seq_ddr_mb_ii_read_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_ii_read.export + reg_diag_tx_seq_ddr_mb_ii_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_diag_tx_seq_ddr_mb_ii_readdata.export + reg_diag_tx_seq_ddr_mb_ii_reset_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_ii_reset.export + reg_diag_tx_seq_ddr_mb_ii_write_export : out std_logic; -- reg_diag_tx_seq_ddr_mb_ii_write.export + reg_diag_tx_seq_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0); -- reg_diag_tx_seq_ddr_mb_ii_writedata.export + reg_dpmm_ctrl_address_export : out std_logic_vector(0 downto 0); -- reg_dpmm_ctrl_address.export + reg_dpmm_ctrl_clk_export : out std_logic; -- reg_dpmm_ctrl_clk.export + reg_dpmm_ctrl_read_export : out std_logic; -- reg_dpmm_ctrl_read.export + reg_dpmm_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_dpmm_ctrl_readdata.export + reg_dpmm_ctrl_reset_export : out std_logic; -- reg_dpmm_ctrl_reset.export + reg_dpmm_ctrl_write_export : out std_logic; -- reg_dpmm_ctrl_write.export + reg_dpmm_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- reg_dpmm_ctrl_writedata.export + reg_dpmm_data_address_export : out std_logic_vector(0 downto 0); -- reg_dpmm_data_address.export + reg_dpmm_data_clk_export : out std_logic; -- reg_dpmm_data_clk.export + reg_dpmm_data_read_export : out std_logic; -- reg_dpmm_data_read.export + reg_dpmm_data_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_dpmm_data_readdata.export + reg_dpmm_data_reset_export : out std_logic; -- reg_dpmm_data_reset.export + reg_dpmm_data_write_export : out std_logic; -- reg_dpmm_data_write.export + reg_dpmm_data_writedata_export : out std_logic_vector(31 downto 0); -- reg_dpmm_data_writedata.export + reg_epcs_address_export : out std_logic_vector(2 downto 0); -- reg_epcs_address.export + reg_epcs_clk_export : out std_logic; -- reg_epcs_clk.export + reg_epcs_read_export : out std_logic; -- reg_epcs_read.export + reg_epcs_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_epcs_readdata.export + reg_epcs_reset_export : out std_logic; -- reg_epcs_reset.export + reg_epcs_write_export : out std_logic; -- reg_epcs_write.export + reg_epcs_writedata_export : out std_logic_vector(31 downto 0); -- reg_epcs_writedata.export + reg_eth10g_back0_address_export : out std_logic_vector(5 downto 0); -- reg_eth10g_back0_address.export + reg_eth10g_back0_clk_export : out std_logic; -- reg_eth10g_back0_clk.export + reg_eth10g_back0_read_export : out std_logic; -- reg_eth10g_back0_read.export + reg_eth10g_back0_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_eth10g_back0_readdata.export + reg_eth10g_back0_reset_export : out std_logic; -- reg_eth10g_back0_reset.export + reg_eth10g_back0_write_export : out std_logic; -- reg_eth10g_back0_write.export + reg_eth10g_back0_writedata_export : out std_logic_vector(31 downto 0); -- reg_eth10g_back0_writedata.export + reg_eth10g_back1_address_export : out std_logic_vector(5 downto 0); -- reg_eth10g_back1_address.export + reg_eth10g_back1_clk_export : out std_logic; -- reg_eth10g_back1_clk.export + reg_eth10g_back1_read_export : out std_logic; -- reg_eth10g_back1_read.export + reg_eth10g_back1_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_eth10g_back1_readdata.export + reg_eth10g_back1_reset_export : out std_logic; -- reg_eth10g_back1_reset.export + reg_eth10g_back1_write_export : out std_logic; -- reg_eth10g_back1_write.export + reg_eth10g_back1_writedata_export : out std_logic_vector(31 downto 0); -- reg_eth10g_back1_writedata.export + reg_eth10g_qsfp_ring_address_export : out std_logic_vector(6 downto 0); -- reg_eth10g_qsfp_ring_address.export + reg_eth10g_qsfp_ring_clk_export : out std_logic; -- reg_eth10g_qsfp_ring_clk.export + reg_eth10g_qsfp_ring_read_export : out std_logic; -- reg_eth10g_qsfp_ring_read.export + reg_eth10g_qsfp_ring_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_eth10g_qsfp_ring_readdata.export + reg_eth10g_qsfp_ring_reset_export : out std_logic; -- reg_eth10g_qsfp_ring_reset.export + reg_eth10g_qsfp_ring_write_export : out std_logic; -- reg_eth10g_qsfp_ring_write.export + reg_eth10g_qsfp_ring_writedata_export : out std_logic_vector(31 downto 0); -- reg_eth10g_qsfp_ring_writedata.export + reg_fpga_temp_sens_address_export : out std_logic_vector(2 downto 0); -- reg_fpga_temp_sens_address.export + reg_fpga_temp_sens_clk_export : out std_logic; -- reg_fpga_temp_sens_clk.export + reg_fpga_temp_sens_read_export : out std_logic; -- reg_fpga_temp_sens_read.export + reg_fpga_temp_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_fpga_temp_sens_readdata.export + reg_fpga_temp_sens_reset_export : out std_logic; -- reg_fpga_temp_sens_reset.export + reg_fpga_temp_sens_write_export : out std_logic; -- reg_fpga_temp_sens_write.export + reg_fpga_temp_sens_writedata_export : out std_logic_vector(31 downto 0); -- reg_fpga_temp_sens_writedata.export + reg_fpga_voltage_sens_address_export : out std_logic_vector(3 downto 0); -- reg_fpga_voltage_sens_address.export + reg_fpga_voltage_sens_clk_export : out std_logic; -- reg_fpga_voltage_sens_clk.export + reg_fpga_voltage_sens_read_export : out std_logic; -- reg_fpga_voltage_sens_read.export + reg_fpga_voltage_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_fpga_voltage_sens_readdata.export + reg_fpga_voltage_sens_reset_export : out std_logic; -- reg_fpga_voltage_sens_reset.export + reg_fpga_voltage_sens_write_export : out std_logic; -- reg_fpga_voltage_sens_write.export + reg_fpga_voltage_sens_writedata_export : out std_logic_vector(31 downto 0); -- reg_fpga_voltage_sens_writedata.export + reg_io_ddr_mb_i_address_export : out std_logic_vector(15 downto 0); -- reg_io_ddr_mb_i_address.export + reg_io_ddr_mb_i_clk_export : out std_logic; -- reg_io_ddr_mb_i_clk.export + reg_io_ddr_mb_i_read_export : out std_logic; -- reg_io_ddr_mb_i_read.export + reg_io_ddr_mb_i_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_io_ddr_mb_i_readdata.export + reg_io_ddr_mb_i_reset_export : out std_logic; -- reg_io_ddr_mb_i_reset.export + reg_io_ddr_mb_i_write_export : out std_logic; -- reg_io_ddr_mb_i_write.export + reg_io_ddr_mb_i_writedata_export : out std_logic_vector(31 downto 0); -- reg_io_ddr_mb_i_writedata.export + reg_io_ddr_mb_ii_address_export : out std_logic_vector(15 downto 0); -- reg_io_ddr_mb_ii_address.export + reg_io_ddr_mb_ii_clk_export : out std_logic; -- reg_io_ddr_mb_ii_clk.export + reg_io_ddr_mb_ii_read_export : out std_logic; -- reg_io_ddr_mb_ii_read.export + reg_io_ddr_mb_ii_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_io_ddr_mb_ii_readdata.export + reg_io_ddr_mb_ii_reset_export : out std_logic; -- reg_io_ddr_mb_ii_reset.export + reg_io_ddr_mb_ii_write_export : out std_logic; -- reg_io_ddr_mb_ii_write.export + reg_io_ddr_mb_ii_writedata_export : out std_logic_vector(31 downto 0); -- reg_io_ddr_mb_ii_writedata.export + reg_10gbase_r_24_address_export : out std_logic_vector(14 downto 0); -- reg_10gbase_r_24_address.export + reg_10gbase_r_24_clk_export : out std_logic; -- reg_10gbase_r_24_clk.export + reg_10gbase_r_24_read_export : out std_logic; -- reg_10gbase_r_24_read.export + reg_10gbase_r_24_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_10gbase_r_24_readdata.export + reg_10gbase_r_24_reset_export : out std_logic; -- reg_10gbase_r_24_reset.export + reg_10gbase_r_24_waitrequest_export : in std_logic := '0'; -- reg_10gbase_r_24_waitrequest.export + reg_10gbase_r_24_write_export : out std_logic; -- reg_10gbase_r_24_write.export + reg_10gbase_r_24_writedata_export : out std_logic_vector(31 downto 0); -- reg_10gbase_r_24_writedata.export + reg_mmdp_ctrl_address_export : out std_logic_vector(0 downto 0); -- reg_mmdp_ctrl_address.export + reg_mmdp_ctrl_clk_export : out std_logic; -- reg_mmdp_ctrl_clk.export + reg_mmdp_ctrl_read_export : out std_logic; -- reg_mmdp_ctrl_read.export + reg_mmdp_ctrl_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_mmdp_ctrl_readdata.export + reg_mmdp_ctrl_reset_export : out std_logic; -- reg_mmdp_ctrl_reset.export + reg_mmdp_ctrl_write_export : out std_logic; -- reg_mmdp_ctrl_write.export + reg_mmdp_ctrl_writedata_export : out std_logic_vector(31 downto 0); -- reg_mmdp_ctrl_writedata.export + reg_mmdp_data_address_export : out std_logic_vector(0 downto 0); -- reg_mmdp_data_address.export + reg_mmdp_data_clk_export : out std_logic; -- reg_mmdp_data_clk.export + reg_mmdp_data_read_export : out std_logic; -- reg_mmdp_data_read.export + reg_mmdp_data_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_mmdp_data_readdata.export + reg_mmdp_data_reset_export : out std_logic; -- reg_mmdp_data_reset.export + reg_mmdp_data_write_export : out std_logic; -- reg_mmdp_data_write.export + reg_mmdp_data_writedata_export : out std_logic_vector(31 downto 0); -- reg_mmdp_data_writedata.export + reg_remu_address_export : out std_logic_vector(2 downto 0); -- reg_remu_address.export + reg_remu_clk_export : out std_logic; -- reg_remu_clk.export + reg_remu_read_export : out std_logic; -- reg_remu_read.export + reg_remu_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_remu_readdata.export + reg_remu_reset_export : out std_logic; -- reg_remu_reset.export + reg_remu_write_export : out std_logic; -- reg_remu_write.export + reg_remu_writedata_export : out std_logic_vector(31 downto 0); -- reg_remu_writedata.export + reg_tr_10gbe_back0_address_export : out std_logic_vector(17 downto 0); -- reg_tr_10gbe_back0_address.export + reg_tr_10gbe_back0_clk_export : out std_logic; -- reg_tr_10gbe_back0_clk.export + reg_tr_10gbe_back0_read_export : out std_logic; -- reg_tr_10gbe_back0_read.export + reg_tr_10gbe_back0_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_tr_10gbe_back0_readdata.export + reg_tr_10gbe_back0_reset_export : out std_logic; -- reg_tr_10gbe_back0_reset.export + reg_tr_10gbe_back0_waitrequest_export : in std_logic := '0'; -- reg_tr_10gbe_back0_waitrequest.export + reg_tr_10gbe_back0_write_export : out std_logic; -- reg_tr_10gbe_back0_write.export + reg_tr_10gbe_back0_writedata_export : out std_logic_vector(31 downto 0); -- reg_tr_10gbe_back0_writedata.export + reg_tr_10gbe_back1_address_export : out std_logic_vector(17 downto 0); -- reg_tr_10gbe_back1_address.export + reg_tr_10gbe_back1_clk_export : out std_logic; -- reg_tr_10gbe_back1_clk.export + reg_tr_10gbe_back1_read_export : out std_logic; -- reg_tr_10gbe_back1_read.export + reg_tr_10gbe_back1_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_tr_10gbe_back1_readdata.export + reg_tr_10gbe_back1_reset_export : out std_logic; -- reg_tr_10gbe_back1_reset.export + reg_tr_10gbe_back1_waitrequest_export : in std_logic := '0'; -- reg_tr_10gbe_back1_waitrequest.export + reg_tr_10gbe_back1_write_export : out std_logic; -- reg_tr_10gbe_back1_write.export + reg_tr_10gbe_back1_writedata_export : out std_logic_vector(31 downto 0); -- reg_tr_10gbe_back1_writedata.export + reg_tr_10gbe_qsfp_ring_address_export : out std_logic_vector(18 downto 0); -- reg_tr_10gbe_qsfp_ring_address.export + reg_tr_10gbe_qsfp_ring_clk_export : out std_logic; -- reg_tr_10gbe_qsfp_ring_clk.export + reg_tr_10gbe_qsfp_ring_read_export : out std_logic; -- reg_tr_10gbe_qsfp_ring_read.export + reg_tr_10gbe_qsfp_ring_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_tr_10gbe_qsfp_ring_readdata.export + reg_tr_10gbe_qsfp_ring_reset_export : out std_logic; -- reg_tr_10gbe_qsfp_ring_reset.export + reg_tr_10gbe_qsfp_ring_waitrequest_export : in std_logic := '0'; -- reg_tr_10gbe_qsfp_ring_waitrequest.export + reg_tr_10gbe_qsfp_ring_write_export : out std_logic; -- reg_tr_10gbe_qsfp_ring_write.export + reg_tr_10gbe_qsfp_ring_writedata_export : out std_logic_vector(31 downto 0); -- reg_tr_10gbe_qsfp_ring_writedata.export + reg_unb_pmbus_address_export : out std_logic_vector(5 downto 0); -- reg_unb_pmbus_address.export + reg_unb_pmbus_clk_export : out std_logic; -- reg_unb_pmbus_clk.export + reg_unb_pmbus_read_export : out std_logic; -- reg_unb_pmbus_read.export + reg_unb_pmbus_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_unb_pmbus_readdata.export + reg_unb_pmbus_reset_export : out std_logic; -- reg_unb_pmbus_reset.export + reg_unb_pmbus_write_export : out std_logic; -- reg_unb_pmbus_write.export + reg_unb_pmbus_writedata_export : out std_logic_vector(31 downto 0); -- reg_unb_pmbus_writedata.export + reg_unb_sens_address_export : out std_logic_vector(5 downto 0); -- reg_unb_sens_address.export + reg_unb_sens_clk_export : out std_logic; -- reg_unb_sens_clk.export + reg_unb_sens_read_export : out std_logic; -- reg_unb_sens_read.export + reg_unb_sens_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_unb_sens_readdata.export + reg_unb_sens_reset_export : out std_logic; -- reg_unb_sens_reset.export + reg_unb_sens_write_export : out std_logic; -- reg_unb_sens_write.export + reg_unb_sens_writedata_export : out std_logic_vector(31 downto 0); -- reg_unb_sens_writedata.export + reg_wdi_address_export : out std_logic_vector(0 downto 0); -- reg_wdi_address.export + reg_wdi_clk_export : out std_logic; -- reg_wdi_clk.export + reg_wdi_read_export : out std_logic; -- reg_wdi_read.export + reg_wdi_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- reg_wdi_readdata.export + reg_wdi_reset_export : out std_logic; -- reg_wdi_reset.export + reg_wdi_write_export : out std_logic; -- reg_wdi_write.export + reg_wdi_writedata_export : out std_logic_vector(31 downto 0); -- reg_wdi_writedata.export + reset_reset_n : in std_logic := '0'; -- reset.reset_n + rom_system_info_address_export : out std_logic_vector(9 downto 0); -- rom_system_info_address.export + rom_system_info_clk_export : out std_logic; -- rom_system_info_clk.export + rom_system_info_read_export : out std_logic; -- rom_system_info_read.export + rom_system_info_readdata_export : in std_logic_vector(31 downto 0) := (others => '0'); -- rom_system_info_readdata.export + rom_system_info_reset_export : out std_logic; -- rom_system_info_reset.export + rom_system_info_write_export : out std_logic; -- rom_system_info_write.export + rom_system_info_writedata_export : out std_logic_vector(31 downto 0) -- rom_system_info_writedata.export + ); + end component qsys_lofar2_unb2b_adc; + + + +END qsys_unb2b_test_pkg; + diff --git a/boards/uniboard2c/designs/unb2c_test/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/hdllib.cfg index c604d6b3dec82e2fea0e877145b7499aff6e016d..bbdfc1189b860cd68db7f0ea7cee6d227c1fbd0b 100644 --- a/boards/uniboard2c/designs/unb2c_test/hdllib.cfg +++ b/boards/uniboard2c/designs/unb2c_test/hdllib.cfg @@ -1,8 +1,8 @@ hdl_lib_name = unb2c_test hdl_library_clause_name = unb2c_test_lib -hdl_lib_uses_synth = common technology mm unb2c_board unb2c_board_10gbe dp eth tech_tse tr_10GbE diagnostics diag tech_mac_10g io_ddr tech_ddr tech_jesd204b +hdl_lib_uses_synth = common technology mm unb2c_board unb2c_board_10gbe dp eth tech_tse diagnostics diag tech_mac_10g io_ddr tech_ddr tech_jesd204b hdl_lib_uses_sim = -hdl_lib_technology = ip_arria10_e1sg +hdl_lib_technology = ip_arria10_e2sg synth_files = src/vhdl/qsys_unb2c_test_pkg.vhd @@ -81,7 +81,7 @@ quartus_ip_files = $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip - $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e1sg_phy_10gbase_r_24.ip + $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e2sg_phy_10gbase_r_24.ip $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip $RADIOHDL_BUILD_DIR/unb2c/quartus/unb2c_test/ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_0.ip index 79b3a5899b1efab4466b832471900e4670615d9d..56e97b15e1e0b335cf2d02c0529c486095dcccdd 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_0.ip @@ -2113,7 +2113,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_1.ip index 14fb3bb53152524ebc83e21155a8bf13c3a41b87..b23ec49091f682a0f5e44b3982163aa87e96dd37 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_avs_eth_1.ip @@ -2113,7 +2113,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_clk_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_clk_0.ip index def3b39e748641e1db877c1f052974478b2fccba..67fe610d38b05defeca85fe5c08eb96fb43a0c02 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_clk_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_clk_0.ip @@ -262,7 +262,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_cpu_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_cpu_0.ip index 7030c2957c1de49a420972a6bc07fbd40f7f1081..665ee5cc4ad7650e949d0755f460080610facb49 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_cpu_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_cpu_0.ip @@ -2313,7 +2313,7 @@ <spirit:parameter> <spirit:name>AUTO_DEVICE</spirit:name> <spirit:displayName>Auto DEVICE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name> @@ -2541,7 +2541,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_jtag_uart_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_jtag_uart_0.ip index 3656763aafd97f62177662d57329682e40f3d930..e96f6999a725489632e7b549579b1496b69ca632 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_jtag_uart_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_jtag_uart_0.ip @@ -678,7 +678,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_onchip_memory2_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_onchip_memory2_0.ip index 690d861873e61f7fb7e05dd98dfdf5bde2ffb3e6..e4a43ca029a28e27f71a1a75f8bacf7ed58ebbda 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_onchip_memory2_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_onchip_memory2_0.ip @@ -806,7 +806,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_pps.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_pps.ip index 580d9b89d4be042002fea9ba57addde20382e6ee..0fc7cbf33f8af97e25758557de85c2ff965aee14 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_pps.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_pps.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_system_info.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_system_info.ip index 0054f4857dbad25fb616f158f2f570388079ba77..f95fe0960b3ddfa1c03346453078542e5d3a304b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_system_info.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_wdi.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_wdi.ip index f9f52fb66ea835a57fbf3803c8870e0aeebf90d7..5df43787327a674a5e070f02e04a753164323c18 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_pio_wdi.ip @@ -691,7 +691,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_10gbe.ip index 1b2cd68b36ff1d860ef27fc53e7bcbb9df9b569a..6aea5274b4741528600c9412363344b9ce184a51 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_1gbe.ip index 1e88863e66442449801df642458b77160ac2e401..7ca9c88d947d5e1da6e375ee386b56abf20d3c76 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_bg_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip index 221dbd74210ba6799e35dd4c041215008b409b8f..cb022f3b6626073aa25259f011db8a022b394de4 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip index 1b22cf98b50616faf453bd1e1a62f83a5a6d2d24..ff3598f395e39bc165cf2ea20092fa7615e19f84 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip index 1f8d121c5078ff5d83cccd31b04bdd9e7cbf1794..1a44f7843747a5611896745aee1f1679c5c037a6 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip index b7f61b668ebe6b554748a3bc9ff5915b0813eca2..cd7bc55d8ae014cca8107305fbde0c467a01db2f 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip index cc0973228b8645d9a6f27622d706ab742e0e21db..04f110f0c02cc38eb4b00457721f0691de1f1cd8 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip index bde41125cb554e849a01a5f81a318bfb57b9c246..3e2cb467b23ddcc63187b53948d8878482f29eb3 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip index 16d08a29c94694b6dfb461198de1be980a95cd8c..1080a40d91006bf08f30139739187e05add17765 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_1gbe.ip index 272067b432c0649a645f7c3c892110a0f6ea3f57..bfbca94713a7218342aa1309b648ced44697ca93 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_bg_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip index 8f1bce2aca9f02c115b4716c9e42a53d34896fce..a5461da32fa8bd6da549d5283b31c8adbfc045cf 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip index 75fa41020f308913848170f48225cdfb9f4f2c49..ee0c747a6650817daf21eef1cd884354a8b0e573 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip index 0c935595baed1cc5406f09df539b0a8e7955a7df..5586ece584846027685a69d9bd4764df0b4a03a2 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip index 570b43fe595d831dd1b38a9eeebb1e070e39113c..a619348527db6c9a85ddd4b8af9a83be71ee755b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip index 678a37922be9cc41bf45a9ef4574ade9a0584d60..ff7aa05827ea2254a66028e71e33023960f0897e 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip index a4535c4328eadbb399b882958c07092f23765381..d2ea4df8fd5f1bb0bf6888d850c1142da64756eb 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip index bdcb01edaff260fe25b19f196bb55ebb336f1b97..bce420f655b45e74eadace34b69803737b80b4fe 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip index fa6ae6d891b5418f66318a38a4b2781dfe983fab..ad87fa441de9417783c1fc182378fce9a94b081e 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip index ab6bfb8d40c00b3683c89a41288f8b5340417cb4..eb4f52567f2cdf0c28147e7a4336ddad9e015e41 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip index d3288c52db8499909a58c48f56a10a5ce03c590e..6da44cfde4d3f39ef25bfc9f38dd82a803e5a705 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip index 5c73f47a53bb8f0d1dbe33d0590c5d39ba0f4de3..67059b9b8c8e920cdabc6df41f728018578ae36e 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip index 9de7b47f8bd32eef0e011476324b45cf293f6b7b..95ccea36ce1618bf0c6098ea2ff287354cac6276 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip index 308ac6c82035953f5754d874e65367633023abd9..3eea81d3935e70b0bd4945164c2be0bcda32ec48 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_ctrl.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip index 03355dbd5852a0f0be8995f53192e8397fb17e4e..809ee8b43b3857c8d0a4a934aca7b6d4ab2cf708 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_dpmm_data.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip index 461fbc6defd59ec65ca64e507bd7074e72b133d4..d74a6911c97529cac65fc254c85570ac0a1a9d37 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_epcs.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip index cb2744cc6fa0f346873f6ac7566dd60c5b1f7a9e..b0197cdf337e3b6757f31d87620e759ddd1fba19 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back0.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip index f4e565c6e06896e98aa1674d183717a721b3605c..407dac1a3c683d87275d1133fb637052abde1bdf 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_back1.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip index 1639d97cca7744f2ba8f8f3e0ac933236aed4292..d8fcadf6c2f8f2fd8e2d1f6e47a91c2fdac79980 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip index 3eb45890c039c0110ee8875bbaa19e2eda4c4a3f..08f8ced715141ef3729445e4ccad40826451c4ad 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_temp_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip index 549550deb92a916730cc8b546f58823169f80db0..5ec970b45528feb8e5147639873c0415f6638ae7 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_fpga_voltage_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip index beb34748b39567497aba541e0bb9fdfe59a23002..0622cc7bd349a5a20568cd8636d0b6bee6e23414 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip index 444b2c5bb0b7d7b8a9de7af2205ee0c2cda29d8d..b3e47fcb999836498b1b9e9116c9b59a86ab40aa 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_io_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e1sg_phy_10gbase_r_24.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e1sg_phy_10gbase_r_24.ip index 9b00700d1f9cf928e857c1e5e75561de03c7386d..bbee9e92f175f76ba0ac0152d97801a45b3c0262 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e1sg_phy_10gbase_r_24.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_ip_arria10_e1sg_phy_10gbase_r_24.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip index 4acb70abf0d21bb813ef71c5574f69fab66d3768..07fdc0b62d9cbc47ed8235453233dbf650efecfa 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_ctrl.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip index 31561a9f9e6c099319d6c1a32c4ceb4e02b8a93b..dfa6235c51b64a6008c45ad38b6601b94f7de67b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_mmdp_data.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip index 037c8d3873f7f6a7060b618d9a887abe83729663..487d451c987cea22bc63c4b68de03150d6b717ab 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_remu.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back0.ip index 02adff717966b2e06bdefac482a1032a0086e087..d142aa6c28f19915254a50cfcda4a79420771045 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back0.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back1.ip index 32e028c5cdbda71f65f803bd5388c4c9764c4295..f8a8cbeb62f0a310f408eb4103f77a7a1560b044 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_back1.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip index ebad59d49f96a65f38e8a35a78e6ee4aa0518aeb..787c48a0d1d4663f630951dcdb90575c3d90ea9b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_pmbus.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_pmbus.ip index e063ea1b4bb0afbbbc0c2579343b9cf18012f9ee..eb5afc3c46e88e9f85b6d19ce9643774a919bb6f 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_pmbus.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_pmbus.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_sens.ip index ea9c5f771bd7615704a18e58d80111d734e194f6..d2bbee1bff02812ecbd76c518b92a4ddb59fa08d 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_unb_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip index 0d47108294cdc9f979bcb67d252569514126741f..c1cf7e8d858eb6af7f532058cf5b69967efd9ef1 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_reg_wdi.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip index fae2312cbd04bb48fc7e3d0fe521267bdde988f6..12683babff30bfe00626a97fff62cc194c88ab65 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_rom_system_info.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip index 61238761957e8a1d531f60a789a4be3b4378a97e..338507b634003a085ca5c6635b0e743427831c14 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/qsys_unb2c_test_timer_0.ip @@ -671,7 +671,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/reg_10gbase_r_24.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/reg_10gbase_r_24.ip index ca830213547ce49bab58f4db789b2553ce048b3f..016dd1398926366363b21c45b731bae89fc4663b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/reg_10gbase_r_24.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test/reg_10gbase_r_24.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_0.ip index cc9970fa257da6528834130680d09b72510279af..8a1c8fb493d65a07eb5e0cb7b887bdbe9e31007c 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_0.ip @@ -2113,7 +2113,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_1.ip index 0749c3d40f68b56a54bdf70431d6f90ac64dac38..e76c1a51a2485b5df1827f1af3189c9c2742cad6 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_avs_eth_1.ip @@ -2113,7 +2113,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_clk_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_clk_0.ip index 7b4dabfe746ffd210a6641100edcf7e754d54f1d..44ffa5747a23af08d422bc336c0da7198dde6a88 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_clk_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_clk_0.ip @@ -262,7 +262,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_cpu_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_cpu_0.ip index 3b4000e2c36a1e701510ce09a5b14788ed2e6a86..2c1f53033445ae918e9443c762051a38f1466227 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_cpu_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_cpu_0.ip @@ -2313,7 +2313,7 @@ <spirit:parameter> <spirit:name>AUTO_DEVICE</spirit:name> <spirit:displayName>Auto DEVICE</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="AUTO_DEVICE">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>AUTO_DEVICE_SPEEDGRADE</spirit:name> @@ -2541,7 +2541,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_jtag_uart_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_jtag_uart_0.ip index 10fd96ca18402df82a370bebe203c78bcbd509f6..a3c2e633e2d4baaa41ff821a0ec5419c560625b3 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_jtag_uart_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_jtag_uart_0.ip @@ -678,7 +678,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_onchip_memory2_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_onchip_memory2_0.ip index a2efd05d439aefcee5f46c8d5a4cf49c86f138ba..250d28dcdce7a83582329c28c058cf2924f00cbd 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_onchip_memory2_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_onchip_memory2_0.ip @@ -806,7 +806,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_pps.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_pps.ip index c2ddca74b12b5403c3e75510d22cf90600bfe330..a1467e21d765f89c4a9410a08293e43d1c7e4acc 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_pps.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_pps.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_system_info.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_system_info.ip index d853bbd88b8b235c4eb7389b57b682edb06fabdd..f7b9903be065818bb1df8dbc0d9df2a41a6b2a1f 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_system_info.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_wdi.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_wdi.ip index 9abff9dfd1d23d1407831d17c481ebba511229f1..c60bf985dce4e3dd8db1da906089e1017c1d7ab4 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_pio_wdi.ip @@ -691,7 +691,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_10gbe.ip index 6882e4e13004cdf6b2491f50e18877976f810f4d..5e832af69514c8dc2f23c626e3aff0fe1487f5a5 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_1gbe.ip index b19c9a7b042f2adc87e6a548c811b588f89607c4..c2e034634789c37f0bd64e6cc51d5317ece5192b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_bg_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip index 0f7fc90622da248a67a1d05ff6ff0a3861908b7e..607ffee9ab8f2d684d29f33131695f7119a13c88 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip index 344acfe455e82d7eb4f67658e83b1db0a4bdca85..804c9dc648b39e29678ea4072ccf687b74a45664 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip index db1d5f5b84fb3c62605f28c2dedcace42d02d170..6c6b07e1f7f2ea0c42ad70bb2b9cfabd41372144 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip index 73abe3d950645596bc62feab72f151f989e06002..c704d55c06ef01aff6d498370b61d3703828fbe5 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_10gbase_r_24.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_10gbase_r_24.ip index c793022ae97b1c3dde6c7643c410e42fc658dfc4..27e867c6d414893c538de8e83a6eec66dbbb8851 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_10gbase_r_24.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_10gbase_r_24.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip index dea97bb3cc6adc8319b4daedf333c1348396d9a9..882933f24fc990a90544e4967bff836a5da171c6 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_10GbE.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip index a82fb5145c9e3310da59ae3b48cbbd36eabd6f5b..537f71cc3844a9b1f1d4b0be6dc70264ce3b262e 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_bsn_monitor_1GbE.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_10gbe.ip index 811f0c94e155425f2ec44537d8b8c2e833c9d7df..c54210a64cecb2aeec8334e0cbf6325aecaa4d6c 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_1gbe.ip index 91aa2df440c53fbdd792630c7bd2a0cafe3d564c..3fd50e5d8cb407033a86fd7863a3f6d6497f1a29 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_bg_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip index ac8f5c9e11f332b80683a6fed918644216e994cd..42b9a19c9cbfc0890327b5bad858093ea7df93bb 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip index 7a2e6a44bad2a0776999ff55550d77662e1140dc..1a136b533d81c55471c2a7eca4070539b48852d1 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip index d5003b87170ee0372f65de36e56d55b71ad8db89..2728ae52275668aa933981169bf42c9e744168e9 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip index 78c4fe956dbcefed4a8e7cae15abe8a444a4e9c5..05f8342a065bf2acbf26a804897cd93121b16052 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip index 99a828dc708b7d7bfef698ed58b2b7a3b68da93d..8d5bb3a060badf747c6a2f9daeb2388e3820424d 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip index 5f93388b7132da9cf9a626158d023db4965c82a6..18abb373afac027df915379ca0607e661f2de890 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip index 08fc0477f7ed22fd19a12f734c441c8248e808da..46aea8eeca54bbdf81c42cd65ef5d0e06c3132f6 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip index 96b9abad4fc3e56a148c69b3afa56065d58179e7..2f9fb90349c5a27a4f10267a051a8454da71d1e3 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip index f5f0494b194720ac3f011639adc780be46f43ad6..07ed81dc899fa2ad2fb2c0a228e725c9e4b58439 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_10gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip index 19c6db3a2930f5c22d78a4125853f3373ec04d39..7796feab8ea0678acc149988805f1a886fd48fb5 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_1gbe.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip index e6eac2b634d206269a74acda880ede44423fe6e7..c3e59c9aa2d6152d683a24d4919f2942b7c77117 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip index 94a33b31890bd2de153683a1e17a5ee87dfa329c..cd0c51fcd47d13cc59abb934a298f151864ad6a6 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_ctrl.ip index 42772fa8048838efa0c70d917a6fe73e79fa67aa..ca1065cf8f5b3ccc08df7fdfee19276f06c3d0d2 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_ctrl.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_data.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_data.ip index 6d1579976753ab5d5a977106422c03e554a9c17c..e68f3651c8ab3f31b29bfe313aac8d3d69cf1431 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_data.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_dpmm_data.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_epcs.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_epcs.ip index 3dd7b66fa4e72874ecc5bf86f1ed363f562c155d..9d5e28c86b9871bf9e6639a5c02f0c179b30c015 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_epcs.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_epcs.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back0.ip index 231dcc14b75d2077160fb871a958be340ced7098..eb977aef759ef6b6fcdd301586d36b94aa3bbb76 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back0.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back1.ip index 9a3c68c4fb0fee92fb8fb3cfbdacdd7ef6eafa94..ef75d6e5f4ea57dd8f6d2e3973fffcaf78d08aef 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_back1.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip index 8263825f7391c5840abfad27e84a209d25a49ce1..6e0139197621670b4eb5eebf9e8021c099b171f4 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_eth10g_qsfp_ring.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_temp_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_temp_sens.ip index 5328273445e1ece9e1189f1d7522290dee6d9b78..496ce83a585036d86f82cf1b465e3cb3731a15c4 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_temp_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_temp_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_voltage_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_voltage_sens.ip index 3814c6fb88118d86e3aa3a698b9fd20251a63135..f19754128e9420c5a9dbc3ea61afb56a45403f0d 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_voltage_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_fpga_voltage_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_I.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_I.ip index 23a4a382a7a086813701552dec4b44b5cfdb46bc..fac5c0142fc029766ba3eecfc7602b7e4ccf3c70 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_I.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_I.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_II.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_II.ip index c08d02c2aed8bca9f77875f4b4f2c307a9b93196..76485967df2264b02163f055de4e8733b41084d8 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_II.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_io_ddr_MB_II.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_ctrl.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_ctrl.ip index d1737e6d7dad230828fce53c64a78bf249a1afbf..c73075cede38a993de218a2f82e5083fd9d846ac 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_ctrl.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_ctrl.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_data.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_data.ip index bafc4638fe2f20cc10eee2c7023e3a26e328fd3d..56bef00eb1926a12d2624a5c1da95564d3ad645a 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_data.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_mmdp_data.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_remu.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_remu.ip index fd2ebbe0d4427983821d4c7051889d2971541810..2707441d3e83650126a0949ccbc9ed9c504d0172 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_remu.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_remu.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back0.ip index 7ead2f72b13c9722536db1e4f8fcc73ed490bf9a..6f4c801f791fa917da01dc08eeee8fca0e821130 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back0.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back1.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back1.ip index f6bd23c9779eb19b7f5e57b9a78d01f7b6aba27d..fd361d58eefa7096b5204abe133ee6957ae71312 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back1.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_back1.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip index 9d5b15a582861404141ee185c036d6382bd32820..1fb29fe57b3fce41f26306c72b40ca644e1f21d1 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_tr_10GbE_qsfp_ring.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_pmbus.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_pmbus.ip index 339a5270f9f78869d1973ca56e4a539da798e75e..58609c0c7482977336e24a4026f5deab7e604745 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_pmbus.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_pmbus.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_sens.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_sens.ip index b82f2d51826b61d92ce909027fd01d7504b30347..01be7ee24da001cdfdc914b546f618e146c65b9c 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_sens.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_unb_sens.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_wdi.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_wdi.ip index db9ede53128f341965faca2aacd27780eea0a33a..8811a5b8fced7258b23db45666d1bc4c14010cf1 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_wdi.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_reg_wdi.ip @@ -794,7 +794,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_rom_system_info.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_rom_system_info.ip index 85594ed63a1b566e67a1c621fc3d81e5b39492d1..65ad1ec4b3cd2238427cad512212e9c2f7885c13 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_rom_system_info.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_rom_system_info.ip @@ -802,7 +802,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_timer_0.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_timer_0.ip index 6707fa93f269a652a3db45383b5411fe186ca5c1..8aa94b3cd4a0338a768c034f5ae643c4fe34d5a7 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_timer_0.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/qsys_unb2c_test_timer_0.ip @@ -671,7 +671,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/ip/reg_10gbase_r_24.ip b/boards/uniboard2c/designs/unb2c_test/quartus/ip/reg_10gbase_r_24.ip index 2ca1e78499b5b5fb62d1c42492831cd8ec3bf263..2f752a54314d810324007aa6147e8c9428ad0d9b 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/ip/reg_10gbase_r_24.ip +++ b/boards/uniboard2c/designs/unb2c_test/quartus/ip/reg_10gbase_r_24.ip @@ -866,7 +866,7 @@ <spirit:parameter> <spirit:name>device</spirit:name> <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U2F45E1SG</spirit:value> + <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> </spirit:parameter> <spirit:parameter> <spirit:name>deviceFamily</spirit:name> diff --git a/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys b/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys index 6e468af2c9fedcd4d24b4074a034d44a455d4641..147c147b96513fea886299f1a97c10747b55b050 100644 --- a/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys +++ b/boards/uniboard2c/designs/unb2c_test/quartus/qsys_unb2c_test.qsys @@ -10,6 +10,9 @@ tool="QsysPro" /> <parameter name="bonusData"><![CDATA[bonusData { + element $system + { + } element avs_eth_0 { datum _sortIndex @@ -923,10 +926,9 @@ } } ]]></parameter> - <parameter name="clockCrossingAdapter" value="HANDSHAKE" /> - <parameter name="device" value="10AX115U2F45E1SG" /> + <parameter name="device" value="10AX115U3F45E2SG" /> <parameter name="deviceFamily" value="Arria 10" /> - <parameter name="deviceSpeedGrade" value="1" /> + <parameter name="deviceSpeedGrade" value="2" /> <parameter name="fabricMode" value="QSYS" /> <parameter name="generateLegacySim" value="false" /> <parameter name="generationId" value="0" /> @@ -934,7 +936,6 @@ <parameter name="hdlLanguage" value="VERILOG" /> <parameter name="hideFromIPCatalog" value="false" /> <parameter name="lockedInterfaceDefinition" value="" /> - <parameter name="maxAdditionalLatency" value="1" /> <parameter name="sopcBorderPoints" value="false" /> <parameter name="systemHash" value="0" /> <parameter name="systemInfos"><![CDATA[<systemInfosDefinition> @@ -951,18 +952,6 @@ <consumedSystemInfos/> </value> </entry> - <entry> - <key>rom_system_info_clk</key> - <value> - <connectionPointName>rom_system_info_clk</connectionPointName> - <suppliedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - </entry> - </suppliedSystemInfos> - <consumedSystemInfos/> - </value> - </entry> </connPtSystemInfos> </systemInfosDefinition>]]></parameter> <parameter name="systemScripts" value="" /> @@ -4250,6 +4239,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_avs_eth_0</hdlLibraryName> <fileSets> @@ -4278,6 +4268,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="avs_eth_1" @@ -5791,6 +5782,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_avs_eth_1</hdlLibraryName> <fileSets> @@ -5819,6 +5811,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="clk_0" @@ -6026,6 +6019,160 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> + <interfaces> + <interface> + <name>clk_in</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>in_clk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>clk</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>125000000</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_in_reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>reset_n</name> + <role>reset_n</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>qsys.ui.export_name</key> + <value>reset</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>clk_out</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + <value>clk_in</value> + </entry> + <entry> + <key>clockRate</key> + <value>125000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>true</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>clk_reset</name> + <type>reset</type> + <isStart>true</isStart> + <ports> + <port> + <name>reset_n_out</name> + <role>reset_n</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap/> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedDirectReset</key> + <value>clk_in_reset</value> + </entry> + <entry> + <key>associatedResetSinks</key> + <value>clk_in_reset</value> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition>]]></parameter> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_clk_0</hdlLibraryName> <fileSets> @@ -6054,6 +6201,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="cpu_0" @@ -7231,7 +7379,7 @@ <consumedSystemInfos> <entry> <key>CUSTOM_INSTRUCTION_SLAVES</key> - <value><info/></value> + <value></value> </entry> </consumedSystemInfos> </value> @@ -7307,6 +7455,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_cpu_0</hdlLibraryName> <fileSets> @@ -7536,6 +7685,7 @@ </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="jtag_uart_0" @@ -8063,6 +8213,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_jtag_uart_0</hdlLibraryName> <fileSets> @@ -8124,6 +8275,7 @@ </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="onchip_memory2_0" @@ -8509,6 +8661,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_onchip_memory2_0</hdlLibraryName> <fileSets> @@ -8634,6 +8787,7 @@ </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="pio_pps" @@ -9221,6 +9375,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_pio_pps</hdlLibraryName> <fileSets> @@ -9249,6 +9404,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="pio_system_info" @@ -9836,6 +9992,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_pio_system_info</hdlLibraryName> <fileSets> @@ -9864,6 +10021,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="pio_wdi" @@ -10386,6 +10544,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_pio_wdi</hdlLibraryName> <fileSets> @@ -10491,6 +10650,7 @@ </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_bg_10gbe" @@ -11078,6 +11238,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_bg_10gbe</hdlLibraryName> <fileSets> @@ -11106,6 +11267,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_bg_1gbe" @@ -11693,6 +11855,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_bg_1gbe</hdlLibraryName> <fileSets> @@ -11721,6 +11884,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_data_buffer_10gbe" @@ -12308,6 +12472,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_data_buffer_10gbe</hdlLibraryName> <fileSets> @@ -12336,6 +12501,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_data_buffer_1gbe" @@ -12923,6 +13089,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_data_buffer_1gbe</hdlLibraryName> <fileSets> @@ -12951,6 +13118,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_data_buffer_ddr_MB_I" @@ -13538,6 +13706,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_I</hdlLibraryName> <fileSets> @@ -13566,6 +13735,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="ram_diag_data_buffer_ddr_MB_II" @@ -14153,6 +14323,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_ram_diag_data_buffer_ddr_MB_II</hdlLibraryName> <fileSets> @@ -14181,6 +14352,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_10gbase_r_24" @@ -14808,6 +14980,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>reg_10gbase_r_24</hdlLibraryName> <fileSets> @@ -14836,6 +15009,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_bsn_monitor_10GbE" @@ -15423,6 +15597,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_10GbE</hdlLibraryName> <fileSets> @@ -15451,6 +15626,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_bsn_monitor_1GbE" @@ -16038,6 +16214,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_bsn_monitor_1GbE</hdlLibraryName> <fileSets> @@ -16066,6 +16243,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_bg_10gbe" @@ -16653,6 +16831,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_10gbe</hdlLibraryName> <fileSets> @@ -16681,6 +16860,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_bg_1gbe" @@ -17268,6 +17448,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_bg_1gbe</hdlLibraryName> <fileSets> @@ -17296,6 +17477,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_data_buffer_10gbe" @@ -17883,6 +18065,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_10gbe</hdlLibraryName> <fileSets> @@ -17911,6 +18094,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_data_buffer_1gbe" @@ -18498,6 +18682,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_1gbe</hdlLibraryName> <fileSets> @@ -18526,6 +18711,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_data_buffer_ddr_MB_I" @@ -19113,6 +19299,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_I</hdlLibraryName> <fileSets> @@ -19141,6 +19328,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_data_buffer_ddr_MB_II" @@ -19728,6 +19916,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_data_buffer_ddr_MB_II</hdlLibraryName> <fileSets> @@ -19756,6 +19945,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_rx_seq_10gbe" @@ -20343,6 +20533,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_10gbe</hdlLibraryName> <fileSets> @@ -20371,6 +20562,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_rx_seq_1gbe" @@ -20958,6 +21150,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_1gbe</hdlLibraryName> <fileSets> @@ -20986,6 +21179,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_rx_seq_ddr_MB_I" @@ -21573,6 +21767,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_I</hdlLibraryName> <fileSets> @@ -21601,6 +21796,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_rx_seq_ddr_MB_II" @@ -22188,6 +22384,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_rx_seq_ddr_MB_II</hdlLibraryName> <fileSets> @@ -22216,6 +22413,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_tx_seq_10gbe" @@ -22803,6 +23001,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_10gbe</hdlLibraryName> <fileSets> @@ -22831,6 +23030,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_tx_seq_1gbe" @@ -23418,6 +23618,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_1gbe</hdlLibraryName> <fileSets> @@ -23446,6 +23647,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_tx_seq_ddr_MB_I" @@ -24033,6 +24235,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_I</hdlLibraryName> <fileSets> @@ -24061,6 +24264,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_diag_tx_seq_ddr_MB_II" @@ -24648,6 +24852,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_diag_tx_seq_ddr_MB_II</hdlLibraryName> <fileSets> @@ -24676,6 +24881,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_dpmm_ctrl" @@ -25263,6 +25469,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_dpmm_ctrl</hdlLibraryName> <fileSets> @@ -25291,6 +25498,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_dpmm_data" @@ -25878,6 +26086,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_dpmm_data</hdlLibraryName> <fileSets> @@ -25906,6 +26115,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_epcs" @@ -26493,6 +26703,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_epcs</hdlLibraryName> <fileSets> @@ -26521,6 +26732,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_eth10g_back0" @@ -27108,6 +27320,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back0</hdlLibraryName> <fileSets> @@ -27136,6 +27349,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_eth10g_back1" @@ -27723,6 +27937,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_eth10g_back1</hdlLibraryName> <fileSets> @@ -27751,6 +27966,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_eth10g_qsfp_ring" @@ -28338,6 +28554,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_eth10g_qsfp_ring</hdlLibraryName> <fileSets> @@ -28366,6 +28583,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_fpga_temp_sens" @@ -28953,6 +29171,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_fpga_temp_sens</hdlLibraryName> <fileSets> @@ -28981,6 +29200,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_fpga_voltage_sens" @@ -29568,6 +29788,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_fpga_voltage_sens</hdlLibraryName> <fileSets> @@ -29596,6 +29817,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_io_ddr_MB_I" @@ -30183,6 +30405,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_I</hdlLibraryName> <fileSets> @@ -30211,6 +30434,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_io_ddr_MB_II" @@ -30798,6 +31022,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_io_ddr_MB_II</hdlLibraryName> <fileSets> @@ -30826,6 +31051,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_mmdp_ctrl" @@ -31413,6 +31639,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_mmdp_ctrl</hdlLibraryName> <fileSets> @@ -31441,6 +31668,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_mmdp_data" @@ -32028,6 +32256,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_mmdp_data</hdlLibraryName> <fileSets> @@ -32056,6 +32285,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_remu" @@ -32643,6 +32873,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_remu</hdlLibraryName> <fileSets> @@ -32671,6 +32902,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_tr_10GbE_back0" @@ -33298,6 +33530,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_tr_10GbE_back0</hdlLibraryName> <fileSets> @@ -33326,6 +33559,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_tr_10GbE_back1" @@ -33953,6 +34187,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_tr_10GbE_back1</hdlLibraryName> <fileSets> @@ -33981,6 +34216,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_tr_10GbE_qsfp_ring" @@ -34608,6 +34844,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_tr_10GbE_qsfp_ring</hdlLibraryName> <fileSets> @@ -34636,6 +34873,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_unb_pmbus" @@ -35223,6 +35461,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_unb_pmbus</hdlLibraryName> <fileSets> @@ -35251,6 +35490,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_unb_sens" @@ -35838,6 +36078,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_unb_sens</hdlLibraryName> <fileSets> @@ -35866,6 +36107,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="reg_wdi" @@ -36453,6 +36695,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_reg_wdi</hdlLibraryName> <fileSets> @@ -36481,6 +36724,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="rom_system_info" @@ -37068,6 +37312,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_rom_system_info</hdlLibraryName> <fileSets> @@ -37096,6 +37341,7 @@ <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <module name="timer_0" @@ -37738,6 +37984,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>qsys_unb2c_test_timer_0</hdlLibraryName> <fileSets> @@ -37819,1172 +38066,1926 @@ </entry> </assignmentValueMap> </assignmentDefinition>]]></parameter> + <parameter name="svInterfaceDefinition" value="" /> </module> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="jtag_uart_0.avalon_jtag_slave"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3490" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="cpu_0.debug_mem_slave"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3800" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_unb_sens.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0700" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="rom_system_info.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x1000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="pio_system_info.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="pio_pps.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3488" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_wdi.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_remu.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3420" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_epcs.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3400" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_dpmm_ctrl.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3480" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_dpmm_data.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3478" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_mmdp_ctrl.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3470" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_mmdp_data.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3008" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_tr_10GbE_qsfp_ring.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00200000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_bsn_monitor_1GbE.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3200" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_data_buffer_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3180" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_data_buffer_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0xc000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_bg_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x33e0" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_bg_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0xa000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_tr_10GbE_back0.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00400000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_tr_10GbE_back1.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00100000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_data_buffer_ddr_MB_I.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3100" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_data_buffer_ddr_MB_I.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x8000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_io_ddr_MB_I.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00580000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_bsn_monitor_10GbE.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x6000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_data_buffer_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0600" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_data_buffer_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00500000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_bg_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x33c0" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_bg_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00080000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_tx_seq_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3460" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_rx_seq_1gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x33a0" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_tx_seq_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3300" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_rx_seq_10gbe.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3080" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_tx_seq_ddr_MB_I.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3450" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_rx_seq_ddr_MB_I.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3380" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="ram_diag_data_buffer_ddr_MB_II.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x4000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_io_ddr_MB_II.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00040000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_tx_seq_ddr_MB_II.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3440" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_rx_seq_ddr_MB_II.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3360" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_diag_data_buffer_ddr_MB_II.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0080" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_eth10g_qsfp_ring.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0200" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_eth10g_back0.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0500" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_eth10g_back1.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0400" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_unb_pmbus.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x0100" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_fpga_voltage_sens.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x32c0" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_fpga_temp_sens.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3340" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="reg_10gbase_r_24.mem"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x005c0000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_0.mms_ram"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00010000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_1.mms_ram"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0xf000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_0.mms_reg"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3280" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_1.mms_reg"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3040" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_0.mms_tse"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0xe000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="avs_eth_1.mms_tse"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x2000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="onchip_memory2_0.s1"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00020000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="pio_wdi.s1"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3010" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.data_master" end="timer_0.s1"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3020" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.instruction_master" end="cpu_0.debug_mem_slave"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x3800" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> <connection kind="avalon" - version="18.0" + version="19.4" start="cpu_0.instruction_master" end="onchip_memory2_0.s1"> + <parameter name="arbitrationPriority" value="1" /> <parameter name="baseAddress" value="0x00020000" /> + <parameter name="defaultConnection" value="false" /> + <parameter name="domainAlias" value="" /> + <parameter name="qsys_mm.burstAdapterImplementation" value="GENERIC_CONVERTER" /> + <parameter name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> + <parameter name="qsys_mm.enableEccProtection" value="FALSE" /> + <parameter name="qsys_mm.enableInstrumentation" value="FALSE" /> + <parameter name="qsys_mm.insertDefaultSlave" value="FALSE" /> + <parameter name="qsys_mm.interconnectResetSource" value="DEFAULT" /> + <parameter name="qsys_mm.interconnectType" value="STANDARD" /> + <parameter name="qsys_mm.maxAdditionalLatency" value="1" /> + <parameter name="qsys_mm.syncResets" value="FALSE" /> + <parameter name="qsys_mm.widthAdapterImplementation" value="GENERIC_CONVERTER" /> </connection> - <connection kind="clock" version="18.0" start="clk_0.clk" end="jtag_uart_0.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_wdi.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="timer_0.clk" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="cpu_0.clk" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="jtag_uart_0.clk" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="pio_wdi.clk" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="timer_0.clk" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="cpu_0.clk" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="onchip_memory2_0.clk1" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="avs_eth_0.mm" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="avs_eth_1.mm" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="avs_eth_0.mm" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="avs_eth_1.mm" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_unb_sens.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="rom_system_info.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="pio_system_info.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="pio_pps.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_wdi.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_remu.system" /> - <connection kind="clock" version="18.0" start="clk_0.clk" end="reg_epcs.system" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="pio_pps.system" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_wdi.system" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_remu.system" /> + <connection kind="clock" version="19.4" start="clk_0.clk" end="reg_epcs.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_dpmm_ctrl.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_mmdp_data.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_dpmm_data.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_mmdp_ctrl.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_tr_10GbE_qsfp_ring.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_bsn_monitor_1GbE.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_data_buffer_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_data_buffer_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_bg_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_bg_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_tr_10GbE_back0.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_tr_10GbE_back1.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_data_buffer_ddr_MB_I.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_data_buffer_ddr_MB_I.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_io_ddr_MB_I.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_bsn_monitor_10GbE.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_data_buffer_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_data_buffer_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_bg_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_bg_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_tx_seq_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_rx_seq_1gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_tx_seq_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_rx_seq_10gbe.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_tx_seq_ddr_MB_I.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_rx_seq_ddr_MB_I.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_io_ddr_MB_II.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="ram_diag_data_buffer_ddr_MB_II.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_tx_seq_ddr_MB_II.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_rx_seq_ddr_MB_II.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_diag_data_buffer_ddr_MB_II.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_eth10g_qsfp_ring.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_eth10g_back0.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_eth10g_back1.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_unb_pmbus.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_fpga_voltage_sens.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_fpga_temp_sens.system" /> <connection kind="clock" - version="18.0" + version="19.4" start="clk_0.clk" end="reg_10gbase_r_24.system" /> <connection kind="interrupt" - version="18.0" + version="19.4" start="cpu_0.irq" - end="avs_eth_0.interrupt" /> + end="avs_eth_0.interrupt"> + <parameter name="irqNumber" value="0" /> + </connection> <connection kind="interrupt" - version="18.0" + version="19.4" start="cpu_0.irq" end="avs_eth_1.interrupt"> <parameter name="irqNumber" value="1" /> </connection> <connection kind="interrupt" - version="18.0" + version="19.4" start="cpu_0.irq" end="jtag_uart_0.irq"> <parameter name="irqNumber" value="2" /> </connection> - <connection kind="interrupt" version="18.0" start="cpu_0.irq" end="timer_0.irq"> + <connection kind="interrupt" version="19.4" start="cpu_0.irq" end="timer_0.irq"> <parameter name="irqNumber" value="3" /> </connection> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="avs_eth_0.mm_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="avs_eth_1.mm_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="jtag_uart_0.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="pio_wdi.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="timer_0.reset" /> - <connection kind="reset" version="18.0" start="clk_0.clk_reset" end="cpu_0.reset" /> + <connection kind="reset" version="19.4" start="clk_0.clk_reset" end="cpu_0.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="onchip_memory2_0.reset1" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_unb_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="rom_system_info.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="pio_system_info.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="pio_pps.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_wdi.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_remu.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_epcs.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_dpmm_ctrl.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_mmdp_data.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_mmdp_ctrl.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_dpmm_data.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_tr_10GbE_qsfp_ring.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_bsn_monitor_1GbE.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_data_buffer_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_data_buffer_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_bg_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_bg_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_tr_10GbE_back0.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_tr_10GbE_back1.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_data_buffer_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_data_buffer_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_io_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_bsn_monitor_10GbE.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_data_buffer_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_data_buffer_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_bg_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_bg_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_tx_seq_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_rx_seq_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_tx_seq_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_rx_seq_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_tx_seq_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_rx_seq_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_io_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="ram_diag_data_buffer_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_tx_seq_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_rx_seq_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_diag_data_buffer_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_eth10g_qsfp_ring.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_eth10g_back0.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_eth10g_back1.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_unb_pmbus.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_fpga_voltage_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_fpga_temp_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="clk_0.clk_reset" end="reg_10gbase_r_24.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="avs_eth_0.mm_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="avs_eth_1.mm_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="jtag_uart_0.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="pio_wdi.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="timer_0.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="cpu_0.reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="onchip_memory2_0.reset1" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_unb_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="rom_system_info.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="pio_system_info.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="pio_pps.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_wdi.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_remu.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_epcs.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_dpmm_ctrl.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_mmdp_data.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_dpmm_data.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_mmdp_ctrl.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_tr_10GbE_qsfp_ring.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_bsn_monitor_1GbE.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_data_buffer_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_data_buffer_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_bg_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_bg_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_tr_10GbE_back0.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_tr_10GbE_back1.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_data_buffer_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_data_buffer_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_io_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_bsn_monitor_10GbE.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_data_buffer_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_data_buffer_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_bg_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_bg_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_tx_seq_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_rx_seq_1gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_tx_seq_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_rx_seq_10gbe.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_tx_seq_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_rx_seq_ddr_MB_I.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_io_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="ram_diag_data_buffer_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_tx_seq_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_rx_seq_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_diag_data_buffer_ddr_MB_II.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_eth10g_qsfp_ring.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_eth10g_back0.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_eth10g_back1.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_unb_pmbus.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_fpga_voltage_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_fpga_temp_sens.system_reset" /> <connection kind="reset" - version="18.0" + version="19.4" start="cpu_0.debug_reset_request" end="reg_10gbase_r_24.system_reset" /> - <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" /> - <interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="FALSE" /> - <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" /> </system> diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/hdllib.cfg b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/hdllib.cfg index 85040a4ee86213042a16eaf4e8c8e09104d7ef7c..4191fa35301df194f9fcd9c88d027dc605875df8 100644 --- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/hdllib.cfg +++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/hdllib.cfg @@ -2,27 +2,27 @@ hdl_lib_name = unb2c_test_pinning hdl_library_clause_name = unb2c_test_pinning_lib hdl_lib_uses_synth = common mm technology unb2c_board unb2c_test hdl_lib_uses_sim = -hdl_lib_technology = ip_arria10_e1sg +hdl_lib_technology = ip_arria10_e2sg hdl_lib_include_ip = # Comment all IP that is not used in this design # 10GbE - ip_arria10_e1sg_mac_10g - ip_arria10_e1sg_pll_xgmii_mac_clocks - ip_arria10_e1sg_transceiver_pll_10g + ip_arria10_e2sg_mac_10g + ip_arria10_e2sg_pll_xgmii_mac_clocks + ip_arria10_e2sg_transceiver_pll_10g - ip_arria10_e1sg_phy_10gbase_r - ip_arria10_e1sg_phy_10gbase_r_4 - ip_arria10_e1sg_phy_10gbase_r_12 - ip_arria10_e1sg_phy_10gbase_r_24 - ip_arria10_e1sg_phy_10gbase_r_48 + ip_arria10_e2sg_phy_10gbase_r + ip_arria10_e2sg_phy_10gbase_r_4 + ip_arria10_e2sg_phy_10gbase_r_12 + ip_arria10_e2sg_phy_10gbase_r_24 + ip_arria10_e2sg_phy_10gbase_r_48 - ip_arria10_e1sg_transceiver_reset_controller_1 - ip_arria10_e1sg_transceiver_reset_controller_4 - ip_arria10_e1sg_transceiver_reset_controller_12 - ip_arria10_e1sg_transceiver_reset_controller_24 - ip_arria10_e1sg_transceiver_reset_controller_48 + ip_arria10_e2sg_transceiver_reset_controller_1 + ip_arria10_e2sg_transceiver_reset_controller_4 + ip_arria10_e2sg_transceiver_reset_controller_12 + ip_arria10_e2sg_transceiver_reset_controller_24 + ip_arria10_e2sg_transceiver_reset_controller_48 - ip_arria10_e1sg_ddr4_8g_1600 + ip_arria10_e2sg_ddr4_8g_1600 synth_files = unb2c_test_pinning.vhd diff --git a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/unb2c_test_pinning.vhd b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/unb2c_test_pinning.vhd index 0714a2e9329ce87706278f12c1e1fe65ad2ff0ba..cd2d07767b3de98dd7e1475d84b03f29a81826e5 100644 --- a/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/unb2c_test_pinning.vhd +++ b/boards/uniboard2c/designs/unb2c_test/revisions/unb2c_test_pinning/unb2c_test_pinning.vhd @@ -74,9 +74,6 @@ ENTITY unb2c_test_pinning IS -- back transceivers BCK_RX : IN STD_LOGIC_VECTOR((c_unb2c_board_tr_back.bus_w * c_unb2c_board_tr_back.nof_bus)-1 downto 0); BCK_TX : OUT STD_LOGIC_VECTOR((c_unb2c_board_tr_back.bus_w * c_unb2c_board_tr_back.nof_bus)-1 downto 0); - BCK_SDA : INOUT STD_LOGIC_VECTOR(c_unb2c_board_tr_back.i2c_w-1 downto 0); - BCK_SCL : INOUT STD_LOGIC_VECTOR(c_unb2c_board_tr_back.i2c_w-1 downto 0); - BCK_ERR : INOUT STD_LOGIC_VECTOR(c_unb2c_board_tr_back.i2c_w-1 downto 0); -- jesd204b syncronization signals JESD204B_SYSREF : IN STD_LOGIC; @@ -171,9 +168,6 @@ BEGIN -- back transceivers BCK_RX => BCK_RX, BCK_TX => BCK_TX, - BCK_SDA => BCK_SDA, - BCK_SCL => BCK_SCL, - BCK_ERR => BCK_ERR, -- ring transceivers RING_0_RX => RING_0_RX, diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd index 5694d383e6957b629b4ec8957a86664e55b0e9af..2a450d842d4d3083261f139efcd6a8a5ed485b53 100644 --- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd +++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test.vhd @@ -40,7 +40,7 @@ ENTITY unb2c_test IS GENERIC ( g_design_name : STRING := "unb2c_test"; g_design_note : STRING := "UNUSED"; - g_technology : NATURAL := c_tech_arria10_e1sg; + g_technology : NATURAL := c_tech_arria10_e2sg; g_sim : BOOLEAN := FALSE; --Overridden by TB g_sim_unb_nr : NATURAL := 0; g_sim_node_nr : NATURAL := 0; @@ -146,8 +146,8 @@ ARCHITECTURE str OF unb2c_test IS -- Revision controlled constants CONSTANT c_revision_select : t_unb2c_test_config := func_sel_revision_rec(g_design_name); CONSTANT c_use_loopback : BOOLEAN := c_revision_select.use_loopback; - CONSTANT c_use_1GbE_I : BOOLEAN := c_revision_select.use_1GbE_I; - CONSTANT c_use_1GbE_II : BOOLEAN := c_revision_select.use_1GbE_II; + CONSTANT c_use_1GbE_I_UDP : BOOLEAN := c_revision_select.use_1GbE_I_UDP; -- Enable the UDP offload ports on eth0, eth0 is always enabled for control + CONSTANT c_use_1GbE_II : BOOLEAN := c_revision_select.use_1GbE_II; -- Enable the second 1GbE eth1 CONSTANT c_use_10GbE_qsfp : BOOLEAN := c_revision_select.use_10GbE_qsfp; CONSTANT c_use_10GbE_ring : BOOLEAN := c_revision_select.use_10GbE_ring; CONSTANT c_use_10GbE_back0 : BOOLEAN := c_revision_select.use_10GbE_back0; @@ -167,7 +167,10 @@ ARCHITECTURE str OF unb2c_test IS CONSTANT c_nof_jesd204b : NATURAL := c_unb2c_board_tr_jesd204b.nof_bus * c_unb2c_board_tr_jesd204b.bus_w; -- 1GbE - CONSTANT c_nof_streams_1GbE : NATURAL := sel_a_b(c_use_1GbE_I,1,0) + sel_a_b(c_use_1GbE_II,1,0); + -- This is the number of UDP offload streams. Fix it to 2 when UDP offload is enabled +-- CONSTANT c_nof_streams_1GbE_UDP : NATURAL := sel_a_b(c_use_1GbE_I,1,0) + sel_a_b(c_use_1GbE_II,1,0); + CONSTANT c_nof_streams_1GbE_UDP : NATURAL := sel_a_b(c_use_1GbE_I_UDP,2,0); + CONSTANT c_base_ip_II : STD_LOGIC_VECTOR(16-1 DOWNTO 0) := X"0A64"; -- Placeholder base IP address for eth1 10.100.xx.yy -- 10GbE CONSTANT c_nof_streams_qsfp : NATURAL := sel_a_b(c_use_10GbE_qsfp,c_nof_qsfp,0); @@ -399,10 +402,10 @@ ARCHITECTURE str OF unb2c_test IS SIGNAL reg_diag_rx_seq_10GbE_mosi : t_mem_mosi; SIGNAL reg_diag_rx_seq_10GbE_miso : t_mem_miso; - SIGNAL dp_offload_tx_1GbE_src_out_arr : t_dp_sosi_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL dp_offload_tx_1GbE_src_in_arr : t_dp_siso_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL dp_offload_rx_1GbE_snk_in_arr : t_dp_sosi_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL dp_offload_rx_1GbE_snk_out_arr : t_dp_siso_arr(c_nof_streams_1GbE-1 DOWNTO 0); + SIGNAL dp_offload_tx_1GbE_src_out_arr : t_dp_sosi_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL dp_offload_tx_1GbE_src_in_arr : t_dp_siso_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL dp_offload_rx_1GbE_snk_in_arr : t_dp_sosi_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL dp_offload_rx_1GbE_snk_out_arr : t_dp_siso_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); SIGNAL dp_offload_tx_10GbE_src_out_arr : t_dp_sosi_arr(c_nof_streams_10GbE-1 DOWNTO 0); SIGNAL dp_offload_tx_10GbE_src_in_arr : t_dp_siso_arr(c_nof_streams_10GbE-1 DOWNTO 0); @@ -443,10 +446,10 @@ ARCHITECTURE str OF unb2c_test IS SIGNAL ram_diag_data_buf_ddr_MB_II_miso : t_mem_miso; -- Interface: 1GbE UDP streaming ports - SIGNAL eth1g_udp_tx_sosi_arr : t_dp_sosi_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL eth1g_udp_tx_siso_arr : t_dp_siso_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL eth1g_udp_rx_sosi_arr : t_dp_sosi_arr(c_nof_streams_1GbE-1 DOWNTO 0); - SIGNAL eth1g_udp_rx_siso_arr : t_dp_siso_arr(c_nof_streams_1GbE-1 DOWNTO 0); + SIGNAL eth1g_udp_tx_sosi_arr : t_dp_sosi_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL eth1g_udp_tx_siso_arr : t_dp_siso_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL eth1g_udp_rx_sosi_arr : t_dp_sosi_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); + SIGNAL eth1g_udp_rx_siso_arr : t_dp_siso_arr(c_nof_streams_1GbE_UDP-1 DOWNTO 0); -- QSFP leds SIGNAL qsfp_green_led_arr : STD_LOGIC_VECTOR(c_unb2c_board_tr_qsfp.nof_bus-1 DOWNTO 0); @@ -471,9 +474,10 @@ BEGIN g_mm_clk_freq => sel_a_b(g_sim,c_unb2c_board_mm_clk_freq_25M,c_unb2c_board_mm_clk_freq_125M), g_eth_clk_freq => c_unb2c_board_eth_clk_freq_125M, g_aux => c_unb2c_board_aux, - g_udp_offload => c_use_1GbE_I, - g_udp_offload_nof_streams => c_nof_streams_1GbE, + g_udp_offload => c_use_1GbE_I_UDP, + g_udp_offload_nof_streams => c_nof_streams_1GbE_UDP, g_dp_clk_use_pll => TRUE, + g_tse_clk_buf => FALSE, g_factory_image => g_factory_image ) PORT MAP ( @@ -589,8 +593,8 @@ BEGIN MB_II_REF_CLK => MB_II_REF_CLK, -- . 1GbE Control Interface ETH_CLK => ETH_CLK, - ETH_SGIN => ETH_SGIN, - ETH_SGOUT => ETH_SGOUT + ETH_SGIN => ETH_SGIN(0), + ETH_SGOUT => ETH_SGOUT(0) ); ----------------------------------------------------------------------------- @@ -780,12 +784,12 @@ BEGIN ); -- TODO: Add support for second 1GbE port - gen_udp_stream_1GbE : IF c_use_1GbE_I = TRUE GENERATE + gen_udp_stream_1GbE : IF c_use_1GbE_I_UDP = TRUE GENERATE u_udp_stream_1GbE : ENTITY work.udp_stream GENERIC MAP ( g_sim => g_sim, g_technology => g_technology, - g_nof_streams => c_nof_streams_1GbE, + g_nof_streams => c_nof_streams_1GbE_UDP, g_data_w => c_data_w_32, g_bg_block_size => c_def_1GbE_block_size, g_bg_gapsize => c_bg_gapsize_1GbE, @@ -843,8 +847,8 @@ BEGIN -- Interface : 1GbE ----------------------------------------------------------------------------- -- TODO: Add support for second 1GbE port - gen_wires_1GbE : IF c_use_1GbE_I=TRUE GENERATE - gen_1GbE_wires : FOR i IN 0 TO c_nof_streams_1GbE-1 GENERATE + gen_wires_1GbE : IF c_use_1GbE_I_UDP=TRUE GENERATE + gen_1GbE_wires : FOR i IN 0 TO c_nof_streams_1GbE_UDP-1 GENERATE eth1g_udp_tx_sosi_arr(i) <= dp_offload_tx_1GbE_src_out_arr(i); dp_offload_tx_1GbE_src_in_arr(i) <= eth1g_udp_tx_siso_arr(i); @@ -854,6 +858,51 @@ BEGIN END GENERATE; END GENERATE; + -- Instantiate a second 1G Eth to check pinning + --gen_eth_II: IF c_use_1GbE_II=TRUE GENERATE + gen_eth_II: IF FALSE GENERATE + + u_eth : ENTITY eth_lib.eth + GENERIC MAP ( + g_technology => g_technology, + g_init_ip_address => C_base_ip_II & X"0000", -- Last two bytes set by board/FPGA ID. + g_cross_clock_domain => TRUE, + g_frm_discard_en => TRUE + ) + PORT MAP ( + -- Clocks and reset + mm_rst => mm_rst, -- use reset from QSYS + mm_clk => mm_clk, -- use mm_clk direct + eth_clk => xo_ethclk, -- 125 MHz clock +-- eth_clk => ETH_CLK, -- try direct connection to the pin + st_rst => dp_rst, + st_clk => dp_clk, + + -- UDP transmit interface + udp_tx_snk_in_arr => (others => c_dp_sosi_rst), + udp_tx_snk_out_arr => open, + -- UDP receive interface + udp_rx_src_in_arr => (others => c_dp_siso_rdy), + udp_rx_src_out_arr => open, + + -- Memory Mapped Slaves + tse_sla_in => c_mem_mosi_rst, + tse_sla_out => open, + reg_sla_in => c_mem_mosi_rst, + reg_sla_out => open, + reg_sla_interrupt => open, + ram_sla_in => c_mem_mosi_rst, + ram_sla_out => open, + + -- PHY interface + eth_txp => ETH_SGOUT(1), + eth_rxp => ETH_SGIN(1), + + -- LED interface + tse_led => open + ); + END GENERATE; + gen_udp_stream_10GbE : IF c_use_10GbE = TRUE AND c_use_loopback = FALSE GENERATE u_udp_stream_10GbE : ENTITY work.udp_stream diff --git a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd index 38d9830531e1ada3647f1668597c705825f185e8..72b312110e173fc9e21cee3fadb59e4d2d773c7f 100644 --- a/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd +++ b/boards/uniboard2c/designs/unb2c_test/src/vhdl/unb2c_test_pkg.vhd @@ -64,8 +64,8 @@ PACKAGE unb2c_test_pkg IS TYPE t_unb2c_test_config IS RECORD use_loopback : BOOLEAN; -- for pinning designs - use_1GbE_I : BOOLEAN; - use_1GbE_II : BOOLEAN; + use_1GbE_I_UDP : BOOLEAN; -- Use the UDP offload on eth0. Eth0 is always enabled for control + use_1GbE_II : BOOLEAN; -- Intantiate eth1 for pinning designs use_10GbE_qsfp : BOOLEAN; use_10GbE_ring : BOOLEAN; use_10GbE_back0 : BOOLEAN; diff --git a/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg b/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg index c610f4e6a865938ed32b7c3dfb54e8793ea8a5e5..5c4bf736e57af27833820329fa8247e32b1e4249 100644 --- a/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg +++ b/boards/uniboard2c/libraries/unb2c_board/hdllib.cfg @@ -2,11 +2,11 @@ hdl_lib_name = unb2c_board hdl_library_clause_name = unb2c_board_lib hdl_lib_uses_synth = common dp ppsh i2c eth remu technology tech_clkbuf tech_pll tech_fractional_pll epcs fpga_sense hdl_lib_uses_sim = -hdl_lib_technology = ip_arria10_e1sg -hdl_lib_include_ip = ip_arria10_e1sg_tse_sgmii_lvds - ip_arria10_e1sg_clkbuf_global - ip_arria10_e1sg_fractional_pll_clk200 - ip_arria10_e1sg_fractional_pll_clk125 +hdl_lib_technology = ip_arria10_e2sg +hdl_lib_include_ip = ip_arria10_e2sg_tse_sgmii_lvds + ip_arria10_e2sg_clkbuf_global + ip_arria10_e2sg_fractional_pll_clk200 + ip_arria10_e2sg_fractional_pll_clk125 #ip_arria10_e1sg_pll_clk200 #ip_arria10_e1sg_pll_clk25 #ip_arria10_e1sg_pll_clk125 diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl index f554b7b6e3c7d3546c61bc6268128486dd1f7798..c36eb56eef7d75536fa8780b37c1457fd5b74589 100644 --- a/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl +++ b/boards/uniboard2c/libraries/unb2c_board/quartus/pinning/unb2c_minimal_pins.tcl @@ -19,7 +19,10 @@ set_instance_assignment -name XCVR_A10_RX_TERM_SEL R_R1 -to PPS # IO Standard Assignments from Gijs (excluding memory) set_instance_assignment -name IO_STANDARD "1.8 V" -to ETH_CLK -set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL CLOCK" -to ETH_CLK +# Changed from "GLOBAL_CLOCK" due to Error 18694 +set_instance_assignment -name GLOBAL_SIGNAL "OFF" -to ETH_CLK +#set_instance_assignment -name GLOBAL_SIGNAL "GLOBAL_CLOCK" -to ETH_CLK + set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[0] set_instance_assignment -name IO_STANDARD LVDS -to "ETH_SGIN[0](n)" set_instance_assignment -name IO_STANDARD LVDS -to ETH_SGIN[1] @@ -87,12 +90,24 @@ set_location_assignment PIN_BB30 -to WDI set_location_assignment PIN_K12 -to ETH_SGIN[0] set_location_assignment PIN_J12 -to "ETH_SGIN[0](n)" -set_location_assignment PIN_AF33 -to ETH_SGIN[1] -set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" + +# Original eth1 location +#set_location_assignment PIN_AF33 -to ETH_SGIN[1] +#set_location_assignment PIN_AE33 -to "ETH_SGIN[1](n)" + +# Try placing eth1 in the same block as eth0 +set_location_assignment PIN_U12 -to ETH_SGIN[1] +set_location_assignment PIN_T12 -to "ETH_SGIN[1](n)" set_location_assignment PIN_H13 -to ETH_SGOUT[0] set_location_assignment PIN_H12 -to "ETH_SGOUT[0](n)" -set_location_assignment PIN_AW31 -to ETH_SGOUT[1] -set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" + +# Original eth1 location +#set_location_assignment PIN_AW31 -to ETH_SGOUT[1] +#set_location_assignment PIN_AV31 -to "ETH_SGOUT[1](n)" + +# Try placing eth1 in the same block as eth0 +set_location_assignment PIN_G13 -to ETH_SGOUT[1] +set_location_assignment PIN_H14 -to "ETH_SGOUT[1](n)" set_instance_assignment -name IO_STANDARD LVDS -to PPS set_instance_assignment -name IO_STANDARD LVDS -to "PPS(n)" diff --git a/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf b/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf index bcaa9b0c54705d15457044040b8927dcb600ca84..2eae7412c73c7fb885a5b9af3ee264b8590772f1 100644 --- a/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf +++ b/boards/uniboard2c/libraries/unb2c_board/quartus/unb2c_board.qsf @@ -30,7 +30,7 @@ set_global_assignment -name NUM_PARALLEL_PROCESSORS 6 # Device: set_global_assignment -name FAMILY "Arria 10" -set_global_assignment -name DEVICE 10AX115U2F45E1SG +set_global_assignment -name DEVICE 10AX115U3F45E2SG set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "1.8 V" set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 #set_global_assignment -name MIN_CORE_JUNCTION_TEMP "-40" @@ -55,10 +55,11 @@ set_global_assignment -name USE_CONFIGURATION_DEVICE ON set_global_assignment -name STRATIXIII_UPDATE_MODE REMOTE set_global_assignment -name CRC_ERROR_OPEN_DRAIN ON -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise -set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise -set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall +# The following gave a fitter error in Q19.4 +#set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise +#set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall +#set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise +#set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall set_global_assignment -name CONFIGURATION_VCCIO_LEVEL 1.8V set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION ON diff --git a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd index a999f85989155bd507bab58df4f2abaeb37e0c80..bd1308a5c1d67fa877075d643a751a80428a8b70 100644 --- a/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd +++ b/boards/uniboard2c/libraries/unb2c_board/src/vhdl/ctrl_unb2c_board.vhd @@ -243,8 +243,8 @@ ENTITY ctrl_unb2c_board IS -- 1GbE Control Interface ETH_CLK : IN STD_LOGIC; -- 125 MHz - ETH_SGIN : IN STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0) := (OTHERS=>'0'); - ETH_SGOUT : OUT STD_LOGIC_VECTOR(c_unb2c_board_nof_eth-1 DOWNTO 0) + ETH_SGIN : IN STD_LOGIC := '0'; + ETH_SGOUT : OUT STD_LOGIC ); END ctrl_unb2c_board; @@ -808,8 +808,8 @@ BEGIN ram_sla_out => eth1g_ram_miso, -- PHY interface - eth_txp => ETH_SGOUT(0), - eth_rxp => ETH_SGIN(0), + eth_txp => ETH_SGOUT, + eth_rxp => ETH_SGIN, -- LED interface tse_led => eth1g_led diff --git a/boards/uniboard2c/libraries/unb2c_board_10gbe/hdllib.cfg b/boards/uniboard2c/libraries/unb2c_board_10gbe/hdllib.cfg index 9b0053f23cb7e5aa3467e26b0114ac5c3700ab6d..5522d12105eda55d77c4471dcc117bbf3bc34f97 100644 --- a/boards/uniboard2c/libraries/unb2c_board_10gbe/hdllib.cfg +++ b/boards/uniboard2c/libraries/unb2c_board_10gbe/hdllib.cfg @@ -2,7 +2,7 @@ hdl_lib_name = unb2c_board_10gbe hdl_library_clause_name = unb2c_board_10gbe_lib hdl_lib_uses_synth = common dp technology tech_pll tr_10GbE hdl_lib_uses_sim = -hdl_lib_technology = ip_arria10_e1sg +hdl_lib_technology = ip_arria10_e2sg synth_files = src/vhdl/unb2c_board_10gbe.vhd diff --git a/libraries/base/dp/hdllib.cfg b/libraries/base/dp/hdllib.cfg index 1689245fe97b9465097ba3446027669b5891c40a..635443bac70e615dab79e95ca50c715729a822e4 100644 --- a/libraries/base/dp/hdllib.cfg +++ b/libraries/base/dp/hdllib.cfg @@ -51,6 +51,7 @@ synth_files = src/vhdl/dp_fifo_fill_dc.vhd src/vhdl/dp_fifo_fill.vhd src/vhdl/dp_fifo_fill_reg.vhd + src/vhdl/dp_fifo_fill_eop.vhd src/vhdl/dp_fifo_to_mm.vhd src/vhdl/dp_fifo_to_mm_reg.vhd src/vhdl/dp_fifo_from_mm.vhd diff --git a/libraries/technology/clkbuf/tech_clkbuf.vhd b/libraries/technology/clkbuf/tech_clkbuf.vhd index d0efd721c144144382e46e7ced177bb48306fbde..e7215bbb254d61868f4d32aa686898a8857ca19a 100644 --- a/libraries/technology/clkbuf/tech_clkbuf.vhd +++ b/libraries/technology/clkbuf/tech_clkbuf.vhd @@ -87,7 +87,7 @@ BEGIN ----------------------------------------------------------------------------- gen_ip_arria10_e2sg : IF g_technology=c_tech_arria10_e2sg AND g_clock_net="GLOBAL" GENERATE - u0 : ip_arria10_e2Ssg_clkbuf_global + u0 : ip_arria10_e2sg_clkbuf_global PORT MAP ( inclk => inclk, -- inclk outclk => outclk -- outclk diff --git a/libraries/technology/ddr/tech_ddr.vhd b/libraries/technology/ddr/tech_ddr.vhd index ec34468154f7d8a1e690ddec70b72df7f03e8dc4..e27d82cdbaf9e5013e0be3d25f02a29b0c5825c7 100644 --- a/libraries/technology/ddr/tech_ddr.vhd +++ b/libraries/technology/ddr/tech_ddr.vhd @@ -113,7 +113,7 @@ BEGIN phy4_in, phy4_io, phy4_ou); END GENERATE; - gen_ip_arria10_e1sg : IF g_technology=c_tech_arria10_e2sg GENERATE + gen_ip_arria10_e2sg : IF g_technology=c_tech_arria10_e2sg GENERATE u0 : ENTITY work.tech_ddr_arria10_e2sg GENERIC MAP (g_tech_ddr) PORT MAP (ref_clk, ref_rst, diff --git a/libraries/technology/fpga_voltage_sens/hdllib.cfg b/libraries/technology/fpga_voltage_sens/hdllib.cfg index c43b39cf5c12d362b45b9adb8f895359e5f17661..1e6af4d6676b532c8ee83f6a4b99cf86cd6c015f 100644 --- a/libraries/technology/fpga_voltage_sens/hdllib.cfg +++ b/libraries/technology/fpga_voltage_sens/hdllib.cfg @@ -1,7 +1,7 @@ hdl_lib_name = tech_fpga_voltage_sens hdl_library_clause_name = tech_fpga_voltage_sens_lib hdl_lib_uses_synth = technology common ip_arria10_voltage_sense ip_arria10_e3sge3_voltage_sense ip_arria10_e1sg_voltage_sense - ip_arria10_e1sg_voltage_sense + ip_arria10_e2sg_voltage_sense hdl_lib_uses_sim = hdl_lib_technology = hdl_lib_disclose_library_clause_names = diff --git a/libraries/technology/fractional_pll/hdllib.cfg b/libraries/technology/fractional_pll/hdllib.cfg index d4dcea39a9e91d98ff824827e80aa2c5983c8558..eeea28b0f5b2140227054bcd22d65c13f8419042 100644 --- a/libraries/technology/fractional_pll/hdllib.cfg +++ b/libraries/technology/fractional_pll/hdllib.cfg @@ -4,7 +4,7 @@ hdl_lib_uses_synth = technology common hdl_lib_uses_ip = ip_arria10_fractional_pll_clk200 ip_arria10_e3sge3_fractional_pll_clk200 ip_arria10_e1sg_fractional_pll_clk200 ip_arria10_e2sg_fractional_pll_clk200 ip_arria10_fractional_pll_clk125 ip_arria10_e3sge3_fractional_pll_clk125 - ip_arria10_e1sg_fractional_pll_clk125 ip_arria10_e1sg_fractional_pll_clk125 + ip_arria10_e1sg_fractional_pll_clk125 ip_arria10_e2sg_fractional_pll_clk125 hdl_lib_uses_sim = hdl_lib_technology = hdl_lib_disclose_library_clause_names = diff --git a/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg b/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg index b5076ab14a20ef29f24a3e70731ca6404243532e..490c7856894a13b331814d24e3b19f0acfd3ad1e 100644 --- a/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg +++ b/libraries/technology/ip_arria10_e1sg/jesd204b/hdllib.cfg @@ -20,9 +20,9 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e1sg_jesd204b_rx.qsys - ip_arria10_e1sg_jesd204b_rx_core_pll.qsys - ip_arria10_e1sg_jesd204b_rx_reset_seq.qsys - ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.qsys + ip_arria10_e1sg_jesd204b_rx.ip + ip_arria10_e1sg_jesd204b_rx_core_pll.ip + ip_arria10_e1sg_jesd204b_rx_reset_seq.ip + ip_arria10_e1sg_jesd204b_rx_xcvr_reset_control_12.ip diff --git a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg index 37cd857cffe74616f8ae47e8d8fc77f4af6b1f1a..0ee470a4ce9f54d0f2a680bb1a33134f2847c259 100644 --- a/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/fractional_pll_clk125/hdllib.cfg @@ -1,5 +1,5 @@ hdl_lib_name = ip_arria10_e2sg_fractional_pll_clk125 -hdl_library_clause_name = ip_arria10_e2sg_fractional_pll_clk125_altera_xcvr_fpll_a10_180 +hdl_library_clause_name = ip_arria10_e2sg_fractional_pll_clk125_altera_xcvr_fpll_a10_194 hdl_lib_uses_synth = hdl_lib_uses_sim = ip_arria10_e2sg_altera_xcvr_fpll_a10_180 hdl_lib_technology = ip_arria10_e2sg diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg b/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg index a936c6c687a3b00616ba7fc4d2ba3af701d0fbec..02390dc468895a831e6533fed8862a396dc3c80d 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/hdllib.cfg @@ -20,9 +20,9 @@ quartus_qip_files = [generate_ip_libs] qsys-generate_ip_files = - ip_arria10_e2sg_jesd204b_rx.qsys - ip_arria10_e2sg_jesd204b_rx_core_pll.qsys - ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys - ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys + ip_arria10_e2sg_jesd204b_rx.ip + ip_arria10_e2sg_jesd204b_rx_core_pll.ip + ip_arria10_e2sg_jesd204b_rx_reset_seq.ip + ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys index 70aea1f5b102f3aa37fb0aefb87ccf793e81b113..66b049ba2ff44a0825042088e2eac1c5af5e5b20 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.qsys @@ -10,9 +10,6 @@ tool="QsysPro" /> <parameter name="bonusData"><![CDATA[bonusData { - element $system - { - } element jesd204_0 { datum _sortIndex @@ -1871,7 +1868,7 @@ </interfaces> </boundary> <originalModuleInfo> - <className>altera_jesd204</className> + <className>jesd204_0</className> <version>19.2.0</version> <displayName>JESD204B Intel FPGA IP</displayName> </originalModuleInfo> @@ -1904,1667 +1901,7 @@ </connPtSystemInfos> </systemInfos> </componentDefinition>]]></parameter> - <parameter name="defaultBoundary"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>rxlink_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxlink_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rxlink_rst_n</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxlink_rst_n_reset_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>rxlink_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs_rst_n</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_rst_n</name> - <role>reset_n</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>synchronousEdges</key> - <value>DEASSERT</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_avs</name> - <type>avalon</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_avs_chipselect</name> - <role>chipselect</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_address</name> - <role>address</role> - <direction>Input</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_read</name> - <role>read</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_readdata</name> - <role>readdata</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_waitrequest</name> - <role>waitrequest</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_write</name> - <role>write</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_avs_writedata</name> - <role>writedata</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>embeddedsw.configuration.isFlash</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isMemoryDevice</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isNonVolatileStorage</key> - <value>0</value> - </entry> - <entry> - <key>embeddedsw.configuration.isPrintableDevice</key> - <value>0</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>addressAlignment</key> - <value>DYNAMIC</value> - </entry> - <entry> - <key>addressGroup</key> - <value>0</value> - </entry> - <entry> - <key>addressSpan</key> - <value>1024</value> - </entry> - <entry> - <key>addressUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>alwaysBurstMaxBurst</key> - <value>false</value> - </entry> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>jesd204_rx_avs_rst_n</value> - </entry> - <entry> - <key>bitsPerSymbol</key> - <value>8</value> - </entry> - <entry> - <key>bridgedAddressOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToMaster</key> - </entry> - <entry> - <key>burstOnBurstBoundariesOnly</key> - <value>false</value> - </entry> - <entry> - <key>burstcountUnits</key> - <value>WORDS</value> - </entry> - <entry> - <key>constantBurstBehavior</key> - <value>false</value> - </entry> - <entry> - <key>explicitAddressSpan</key> - <value>0</value> - </entry> - <entry> - <key>holdTime</key> - <value>0</value> - </entry> - <entry> - <key>interleaveBursts</key> - <value>false</value> - </entry> - <entry> - <key>isBigEndian</key> - <value>false</value> - </entry> - <entry> - <key>isFlash</key> - <value>false</value> - </entry> - <entry> - <key>isMemoryDevice</key> - <value>false</value> - </entry> - <entry> - <key>isNonVolatileStorage</key> - <value>false</value> - </entry> - <entry> - <key>linewrapBursts</key> - <value>false</value> - </entry> - <entry> - <key>maximumPendingReadTransactions</key> - <value>0</value> - </entry> - <entry> - <key>maximumPendingWriteTransactions</key> - <value>0</value> - </entry> - <entry> - <key>minimumReadLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumResponseLatency</key> - <value>1</value> - </entry> - <entry> - <key>minimumUninterruptedRunLength</key> - <value>1</value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>printableDevice</key> - <value>false</value> - </entry> - <entry> - <key>readLatency</key> - <value>1</value> - </entry> - <entry> - <key>readWaitStates</key> - <value>1</value> - </entry> - <entry> - <key>readWaitTime</key> - <value>1</value> - </entry> - <entry> - <key>registerIncomingSignals</key> - <value>false</value> - </entry> - <entry> - <key>registerOutgoingSignals</key> - <value>false</value> - </entry> - <entry> - <key>setupTime</key> - <value>0</value> - </entry> - <entry> - <key>timingUnits</key> - <value>Cycles</value> - </entry> - <entry> - <key>transparentBridge</key> - <value>false</value> - </entry> - <entry> - <key>waitrequestAllowance</key> - <value>0</value> - </entry> - <entry> - <key>wellBehavedWaitrequest</key> - <value>false</value> - </entry> - <entry> - <key>writeLatency</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitStates</key> - <value>0</value> - </entry> - <entry> - <key>writeWaitTime</key> - <value>0</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_link</name> - <type>avalon_streaming</type> - <isStart>true</isStart> - <ports> - <port> - <name>jesd204_rx_link_data</name> - <role>data</role> - <direction>Output</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - <port> - <name>jesd204_rx_link_valid</name> - <role>valid</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - <port> - <name>jesd204_rx_link_ready</name> - <role>ready</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - <value>rxlink_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>rxlink_rst_n</value> - </entry> - <entry> - <key>beatsPerCycle</key> - <value>1</value> - </entry> - <entry> - <key>dataBitsPerSymbol</key> - <value>32</value> - </entry> - <entry> - <key>emptyWithinPacket</key> - <value>false</value> - </entry> - <entry> - <key>errorDescriptor</key> - </entry> - <entry> - <key>firstSymbolInHighOrderBits</key> - <value>true</value> - </entry> - <entry> - <key>highOrderSymbolAtMSB</key> - <value>false</value> - </entry> - <entry> - <key>maxChannel</key> - <value>0</value> - </entry> - <entry> - <key>packetDescription</key> - <value></value> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - <entry> - <key>readyAllowance</key> - <value>0</value> - </entry> - <entry> - <key>readyLatency</key> - <value>0</value> - </entry> - <entry> - <key>symbolsPerBeat</key> - <value>1</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sof</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>sof</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>somf</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>somf</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>alldev_lane_aligned</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>alldev_lane_aligned</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>dev_lane_aligned</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>dev_lane_aligned</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>dev_sync_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>dev_sync_n</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>sysref</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>sysref</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_int</name> - <type>interrupt</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_int</name> - <role>irq</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedAddressablePoint</key> - <value>jesd204_0.jesd204_rx_avs</value> - </entry> - <entry> - <key>associatedClock</key> - <value>jesd204_rx_avs_clk</value> - </entry> - <entry> - <key>associatedReset</key> - <value>jesd204_rx_avs_rst_n</value> - </entry> - <entry> - <key>bridgedReceiverOffset</key> - <value>0</value> - </entry> - <entry> - <key>bridgesToReceiver</key> - </entry> - <entry> - <key>irqScheme</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_rx_testmode</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_rx_testmode</name> - <role>export</role> - <direction>Output</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_f</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_f</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_k</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_k</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_l</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_l</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_m</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_m</name> - <role>export</role> - <direction>Output</direction> - <width>8</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_n</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_n</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_s</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_s</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_cf</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_cf</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_cs</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_cs</name> - <role>export</role> - <direction>Output</direction> - <width>2</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_hd</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_hd</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_np</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_np</name> - <role>export</role> - <direction>Output</direction> - <width>5</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>csr_lane_powerdown</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>csr_lane_powerdown</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_frame_error</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_frame_error</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_data</name> - <role>export</role> - <direction>Input</direction> - <width>32</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_data_valid</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_data_valid</name> - <role>export</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_kchar_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_kchar_data</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_errdetect</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_errdetect</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>jesd204_rx_dlb_disperr</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>jesd204_rx_dlb_disperr</name> - <role>export</role> - <direction>Input</direction> - <width>4</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>pll_ref_clk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>pll_ref_clk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap/> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>0</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rxphy_clk</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rxphy_clk</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_islockedtodata</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_islockedtodata</name> - <role>rx_is_lockedtodata</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_cal_busy</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_cal_busy</name> - <role>rx_cal_busy</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_analogreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_analogreset</name> - <role>rx_analogreset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_digitalreset</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_digitalreset</name> - <role>rx_digitalreset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>rx_serial_data</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>rx_serial_data</name> - <role>rx_serial_data</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC_VECTOR</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></parameter> + <parameter name="defaultBoundary" value="" /> <parameter name="generationInfoDefinition"><![CDATA[<generationInfoDefinition> <hdlLibraryName>ip_arria10_e2sg_jesd204b_rx</hdlLibraryName> <fileSets> @@ -3589,8 +1926,8 @@ </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip_arria10_e2sg_jesd204b_rx.ip</parameter> - <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> + <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx.ip</parameter> + <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> <parameter name="svInterfaceDefinition" value="" /> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip index cd75f5aaff5bcf7698fdfa8131ec61f6721f3e88..a14071ffabfe6cdac013b90b637f75c76446c71d 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip @@ -1,3908 +1,4054 @@ <?xml version="1.0" ?> -<spirit:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact/extensions" xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_core_pll</spirit:library> - <spirit:name>core_pll</spirit:name> - <spirit:version>18.0</spirit:version> - <spirit:busInterfaces> - <spirit:busInterface> - <spirit:name>locked</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="conduit" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>export</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>locked</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>associatedClock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>associatedReset</spirit:name> - <spirit:displayName>associatedReset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedReset"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prSafe</spirit:name> - <spirit:displayName>Partial Reconfiguration Safe</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="prSafe">false</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> +<ipxact:component xmlns:altera="http://www.altera.com/XMLSchema/IPXact2014/extensions" xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll</ipxact:library> + <ipxact:name>core_pll</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> + <ipxact:busInterfaces> + <ipxact:busInterface> + <ipxact:name>reset</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="reset" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="reset" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>reset</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>rst</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>Associated clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="synchronousEdges" type="string"> + <ipxact:name>synchronousEdges</ipxact:name> + <ipxact:displayName>Synchronous edges</ipxact:displayName> + <ipxact:value>NONE</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>outclk0</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>outclk_0</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedDirectClock</spirit:name> - <spirit:displayName>Associated direct clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">100000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRateKnown</spirit:name> - <spirit:displayName>Clock rate known</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>refclk</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>refclk</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>input</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>outclk1</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:master></spirit:master> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>outclk_1</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedDirectClock</spirit:name> - <spirit:displayName>Associated direct clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedDirectClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">200000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clockRateKnown</spirit:name> - <spirit:displayName>Clock rate known</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clockRateKnown">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>locked</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="conduit" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>export</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>locked</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:slave></ipxact:slave> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedClock" type="string"> + <ipxact:name>associatedClock</ipxact:name> + <ipxact:displayName>associatedClock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="associatedReset" type="string"> + <ipxact:name>associatedReset</ipxact:name> + <ipxact:displayName>associatedReset</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prSafe" type="bit"> + <ipxact:name>prSafe</ipxact:name> + <ipxact:displayName>Partial Reconfiguration Safe</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">output</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>refclk</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="clock" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>clk</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>refclk</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>clockRate</spirit:name> - <spirit:displayName>Clock rate</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clockRate">200000000</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>externallyDriven</spirit:name> - <spirit:displayName>Externally driven</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="externallyDriven">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>ptfSchematicName</spirit:name> - <spirit:displayName>PTF schematic name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="ptfSchematicName"></spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk0</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_0</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>100000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - <spirit:busInterface> - <spirit:name>reset</spirit:name> - <spirit:busType spirit:vendor="altera" spirit:library="altera" spirit:name="reset" spirit:version="18.0"></spirit:busType> - <spirit:slave></spirit:slave> - <spirit:portMaps> - <spirit:portMap> - <spirit:logicalPort> - <spirit:name>reset</spirit:name> - </spirit:logicalPort> - <spirit:physicalPort> - <spirit:name>rst</spirit:name> - </spirit:physicalPort> - </spirit:portMap> - </spirit:portMaps> - <spirit:parameters> - <spirit:parameter> - <spirit:name>associatedClock</spirit:name> - <spirit:displayName>Associated clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="associatedClock"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>synchronousEdges</spirit:name> - <spirit:displayName>Synchronous edges</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="synchronousEdges">NONE</spirit:value> - </spirit:parameter> - </spirit:parameters> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + <ipxact:busInterface> + <ipxact:name>outclk1</ipxact:name> + <ipxact:busType vendor="altera" library="altera" name="clock" version="19.4"></ipxact:busType> + <ipxact:abstractionTypes> + <ipxact:abstractionType> + <ipxact:abstractionRef vendor="altera" library="altera" name="clock" version="19.4"></ipxact:abstractionRef> + <ipxact:portMaps> + <ipxact:portMap> + <ipxact:logicalPort> + <ipxact:name>clk</ipxact:name> + </ipxact:logicalPort> + <ipxact:physicalPort> + <ipxact:name>outclk_1</ipxact:name> + </ipxact:physicalPort> + </ipxact:portMap> + </ipxact:portMaps> + </ipxact:abstractionType> + </ipxact:abstractionTypes> + <ipxact:master></ipxact:master> + <ipxact:parameters> + <ipxact:parameter parameterId="associatedDirectClock" type="string"> + <ipxact:name>associatedDirectClock</ipxact:name> + <ipxact:displayName>Associated direct clock</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRate" type="longint"> + <ipxact:name>clockRate</ipxact:name> + <ipxact:displayName>Clock rate</ipxact:displayName> + <ipxact:value>200000000</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clockRateKnown" type="bit"> + <ipxact:name>clockRateKnown</ipxact:name> + <ipxact:displayName>Clock rate known</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="externallyDriven" type="bit"> + <ipxact:name>externallyDriven</ipxact:name> + <ipxact:displayName>Externally driven</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="ptfSchematicName" type="string"> + <ipxact:name>ptfSchematicName</ipxact:name> + <ipxact:displayName>PTF schematic name</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> + <ipxact:vendorExtensions> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>ui.blockdiagram.direction</spirit:name> - <spirit:value spirit:format="string" spirit:id="ui.blockdiagram.direction">input</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="ui.blockdiagram.direction" type="string"> + <ipxact:name>ui.blockdiagram.direction</ipxact:name> + <ipxact:value>output</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> - </spirit:vendorExtensions> - </spirit:busInterface> - </spirit:busInterfaces> - <spirit:model> - <spirit:views> - <spirit:view> - <spirit:name>QUARTUS_SYNTH</spirit:name> - <spirit:envIdentifier>:quartus.altera.com:</spirit:envIdentifier> - <spirit:modelName>altera_iopll</spirit:modelName> - <spirit:fileSetRef> - <spirit:localName>QUARTUS_SYNTH</spirit:localName> - </spirit:fileSetRef> - </spirit:view> - </spirit:views> - <spirit:ports> - <spirit:port> - <spirit:name>rst</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>refclk</spirit:name> - <spirit:wire> - <spirit:direction>in</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>locked</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>outclk_0</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - <spirit:port> - <spirit:name>outclk_1</spirit:name> - <spirit:wire> - <spirit:direction>out</spirit:direction> - <spirit:wireTypeDefs> - <spirit:wireTypeDef> - <spirit:typeName>STD_LOGIC</spirit:typeName> - <spirit:viewNameRef>QUARTUS_SYNTH</spirit:viewNameRef> - </spirit:wireTypeDef> - </spirit:wireTypeDefs> - </spirit:wire> - </spirit:port> - </spirit:ports> - </spirit:model> - <spirit:vendorExtensions> + </ipxact:vendorExtensions> + </ipxact:busInterface> + </ipxact:busInterfaces> + <ipxact:model> + <ipxact:views> + <ipxact:view> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:envIdentifier>:quartus.altera.com:</ipxact:envIdentifier> + <ipxact:componentInstantiationRef>QUARTUS_SYNTH</ipxact:componentInstantiationRef> + </ipxact:view> + </ipxact:views> + <ipxact:instantiations> + <ipxact:componentInstantiation> + <ipxact:name>QUARTUS_SYNTH</ipxact:name> + <ipxact:moduleName>altera_iopll</ipxact:moduleName> + <ipxact:fileSetRef> + <ipxact:localName>QUARTUS_SYNTH</ipxact:localName> + </ipxact:fileSetRef> + </ipxact:componentInstantiation> + </ipxact:instantiations> + <ipxact:ports> + <ipxact:port> + <ipxact:name>rst</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>refclk</ipxact:name> + <ipxact:wire> + <ipxact:direction>in</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>locked</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_0</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + <ipxact:port> + <ipxact:name>outclk_1</ipxact:name> + <ipxact:wire> + <ipxact:direction>out</ipxact:direction> + <ipxact:wireTypeDefs> + <ipxact:wireTypeDef> + <ipxact:typeName>STD_LOGIC</ipxact:typeName> + <ipxact:viewRef>QUARTUS_SYNTH</ipxact:viewRef> + </ipxact:wireTypeDef> + </ipxact:wireTypeDefs> + </ipxact:wire> + </ipxact:port> + </ipxact:ports> + </ipxact:model> + <ipxact:vendorExtensions> <altera:entity_info> - <spirit:vendor>Intel Corporation</spirit:vendor> - <spirit:library>ip_arria10_e2sg_jesd204b_rx_core_pll</spirit:library> - <spirit:name>altera_iopll</spirit:name> - <spirit:version>18.0</spirit:version> + <ipxact:vendor>Intel Corporation</ipxact:vendor> + <ipxact:library>ip_arria10_e2sg_jesd204b_rx_core_pll</ipxact:library> + <ipxact:name>altera_iopll</ipxact:name> + <ipxact:version>19.3.0</ipxact:version> </altera:entity_info> <altera:altera_module_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>gui_device_family</spirit:name> - <spirit:displayName>Device Family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_device_family">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_device_component</spirit:name> - <spirit:displayName>Component</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_device_component">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_device_speed_grade</spirit:name> - <spirit:displayName>Speed Grade</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_device_speed_grade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_debug_mode</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_debug_mode">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_skip_sdc_generation</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_skip_sdc_generation">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_include_iossm</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_include_iossm">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cal_code_hex_file</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_cal_code_hex_file">iossm.hex</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_parameter_table_hex_file</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_parameter_table_hex_file">seq_params_sim.hex</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_tclk_mux_en</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_pll_tclk_mux_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_tclk_sel</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_tclk_sel">pll_tclk_m_src</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_vco_freq_band_0</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_vco_freq_band_0">pll_freq_clk0_disabled</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_vco_freq_band_1</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_vco_freq_band_1">pll_freq_clk1_disabled</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_freqcal_en</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_pll_freqcal_en">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_freqcal_req_flag</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_pll_freqcal_req_flag">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cal_converge</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cal_converge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cal_error</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_cal_error">cal_clean</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_cal_done</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_pll_cal_done">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_type</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_type">S10_Simple</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_m_cnt_in_src</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src0</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src1</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src2</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src3</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src4</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src5</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src6</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src7</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_c_cnt_in_src8</spirit:name> - <spirit:displayName></spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>system_info_device_family</spirit:name> - <spirit:displayName>Device Family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="system_info_device_family">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>system_info_device_component</spirit:name> - <spirit:displayName>Component</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="system_info_device_component">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>system_info_device_speed_grade</spirit:name> - <spirit:displayName>Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="system_info_device_speed_grade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>system_part_trait_speed_grade</spirit:name> - <spirit:displayName>Speed Grade Trait</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="system_part_trait_speed_grade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_usr_device_speed_grade</spirit:name> - <spirit:displayName>Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_usr_device_speed_grade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_reconf</spirit:name> - <spirit:displayName>Enable dynamic reconfiguration of PLL</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_en_reconf">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_dps_ports</spirit:name> - <spirit:displayName>Enable access to dynamic phase shift ports</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_en_dps_ports">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_mode</spirit:name> - <spirit:displayName>PLL Mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_mode">Integer-N PLL</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_use_logical</spirit:name> - <spirit:displayName>Use logical PLL</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_use_logical">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_reference_clock_frequency</spirit:name> - <spirit:displayName>Reference Clock Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_reference_clock_frequency">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_use_coreclk</spirit:name> - <spirit:displayName>Refclk source is global clock</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_use_coreclk">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_refclk_might_change</spirit:name> - <spirit:displayName>My reference clock frequency might change</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_refclk_might_change">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_fractional_cout</spirit:name> - <spirit:displayName>Fractional carry out</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_fractional_cout">32</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_prot_mode</spirit:name> - <spirit:displayName>prot_mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_prot_mode">UNUSED</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_dsm_out_sel</spirit:name> - <spirit:displayName>DSM Order</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_dsm_out_sel">1st_order</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_use_locked</spirit:name> - <spirit:displayName>Enable locked output port</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_use_locked">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_adv_params</spirit:name> - <spirit:displayName>Enable physical output clock parameters</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_en_adv_params">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_bandwidth_preset</spirit:name> - <spirit:displayName>PLL Bandwidth Preset</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_bandwidth_preset">Low</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_lock_setting</spirit:name> - <spirit:displayName>Lock Threshold Setting</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_lock_setting">Low Lock Time</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_auto_reset</spirit:name> - <spirit:displayName>PLL Auto Reset</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_pll_auto_reset">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_lvds_ports</spirit:name> - <spirit:displayName>Access to PLL LVDS_CLK/LOADEN output port</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_en_lvds_ports">Disabled</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_operation_mode</spirit:name> - <spirit:displayName>Compensation Mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_operation_mode">source synchronous</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_feedback_clock</spirit:name> - <spirit:displayName>Feedback Clock</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_feedback_clock">Global Clock</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_to_compensate</spirit:name> - <spirit:displayName>Compensated Outclk</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_clock_to_compensate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_use_NDFB_modes</spirit:name> - <spirit:displayName>Use Nondedicated Feedback Path</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_use_NDFB_modes">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_refclk_switch</spirit:name> - <spirit:displayName>Create a second input clock signal 'refclk1'</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_refclk_switch">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_refclk1_frequency</spirit:name> - <spirit:displayName>Second Reference Clock Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_refclk1_frequency">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_phout_ports</spirit:name> - <spirit:displayName>Enable access to PLL DPA output port</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_en_phout_ports">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phout_division</spirit:name> - <spirit:displayName>PLL DPA output division</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_phout_division">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_en_extclkout_ports</spirit:name> - <spirit:displayName>Enable access to PLL external clock output port</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_en_extclkout_ports">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_number_of_clocks</spirit:name> - <spirit:displayName>Number Of Clocks</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_number_of_clocks">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_multiply_factor</spirit:name> - <spirit:displayName>Multiply Factor (M-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_multiply_factor">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_n</spirit:name> - <spirit:displayName>Divide Factor (N-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_n">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_frac_multiply_factor</spirit:name> - <spirit:displayName>Fractional Multiply Factor (K)</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_frac_multiply_factor">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_fix_vco_frequency</spirit:name> - <spirit:displayName>Specify VCO frequency</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_fix_vco_frequency">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_fixed_vco_frequency</spirit:name> - <spirit:displayName>Desired VCO Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_fixed_vco_frequency">600.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_vco_frequency</spirit:name> - <spirit:displayName>Actual VCO Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_vco_frequency">600.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_enable_output_counter_cascading</spirit:name> - <spirit:displayName>Enable output counter cascading</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_enable_output_counter_cascading">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_mif_gen_options</spirit:name> - <spirit:displayName>MIF Generation Options</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_mif_gen_options">Generate New MIF File</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_new_mif_file_path</spirit:name> - <spirit:displayName>Path to New MIF file</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_new_mif_file_path">~/pll.mif</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_existing_mif_file_path</spirit:name> - <spirit:displayName>Path to Existing MIF file</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_existing_mif_file_path">~/pll.mif</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_mif_config_name</spirit:name> - <spirit:displayName>Name of Current Configuration</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_mif_config_name">unnamed</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_active_clk</spirit:name> - <spirit:displayName>Create an 'active_clk' signal to indicate the input clock in use</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_active_clk">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clk_bad</spirit:name> - <spirit:displayName>Create a 'clkbad' signal for each of the input clocks</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_clk_bad">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_switchover_mode</spirit:name> - <spirit:displayName>Switchover Mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_switchover_mode">Automatic Switchover</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_switchover_delay</spirit:name> - <spirit:displayName>Switchover Delay</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_switchover_delay">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_enable_cascade_out</spirit:name> - <spirit:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_enable_cascade_out">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_outclk_index</spirit:name> - <spirit:displayName>cascade_out source</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_cascade_outclk_index">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_enable_cascade_in</spirit:name> - <spirit:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_enable_cascade_in">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_enable_permit_cal</spirit:name> - <spirit:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_enable_permit_cal">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_pll_cascading_mode</spirit:name> - <spirit:displayName>Connection Signal Type to Upstream PLL</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_pll_cascading_mode">adjpllin</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_enable_mif_dps</spirit:name> - <spirit:displayName>Enable Dynamic Phase Shift for MIF streaming</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_enable_mif_dps">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_dps_cntr</spirit:name> - <spirit:displayName>DPS Counter Selection</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_dps_cntr">C0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_dps_num</spirit:name> - <spirit:displayName>Number of Dynamic Phase Shifts</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_dps_num">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_dps_dir</spirit:name> - <spirit:displayName>Dynamic Phase Shift Direction</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_dps_dir">Positive</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_extclkout_0_source</spirit:name> - <spirit:displayName>extclk_out[0] source</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_extclkout_0_source">C0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_extclkout_1_source</spirit:name> - <spirit:displayName>extclk_out[1] source</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_extclkout_1_source">C0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_global</spirit:name> - <spirit:displayName>Give clocks global names</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_clock_name_global">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string0</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string0">link_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string1</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string1">frame_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string2</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string2">outclk2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string3</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string3">outclk3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string4</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string4">outclk4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string5</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string5">outclk5</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string6</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string6">outclk6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string7</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string7">outclk7</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string8</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string8">outclk8</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string9</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string9">outclk9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string10</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string10">outclk10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string11</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string11">outclk11</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string12</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string12">outclk12</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string13</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string13">outclk13</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string14</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string14">outclk14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string15</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string15">outclk15</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string16</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string16">outclk16</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_clock_name_string17</spirit:name> - <spirit:displayName>Clock Name</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_clock_name_string17">outclk17</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c0</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c0">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c1</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c1">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c2</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c2">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c3</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c3">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c4</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c4">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c5</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c5">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c6</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c6">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c7</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c7">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c8</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c8">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c9</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c9">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c10</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c10">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c11</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c11">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c12</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c12">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c13</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c13">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c14</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c14">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c15</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c15">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c16</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c16">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_divide_factor_c17</spirit:name> - <spirit:displayName>Divide Factor (C-Counter)</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="gui_divide_factor_c17">6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter0</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter0">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter1</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter1">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter2</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter2">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter3</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter3">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter4</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter4">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter5</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter5">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter6</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter6">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter7</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter7">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter8</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter8">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter9</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter9">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter10</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter10">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter11</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter11">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter12</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter12">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter13</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter13">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter14</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter14">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter15</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter15">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter16</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter16">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_cascade_counter17</spirit:name> - <spirit:displayName>Make this a cascade counter</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="gui_cascade_counter17">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency0</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency0">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency1</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency1">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency2</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency2">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency3</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency3">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency4</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency4">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency5</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency5">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency6</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency6">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency7</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency7">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency8</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency8">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency9</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency9">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency10</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency10">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency11</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency11">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency12</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency12">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency13</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency13">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency14</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency14">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency15</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency15">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency16</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency16">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_output_clock_frequency17</spirit:name> - <spirit:displayName>Desired Frequency</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_output_clock_frequency17">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency0</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency0">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency1</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency1">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency2</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency2">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency3</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency3">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency4</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency4">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency5</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency5">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency6</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency6">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency7</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency7">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency8</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency8">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency9</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency9">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency10</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency10">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency11</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency11">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency12</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency12">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency13</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency13">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency14</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency14">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency15</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency15">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency16</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency16">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency17</spirit:name> - <spirit:displayName>Actual Frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency17">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range0</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range0">99.595142,99.607843,99.649123,100.0,100.350877,100.392157</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range1</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range1">183.333333,185.714286,187.5,200.0,214.285714,216.666667</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range2</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range2">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range3</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range3">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range4</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range4">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range5</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range5">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range6</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range6">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range7</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range7">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range8</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range8">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range9</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range9">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range10</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range10">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range11</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range11">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range12</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range12">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range13</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range13">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range14</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range14">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range15</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range15">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range16</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range16">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_output_clock_frequency_range17</spirit:name> - <spirit:displayName>Legal Frequencies</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_output_clock_frequency_range17">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units0</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units0">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units1</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units1">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units2</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units2">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units3</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units3">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units4</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units4">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units5</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units5">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units6</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units6">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units7</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units7">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units8</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units8">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units9</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units9">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units10</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units10">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units11</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units11">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units12</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units12">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units13</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units13">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units14</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units14">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units15</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units15">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units16</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units16">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_ps_units17</spirit:name> - <spirit:displayName>Phase Shift Units</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_ps_units17">ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift0</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift0">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift1</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift1">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift2</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift3</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift4</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift5</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift6</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift7</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift8</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift9</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift10</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift11</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift12</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift13</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift14</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift15</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift16</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift17</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg0</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg0">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg1</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg1">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg2</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg3</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg4</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg5</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg6</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg7</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg8</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg9</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg10</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg11</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg12</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg13</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg14</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg15</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg16</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_phase_shift_deg17</spirit:name> - <spirit:displayName>Desired Phase Shift</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_phase_shift_deg17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift0</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift0">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift1</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift1">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift2</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift3</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift4</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift5</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift6</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift7</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift8</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift9</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift10</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift11</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift12</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift13</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift14</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift15</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift16</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift17</spirit:name> - <spirit:displayName>Actual phase shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range0</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range0">0.0,78.1,89.3,104.2,125.0,156.2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range1</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range1">0.0,78.1,89.3,104.2,125.0,156.2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range2</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range3</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range4</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range5</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range6</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range7</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range8</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range9</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range10</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range11</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range12</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range13</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range14</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range15</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range16</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_range17</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_range17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg0</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg0">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg1</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg1">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg2</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg3</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg4</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg5</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg6</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg7</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg8</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg9</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg10</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg11</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg12</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg13</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg14</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg15</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg16</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg17</spirit:name> - <spirit:displayName>Actual Phase Shift</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range0</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range0">0.0,2.8,3.2,3.8,4.5,5.6</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range1</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range1">0.0,5.6,6.4,7.5,9.0,11.2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range2</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range3</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range4</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range5</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range6</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range7</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range8</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range9</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range10</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range11</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range12</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range13</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range14</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range15</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range16</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_phase_shift_deg_range17</spirit:name> - <spirit:displayName>Legal Phase Shifts</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_phase_shift_deg_range17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle0</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle0">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle1</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle1">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle2</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle2">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle3</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle3">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle4</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle4">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle5</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle5">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle6</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle6">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle7</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle7">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle8</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle8">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle9</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle9">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle10</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle10">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle11</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle11">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle12</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle12">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle13</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle13">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle14</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle14">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle15</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle15">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle16</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle16">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_duty_cycle17</spirit:name> - <spirit:displayName>Desired Duty Cycle</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="gui_duty_cycle17">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle0</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle0">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle1</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle1">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle2</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle2">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle3</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle3">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle4</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle4">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle5</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle5">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle6</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle6">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle7</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle7">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle8</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle8">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle9</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle9">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle10</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle10">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle11</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle11">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle12</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle12">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle13</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle13">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle14</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle14">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle15</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle15">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle16</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle16">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle17</spirit:name> - <spirit:displayName>Actual duty cycle</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle17">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range0</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range0">45.83,46.43,46.88,50.0,53.12,53.57</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range1</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range1">41.67,42.86,43.75,50.0,56.25,57.14</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range2</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range2">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range3</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range3">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range4</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range4">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range5</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range5">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range6</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range6">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range7</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range7">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range8</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range8">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range9</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range9">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range10</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range10">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range11</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range11">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range12</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range12">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range13</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range13">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range14</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range14">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range15</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range15">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range16</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range16">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>gui_actual_duty_cycle_range17</spirit:name> - <spirit:displayName>Legal Duty Cycles</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="gui_actual_duty_cycle_range17">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>parameterTable_names</spirit:name> - <spirit:displayName>Parameter Names</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="parameterTable_names">M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>parameterTable_values</spirit:name> - <spirit:displayName>Parameter Values</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="parameterTable_values">4,1,800.0 MHz,8,4,1,1,1,1,1,1,1,false,2,2,false,false,256,256,false,true,4,2,256,256,256,256,256,256,256,4,2,256,256,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting10,pll_bw_res_setting2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mifTable_names</spirit:name> - <spirit:displayName>MIF File Property</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="mifTable_names">The MIF file specified does not yet exist</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mifTable_values</spirit:name> - <spirit:displayName>Values</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="mifTable_values"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_m_cnt_basic</spirit:name> - <spirit:displayName>pll_m_cnt_basic</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_m_cnt_basic">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_m_cnt</spirit:name> - <spirit:displayName>pll_m_cnt</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_m_cnt">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>prot_mode</spirit:name> - <spirit:displayName>prot_mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="prot_mode">BASIC</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>m_cnt_hi_div</spirit:name> - <spirit:displayName>m_cnt_hi_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="m_cnt_hi_div">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>eff_m_cnt</spirit:name> - <spirit:displayName>eff_m_cnt</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="eff_m_cnt">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>multiply_factor</spirit:name> - <spirit:displayName>multiply_factor</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="multiply_factor">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>use_core_refclk</spirit:name> - <spirit:displayName>use_core_refclk</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="use_core_refclk">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>m_cnt_lo_div</spirit:name> - <spirit:displayName>m_cnt_lo_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="m_cnt_lo_div">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>n_cnt_hi_div</spirit:name> - <spirit:displayName>n_cnt_hi_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="n_cnt_hi_div">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>n_cnt_lo_div</spirit:name> - <spirit:displayName>n_cnt_lo_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="n_cnt_lo_div">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>m_cnt_bypass_en</spirit:name> - <spirit:displayName>m_cnt_bypass_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="m_cnt_bypass_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>n_cnt_bypass_en</spirit:name> - <spirit:displayName>n_cnt_bypass_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="n_cnt_bypass_en">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>m_cnt_odd_div_duty_en</spirit:name> - <spirit:displayName>m_cnt_odd_div_duty_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="m_cnt_odd_div_duty_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>n_cnt_odd_div_duty_en</spirit:name> - <spirit:displayName>n_cnt_odd_div_duty_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="n_cnt_odd_div_duty_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_vco_div</spirit:name> - <spirit:displayName>pll_vco_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_vco_div">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_cp_current</spirit:name> - <spirit:displayName>pll_cp_current</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_cp_current">pll_cp_setting10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_bwctrl</spirit:name> - <spirit:displayName>pll_bwctrl</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_bwctrl">pll_bw_res_setting2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_ripplecap_ctrl</spirit:name> - <spirit:displayName>pll_ripplecap_ctrl</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_ripplecap_ctrl"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_fractional_division</spirit:name> - <spirit:displayName>pll_fractional_division</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_fractional_division">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>fractional_vco_multiplier</spirit:name> - <spirit:displayName>fractional_vco_multiplier</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="fractional_vco_multiplier">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>reference_clock_frequency</spirit:name> - <spirit:displayName>reference_clock_frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="reference_clock_frequency">200.0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_fractional_cout</spirit:name> - <spirit:displayName>pll_fractional_cout</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_fractional_cout">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_dsm_out_sel</spirit:name> - <spirit:displayName>pll_dsm_out_sel</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_dsm_out_sel">1st_order</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>operation_mode</spirit:name> - <spirit:displayName>operation_mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="operation_mode">source_synchronous</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>number_of_clocks</spirit:name> - <spirit:displayName>number_of_clocks</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="number_of_clocks">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>number_of_outclks</spirit:name> - <spirit:displayName>number_of_outclks</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="number_of_outclks">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_vcoph_div</spirit:name> - <spirit:displayName>pll_vcoph_div</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_vcoph_div">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_type</spirit:name> - <spirit:displayName>pll_type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_type">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_subtype</spirit:name> - <spirit:displayName>pll_subtype</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_subtype">General</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_output_clk_frequency</spirit:name> - <spirit:displayName>pll_output_clk_frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_output_clk_frequency">800.0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>mimic_fbclk_type</spirit:name> - <spirit:displayName>mimic_fbclk_type</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="mimic_fbclk_type">gclk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_bw_sel</spirit:name> - <spirit:displayName>pll_bw_sel</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_bw_sel">Low</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_slf_rst</spirit:name> - <spirit:displayName>pll_slf_rst</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_slf_rst">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_fbclk_mux_1</spirit:name> - <spirit:displayName>pll_fbclk_mux_1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_fbclk_mux_1">pll_fbclk_mux_1_glb</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_fbclk_mux_2</spirit:name> - <spirit:displayName>pll_fbclk_mux_2</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_fbclk_mux_2">pll_fbclk_mux_2_fb_1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_m_cnt_in_src</spirit:name> - <spirit:displayName>pll_m_cnt_in_src</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_m_cnt_in_src">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_clkin_0_src</spirit:name> - <spirit:displayName>pll_clkin_0_src</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_clkin_0_src">clk_0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>refclk1_frequency</spirit:name> - <spirit:displayName>refclk1_frequency</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="refclk1_frequency">100.0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_clk_loss_sw_en</spirit:name> - <spirit:displayName>pll_clk_loss_sw_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_clk_loss_sw_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_manu_clk_sw_en</spirit:name> - <spirit:displayName>pll_manu_clk_sw_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_manu_clk_sw_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_auto_clk_sw_en</spirit:name> - <spirit:displayName>pll_auto_clk_sw_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_auto_clk_sw_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_clkin_1_src</spirit:name> - <spirit:displayName>pll_clkin_1_src</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_clkin_1_src">clk_0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_clk_sw_dly</spirit:name> - <spirit:displayName>pll_clk_sw_dly</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_clk_sw_dly">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_extclk_0_cnt_src</spirit:name> - <spirit:displayName>pll_extclk_0_cnt_src</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_extclk_0_cnt_src">pll_extclk_cnt_src_vss</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_extclk_1_cnt_src</spirit:name> - <spirit:displayName>pll_extclk_1_cnt_src</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_extclk_1_cnt_src">pll_extclk_cnt_src_vss</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_lock_fltr_cfg</spirit:name> - <spirit:displayName>pll_lock_fltr_cfg</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_lock_fltr_cfg">100</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_unlock_fltr_cfg</spirit:name> - <spirit:displayName>pll_unlock_fltr_cfg</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="pll_unlock_fltr_cfg">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lock_mode</spirit:name> - <spirit:displayName>lock_mode</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lock_mode">low_lock_time</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_to_compensate</spirit:name> - <spirit:displayName>clock_to_compensate</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="clock_to_compensate">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global</spirit:name> - <spirit:displayName>clock_name_global</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_freqcal_en</spirit:name> - <spirit:displayName>pll_freqcal_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_freqcal_en">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_defer_cal_user_mode</spirit:name> - <spirit:displayName>pll_defer_cal_user_mode</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_defer_cal_user_mode">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>dprio_interface_sel</spirit:name> - <spirit:displayName>dprio_interface_sel</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="dprio_interface_sel">3</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div0</spirit:name> - <spirit:displayName>c_cnt_hi_div0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div0">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div1</spirit:name> - <spirit:displayName>c_cnt_hi_div1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div1">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div2</spirit:name> - <spirit:displayName>c_cnt_hi_div2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div2">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div3</spirit:name> - <spirit:displayName>c_cnt_hi_div3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div3">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div4</spirit:name> - <spirit:displayName>c_cnt_hi_div4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div4">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div5</spirit:name> - <spirit:displayName>c_cnt_hi_div5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div5">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div6</spirit:name> - <spirit:displayName>c_cnt_hi_div6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div6">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div7</spirit:name> - <spirit:displayName>c_cnt_hi_div7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div7">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div8</spirit:name> - <spirit:displayName>c_cnt_hi_div8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div8">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div9</spirit:name> - <spirit:displayName>c_cnt_hi_div9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div9">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div10</spirit:name> - <spirit:displayName>c_cnt_hi_div10</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div10">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div11</spirit:name> - <spirit:displayName>c_cnt_hi_div11</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div11">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div12</spirit:name> - <spirit:displayName>c_cnt_hi_div12</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div12">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div13</spirit:name> - <spirit:displayName>c_cnt_hi_div13</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div13">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div14</spirit:name> - <spirit:displayName>c_cnt_hi_div14</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div14">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div15</spirit:name> - <spirit:displayName>c_cnt_hi_div15</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div15">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div16</spirit:name> - <spirit:displayName>c_cnt_hi_div16</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div16">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_hi_div17</spirit:name> - <spirit:displayName>c_cnt_hi_div17</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_hi_div17">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div0</spirit:name> - <spirit:displayName>c_cnt_lo_div0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div0">4</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div1</spirit:name> - <spirit:displayName>c_cnt_lo_div1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div1">2</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div2</spirit:name> - <spirit:displayName>c_cnt_lo_div2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div2">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div3</spirit:name> - <spirit:displayName>c_cnt_lo_div3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div3">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div4</spirit:name> - <spirit:displayName>c_cnt_lo_div4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div4">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div5</spirit:name> - <spirit:displayName>c_cnt_lo_div5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div5">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div6</spirit:name> - <spirit:displayName>c_cnt_lo_div6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div6">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div7</spirit:name> - <spirit:displayName>c_cnt_lo_div7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div7">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div8</spirit:name> - <spirit:displayName>c_cnt_lo_div8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div8">256</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div9</spirit:name> - <spirit:displayName>c_cnt_lo_div9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div9">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div10</spirit:name> - <spirit:displayName>c_cnt_lo_div10</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div10">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div11</spirit:name> - <spirit:displayName>c_cnt_lo_div11</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div11">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div12</spirit:name> - <spirit:displayName>c_cnt_lo_div12</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div12">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div13</spirit:name> - <spirit:displayName>c_cnt_lo_div13</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div13">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div14</spirit:name> - <spirit:displayName>c_cnt_lo_div14</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div14">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div15</spirit:name> - <spirit:displayName>c_cnt_lo_div15</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div15">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div16</spirit:name> - <spirit:displayName>c_cnt_lo_div16</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div16">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_lo_div17</spirit:name> - <spirit:displayName>c_cnt_lo_div17</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_lo_div17">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst0</spirit:name> - <spirit:displayName>c_cnt_prst0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst0">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst1</spirit:name> - <spirit:displayName>c_cnt_prst1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst1">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst2</spirit:name> - <spirit:displayName>c_cnt_prst2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst2">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst3</spirit:name> - <spirit:displayName>c_cnt_prst3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst3">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst4</spirit:name> - <spirit:displayName>c_cnt_prst4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst4">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst5</spirit:name> - <spirit:displayName>c_cnt_prst5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst5">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst6</spirit:name> - <spirit:displayName>c_cnt_prst6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst6">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst7</spirit:name> - <spirit:displayName>c_cnt_prst7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst7">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst8</spirit:name> - <spirit:displayName>c_cnt_prst8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst8">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst9</spirit:name> - <spirit:displayName>c_cnt_prst9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst9">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst10</spirit:name> - <spirit:displayName>c_cnt_prst10</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst10">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst11</spirit:name> - <spirit:displayName>c_cnt_prst11</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst11">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst12</spirit:name> - <spirit:displayName>c_cnt_prst12</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst12">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst13</spirit:name> - <spirit:displayName>c_cnt_prst13</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst13">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst14</spirit:name> - <spirit:displayName>c_cnt_prst14</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst14">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst15</spirit:name> - <spirit:displayName>c_cnt_prst15</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst15">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst16</spirit:name> - <spirit:displayName>c_cnt_prst16</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst16">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_prst17</spirit:name> - <spirit:displayName>c_cnt_prst17</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_prst17">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst0</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst0">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst1</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst1">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst2</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst2">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst3</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst3">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst4</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst4">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst5</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst5">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst6</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst6">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst7</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst7">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst8</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst8">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst9</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst9">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst10</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst10</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst10">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst11</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst11</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst11">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst12</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst12</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst12">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst13</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst13</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst13">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst14</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst14</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst14">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst15</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst15</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst15">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst16</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst16</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst16">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_ph_mux_prst17</spirit:name> - <spirit:displayName>c_cnt_ph_mux_prst17</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="c_cnt_ph_mux_prst17">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src0</spirit:name> - <spirit:displayName>c_cnt_in_src0</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src0">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src1</spirit:name> - <spirit:displayName>c_cnt_in_src1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src1">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src2</spirit:name> - <spirit:displayName>c_cnt_in_src2</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src2">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src3</spirit:name> - <spirit:displayName>c_cnt_in_src3</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src3">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src4</spirit:name> - <spirit:displayName>c_cnt_in_src4</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src4">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src5</spirit:name> - <spirit:displayName>c_cnt_in_src5</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src5">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src6</spirit:name> - <spirit:displayName>c_cnt_in_src6</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src6">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src7</spirit:name> - <spirit:displayName>c_cnt_in_src7</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src7">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src8</spirit:name> - <spirit:displayName>c_cnt_in_src8</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src8">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src9</spirit:name> - <spirit:displayName>c_cnt_in_src9</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src9">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src10</spirit:name> - <spirit:displayName>c_cnt_in_src10</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src10">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src11</spirit:name> - <spirit:displayName>c_cnt_in_src11</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src11">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src12</spirit:name> - <spirit:displayName>c_cnt_in_src12</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src12">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src13</spirit:name> - <spirit:displayName>c_cnt_in_src13</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src13">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src14</spirit:name> - <spirit:displayName>c_cnt_in_src14</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src14">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src15</spirit:name> - <spirit:displayName>c_cnt_in_src15</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src15">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src16</spirit:name> - <spirit:displayName>c_cnt_in_src16</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src16">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_in_src17</spirit:name> - <spirit:displayName>c_cnt_in_src17</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="c_cnt_in_src17">c_m_cnt_in_src_ph_mux_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en0</spirit:name> - <spirit:displayName>c_cnt_bypass_en0</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en0">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en1</spirit:name> - <spirit:displayName>c_cnt_bypass_en1</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en1">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en2</spirit:name> - <spirit:displayName>c_cnt_bypass_en2</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en2">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en3</spirit:name> - <spirit:displayName>c_cnt_bypass_en3</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en3">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en4</spirit:name> - <spirit:displayName>c_cnt_bypass_en4</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en4">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en5</spirit:name> - <spirit:displayName>c_cnt_bypass_en5</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en5">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en6</spirit:name> - <spirit:displayName>c_cnt_bypass_en6</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en6">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en7</spirit:name> - <spirit:displayName>c_cnt_bypass_en7</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en7">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en8</spirit:name> - <spirit:displayName>c_cnt_bypass_en8</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en8">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en9</spirit:name> - <spirit:displayName>c_cnt_bypass_en9</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en9">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en10</spirit:name> - <spirit:displayName>c_cnt_bypass_en10</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en10">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en11</spirit:name> - <spirit:displayName>c_cnt_bypass_en11</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en11">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en12</spirit:name> - <spirit:displayName>c_cnt_bypass_en12</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en12">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en13</spirit:name> - <spirit:displayName>c_cnt_bypass_en13</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en13">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en14</spirit:name> - <spirit:displayName>c_cnt_bypass_en14</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en14">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en15</spirit:name> - <spirit:displayName>c_cnt_bypass_en15</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en15">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en16</spirit:name> - <spirit:displayName>c_cnt_bypass_en16</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en16">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_bypass_en17</spirit:name> - <spirit:displayName>c_cnt_bypass_en17</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_bypass_en17">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en0</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en0</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en0">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en1</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en1</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en1">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en2</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en2</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en2">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en3</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en3</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en3">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en4</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en4</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en4">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en5</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en5</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en5">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en6</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en6</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en6">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en7</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en7</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en7">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en8</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en8</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en8">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en9</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en9</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en9">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en10</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en10</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en10">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en11</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en11</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en11">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en12</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en12</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en12">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en13</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en13</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en13">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en14</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en14</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en14">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en15</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en15</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en15">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en16</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en16</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en16">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>c_cnt_odd_div_duty_en17</spirit:name> - <spirit:displayName>c_cnt_odd_div_duty_en17</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="c_cnt_odd_div_duty_en17">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency0</spirit:name> - <spirit:displayName>output_clock_frequency0</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency0">100.000000 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency1</spirit:name> - <spirit:displayName>output_clock_frequency1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency1">200.000000 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency2</spirit:name> - <spirit:displayName>output_clock_frequency2</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency2">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency3</spirit:name> - <spirit:displayName>output_clock_frequency3</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency3">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency4</spirit:name> - <spirit:displayName>output_clock_frequency4</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency4">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency5</spirit:name> - <spirit:displayName>output_clock_frequency5</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency5">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency6</spirit:name> - <spirit:displayName>output_clock_frequency6</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency6">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency7</spirit:name> - <spirit:displayName>output_clock_frequency7</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency7">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency8</spirit:name> - <spirit:displayName>output_clock_frequency8</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency8">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency9</spirit:name> - <spirit:displayName>output_clock_frequency9</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency9">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency10</spirit:name> - <spirit:displayName>output_clock_frequency10</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency10">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency11</spirit:name> - <spirit:displayName>output_clock_frequency11</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency11">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency12</spirit:name> - <spirit:displayName>output_clock_frequency12</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency12">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency13</spirit:name> - <spirit:displayName>output_clock_frequency13</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency13">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency14</spirit:name> - <spirit:displayName>output_clock_frequency14</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency14">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency15</spirit:name> - <spirit:displayName>output_clock_frequency15</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency15">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency16</spirit:name> - <spirit:displayName>output_clock_frequency16</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency16">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>output_clock_frequency17</spirit:name> - <spirit:displayName>output_clock_frequency17</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="output_clock_frequency17">0 MHz</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift0</spirit:name> - <spirit:displayName>phase_shift0</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift0">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift1</spirit:name> - <spirit:displayName>phase_shift1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift1">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift2</spirit:name> - <spirit:displayName>phase_shift2</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift2">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift3</spirit:name> - <spirit:displayName>phase_shift3</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift3">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift4</spirit:name> - <spirit:displayName>phase_shift4</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift4">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift5</spirit:name> - <spirit:displayName>phase_shift5</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift5">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift6</spirit:name> - <spirit:displayName>phase_shift6</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift6">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift7</spirit:name> - <spirit:displayName>phase_shift7</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift7">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift8</spirit:name> - <spirit:displayName>phase_shift8</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift8">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift9</spirit:name> - <spirit:displayName>phase_shift9</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift9">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift10</spirit:name> - <spirit:displayName>phase_shift10</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift10">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift11</spirit:name> - <spirit:displayName>phase_shift11</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift11">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift12</spirit:name> - <spirit:displayName>phase_shift12</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift12">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift13</spirit:name> - <spirit:displayName>phase_shift13</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift13">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift14</spirit:name> - <spirit:displayName>phase_shift14</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift14">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift15</spirit:name> - <spirit:displayName>phase_shift15</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift15">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift16</spirit:name> - <spirit:displayName>phase_shift16</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift16">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>phase_shift17</spirit:name> - <spirit:displayName>phase_shift17</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="phase_shift17">0 ps</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle0</spirit:name> - <spirit:displayName>duty_cycle0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle0">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle1</spirit:name> - <spirit:displayName>duty_cycle1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle1">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle2</spirit:name> - <spirit:displayName>duty_cycle2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle2">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle3</spirit:name> - <spirit:displayName>duty_cycle3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle3">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle4</spirit:name> - <spirit:displayName>duty_cycle4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle4">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle5</spirit:name> - <spirit:displayName>duty_cycle5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle5">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle6</spirit:name> - <spirit:displayName>duty_cycle6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle6">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle7</spirit:name> - <spirit:displayName>duty_cycle7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle7">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle8</spirit:name> - <spirit:displayName>duty_cycle8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle8">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle9</spirit:name> - <spirit:displayName>duty_cycle9</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle9">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle10</spirit:name> - <spirit:displayName>duty_cycle10</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle10">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle11</spirit:name> - <spirit:displayName>duty_cycle11</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle11">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle12</spirit:name> - <spirit:displayName>duty_cycle12</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle12">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle13</spirit:name> - <spirit:displayName>duty_cycle13</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle13">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle14</spirit:name> - <spirit:displayName>duty_cycle14</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle14">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle15</spirit:name> - <spirit:displayName>duty_cycle15</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle15">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle16</spirit:name> - <spirit:displayName>duty_cycle16</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle16">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>duty_cycle17</spirit:name> - <spirit:displayName>duty_cycle17</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="duty_cycle17">50</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_0</spirit:name> - <spirit:displayName>clock_name_0</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_0">link_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_1</spirit:name> - <spirit:displayName>clock_name_1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_1">frame_clk</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_2</spirit:name> - <spirit:displayName>clock_name_2</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_2"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_3</spirit:name> - <spirit:displayName>clock_name_3</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_3"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_4</spirit:name> - <spirit:displayName>clock_name_4</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_4"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_5</spirit:name> - <spirit:displayName>clock_name_5</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_5"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_6</spirit:name> - <spirit:displayName>clock_name_6</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_6"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_7</spirit:name> - <spirit:displayName>clock_name_7</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_7"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_8</spirit:name> - <spirit:displayName>clock_name_8</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="clock_name_8"></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_0</spirit:name> - <spirit:displayName>clock_name_global_0</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_0">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_1</spirit:name> - <spirit:displayName>clock_name_global_1</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_1">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_2</spirit:name> - <spirit:displayName>clock_name_global_2</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_2">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_3</spirit:name> - <spirit:displayName>clock_name_global_3</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_3">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_4</spirit:name> - <spirit:displayName>clock_name_global_4</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_4">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_5</spirit:name> - <spirit:displayName>clock_name_global_5</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_5">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_6</spirit:name> - <spirit:displayName>clock_name_global_6</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_6">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_7</spirit:name> - <spirit:displayName>clock_name_global_7</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_7">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>clock_name_global_8</spirit:name> - <spirit:displayName>clock_name_global_8</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="clock_name_global_8">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor0</spirit:name> - <spirit:displayName>divide_factor0</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor0">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor1</spirit:name> - <spirit:displayName>divide_factor1</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor1">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor2</spirit:name> - <spirit:displayName>divide_factor2</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor2">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor3</spirit:name> - <spirit:displayName>divide_factor3</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor3">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor4</spirit:name> - <spirit:displayName>divide_factor4</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor4">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor5</spirit:name> - <spirit:displayName>divide_factor5</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor5">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor6</spirit:name> - <spirit:displayName>divide_factor6</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor6">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor7</spirit:name> - <spirit:displayName>divide_factor7</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor7">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>divide_factor8</spirit:name> - <spirit:displayName>divide_factor8</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="divide_factor8">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_tclk_mux_en</spirit:name> - <spirit:displayName>pll_tclk_mux_en</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_tclk_mux_en">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_tclk_sel</spirit:name> - <spirit:displayName>pll_tclk_sel</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_tclk_sel">pll_tclk_m_src</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_vco_freq_band_0</spirit:name> - <spirit:displayName>pll_vco_freq_band_0</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_vco_freq_band_0">pll_freq_clk0_disabled</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_vco_freq_band_1</spirit:name> - <spirit:displayName>pll_vco_freq_band_1</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="pll_vco_freq_band_1">pll_freq_clk1_disabled</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_freqcal_req_flag</spirit:name> - <spirit:displayName>pll_freqcal_req_flag</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_freqcal_req_flag">true</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cal_converge</spirit:name> - <spirit:displayName>cal_converge</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="cal_converge">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cal_error</spirit:name> - <spirit:displayName>cal_error</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="cal_error">cal_clean</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>pll_cal_done</spirit:name> - <spirit:displayName>pll_cal_done</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="pll_cal_done">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>include_iossm</spirit:name> - <spirit:displayName>include_iossm</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="include_iossm">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>cal_code_hex_file</spirit:name> - <spirit:displayName>cal_code_hex_file</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="cal_code_hex_file">iossm.hex</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>parameter_table_hex_file</spirit:name> - <spirit:displayName>parameter_table_hex_file</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="parameter_table_hex_file">seq_params_sim.hex</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>iossm_nios_sim_clk_period_ps</spirit:name> - <spirit:displayName>iossm_nios_sim_clk_period_ps</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="iossm_nios_sim_clk_period_ps">1333</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_number_of_family_allowable_clocks</spirit:name> - <spirit:displayName>hp_number_of_family_allowable_clocks</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="hp_number_of_family_allowable_clocks">9</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_previous_num_clocks</spirit:name> - <spirit:displayName>hp_previous_num_clocks</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="hp_previous_num_clocks">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_vco_frequency_fp</spirit:name> - <spirit:displayName>hp_actual_vco_frequency_fp</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_vco_frequency_fp">600.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_parameter_update_message</spirit:name> - <spirit:displayName>hp_parameter_update_message</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="hp_parameter_update_message">{altera_iopll::util::pll_send_message DEBUG {Starting parameter update messages: gui_output_clock_frequency0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_family }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_component }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_speed_grade }} {altera_iopll::util::pll_send_message DEBUG {-- in callback gui_output_clock_frequency_callback }} {altera_iopll::util::pll_send_message DEBUG { -- in update gui_output_clock_frequency0}} {altera_iopll::util::pll_send_message DEBUG { -- Updating all outclk values in order, starting with freq 0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle1}} {altera_iopll::util::pll_send_message DEBUG {-- done callback gui_output_clock_frequency_callback }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_family }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_component }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_device_speed_grade }} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_dps_cntr}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_extclkout_source}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_cascade_outclk_index}} {altera_iopll::util::pll_send_message DEBUG { -- in update gui_clock_to_compensate}} {altera_iopll::util::pll_send_message DEBUG { -- in update_gui_pll_bandwidth_preset}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_vco_frequency}} {altera_iopll::util::pll_send_message DEBUG { -- Updating all outclk values in order, starting with freq 0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle0}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle1}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle2}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle3}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle4}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle5}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle6}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle7}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_output_clock_frequency8}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_phase_shift8}} {altera_iopll::util::pll_send_message DEBUG {-- in update gui_actual_duty_cycle8}}</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_qsys_scripting_mode</spirit:name> - <spirit:displayName>hp_qsys_scripting_mode</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hp_qsys_scripting_mode">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp0</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp0</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp0">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp1</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp1</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp1">200.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp2</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp2</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp2">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp3</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp3</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp3">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp4</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp4</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp4">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp5</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp5</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp5">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp6</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp6</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp6">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp7</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp7</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp7">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp8</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp8</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp8">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp9</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp9</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp9">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp10</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp10</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp10">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp11</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp11</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp11">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp12</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp12</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp12">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp13</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp13</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp13">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp14</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp14</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp14">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp15</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp15</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp15">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp16</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp16</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp16">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_output_clock_frequency_fp17</spirit:name> - <spirit:displayName>hp_actual_output_clock_frequency_fp17</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_output_clock_frequency_fp17">100.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp0</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp0</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp0">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp1</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp1</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp1">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp2</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp2</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp2">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp3</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp3</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp3">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp4</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp4</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp4">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp5</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp5</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp5">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp6</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp6</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp6">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp7</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp7</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp7">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp8</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp8</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp8">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp9</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp9</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp9">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp10</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp10</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp10">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp11</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp11</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp11">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp12</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp12</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp12">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp13</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp13</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp13">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp14</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp14</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp14">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp15</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp15</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp15">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp16</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp16</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp16">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_phase_shift_fp17</spirit:name> - <spirit:displayName>hp_actual_phase_shift_fp17</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_phase_shift_fp17">0.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp0</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp0</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp0">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp1</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp1</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp1">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp2</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp2</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp2">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp3</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp3</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp3">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp4</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp4</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp4">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp5</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp5</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp5">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp6</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp6</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp6">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp7</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp7</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp7">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp8</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp8</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp8">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp9</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp9</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp9">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp10</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp10</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp10">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp11</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp11</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp11">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp12</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp12</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp12">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp13</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp13</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp13">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp14</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp14</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp14">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp15</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp15</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp15">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp16</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp16</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp16">50.0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hp_actual_duty_cycle_fp17</spirit:name> - <spirit:displayName>hp_actual_duty_cycle_fp17</spirit:displayName> - <spirit:value spirit:format="float" spirit:id="hp_actual_duty_cycle_fp17">50.0</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="gui_device_family" type="string"> + <ipxact:name>gui_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_component" type="string"> + <ipxact:name>gui_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_device_speed_grade" type="int"> + <ipxact:name>gui_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_debug_mode" type="bit"> + <ipxact:name>gui_debug_mode</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_skip_sdc_generation" type="bit"> + <ipxact:name>gui_skip_sdc_generation</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_include_iossm" type="bit"> + <ipxact:name>gui_include_iossm</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_code_hex_file" type="string"> + <ipxact:name>gui_cal_code_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_parameter_table_hex_file" type="string"> + <ipxact:name>gui_parameter_table_hex_file</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_mux_en" type="bit"> + <ipxact:name>gui_pll_tclk_mux_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_tclk_sel" type="string"> + <ipxact:name>gui_pll_tclk_sel</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_0" type="string"> + <ipxact:name>gui_pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_vco_freq_band_1" type="string"> + <ipxact:name>gui_pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_en" type="bit"> + <ipxact:name>gui_pll_freqcal_en</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_freqcal_req_flag" type="bit"> + <ipxact:name>gui_pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_converge" type="bit"> + <ipxact:name>gui_cal_converge</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cal_error" type="string"> + <ipxact:name>gui_cal_error</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cal_done" type="bit"> + <ipxact:name>gui_pll_cal_done</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_type" type="string"> + <ipxact:name>gui_pll_type</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>S10_Simple</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_m_cnt_in_src" type="string"> + <ipxact:name>gui_pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src0" type="string"> + <ipxact:name>gui_c_cnt_in_src0</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src1" type="string"> + <ipxact:name>gui_c_cnt_in_src1</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src2" type="string"> + <ipxact:name>gui_c_cnt_in_src2</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src3" type="string"> + <ipxact:name>gui_c_cnt_in_src3</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src4" type="string"> + <ipxact:name>gui_c_cnt_in_src4</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src5" type="string"> + <ipxact:name>gui_c_cnt_in_src5</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src6" type="string"> + <ipxact:name>gui_c_cnt_in_src6</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src7" type="string"> + <ipxact:name>gui_c_cnt_in_src7</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_c_cnt_in_src8" type="string"> + <ipxact:name>gui_c_cnt_in_src8</ipxact:name> + <ipxact:displayName></ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_family" type="string"> + <ipxact:name>system_info_device_family</ipxact:name> + <ipxact:displayName>Device Family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_component" type="string"> + <ipxact:name>system_info_device_component</ipxact:name> + <ipxact:displayName>Component</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_info_device_speed_grade" type="string"> + <ipxact:name>system_info_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="system_part_trait_speed_grade" type="string"> + <ipxact:name>system_part_trait_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade Trait</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_usr_device_speed_grade" type="string"> + <ipxact:name>gui_usr_device_speed_grade</ipxact:name> + <ipxact:displayName>Speed Grade</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_reconf" type="bit"> + <ipxact:name>gui_en_reconf</ipxact:name> + <ipxact:displayName>Enable dynamic reconfiguration of PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_dps_ports" type="bit"> + <ipxact:name>gui_en_dps_ports</ipxact:name> + <ipxact:displayName>Enable access to dynamic phase shift ports</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_mode" type="string"> + <ipxact:name>gui_pll_mode</ipxact:name> + <ipxact:displayName>PLL Mode</ipxact:displayName> + <ipxact:value>Integer-N PLL</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_location_type" type="string"> + <ipxact:name>gui_location_type</ipxact:name> + <ipxact:displayName>IOPLL Type</ipxact:displayName> + <ipxact:value>I/O Bank</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_logical" type="bit"> + <ipxact:name>gui_use_logical</ipxact:name> + <ipxact:displayName>Use logical PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency" type="real"> + <ipxact:name>gui_reference_clock_frequency</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_reference_clock_frequency_ps" type="real"> + <ipxact:name>gui_reference_clock_frequency_ps</ipxact:name> + <ipxact:displayName>Reference Clock Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_coreclk" type="bit"> + <ipxact:name>gui_use_coreclk</ipxact:name> + <ipxact:displayName>Refclk source is global clock</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_might_change" type="bit"> + <ipxact:name>gui_refclk_might_change</ipxact:name> + <ipxact:displayName>My reference clock frequency might change</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fractional_cout" type="int"> + <ipxact:name>gui_fractional_cout</ipxact:name> + <ipxact:displayName>Fractional carry out</ipxact:displayName> + <ipxact:value>32</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_prot_mode" type="string"> + <ipxact:name>gui_prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>UNUSED</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dsm_out_sel" type="string"> + <ipxact:name>gui_dsm_out_sel</ipxact:name> + <ipxact:displayName>DSM Order</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_locked" type="bit"> + <ipxact:name>gui_use_locked</ipxact:name> + <ipxact:displayName>Enable locked output port</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_adv_params" type="bit"> + <ipxact:name>gui_en_adv_params</ipxact:name> + <ipxact:displayName>Enable physical output clock parameters</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_bandwidth_preset" type="string"> + <ipxact:name>gui_pll_bandwidth_preset</ipxact:name> + <ipxact:displayName>PLL Bandwidth Preset</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_lock_setting" type="string"> + <ipxact:name>gui_lock_setting</ipxact:name> + <ipxact:displayName>Lock Threshold Setting</ipxact:displayName> + <ipxact:value>Low Lock Time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_auto_reset" type="bit"> + <ipxact:name>gui_pll_auto_reset</ipxact:name> + <ipxact:displayName>PLL Auto Reset</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_lvds_ports" type="string"> + <ipxact:name>gui_en_lvds_ports</ipxact:name> + <ipxact:displayName>Access to PLL LVDS_CLK/LOADEN output port</ipxact:displayName> + <ipxact:value>Disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_operation_mode" type="string"> + <ipxact:name>gui_operation_mode</ipxact:name> + <ipxact:displayName>Compensation Mode</ipxact:displayName> + <ipxact:value>source synchronous</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_feedback_clock" type="string"> + <ipxact:name>gui_feedback_clock</ipxact:name> + <ipxact:displayName>Feedback Clock</ipxact:displayName> + <ipxact:value>Global Clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_to_compensate" type="int"> + <ipxact:name>gui_clock_to_compensate</ipxact:name> + <ipxact:displayName>Compensated Outclk</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_use_NDFB_modes" type="bit"> + <ipxact:name>gui_use_NDFB_modes</ipxact:name> + <ipxact:displayName>Use Nondedicated Feedback Path</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk_switch" type="bit"> + <ipxact:name>gui_refclk_switch</ipxact:name> + <ipxact:displayName>Create a second input clock signal 'refclk1'</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_refclk1_frequency" type="real"> + <ipxact:name>gui_refclk1_frequency</ipxact:name> + <ipxact:displayName>Second Reference Clock Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_phout_ports" type="bit"> + <ipxact:name>gui_en_phout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL DPA output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phout_division" type="int"> + <ipxact:name>gui_phout_division</ipxact:name> + <ipxact:displayName>PLL DPA output division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_en_extclkout_ports" type="bit"> + <ipxact:name>gui_en_extclkout_ports</ipxact:name> + <ipxact:displayName>Enable access to PLL external clock output port</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_number_of_clocks" type="int"> + <ipxact:name>gui_number_of_clocks</ipxact:name> + <ipxact:displayName>Number Of Clocks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_multiply_factor" type="int"> + <ipxact:name>gui_multiply_factor</ipxact:name> + <ipxact:displayName>Multiply Factor (M-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_n" type="int"> + <ipxact:name>gui_divide_factor_n</ipxact:name> + <ipxact:displayName>Divide Factor (N-Counter)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_frac_multiply_factor" type="longint"> + <ipxact:name>gui_frac_multiply_factor</ipxact:name> + <ipxact:displayName>Fractional Multiply Factor (K)</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fix_vco_frequency" type="bit"> + <ipxact:name>gui_fix_vco_frequency</ipxact:name> + <ipxact:displayName>Specify VCO frequency</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency" type="real"> + <ipxact:name>gui_fixed_vco_frequency</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_fixed_vco_frequency_ps" type="real"> + <ipxact:name>gui_fixed_vco_frequency_ps</ipxact:name> + <ipxact:displayName>Desired VCO Frequency</ipxact:displayName> + <ipxact:value>1667.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_vco_frequency" type="string"> + <ipxact:name>gui_vco_frequency</ipxact:name> + <ipxact:displayName>Actual VCO Frequency</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_output_counter_cascading" type="bit"> + <ipxact:name>gui_enable_output_counter_cascading</ipxact:name> + <ipxact:displayName>Enable output counter cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_gen_options" type="string"> + <ipxact:name>gui_mif_gen_options</ipxact:name> + <ipxact:displayName>MIF Generation Options</ipxact:displayName> + <ipxact:value>Generate New MIF File</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_new_mif_file_path" type="string"> + <ipxact:name>gui_new_mif_file_path</ipxact:name> + <ipxact:displayName>Path to New MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_existing_mif_file_path" type="string"> + <ipxact:name>gui_existing_mif_file_path</ipxact:name> + <ipxact:displayName>Path to Existing MIF file</ipxact:displayName> + <ipxact:value>~/pll.mif</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_mif_config_name" type="string"> + <ipxact:name>gui_mif_config_name</ipxact:name> + <ipxact:displayName>Name of Current Configuration</ipxact:displayName> + <ipxact:value>unnamed</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_active_clk" type="bit"> + <ipxact:name>gui_active_clk</ipxact:name> + <ipxact:displayName>Create an 'active_clk' signal to indicate the input clock in use</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clk_bad" type="bit"> + <ipxact:name>gui_clk_bad</ipxact:name> + <ipxact:displayName>Create a 'clkbad' signal for each of the input clocks</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_mode" type="string"> + <ipxact:name>gui_switchover_mode</ipxact:name> + <ipxact:displayName>Switchover Mode</ipxact:displayName> + <ipxact:value>Automatic Switchover</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_switchover_delay" type="int"> + <ipxact:name>gui_switchover_delay</ipxact:name> + <ipxact:displayName>Switchover Delay</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_out" type="bit"> + <ipxact:name>gui_enable_cascade_out</ipxact:name> + <ipxact:displayName>Create a 'cascade_out' signal to connect to a downstream PLL</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_outclk_index" type="string"> + <ipxact:name>gui_cascade_outclk_index</ipxact:name> + <ipxact:displayName>cascade_out source</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_cascade_in" type="bit"> + <ipxact:name>gui_enable_cascade_in</ipxact:name> + <ipxact:displayName>Create an 'adjpllin' (cascade in) signal to connect to an upstream PLL through IO Column Cascading</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_permit_cal" type="bit"> + <ipxact:name>gui_enable_permit_cal</ipxact:name> + <ipxact:displayName>Connect to an upstream PLL through Core Clock Network Cascading (create a permit_cal input signal)</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_pll_cascading_mode" type="string"> + <ipxact:name>gui_pll_cascading_mode</ipxact:name> + <ipxact:displayName>Connection Signal Type to Upstream PLL</ipxact:displayName> + <ipxact:value>adjpllin</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_enable_mif_dps" type="bit"> + <ipxact:name>gui_enable_mif_dps</ipxact:name> + <ipxact:displayName>Enable Dynamic Phase Shift for MIF streaming</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_cntr" type="string"> + <ipxact:name>gui_dps_cntr</ipxact:name> + <ipxact:displayName>DPS Counter Selection</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_num" type="int"> + <ipxact:name>gui_dps_num</ipxact:name> + <ipxact:displayName>Number of Dynamic Phase Shifts</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_dps_dir" type="string"> + <ipxact:name>gui_dps_dir</ipxact:name> + <ipxact:displayName>Dynamic Phase Shift Direction</ipxact:displayName> + <ipxact:value>Positive</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_0_source" type="string"> + <ipxact:name>gui_extclkout_0_source</ipxact:name> + <ipxact:displayName>extclk_out[0] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_extclkout_1_source" type="string"> + <ipxact:name>gui_extclkout_1_source</ipxact:name> + <ipxact:displayName>extclk_out[1] source</ipxact:displayName> + <ipxact:value>C0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_global" type="bit"> + <ipxact:name>gui_clock_name_global</ipxact:name> + <ipxact:displayName>Give clocks global names</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string0" type="string"> + <ipxact:name>gui_clock_name_string0</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>link_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string1" type="string"> + <ipxact:name>gui_clock_name_string1</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>frame_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string2" type="string"> + <ipxact:name>gui_clock_name_string2</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string3" type="string"> + <ipxact:name>gui_clock_name_string3</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string4" type="string"> + <ipxact:name>gui_clock_name_string4</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string5" type="string"> + <ipxact:name>gui_clock_name_string5</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk5</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string6" type="string"> + <ipxact:name>gui_clock_name_string6</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string7" type="string"> + <ipxact:name>gui_clock_name_string7</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk7</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string8" type="string"> + <ipxact:name>gui_clock_name_string8</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk8</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string9" type="string"> + <ipxact:name>gui_clock_name_string9</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string10" type="string"> + <ipxact:name>gui_clock_name_string10</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string11" type="string"> + <ipxact:name>gui_clock_name_string11</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk11</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string12" type="string"> + <ipxact:name>gui_clock_name_string12</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk12</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string13" type="string"> + <ipxact:name>gui_clock_name_string13</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk13</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string14" type="string"> + <ipxact:name>gui_clock_name_string14</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk14</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string15" type="string"> + <ipxact:name>gui_clock_name_string15</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk15</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string16" type="string"> + <ipxact:name>gui_clock_name_string16</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk16</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_clock_name_string17" type="string"> + <ipxact:name>gui_clock_name_string17</ipxact:name> + <ipxact:displayName>Clock Name</ipxact:displayName> + <ipxact:value>outclk17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c0" type="int"> + <ipxact:name>gui_divide_factor_c0</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c1" type="int"> + <ipxact:name>gui_divide_factor_c1</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c2" type="int"> + <ipxact:name>gui_divide_factor_c2</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c3" type="int"> + <ipxact:name>gui_divide_factor_c3</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c4" type="int"> + <ipxact:name>gui_divide_factor_c4</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c5" type="int"> + <ipxact:name>gui_divide_factor_c5</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c6" type="int"> + <ipxact:name>gui_divide_factor_c6</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c7" type="int"> + <ipxact:name>gui_divide_factor_c7</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c8" type="int"> + <ipxact:name>gui_divide_factor_c8</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c9" type="int"> + <ipxact:name>gui_divide_factor_c9</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c10" type="int"> + <ipxact:name>gui_divide_factor_c10</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c11" type="int"> + <ipxact:name>gui_divide_factor_c11</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c12" type="int"> + <ipxact:name>gui_divide_factor_c12</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c13" type="int"> + <ipxact:name>gui_divide_factor_c13</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c14" type="int"> + <ipxact:name>gui_divide_factor_c14</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c15" type="int"> + <ipxact:name>gui_divide_factor_c15</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c16" type="int"> + <ipxact:name>gui_divide_factor_c16</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_divide_factor_c17" type="int"> + <ipxact:name>gui_divide_factor_c17</ipxact:name> + <ipxact:displayName>Divide Factor (C-Counter)</ipxact:displayName> + <ipxact:value>6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter0" type="bit"> + <ipxact:name>gui_cascade_counter0</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter1" type="bit"> + <ipxact:name>gui_cascade_counter1</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter2" type="bit"> + <ipxact:name>gui_cascade_counter2</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter3" type="bit"> + <ipxact:name>gui_cascade_counter3</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter4" type="bit"> + <ipxact:name>gui_cascade_counter4</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter5" type="bit"> + <ipxact:name>gui_cascade_counter5</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter6" type="bit"> + <ipxact:name>gui_cascade_counter6</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter7" type="bit"> + <ipxact:name>gui_cascade_counter7</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter8" type="bit"> + <ipxact:name>gui_cascade_counter8</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter9" type="bit"> + <ipxact:name>gui_cascade_counter9</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter10" type="bit"> + <ipxact:name>gui_cascade_counter10</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter11" type="bit"> + <ipxact:name>gui_cascade_counter11</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter12" type="bit"> + <ipxact:name>gui_cascade_counter12</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter13" type="bit"> + <ipxact:name>gui_cascade_counter13</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter14" type="bit"> + <ipxact:name>gui_cascade_counter14</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter15" type="bit"> + <ipxact:name>gui_cascade_counter15</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter16" type="bit"> + <ipxact:name>gui_cascade_counter16</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_cascade_counter17" type="bit"> + <ipxact:name>gui_cascade_counter17</ipxact:name> + <ipxact:displayName>Make this a cascade counter</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency0" type="real"> + <ipxact:name>gui_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency1" type="real"> + <ipxact:name>gui_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency2" type="real"> + <ipxact:name>gui_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency3" type="real"> + <ipxact:name>gui_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency4" type="real"> + <ipxact:name>gui_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency5" type="real"> + <ipxact:name>gui_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency6" type="real"> + <ipxact:name>gui_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency7" type="real"> + <ipxact:name>gui_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency8" type="real"> + <ipxact:name>gui_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency9" type="real"> + <ipxact:name>gui_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency10" type="real"> + <ipxact:name>gui_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency11" type="real"> + <ipxact:name>gui_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency12" type="real"> + <ipxact:name>gui_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency13" type="real"> + <ipxact:name>gui_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency14" type="real"> + <ipxact:name>gui_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency15" type="real"> + <ipxact:name>gui_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency16" type="real"> + <ipxact:name>gui_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency17" type="real"> + <ipxact:name>gui_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps0" type="real"> + <ipxact:name>gui_output_clock_frequency_ps0</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps1" type="real"> + <ipxact:name>gui_output_clock_frequency_ps1</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps2" type="real"> + <ipxact:name>gui_output_clock_frequency_ps2</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps3" type="real"> + <ipxact:name>gui_output_clock_frequency_ps3</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps4" type="real"> + <ipxact:name>gui_output_clock_frequency_ps4</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps5" type="real"> + <ipxact:name>gui_output_clock_frequency_ps5</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps6" type="real"> + <ipxact:name>gui_output_clock_frequency_ps6</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps7" type="real"> + <ipxact:name>gui_output_clock_frequency_ps7</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps8" type="real"> + <ipxact:name>gui_output_clock_frequency_ps8</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps9" type="real"> + <ipxact:name>gui_output_clock_frequency_ps9</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps10" type="real"> + <ipxact:name>gui_output_clock_frequency_ps10</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps11" type="real"> + <ipxact:name>gui_output_clock_frequency_ps11</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps12" type="real"> + <ipxact:name>gui_output_clock_frequency_ps12</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps13" type="real"> + <ipxact:name>gui_output_clock_frequency_ps13</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps14" type="real"> + <ipxact:name>gui_output_clock_frequency_ps14</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps15" type="real"> + <ipxact:name>gui_output_clock_frequency_ps15</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps16" type="real"> + <ipxact:name>gui_output_clock_frequency_ps16</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_output_clock_frequency_ps17" type="real"> + <ipxact:name>gui_output_clock_frequency_ps17</ipxact:name> + <ipxact:displayName>Desired Frequency</ipxact:displayName> + <ipxact:value>10000.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency0</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency1</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency2</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency3</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency4</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency5</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency6</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency7</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency8</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency9</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency10</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency11</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency12</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency13</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency14</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency15</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency16</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency17</ipxact:name> + <ipxact:displayName>Actual Frequency</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range0" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range0</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>99.521531,99.547511,99.595142,100.0,100.404858,100.452489</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range1" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range1</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>180.0,183.333333,185.714286,200.0,216.666667,220.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range2" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range2</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range3" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range3</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range4" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range4</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range5" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range5</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range6" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range6</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range7" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range7</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range8" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range8</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range9" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range9</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range10" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range10</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range11" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range11</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range12" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range12</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range13" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range13</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range14" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range14</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range15" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range15</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range16" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range16</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_output_clock_frequency_range17" type="string"> + <ipxact:name>gui_actual_output_clock_frequency_range17</ipxact:name> + <ipxact:displayName>Legal Frequencies</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units0" type="string"> + <ipxact:name>gui_ps_units0</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units1" type="string"> + <ipxact:name>gui_ps_units1</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units2" type="string"> + <ipxact:name>gui_ps_units2</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units3" type="string"> + <ipxact:name>gui_ps_units3</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units4" type="string"> + <ipxact:name>gui_ps_units4</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units5" type="string"> + <ipxact:name>gui_ps_units5</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units6" type="string"> + <ipxact:name>gui_ps_units6</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units7" type="string"> + <ipxact:name>gui_ps_units7</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units8" type="string"> + <ipxact:name>gui_ps_units8</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units9" type="string"> + <ipxact:name>gui_ps_units9</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units10" type="string"> + <ipxact:name>gui_ps_units10</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units11" type="string"> + <ipxact:name>gui_ps_units11</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units12" type="string"> + <ipxact:name>gui_ps_units12</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units13" type="string"> + <ipxact:name>gui_ps_units13</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units14" type="string"> + <ipxact:name>gui_ps_units14</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units15" type="string"> + <ipxact:name>gui_ps_units15</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units16" type="string"> + <ipxact:name>gui_ps_units16</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_ps_units17" type="string"> + <ipxact:name>gui_ps_units17</ipxact:name> + <ipxact:displayName>Phase Shift Units</ipxact:displayName> + <ipxact:value>ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift0" type="real"> + <ipxact:name>gui_phase_shift0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift1" type="real"> + <ipxact:name>gui_phase_shift1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift2" type="real"> + <ipxact:name>gui_phase_shift2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift3" type="real"> + <ipxact:name>gui_phase_shift3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift4" type="real"> + <ipxact:name>gui_phase_shift4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift5" type="real"> + <ipxact:name>gui_phase_shift5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift6" type="real"> + <ipxact:name>gui_phase_shift6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift7" type="real"> + <ipxact:name>gui_phase_shift7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift8" type="real"> + <ipxact:name>gui_phase_shift8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift9" type="real"> + <ipxact:name>gui_phase_shift9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift10" type="real"> + <ipxact:name>gui_phase_shift10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift11" type="real"> + <ipxact:name>gui_phase_shift11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift12" type="real"> + <ipxact:name>gui_phase_shift12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift13" type="real"> + <ipxact:name>gui_phase_shift13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift14" type="real"> + <ipxact:name>gui_phase_shift14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift15" type="real"> + <ipxact:name>gui_phase_shift15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift16" type="real"> + <ipxact:name>gui_phase_shift16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift17" type="real"> + <ipxact:name>gui_phase_shift17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg0" type="real"> + <ipxact:name>gui_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg1" type="real"> + <ipxact:name>gui_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg2" type="real"> + <ipxact:name>gui_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg3" type="real"> + <ipxact:name>gui_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg4" type="real"> + <ipxact:name>gui_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg5" type="real"> + <ipxact:name>gui_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg6" type="real"> + <ipxact:name>gui_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg7" type="real"> + <ipxact:name>gui_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg8" type="real"> + <ipxact:name>gui_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg9" type="real"> + <ipxact:name>gui_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg10" type="real"> + <ipxact:name>gui_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg11" type="real"> + <ipxact:name>gui_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg12" type="real"> + <ipxact:name>gui_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg13" type="real"> + <ipxact:name>gui_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg14" type="real"> + <ipxact:name>gui_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg15" type="real"> + <ipxact:name>gui_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg16" type="real"> + <ipxact:name>gui_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_phase_shift_deg17" type="real"> + <ipxact:name>gui_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Desired Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift0" type="string"> + <ipxact:name>gui_actual_phase_shift0</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift1" type="string"> + <ipxact:name>gui_actual_phase_shift1</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift2" type="string"> + <ipxact:name>gui_actual_phase_shift2</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift3" type="string"> + <ipxact:name>gui_actual_phase_shift3</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift4" type="string"> + <ipxact:name>gui_actual_phase_shift4</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift5" type="string"> + <ipxact:name>gui_actual_phase_shift5</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift6" type="string"> + <ipxact:name>gui_actual_phase_shift6</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift7" type="string"> + <ipxact:name>gui_actual_phase_shift7</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift8" type="string"> + <ipxact:name>gui_actual_phase_shift8</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift9" type="string"> + <ipxact:name>gui_actual_phase_shift9</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift10" type="string"> + <ipxact:name>gui_actual_phase_shift10</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift11" type="string"> + <ipxact:name>gui_actual_phase_shift11</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift12" type="string"> + <ipxact:name>gui_actual_phase_shift12</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift13" type="string"> + <ipxact:name>gui_actual_phase_shift13</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift14" type="string"> + <ipxact:name>gui_actual_phase_shift14</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift15" type="string"> + <ipxact:name>gui_actual_phase_shift15</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift16" type="string"> + <ipxact:name>gui_actual_phase_shift16</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift17" type="string"> + <ipxact:name>gui_actual_phase_shift17</ipxact:name> + <ipxact:displayName>Actual phase shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,89.3,104.2,125.0,156.2,178.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,89.3,104.2,125.0,156.2,178.6</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg0</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg1</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg2</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg3</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg4</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg5</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg6</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg7</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg8</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg9</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg10</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg11</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg12</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg13</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg14</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg15</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg16</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg17</ipxact:name> + <ipxact:displayName>Actual Phase Shift</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range0" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range0</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,3.2,3.8,4.5,5.6,6.4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range1" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range1</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0,6.4,7.5,9.0,11.2,12.9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range2" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range2</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range3" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range3</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range4" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range4</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range5" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range5</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range6" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range6</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range7" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range7</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range8" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range8</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range9" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range9</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range10" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range10</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range11" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range11</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range12" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range12</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range13" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range13</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range14" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range14</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range15" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range15</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range16" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range16</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_phase_shift_deg_range17" type="string"> + <ipxact:name>gui_actual_phase_shift_deg_range17</ipxact:name> + <ipxact:displayName>Legal Phase Shifts</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle0" type="real"> + <ipxact:name>gui_duty_cycle0</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle1" type="real"> + <ipxact:name>gui_duty_cycle1</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle2" type="real"> + <ipxact:name>gui_duty_cycle2</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle3" type="real"> + <ipxact:name>gui_duty_cycle3</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle4" type="real"> + <ipxact:name>gui_duty_cycle4</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle5" type="real"> + <ipxact:name>gui_duty_cycle5</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle6" type="real"> + <ipxact:name>gui_duty_cycle6</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle7" type="real"> + <ipxact:name>gui_duty_cycle7</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle8" type="real"> + <ipxact:name>gui_duty_cycle8</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle9" type="real"> + <ipxact:name>gui_duty_cycle9</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle10" type="real"> + <ipxact:name>gui_duty_cycle10</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle11" type="real"> + <ipxact:name>gui_duty_cycle11</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle12" type="real"> + <ipxact:name>gui_duty_cycle12</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle13" type="real"> + <ipxact:name>gui_duty_cycle13</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle14" type="real"> + <ipxact:name>gui_duty_cycle14</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle15" type="real"> + <ipxact:name>gui_duty_cycle15</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle16" type="real"> + <ipxact:name>gui_duty_cycle16</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_duty_cycle17" type="real"> + <ipxact:name>gui_duty_cycle17</ipxact:name> + <ipxact:displayName>Desired Duty Cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle0" type="string"> + <ipxact:name>gui_actual_duty_cycle0</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle1" type="string"> + <ipxact:name>gui_actual_duty_cycle1</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle2" type="string"> + <ipxact:name>gui_actual_duty_cycle2</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle3" type="string"> + <ipxact:name>gui_actual_duty_cycle3</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle4" type="string"> + <ipxact:name>gui_actual_duty_cycle4</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle5" type="string"> + <ipxact:name>gui_actual_duty_cycle5</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle6" type="string"> + <ipxact:name>gui_actual_duty_cycle6</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle7" type="string"> + <ipxact:name>gui_actual_duty_cycle7</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle8" type="string"> + <ipxact:name>gui_actual_duty_cycle8</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle9" type="string"> + <ipxact:name>gui_actual_duty_cycle9</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle10" type="string"> + <ipxact:name>gui_actual_duty_cycle10</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle11" type="string"> + <ipxact:name>gui_actual_duty_cycle11</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle12" type="string"> + <ipxact:name>gui_actual_duty_cycle12</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle13" type="string"> + <ipxact:name>gui_actual_duty_cycle13</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle14" type="string"> + <ipxact:name>gui_actual_duty_cycle14</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle15" type="string"> + <ipxact:name>gui_actual_duty_cycle15</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle16" type="string"> + <ipxact:name>gui_actual_duty_cycle16</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle17" type="string"> + <ipxact:name>gui_actual_duty_cycle17</ipxact:name> + <ipxact:displayName>Actual duty cycle</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range0" type="string"> + <ipxact:name>gui_actual_duty_cycle_range0</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>45.0,45.83,46.43,50.0,53.57,54.17</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range1" type="string"> + <ipxact:name>gui_actual_duty_cycle_range1</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>40.0,41.67,42.86,50.0,57.14,58.33</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range2" type="string"> + <ipxact:name>gui_actual_duty_cycle_range2</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range3" type="string"> + <ipxact:name>gui_actual_duty_cycle_range3</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range4" type="string"> + <ipxact:name>gui_actual_duty_cycle_range4</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range5" type="string"> + <ipxact:name>gui_actual_duty_cycle_range5</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range6" type="string"> + <ipxact:name>gui_actual_duty_cycle_range6</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range7" type="string"> + <ipxact:name>gui_actual_duty_cycle_range7</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range8" type="string"> + <ipxact:name>gui_actual_duty_cycle_range8</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range9" type="string"> + <ipxact:name>gui_actual_duty_cycle_range9</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range10" type="string"> + <ipxact:name>gui_actual_duty_cycle_range10</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range11" type="string"> + <ipxact:name>gui_actual_duty_cycle_range11</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range12" type="string"> + <ipxact:name>gui_actual_duty_cycle_range12</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range13" type="string"> + <ipxact:name>gui_actual_duty_cycle_range13</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range14" type="string"> + <ipxact:name>gui_actual_duty_cycle_range14</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range15" type="string"> + <ipxact:name>gui_actual_duty_cycle_range15</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range16" type="string"> + <ipxact:name>gui_actual_duty_cycle_range16</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="gui_actual_duty_cycle_range17" type="string"> + <ipxact:name>gui_actual_duty_cycle_range17</ipxact:name> + <ipxact:displayName>Legal Duty Cycles</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_names" type="string"> + <ipxact:name>parameterTable_names</ipxact:name> + <ipxact:displayName>Parameter Names</ipxact:displayName> + <ipxact:value>M-Counter Divide Setting,N-Counter Divide Setting,VCO Frequency,C-Counter-0 Divide Setting,C-Counter-1 Divide Setting,C-Counter-2 Divide Setting,C-Counter-3 Divide Setting,C-Counter-4 Divide Setting,C-Counter-5 Divide Setting,C-Counter-6 Divide Setting,C-Counter-7 Divide Setting,C-Counter-8 Divide Setting,PLL Auto Reset,M-Counter Hi Divide,M-Counter Lo Divide,M-Counter Even Duty Enable,M-Counter Bypass Enable,N-Counter Hi Divide,N-Counter Lo Divide,N-Counter Even Duty Enable,N-Counter Bypass Enable,C-Counter-0 Hi Divide,C-Counter-1 Hi Divide,C-Counter-2 Hi Divide,C-Counter-3 Hi Divide,C-Counter-4 Hi Divide,C-Counter-5 Hi Divide,C-Counter-6 Hi Divide,C-Counter-7 Hi Divide,C-Counter-8 Hi Divide,C-Counter-0 Lo Divide,C-Counter-1 Lo Divide,C-Counter-2 Lo Divide,C-Counter-3 Lo Divide,C-Counter-4 Lo Divide,C-Counter-5 Lo Divide,C-Counter-6 Lo Divide,C-Counter-7 Lo Divide,C-Counter-8 Lo Divide,C-Counter-0 Even Duty Enable,C-Counter-1 Even Duty Enable,C-Counter-2 Even Duty Enable,C-Counter-3 Even Duty Enable,C-Counter-4 Even Duty Enable,C-Counter-5 Even Duty Enable,C-Counter-6 Even Duty Enable,C-Counter-7 Even Duty Enable,C-Counter-8 Even Duty Enable,C-Counter-0 Bypass Enable,C-Counter-1 Bypass Enable,C-Counter-2 Bypass Enable,C-Counter-3 Bypass Enable,C-Counter-4 Bypass Enable,C-Counter-5 Bypass Enable,C-Counter-6 Bypass Enable,C-Counter-7 Bypass Enable,C-Counter-8 Bypass Enable,C-Counter-0 Preset,C-Counter-1 Preset,C-Counter-2 Preset,C-Counter-3 Preset,C-Counter-4 Preset,C-Counter-5 Preset,C-Counter-6 Preset,C-Counter-7 Preset,C-Counter-8 Preset,C-Counter-0 Phase Mux Preset,C-Counter-1 Phase Mux Preset,C-Counter-2 Phase Mux Preset,C-Counter-3 Phase Mux Preset,C-Counter-4 Phase Mux Preset,C-Counter-5 Phase Mux Preset,C-Counter-6 Phase Mux Preset,C-Counter-7 Phase Mux Preset,C-Counter-8 Phase Mux Preset,Charge Pump Current,Bandwidth Control</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameterTable_values" type="string"> + <ipxact:name>parameterTable_values</ipxact:name> + <ipxact:displayName>Parameter Values</ipxact:displayName> + <ipxact:value>4,1,800.0 MHz,8,4,1,1,1,1,1,1,1,false,2,2,false,false,256,256,false,true,4,2,256,256,256,256,256,256,256,4,2,256,256,256,256,256,256,256,false,false,false,false,false,false,false,false,false,false,false,true,true,true,true,true,true,true,1,1,1,1,1,1,1,1,1,0,0,0,0,0,0,0,0,0,pll_cp_setting10,pll_bw_res_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_names" type="string"> + <ipxact:name>mifTable_names</ipxact:name> + <ipxact:displayName>MIF File Property</ipxact:displayName> + <ipxact:value>The MIF file specified does not yet exist</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mifTable_values" type="string"> + <ipxact:name>mifTable_values</ipxact:name> + <ipxact:displayName>Values</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_basic" type="int"> + <ipxact:name>pll_m_cnt_basic</ipxact:name> + <ipxact:displayName>pll_m_cnt_basic</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt" type="int"> + <ipxact:name>pll_m_cnt</ipxact:name> + <ipxact:displayName>pll_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="prot_mode" type="string"> + <ipxact:name>prot_mode</ipxact:name> + <ipxact:displayName>prot_mode</ipxact:displayName> + <ipxact:value>BASIC</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_hi_div" type="int"> + <ipxact:name>m_cnt_hi_div</ipxact:name> + <ipxact:displayName>m_cnt_hi_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="eff_m_cnt" type="int"> + <ipxact:name>eff_m_cnt</ipxact:name> + <ipxact:displayName>eff_m_cnt</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="multiply_factor" type="int"> + <ipxact:name>multiply_factor</ipxact:name> + <ipxact:displayName>multiply_factor</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="use_core_refclk" type="bit"> + <ipxact:name>use_core_refclk</ipxact:name> + <ipxact:displayName>use_core_refclk</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_lo_div" type="int"> + <ipxact:name>m_cnt_lo_div</ipxact:name> + <ipxact:displayName>m_cnt_lo_div</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_hi_div" type="int"> + <ipxact:name>n_cnt_hi_div</ipxact:name> + <ipxact:displayName>n_cnt_hi_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_lo_div" type="int"> + <ipxact:name>n_cnt_lo_div</ipxact:name> + <ipxact:displayName>n_cnt_lo_div</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_bypass_en" type="bit"> + <ipxact:name>m_cnt_bypass_en</ipxact:name> + <ipxact:displayName>m_cnt_bypass_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_bypass_en" type="bit"> + <ipxact:name>n_cnt_bypass_en</ipxact:name> + <ipxact:displayName>n_cnt_bypass_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="m_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>m_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>m_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="n_cnt_odd_div_duty_en" type="bit"> + <ipxact:name>n_cnt_odd_div_duty_en</ipxact:name> + <ipxact:displayName>n_cnt_odd_div_duty_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_div" type="int"> + <ipxact:name>pll_vco_div</ipxact:name> + <ipxact:displayName>pll_vco_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cp_current" type="string"> + <ipxact:name>pll_cp_current</ipxact:name> + <ipxact:displayName>pll_cp_current</ipxact:displayName> + <ipxact:value>pll_cp_setting10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bwctrl" type="string"> + <ipxact:name>pll_bwctrl</ipxact:name> + <ipxact:displayName>pll_bwctrl</ipxact:displayName> + <ipxact:value>pll_bw_res_setting2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_ripplecap_ctrl" type="string"> + <ipxact:name>pll_ripplecap_ctrl</ipxact:name> + <ipxact:displayName>pll_ripplecap_ctrl</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_division" type="int"> + <ipxact:name>pll_fractional_division</ipxact:name> + <ipxact:displayName>pll_fractional_division</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="fractional_vco_multiplier" type="bit"> + <ipxact:name>fractional_vco_multiplier</ipxact:name> + <ipxact:displayName>fractional_vco_multiplier</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="reference_clock_frequency" type="string"> + <ipxact:name>reference_clock_frequency</ipxact:name> + <ipxact:displayName>reference_clock_frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fractional_cout" type="int"> + <ipxact:name>pll_fractional_cout</ipxact:name> + <ipxact:displayName>pll_fractional_cout</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_dsm_out_sel" type="string"> + <ipxact:name>pll_dsm_out_sel</ipxact:name> + <ipxact:displayName>pll_dsm_out_sel</ipxact:displayName> + <ipxact:value>1st_order</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="operation_mode" type="string"> + <ipxact:name>operation_mode</ipxact:name> + <ipxact:displayName>operation_mode</ipxact:displayName> + <ipxact:value>source_synchronous</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_clocks" type="int"> + <ipxact:name>number_of_clocks</ipxact:name> + <ipxact:displayName>number_of_clocks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="number_of_outclks" type="int"> + <ipxact:name>number_of_outclks</ipxact:name> + <ipxact:displayName>number_of_outclks</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vcoph_div" type="int"> + <ipxact:name>pll_vcoph_div</ipxact:name> + <ipxact:displayName>pll_vcoph_div</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_type" type="string"> + <ipxact:name>pll_type</ipxact:name> + <ipxact:displayName>pll_type</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_subtype" type="string"> + <ipxact:name>pll_subtype</ipxact:name> + <ipxact:displayName>pll_subtype</ipxact:displayName> + <ipxact:value>General</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_output_clk_frequency" type="string"> + <ipxact:name>pll_output_clk_frequency</ipxact:name> + <ipxact:displayName>pll_output_clk_frequency</ipxact:displayName> + <ipxact:value>800.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_pfd_frequency" type="string"> + <ipxact:name>pll_pfd_frequency</ipxact:name> + <ipxact:displayName>pll_pfd_frequency</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="mimic_fbclk_type" type="string"> + <ipxact:name>mimic_fbclk_type</ipxact:name> + <ipxact:displayName>mimic_fbclk_type</ipxact:displayName> + <ipxact:value>gclk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_bw_sel" type="string"> + <ipxact:name>pll_bw_sel</ipxact:name> + <ipxact:displayName>pll_bw_sel</ipxact:displayName> + <ipxact:value>Low</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_slf_rst" type="bit"> + <ipxact:name>pll_slf_rst</ipxact:name> + <ipxact:displayName>pll_slf_rst</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_1" type="string"> + <ipxact:name>pll_fbclk_mux_1</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_1</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_1_glb</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_fbclk_mux_2" type="string"> + <ipxact:name>pll_fbclk_mux_2</ipxact:name> + <ipxact:displayName>pll_fbclk_mux_2</ipxact:displayName> + <ipxact:value>pll_fbclk_mux_2_fb_1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_m_cnt_in_src" type="string"> + <ipxact:name>pll_m_cnt_in_src</ipxact:name> + <ipxact:displayName>pll_m_cnt_in_src</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_0_src" type="string"> + <ipxact:name>pll_clkin_0_src</ipxact:name> + <ipxact:displayName>pll_clkin_0_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="refclk1_frequency" type="string"> + <ipxact:name>refclk1_frequency</ipxact:name> + <ipxact:displayName>refclk1_frequency</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_loss_sw_en" type="bit"> + <ipxact:name>pll_clk_loss_sw_en</ipxact:name> + <ipxact:displayName>pll_clk_loss_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_manu_clk_sw_en" type="bit"> + <ipxact:name>pll_manu_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_manu_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_auto_clk_sw_en" type="bit"> + <ipxact:name>pll_auto_clk_sw_en</ipxact:name> + <ipxact:displayName>pll_auto_clk_sw_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clkin_1_src" type="string"> + <ipxact:name>pll_clkin_1_src</ipxact:name> + <ipxact:displayName>pll_clkin_1_src</ipxact:displayName> + <ipxact:value>clk_0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_clk_sw_dly" type="int"> + <ipxact:name>pll_clk_sw_dly</ipxact:name> + <ipxact:displayName>pll_clk_sw_dly</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_0_cnt_src" type="string"> + <ipxact:name>pll_extclk_0_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_0_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_extclk_1_cnt_src" type="string"> + <ipxact:name>pll_extclk_1_cnt_src</ipxact:name> + <ipxact:displayName>pll_extclk_1_cnt_src</ipxact:displayName> + <ipxact:value>pll_extclk_cnt_src_vss</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_lock_fltr_cfg" type="int"> + <ipxact:name>pll_lock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_lock_fltr_cfg</ipxact:displayName> + <ipxact:value>100</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_unlock_fltr_cfg" type="int"> + <ipxact:name>pll_unlock_fltr_cfg</ipxact:name> + <ipxact:displayName>pll_unlock_fltr_cfg</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lock_mode" type="string"> + <ipxact:name>lock_mode</ipxact:name> + <ipxact:displayName>lock_mode</ipxact:displayName> + <ipxact:value>low_lock_time</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_to_compensate" type="int"> + <ipxact:name>clock_to_compensate</ipxact:name> + <ipxact:displayName>clock_to_compensate</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global" type="bit"> + <ipxact:name>clock_name_global</ipxact:name> + <ipxact:displayName>clock_name_global</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_en" type="bit"> + <ipxact:name>pll_freqcal_en</ipxact:name> + <ipxact:displayName>pll_freqcal_en</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_defer_cal_user_mode" type="bit"> + <ipxact:name>pll_defer_cal_user_mode</ipxact:name> + <ipxact:displayName>pll_defer_cal_user_mode</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="dprio_interface_sel" type="int"> + <ipxact:name>dprio_interface_sel</ipxact:name> + <ipxact:displayName>dprio_interface_sel</ipxact:displayName> + <ipxact:value>3</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="merging_permitted" type="bit"> + <ipxact:name>merging_permitted</ipxact:name> + <ipxact:displayName>merging_permitted</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div0" type="int"> + <ipxact:name>c_cnt_hi_div0</ipxact:name> + <ipxact:displayName>c_cnt_hi_div0</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div1" type="int"> + <ipxact:name>c_cnt_hi_div1</ipxact:name> + <ipxact:displayName>c_cnt_hi_div1</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div2" type="int"> + <ipxact:name>c_cnt_hi_div2</ipxact:name> + <ipxact:displayName>c_cnt_hi_div2</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div3" type="int"> + <ipxact:name>c_cnt_hi_div3</ipxact:name> + <ipxact:displayName>c_cnt_hi_div3</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div4" type="int"> + <ipxact:name>c_cnt_hi_div4</ipxact:name> + <ipxact:displayName>c_cnt_hi_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div5" type="int"> + <ipxact:name>c_cnt_hi_div5</ipxact:name> + <ipxact:displayName>c_cnt_hi_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div6" type="int"> + <ipxact:name>c_cnt_hi_div6</ipxact:name> + <ipxact:displayName>c_cnt_hi_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div7" type="int"> + <ipxact:name>c_cnt_hi_div7</ipxact:name> + <ipxact:displayName>c_cnt_hi_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div8" type="int"> + <ipxact:name>c_cnt_hi_div8</ipxact:name> + <ipxact:displayName>c_cnt_hi_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div9" type="int"> + <ipxact:name>c_cnt_hi_div9</ipxact:name> + <ipxact:displayName>c_cnt_hi_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div10" type="int"> + <ipxact:name>c_cnt_hi_div10</ipxact:name> + <ipxact:displayName>c_cnt_hi_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div11" type="int"> + <ipxact:name>c_cnt_hi_div11</ipxact:name> + <ipxact:displayName>c_cnt_hi_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div12" type="int"> + <ipxact:name>c_cnt_hi_div12</ipxact:name> + <ipxact:displayName>c_cnt_hi_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div13" type="int"> + <ipxact:name>c_cnt_hi_div13</ipxact:name> + <ipxact:displayName>c_cnt_hi_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div14" type="int"> + <ipxact:name>c_cnt_hi_div14</ipxact:name> + <ipxact:displayName>c_cnt_hi_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div15" type="int"> + <ipxact:name>c_cnt_hi_div15</ipxact:name> + <ipxact:displayName>c_cnt_hi_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div16" type="int"> + <ipxact:name>c_cnt_hi_div16</ipxact:name> + <ipxact:displayName>c_cnt_hi_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_hi_div17" type="int"> + <ipxact:name>c_cnt_hi_div17</ipxact:name> + <ipxact:displayName>c_cnt_hi_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div0" type="int"> + <ipxact:name>c_cnt_lo_div0</ipxact:name> + <ipxact:displayName>c_cnt_lo_div0</ipxact:displayName> + <ipxact:value>4</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div1" type="int"> + <ipxact:name>c_cnt_lo_div1</ipxact:name> + <ipxact:displayName>c_cnt_lo_div1</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div2" type="int"> + <ipxact:name>c_cnt_lo_div2</ipxact:name> + <ipxact:displayName>c_cnt_lo_div2</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div3" type="int"> + <ipxact:name>c_cnt_lo_div3</ipxact:name> + <ipxact:displayName>c_cnt_lo_div3</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div4" type="int"> + <ipxact:name>c_cnt_lo_div4</ipxact:name> + <ipxact:displayName>c_cnt_lo_div4</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div5" type="int"> + <ipxact:name>c_cnt_lo_div5</ipxact:name> + <ipxact:displayName>c_cnt_lo_div5</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div6" type="int"> + <ipxact:name>c_cnt_lo_div6</ipxact:name> + <ipxact:displayName>c_cnt_lo_div6</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div7" type="int"> + <ipxact:name>c_cnt_lo_div7</ipxact:name> + <ipxact:displayName>c_cnt_lo_div7</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div8" type="int"> + <ipxact:name>c_cnt_lo_div8</ipxact:name> + <ipxact:displayName>c_cnt_lo_div8</ipxact:displayName> + <ipxact:value>256</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div9" type="int"> + <ipxact:name>c_cnt_lo_div9</ipxact:name> + <ipxact:displayName>c_cnt_lo_div9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div10" type="int"> + <ipxact:name>c_cnt_lo_div10</ipxact:name> + <ipxact:displayName>c_cnt_lo_div10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div11" type="int"> + <ipxact:name>c_cnt_lo_div11</ipxact:name> + <ipxact:displayName>c_cnt_lo_div11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div12" type="int"> + <ipxact:name>c_cnt_lo_div12</ipxact:name> + <ipxact:displayName>c_cnt_lo_div12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div13" type="int"> + <ipxact:name>c_cnt_lo_div13</ipxact:name> + <ipxact:displayName>c_cnt_lo_div13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div14" type="int"> + <ipxact:name>c_cnt_lo_div14</ipxact:name> + <ipxact:displayName>c_cnt_lo_div14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div15" type="int"> + <ipxact:name>c_cnt_lo_div15</ipxact:name> + <ipxact:displayName>c_cnt_lo_div15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div16" type="int"> + <ipxact:name>c_cnt_lo_div16</ipxact:name> + <ipxact:displayName>c_cnt_lo_div16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_lo_div17" type="int"> + <ipxact:name>c_cnt_lo_div17</ipxact:name> + <ipxact:displayName>c_cnt_lo_div17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst0" type="int"> + <ipxact:name>c_cnt_prst0</ipxact:name> + <ipxact:displayName>c_cnt_prst0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst1" type="int"> + <ipxact:name>c_cnt_prst1</ipxact:name> + <ipxact:displayName>c_cnt_prst1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst2" type="int"> + <ipxact:name>c_cnt_prst2</ipxact:name> + <ipxact:displayName>c_cnt_prst2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst3" type="int"> + <ipxact:name>c_cnt_prst3</ipxact:name> + <ipxact:displayName>c_cnt_prst3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst4" type="int"> + <ipxact:name>c_cnt_prst4</ipxact:name> + <ipxact:displayName>c_cnt_prst4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst5" type="int"> + <ipxact:name>c_cnt_prst5</ipxact:name> + <ipxact:displayName>c_cnt_prst5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst6" type="int"> + <ipxact:name>c_cnt_prst6</ipxact:name> + <ipxact:displayName>c_cnt_prst6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst7" type="int"> + <ipxact:name>c_cnt_prst7</ipxact:name> + <ipxact:displayName>c_cnt_prst7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst8" type="int"> + <ipxact:name>c_cnt_prst8</ipxact:name> + <ipxact:displayName>c_cnt_prst8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst9" type="int"> + <ipxact:name>c_cnt_prst9</ipxact:name> + <ipxact:displayName>c_cnt_prst9</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst10" type="int"> + <ipxact:name>c_cnt_prst10</ipxact:name> + <ipxact:displayName>c_cnt_prst10</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst11" type="int"> + <ipxact:name>c_cnt_prst11</ipxact:name> + <ipxact:displayName>c_cnt_prst11</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst12" type="int"> + <ipxact:name>c_cnt_prst12</ipxact:name> + <ipxact:displayName>c_cnt_prst12</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst13" type="int"> + <ipxact:name>c_cnt_prst13</ipxact:name> + <ipxact:displayName>c_cnt_prst13</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst14" type="int"> + <ipxact:name>c_cnt_prst14</ipxact:name> + <ipxact:displayName>c_cnt_prst14</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst15" type="int"> + <ipxact:name>c_cnt_prst15</ipxact:name> + <ipxact:displayName>c_cnt_prst15</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst16" type="int"> + <ipxact:name>c_cnt_prst16</ipxact:name> + <ipxact:displayName>c_cnt_prst16</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_prst17" type="int"> + <ipxact:name>c_cnt_prst17</ipxact:name> + <ipxact:displayName>c_cnt_prst17</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst0" type="int"> + <ipxact:name>c_cnt_ph_mux_prst0</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst0</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst1" type="int"> + <ipxact:name>c_cnt_ph_mux_prst1</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst1</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst2" type="int"> + <ipxact:name>c_cnt_ph_mux_prst2</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst2</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst3" type="int"> + <ipxact:name>c_cnt_ph_mux_prst3</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst3</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst4" type="int"> + <ipxact:name>c_cnt_ph_mux_prst4</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst4</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst5" type="int"> + <ipxact:name>c_cnt_ph_mux_prst5</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst5</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst6" type="int"> + <ipxact:name>c_cnt_ph_mux_prst6</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst6</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst7" type="int"> + <ipxact:name>c_cnt_ph_mux_prst7</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst7</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst8" type="int"> + <ipxact:name>c_cnt_ph_mux_prst8</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst8</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst9" type="int"> + <ipxact:name>c_cnt_ph_mux_prst9</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst9</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst10" type="int"> + <ipxact:name>c_cnt_ph_mux_prst10</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst10</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst11" type="int"> + <ipxact:name>c_cnt_ph_mux_prst11</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst11</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst12" type="int"> + <ipxact:name>c_cnt_ph_mux_prst12</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst12</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst13" type="int"> + <ipxact:name>c_cnt_ph_mux_prst13</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst13</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst14" type="int"> + <ipxact:name>c_cnt_ph_mux_prst14</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst14</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst15" type="int"> + <ipxact:name>c_cnt_ph_mux_prst15</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst15</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst16" type="int"> + <ipxact:name>c_cnt_ph_mux_prst16</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst16</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_ph_mux_prst17" type="int"> + <ipxact:name>c_cnt_ph_mux_prst17</ipxact:name> + <ipxact:displayName>c_cnt_ph_mux_prst17</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src0" type="string"> + <ipxact:name>c_cnt_in_src0</ipxact:name> + <ipxact:displayName>c_cnt_in_src0</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src1" type="string"> + <ipxact:name>c_cnt_in_src1</ipxact:name> + <ipxact:displayName>c_cnt_in_src1</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src2" type="string"> + <ipxact:name>c_cnt_in_src2</ipxact:name> + <ipxact:displayName>c_cnt_in_src2</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src3" type="string"> + <ipxact:name>c_cnt_in_src3</ipxact:name> + <ipxact:displayName>c_cnt_in_src3</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src4" type="string"> + <ipxact:name>c_cnt_in_src4</ipxact:name> + <ipxact:displayName>c_cnt_in_src4</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src5" type="string"> + <ipxact:name>c_cnt_in_src5</ipxact:name> + <ipxact:displayName>c_cnt_in_src5</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src6" type="string"> + <ipxact:name>c_cnt_in_src6</ipxact:name> + <ipxact:displayName>c_cnt_in_src6</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src7" type="string"> + <ipxact:name>c_cnt_in_src7</ipxact:name> + <ipxact:displayName>c_cnt_in_src7</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src8" type="string"> + <ipxact:name>c_cnt_in_src8</ipxact:name> + <ipxact:displayName>c_cnt_in_src8</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src9" type="string"> + <ipxact:name>c_cnt_in_src9</ipxact:name> + <ipxact:displayName>c_cnt_in_src9</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src10" type="string"> + <ipxact:name>c_cnt_in_src10</ipxact:name> + <ipxact:displayName>c_cnt_in_src10</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src11" type="string"> + <ipxact:name>c_cnt_in_src11</ipxact:name> + <ipxact:displayName>c_cnt_in_src11</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src12" type="string"> + <ipxact:name>c_cnt_in_src12</ipxact:name> + <ipxact:displayName>c_cnt_in_src12</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src13" type="string"> + <ipxact:name>c_cnt_in_src13</ipxact:name> + <ipxact:displayName>c_cnt_in_src13</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src14" type="string"> + <ipxact:name>c_cnt_in_src14</ipxact:name> + <ipxact:displayName>c_cnt_in_src14</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src15" type="string"> + <ipxact:name>c_cnt_in_src15</ipxact:name> + <ipxact:displayName>c_cnt_in_src15</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src16" type="string"> + <ipxact:name>c_cnt_in_src16</ipxact:name> + <ipxact:displayName>c_cnt_in_src16</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_in_src17" type="string"> + <ipxact:name>c_cnt_in_src17</ipxact:name> + <ipxact:displayName>c_cnt_in_src17</ipxact:displayName> + <ipxact:value>c_m_cnt_in_src_ph_mux_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en0" type="bit"> + <ipxact:name>c_cnt_bypass_en0</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en1" type="bit"> + <ipxact:name>c_cnt_bypass_en1</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en2" type="bit"> + <ipxact:name>c_cnt_bypass_en2</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en2</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en3" type="bit"> + <ipxact:name>c_cnt_bypass_en3</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en3</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en4" type="bit"> + <ipxact:name>c_cnt_bypass_en4</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en4</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en5" type="bit"> + <ipxact:name>c_cnt_bypass_en5</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en5</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en6" type="bit"> + <ipxact:name>c_cnt_bypass_en6</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en6</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en7" type="bit"> + <ipxact:name>c_cnt_bypass_en7</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en7</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en8" type="bit"> + <ipxact:name>c_cnt_bypass_en8</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en8</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en9" type="bit"> + <ipxact:name>c_cnt_bypass_en9</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en9</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en10" type="bit"> + <ipxact:name>c_cnt_bypass_en10</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en10</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en11" type="bit"> + <ipxact:name>c_cnt_bypass_en11</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en11</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en12" type="bit"> + <ipxact:name>c_cnt_bypass_en12</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en12</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en13" type="bit"> + <ipxact:name>c_cnt_bypass_en13</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en13</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en14" type="bit"> + <ipxact:name>c_cnt_bypass_en14</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en14</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en15" type="bit"> + <ipxact:name>c_cnt_bypass_en15</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en15</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en16" type="bit"> + <ipxact:name>c_cnt_bypass_en16</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en16</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_bypass_en17" type="bit"> + <ipxact:name>c_cnt_bypass_en17</ipxact:name> + <ipxact:displayName>c_cnt_bypass_en17</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en0" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en0</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en1" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en1</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en2" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en2</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en3" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en3</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en4" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en4</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en5" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en5</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en6" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en6</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en7" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en7</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en8" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en8</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en9" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en9</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en9</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en10" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en10</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en10</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en11" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en11</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en11</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en12" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en12</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en12</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en13" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en13</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en13</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en14" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en14</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en14</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en15" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en15</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en15</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en16" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en16</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en16</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="c_cnt_odd_div_duty_en17" type="bit"> + <ipxact:name>c_cnt_odd_div_duty_en17</ipxact:name> + <ipxact:displayName>c_cnt_odd_div_duty_en17</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency0" type="string"> + <ipxact:name>output_clock_frequency0</ipxact:name> + <ipxact:displayName>output_clock_frequency0</ipxact:displayName> + <ipxact:value>100.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency1" type="string"> + <ipxact:name>output_clock_frequency1</ipxact:name> + <ipxact:displayName>output_clock_frequency1</ipxact:displayName> + <ipxact:value>200.0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency2" type="string"> + <ipxact:name>output_clock_frequency2</ipxact:name> + <ipxact:displayName>output_clock_frequency2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency3" type="string"> + <ipxact:name>output_clock_frequency3</ipxact:name> + <ipxact:displayName>output_clock_frequency3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency4" type="string"> + <ipxact:name>output_clock_frequency4</ipxact:name> + <ipxact:displayName>output_clock_frequency4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency5" type="string"> + <ipxact:name>output_clock_frequency5</ipxact:name> + <ipxact:displayName>output_clock_frequency5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency6" type="string"> + <ipxact:name>output_clock_frequency6</ipxact:name> + <ipxact:displayName>output_clock_frequency6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency7" type="string"> + <ipxact:name>output_clock_frequency7</ipxact:name> + <ipxact:displayName>output_clock_frequency7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency8" type="string"> + <ipxact:name>output_clock_frequency8</ipxact:name> + <ipxact:displayName>output_clock_frequency8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency9" type="string"> + <ipxact:name>output_clock_frequency9</ipxact:name> + <ipxact:displayName>output_clock_frequency9</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency10" type="string"> + <ipxact:name>output_clock_frequency10</ipxact:name> + <ipxact:displayName>output_clock_frequency10</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency11" type="string"> + <ipxact:name>output_clock_frequency11</ipxact:name> + <ipxact:displayName>output_clock_frequency11</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency12" type="string"> + <ipxact:name>output_clock_frequency12</ipxact:name> + <ipxact:displayName>output_clock_frequency12</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency13" type="string"> + <ipxact:name>output_clock_frequency13</ipxact:name> + <ipxact:displayName>output_clock_frequency13</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency14" type="string"> + <ipxact:name>output_clock_frequency14</ipxact:name> + <ipxact:displayName>output_clock_frequency14</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency15" type="string"> + <ipxact:name>output_clock_frequency15</ipxact:name> + <ipxact:displayName>output_clock_frequency15</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency16" type="string"> + <ipxact:name>output_clock_frequency16</ipxact:name> + <ipxact:displayName>output_clock_frequency16</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="output_clock_frequency17" type="string"> + <ipxact:name>output_clock_frequency17</ipxact:name> + <ipxact:displayName>output_clock_frequency17</ipxact:displayName> + <ipxact:value>0 MHz</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift0" type="string"> + <ipxact:name>phase_shift0</ipxact:name> + <ipxact:displayName>phase_shift0</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift1" type="string"> + <ipxact:name>phase_shift1</ipxact:name> + <ipxact:displayName>phase_shift1</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift2" type="string"> + <ipxact:name>phase_shift2</ipxact:name> + <ipxact:displayName>phase_shift2</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift3" type="string"> + <ipxact:name>phase_shift3</ipxact:name> + <ipxact:displayName>phase_shift3</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift4" type="string"> + <ipxact:name>phase_shift4</ipxact:name> + <ipxact:displayName>phase_shift4</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift5" type="string"> + <ipxact:name>phase_shift5</ipxact:name> + <ipxact:displayName>phase_shift5</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift6" type="string"> + <ipxact:name>phase_shift6</ipxact:name> + <ipxact:displayName>phase_shift6</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift7" type="string"> + <ipxact:name>phase_shift7</ipxact:name> + <ipxact:displayName>phase_shift7</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift8" type="string"> + <ipxact:name>phase_shift8</ipxact:name> + <ipxact:displayName>phase_shift8</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift9" type="string"> + <ipxact:name>phase_shift9</ipxact:name> + <ipxact:displayName>phase_shift9</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift10" type="string"> + <ipxact:name>phase_shift10</ipxact:name> + <ipxact:displayName>phase_shift10</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift11" type="string"> + <ipxact:name>phase_shift11</ipxact:name> + <ipxact:displayName>phase_shift11</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift12" type="string"> + <ipxact:name>phase_shift12</ipxact:name> + <ipxact:displayName>phase_shift12</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift13" type="string"> + <ipxact:name>phase_shift13</ipxact:name> + <ipxact:displayName>phase_shift13</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift14" type="string"> + <ipxact:name>phase_shift14</ipxact:name> + <ipxact:displayName>phase_shift14</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift15" type="string"> + <ipxact:name>phase_shift15</ipxact:name> + <ipxact:displayName>phase_shift15</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift16" type="string"> + <ipxact:name>phase_shift16</ipxact:name> + <ipxact:displayName>phase_shift16</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="phase_shift17" type="string"> + <ipxact:name>phase_shift17</ipxact:name> + <ipxact:displayName>phase_shift17</ipxact:displayName> + <ipxact:value>0 ps</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle0" type="int"> + <ipxact:name>duty_cycle0</ipxact:name> + <ipxact:displayName>duty_cycle0</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle1" type="int"> + <ipxact:name>duty_cycle1</ipxact:name> + <ipxact:displayName>duty_cycle1</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle2" type="int"> + <ipxact:name>duty_cycle2</ipxact:name> + <ipxact:displayName>duty_cycle2</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle3" type="int"> + <ipxact:name>duty_cycle3</ipxact:name> + <ipxact:displayName>duty_cycle3</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle4" type="int"> + <ipxact:name>duty_cycle4</ipxact:name> + <ipxact:displayName>duty_cycle4</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle5" type="int"> + <ipxact:name>duty_cycle5</ipxact:name> + <ipxact:displayName>duty_cycle5</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle6" type="int"> + <ipxact:name>duty_cycle6</ipxact:name> + <ipxact:displayName>duty_cycle6</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle7" type="int"> + <ipxact:name>duty_cycle7</ipxact:name> + <ipxact:displayName>duty_cycle7</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle8" type="int"> + <ipxact:name>duty_cycle8</ipxact:name> + <ipxact:displayName>duty_cycle8</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle9" type="int"> + <ipxact:name>duty_cycle9</ipxact:name> + <ipxact:displayName>duty_cycle9</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle10" type="int"> + <ipxact:name>duty_cycle10</ipxact:name> + <ipxact:displayName>duty_cycle10</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle11" type="int"> + <ipxact:name>duty_cycle11</ipxact:name> + <ipxact:displayName>duty_cycle11</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle12" type="int"> + <ipxact:name>duty_cycle12</ipxact:name> + <ipxact:displayName>duty_cycle12</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle13" type="int"> + <ipxact:name>duty_cycle13</ipxact:name> + <ipxact:displayName>duty_cycle13</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle14" type="int"> + <ipxact:name>duty_cycle14</ipxact:name> + <ipxact:displayName>duty_cycle14</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle15" type="int"> + <ipxact:name>duty_cycle15</ipxact:name> + <ipxact:displayName>duty_cycle15</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle16" type="int"> + <ipxact:name>duty_cycle16</ipxact:name> + <ipxact:displayName>duty_cycle16</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="duty_cycle17" type="int"> + <ipxact:name>duty_cycle17</ipxact:name> + <ipxact:displayName>duty_cycle17</ipxact:displayName> + <ipxact:value>50</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_0" type="string"> + <ipxact:name>clock_name_0</ipxact:name> + <ipxact:displayName>clock_name_0</ipxact:displayName> + <ipxact:value>link_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_1" type="string"> + <ipxact:name>clock_name_1</ipxact:name> + <ipxact:displayName>clock_name_1</ipxact:displayName> + <ipxact:value>frame_clk</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_2" type="string"> + <ipxact:name>clock_name_2</ipxact:name> + <ipxact:displayName>clock_name_2</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_3" type="string"> + <ipxact:name>clock_name_3</ipxact:name> + <ipxact:displayName>clock_name_3</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_4" type="string"> + <ipxact:name>clock_name_4</ipxact:name> + <ipxact:displayName>clock_name_4</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_5" type="string"> + <ipxact:name>clock_name_5</ipxact:name> + <ipxact:displayName>clock_name_5</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_6" type="string"> + <ipxact:name>clock_name_6</ipxact:name> + <ipxact:displayName>clock_name_6</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_7" type="string"> + <ipxact:name>clock_name_7</ipxact:name> + <ipxact:displayName>clock_name_7</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_8" type="string"> + <ipxact:name>clock_name_8</ipxact:name> + <ipxact:displayName>clock_name_8</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_0" type="bit"> + <ipxact:name>clock_name_global_0</ipxact:name> + <ipxact:displayName>clock_name_global_0</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_1" type="bit"> + <ipxact:name>clock_name_global_1</ipxact:name> + <ipxact:displayName>clock_name_global_1</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_2" type="bit"> + <ipxact:name>clock_name_global_2</ipxact:name> + <ipxact:displayName>clock_name_global_2</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_3" type="bit"> + <ipxact:name>clock_name_global_3</ipxact:name> + <ipxact:displayName>clock_name_global_3</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_4" type="bit"> + <ipxact:name>clock_name_global_4</ipxact:name> + <ipxact:displayName>clock_name_global_4</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_5" type="bit"> + <ipxact:name>clock_name_global_5</ipxact:name> + <ipxact:displayName>clock_name_global_5</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_6" type="bit"> + <ipxact:name>clock_name_global_6</ipxact:name> + <ipxact:displayName>clock_name_global_6</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_7" type="bit"> + <ipxact:name>clock_name_global_7</ipxact:name> + <ipxact:displayName>clock_name_global_7</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="clock_name_global_8" type="bit"> + <ipxact:name>clock_name_global_8</ipxact:name> + <ipxact:displayName>clock_name_global_8</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor0" type="int"> + <ipxact:name>divide_factor0</ipxact:name> + <ipxact:displayName>divide_factor0</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor1" type="int"> + <ipxact:name>divide_factor1</ipxact:name> + <ipxact:displayName>divide_factor1</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor2" type="int"> + <ipxact:name>divide_factor2</ipxact:name> + <ipxact:displayName>divide_factor2</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor3" type="int"> + <ipxact:name>divide_factor3</ipxact:name> + <ipxact:displayName>divide_factor3</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor4" type="int"> + <ipxact:name>divide_factor4</ipxact:name> + <ipxact:displayName>divide_factor4</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor5" type="int"> + <ipxact:name>divide_factor5</ipxact:name> + <ipxact:displayName>divide_factor5</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor6" type="int"> + <ipxact:name>divide_factor6</ipxact:name> + <ipxact:displayName>divide_factor6</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor7" type="int"> + <ipxact:name>divide_factor7</ipxact:name> + <ipxact:displayName>divide_factor7</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="divide_factor8" type="int"> + <ipxact:name>divide_factor8</ipxact:name> + <ipxact:displayName>divide_factor8</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_mux_en" type="bit"> + <ipxact:name>pll_tclk_mux_en</ipxact:name> + <ipxact:displayName>pll_tclk_mux_en</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_tclk_sel" type="string"> + <ipxact:name>pll_tclk_sel</ipxact:name> + <ipxact:displayName>pll_tclk_sel</ipxact:displayName> + <ipxact:value>pll_tclk_m_src</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_0" type="string"> + <ipxact:name>pll_vco_freq_band_0</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_0</ipxact:displayName> + <ipxact:value>pll_freq_clk0_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_vco_freq_band_1" type="string"> + <ipxact:name>pll_vco_freq_band_1</ipxact:name> + <ipxact:displayName>pll_vco_freq_band_1</ipxact:displayName> + <ipxact:value>pll_freq_clk1_disabled</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_freqcal_req_flag" type="bit"> + <ipxact:name>pll_freqcal_req_flag</ipxact:name> + <ipxact:displayName>pll_freqcal_req_flag</ipxact:displayName> + <ipxact:value>true</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_converge" type="bit"> + <ipxact:name>cal_converge</ipxact:name> + <ipxact:displayName>cal_converge</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_error" type="string"> + <ipxact:name>cal_error</ipxact:name> + <ipxact:displayName>cal_error</ipxact:displayName> + <ipxact:value>cal_clean</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="pll_cal_done" type="bit"> + <ipxact:name>pll_cal_done</ipxact:name> + <ipxact:displayName>pll_cal_done</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="include_iossm" type="bit"> + <ipxact:name>include_iossm</ipxact:name> + <ipxact:displayName>include_iossm</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="cal_code_hex_file" type="string"> + <ipxact:name>cal_code_hex_file</ipxact:name> + <ipxact:displayName>cal_code_hex_file</ipxact:displayName> + <ipxact:value>iossm.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="parameter_table_hex_file" type="string"> + <ipxact:name>parameter_table_hex_file</ipxact:name> + <ipxact:displayName>parameter_table_hex_file</ipxact:displayName> + <ipxact:value>seq_params_sim.hex</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="iossm_nios_sim_clk_period_ps" type="int"> + <ipxact:name>iossm_nios_sim_clk_period_ps</ipxact:name> + <ipxact:displayName>iossm_nios_sim_clk_period_ps</ipxact:displayName> + <ipxact:value>1333</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_number_of_family_allowable_clocks" type="int"> + <ipxact:name>hp_number_of_family_allowable_clocks</ipxact:name> + <ipxact:displayName>hp_number_of_family_allowable_clocks</ipxact:displayName> + <ipxact:value>9</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_previous_num_clocks" type="int"> + <ipxact:name>hp_previous_num_clocks</ipxact:name> + <ipxact:displayName>hp_previous_num_clocks</ipxact:displayName> + <ipxact:value>1</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_vco_frequency_fp" type="real"> + <ipxact:name>hp_actual_vco_frequency_fp</ipxact:name> + <ipxact:displayName>hp_actual_vco_frequency_fp</ipxact:displayName> + <ipxact:value>600.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_parameter_update_message" type="string"> + <ipxact:name>hp_parameter_update_message</ipxact:name> + <ipxact:displayName>hp_parameter_update_message</ipxact:displayName> + <ipxact:value></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_qsys_scripting_mode" type="bit"> + <ipxact:name>hp_qsys_scripting_mode</ipxact:name> + <ipxact:displayName>hp_qsys_scripting_mode</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp0" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp0</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp0</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp1" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp1</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp1</ipxact:displayName> + <ipxact:value>200.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp2" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp2</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp2</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp3" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp3</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp3</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp4" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp4</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp4</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp5" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp5</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp5</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp6" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp6</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp6</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp7" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp7</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp7</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp8" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp8</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp8</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp9" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp9</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp9</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp10" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp10</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp10</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp11" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp11</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp11</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp12" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp12</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp12</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp13" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp13</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp13</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp14" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp14</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp14</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp15" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp15</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp15</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp16" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp16</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp16</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_output_clock_frequency_fp17" type="real"> + <ipxact:name>hp_actual_output_clock_frequency_fp17</ipxact:name> + <ipxact:displayName>hp_actual_output_clock_frequency_fp17</ipxact:displayName> + <ipxact:value>100.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp0" type="real"> + <ipxact:name>hp_actual_phase_shift_fp0</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp0</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp1" type="real"> + <ipxact:name>hp_actual_phase_shift_fp1</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp1</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp2" type="real"> + <ipxact:name>hp_actual_phase_shift_fp2</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp2</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp3" type="real"> + <ipxact:name>hp_actual_phase_shift_fp3</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp3</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp4" type="real"> + <ipxact:name>hp_actual_phase_shift_fp4</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp4</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp5" type="real"> + <ipxact:name>hp_actual_phase_shift_fp5</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp5</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp6" type="real"> + <ipxact:name>hp_actual_phase_shift_fp6</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp6</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp7" type="real"> + <ipxact:name>hp_actual_phase_shift_fp7</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp7</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp8" type="real"> + <ipxact:name>hp_actual_phase_shift_fp8</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp8</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp9" type="real"> + <ipxact:name>hp_actual_phase_shift_fp9</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp9</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp10" type="real"> + <ipxact:name>hp_actual_phase_shift_fp10</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp10</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp11" type="real"> + <ipxact:name>hp_actual_phase_shift_fp11</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp11</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp12" type="real"> + <ipxact:name>hp_actual_phase_shift_fp12</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp12</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp13" type="real"> + <ipxact:name>hp_actual_phase_shift_fp13</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp13</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp14" type="real"> + <ipxact:name>hp_actual_phase_shift_fp14</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp14</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp15" type="real"> + <ipxact:name>hp_actual_phase_shift_fp15</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp15</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp16" type="real"> + <ipxact:name>hp_actual_phase_shift_fp16</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp16</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_phase_shift_fp17" type="real"> + <ipxact:name>hp_actual_phase_shift_fp17</ipxact:name> + <ipxact:displayName>hp_actual_phase_shift_fp17</ipxact:displayName> + <ipxact:value>0.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp0" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp0</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp0</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp1" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp1</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp1</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp2" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp2</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp2</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp3" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp3</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp3</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp4" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp4</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp4</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp5" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp5</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp5</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp6" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp6</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp6</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp7" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp7</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp7</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp8" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp8</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp8</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp9" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp9</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp9</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp10" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp10</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp10</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp11" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp11</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp11</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp12" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp12</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp12</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp13" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp13</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp13</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp14" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp14</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp14</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp15" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp15</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp15</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp16" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp16</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp16</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hp_actual_duty_cycle_fp17" type="real"> + <ipxact:name>hp_actual_duty_cycle_fp17</ipxact:name> + <ipxact:displayName>hp_actual_duty_cycle_fp17</ipxact:displayName> + <ipxact:value>50.0</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_module_parameters> <altera:altera_assignments> - <spirit:parameters> - <spirit:parameter> - <spirit:name>embeddedsw.dts.compatible</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.compatible">altr,pll</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.group</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.group">clock</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>embeddedsw.dts.vendor</spirit:name> - <spirit:value spirit:format="string" spirit:id="embeddedsw.dts.vendor">altr</spirit:value> - </spirit:parameter> - </spirit:parameters> + <ipxact:parameters> + <ipxact:parameter parameterId="embeddedsw.dts.compatible" type="string"> + <ipxact:name>embeddedsw.dts.compatible</ipxact:name> + <ipxact:value>altr,pll</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.group" type="string"> + <ipxact:name>embeddedsw.dts.group</ipxact:name> + <ipxact:value>clock</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="embeddedsw.dts.vendor" type="string"> + <ipxact:name>embeddedsw.dts.vendor</ipxact:name> + <ipxact:value>altr</ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_assignments> <altera:altera_system_parameters> - <spirit:parameters> - <spirit:parameter> - <spirit:name>device</spirit:name> - <spirit:displayName>Device</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="device">10AX115U3F45E2SG</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceFamily</spirit:name> - <spirit:displayName>Device family</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceFamily">Arria 10</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>deviceSpeedGrade</spirit:name> - <spirit:displayName>Device Speed Grade</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="deviceSpeedGrade">1</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>generationId</spirit:name> - <spirit:displayName>Generation Id</spirit:displayName> - <spirit:value spirit:format="long" spirit:id="generationId">0</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>bonusData</spirit:name> - <spirit:displayName>bonusData</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="bonusData">bonusData + <ipxact:parameters> + <ipxact:parameter parameterId="device" type="string"> + <ipxact:name>device</ipxact:name> + <ipxact:displayName>Device</ipxact:displayName> + <ipxact:value>10AX115U3F45E2SG</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceFamily" type="string"> + <ipxact:name>deviceFamily</ipxact:name> + <ipxact:displayName>Device family</ipxact:displayName> + <ipxact:value>Arria 10</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="deviceSpeedGrade" type="string"> + <ipxact:name>deviceSpeedGrade</ipxact:name> + <ipxact:displayName>Device Speed Grade</ipxact:displayName> + <ipxact:value>2</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="generationId" type="int"> + <ipxact:name>generationId</ipxact:name> + <ipxact:displayName>Generation Id</ipxact:displayName> + <ipxact:value>0</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="bonusData" type="string"> + <ipxact:name>bonusData</ipxact:name> + <ipxact:displayName>bonusData</ipxact:displayName> + <ipxact:value>bonusData { element core_pll { @@ -3913,255 +4059,255 @@ } } } -</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>hideFromIPCatalog</spirit:name> - <spirit:displayName>Hide from IP Catalog</spirit:displayName> - <spirit:value spirit:format="bool" spirit:id="hideFromIPCatalog">false</spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>lockedInterfaceDefinition</spirit:name> - <spirit:displayName>lockedInterfaceDefinition</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="lockedInterfaceDefinition"><![CDATA[<boundaryDefinition> - <interfaces> - <interface> - <name>locked</name> - <type>conduit</type> - <isStart>false</isStart> - <ports> - <port> - <name>locked</name> - <role>export</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>associatedReset</key> - </entry> - <entry> - <key>prSafe</key> - <value>false</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk0</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_0</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>outclk1</name> - <type>clock</type> - <isStart>true</isStart> - <ports> - <port> - <name>outclk_1</name> - <role>clk</role> - <direction>Output</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>output</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedDirectClock</key> - </entry> - <entry> - <key>clockRate</key> - <value>100000000</value> - </entry> - <entry> - <key>clockRateKnown</key> - <value>true</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>refclk</name> - <type>clock</type> - <isStart>false</isStart> - <ports> - <port> - <name>refclk</name> - <role>clk</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>clockRate</key> - <value>200000000</value> - </entry> - <entry> - <key>externallyDriven</key> - <value>false</value> - </entry> - <entry> - <key>ptfSchematicName</key> - </entry> - </parameterValueMap> - </parameters> - </interface> - <interface> - <name>reset</name> - <type>reset</type> - <isStart>false</isStart> - <ports> - <port> - <name>rst</name> - <role>reset</role> - <direction>Input</direction> - <width>1</width> - <lowerBound>0</lowerBound> - <vhdlType>STD_LOGIC</vhdlType> - </port> - </ports> - <assignments> - <assignmentValueMap> - <entry> - <key>ui.blockdiagram.direction</key> - <value>input</value> - </entry> - </assignmentValueMap> - </assignments> - <parameters> - <parameterValueMap> - <entry> - <key>associatedClock</key> - </entry> - <entry> - <key>synchronousEdges</key> - <value>NONE</value> - </entry> - </parameterValueMap> - </parameters> - </interface> - </interfaces> -</boundaryDefinition>]]></spirit:value> - </spirit:parameter> - <spirit:parameter> - <spirit:name>systemInfos</spirit:name> - <spirit:displayName>systemInfos</spirit:displayName> - <spirit:value spirit:format="string" spirit:id="systemInfos"><![CDATA[<systemInfosDefinition> - <connPtSystemInfos> - <entry> - <key>outclk0</key> - <value> - <connectionPointName>outclk0</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>100000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - <entry> - <key>outclk1</key> - <value> - <connectionPointName>outclk1</connectionPointName> - <suppliedSystemInfos/> - <consumedSystemInfos> - <entry> - <key>CLOCK_RATE</key> - <value>200000000</value> - </entry> - </consumedSystemInfos> - </value> - </entry> - </connPtSystemInfos> -</systemInfosDefinition>]]></spirit:value> - </spirit:parameter> - </spirit:parameters> +</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="hideFromIPCatalog" type="bit"> + <ipxact:name>hideFromIPCatalog</ipxact:name> + <ipxact:displayName>Hide from IP Catalog</ipxact:displayName> + <ipxact:value>false</ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="lockedInterfaceDefinition" type="string"> + <ipxact:name>lockedInterfaceDefinition</ipxact:name> + <ipxact:displayName>lockedInterfaceDefinition</ipxact:displayName> + <ipxact:value><boundaryDefinition> + <interfaces> + <interface> + <name>locked</name> + <type>conduit</type> + <isStart>false</isStart> + <ports> + <port> + <name>locked</name> + <role>export</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>ui.blockdiagram.direction</key> + <value>output</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>associatedReset</key> + </entry> + <entry> + <key>prSafe</key> + <value>false</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>outclk0</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>outclk_0</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>ui.blockdiagram.direction</key> + <value>output</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + </entry> + <entry> + <key>clockRate</key> + <value>100000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>outclk1</name> + <type>clock</type> + <isStart>true</isStart> + <ports> + <port> + <name>outclk_1</name> + <role>clk</role> + <direction>Output</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>ui.blockdiagram.direction</key> + <value>output</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedDirectClock</key> + </entry> + <entry> + <key>clockRate</key> + <value>100000000</value> + </entry> + <entry> + <key>clockRateKnown</key> + <value>true</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>refclk</name> + <type>clock</type> + <isStart>false</isStart> + <ports> + <port> + <name>refclk</name> + <role>clk</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>ui.blockdiagram.direction</key> + <value>input</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>clockRate</key> + <value>200000000</value> + </entry> + <entry> + <key>externallyDriven</key> + <value>false</value> + </entry> + <entry> + <key>ptfSchematicName</key> + </entry> + </parameterValueMap> + </parameters> + </interface> + <interface> + <name>reset</name> + <type>reset</type> + <isStart>false</isStart> + <ports> + <port> + <name>rst</name> + <role>reset</role> + <direction>Input</direction> + <width>1</width> + <lowerBound>0</lowerBound> + <vhdlType>STD_LOGIC</vhdlType> + </port> + </ports> + <assignments> + <assignmentValueMap> + <entry> + <key>ui.blockdiagram.direction</key> + <value>input</value> + </entry> + </assignmentValueMap> + </assignments> + <parameters> + <parameterValueMap> + <entry> + <key>associatedClock</key> + </entry> + <entry> + <key>synchronousEdges</key> + <value>NONE</value> + </entry> + </parameterValueMap> + </parameters> + </interface> + </interfaces> +</boundaryDefinition></ipxact:value> + </ipxact:parameter> + <ipxact:parameter parameterId="systemInfos" type="string"> + <ipxact:name>systemInfos</ipxact:name> + <ipxact:displayName>systemInfos</ipxact:displayName> + <ipxact:value><systemInfosDefinition> + <connPtSystemInfos> + <entry> + <key>outclk0</key> + <value> + <connectionPointName>outclk0</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>100000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + <entry> + <key>outclk1</key> + <value> + <connectionPointName>outclk1</connectionPointName> + <suppliedSystemInfos/> + <consumedSystemInfos> + <entry> + <key>CLOCK_RATE</key> + <value>200000000</value> + </entry> + </consumedSystemInfos> + </value> + </entry> + </connPtSystemInfos> +</systemInfosDefinition></ipxact:value> + </ipxact:parameter> + </ipxact:parameters> </altera:altera_system_parameters> <altera:altera_interface_boundary> <altera:interface_mapping altera:name="locked" altera:internal="core_pll.locked" altera:type="conduit" altera:dir="end"> @@ -4182,5 +4328,5 @@ </altera:altera_interface_boundary> <altera:altera_has_warnings>false</altera:altera_has_warnings> <altera:altera_has_errors>false</altera:altera_has_errors> - </spirit:vendorExtensions> -</spirit:component> \ No newline at end of file + </ipxact:vendorExtensions> +</ipxact:component> \ No newline at end of file diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys index 4f7ad9f6336c6e66ca5f51061d454c6b81195750..10c7ed2bac6d399eaa52ae730896d8393e6972d9 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.qsys @@ -550,7 +550,7 @@ </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip_arria10_e2sg_jesd204b_rx_core_pll.ip</parameter> + <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_core_pll.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap> <entry> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys index a22b6e66b7e813a3e98b4e289a87c4cddd9ce6da..8e3e83dad53fc0a0e9d8bdd00c8afef2cbc54ca7 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.qsys @@ -1731,7 +1731,7 @@ </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip_arria10_e2sg_jesd204b_rx_reset_seq.ip</parameter> + <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_reset_seq.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter> diff --git a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys index afe9ab1627f52c3b5fc5ae0a6c84d128c1f595f3..82f1f86bd8b82518a6439ce5e9b84caacd45ede4 100644 --- a/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys +++ b/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.qsys @@ -630,7 +630,7 @@ </fileSets> </generationInfoDefinition>]]></parameter> <parameter name="hlsFile" value="" /> - <parameter name="logicalView">ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip</parameter> + <parameter name="logicalView">/home/hargreaves/git/hdl/libraries/technology/ip_arria10_e2sg/jesd204b/ip_arria10_e2sg_jesd204b_rx_xcvr_reset_control_12.ip</parameter> <parameter name="moduleAssignmentDefinition"><![CDATA[<assignmentDefinition> <assignmentValueMap/> </assignmentDefinition>]]></parameter>