diff --git a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd index 2fd68899ece51f43682353bea2de033a4cc93344..7ced5b5d579275df9abc0326fddca367cd9769b0 100644 --- a/libraries/technology/memory/tech_memory_ram_cr_cw.vhd +++ b/libraries/technology/memory/tech_memory_ram_cr_cw.vhd @@ -1,23 +1,25 @@ -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- -- --- Copyright (C) 2014 +-- Copyright 2014-2023 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -- --- This program is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- (at your option) any later version. +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at -- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. +-- http://www.apache.org/licenses/LICENSE-2.0 -- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. -- -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- +-- +-- Author : - +-- Changed by : D.F. Brouwer library ieee, technology_lib; use ieee.std_logic_1164.all; @@ -32,6 +34,7 @@ library ip_arria10_e3sge3_ram_lib; library ip_arria10_e1sg_ram_lib; library ip_arria10_e2sg_ram_lib; library ip_ultrascale_ram_lib; +library ip_agi027_xxxx_ram_lib; entity tech_memory_ram_cr_cw is generic ( @@ -94,4 +97,10 @@ begin port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q); end generate; + gen_ip_agi027_xxxx : if g_technology = c_tech_agi027_xxxx generate + u0 : ip_agi027_xxxx_ram_cr_cw + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) + port map (data, rdaddress, rdclock, wraddress, wrclock, wren, q); + end generate; + end architecture; diff --git a/libraries/technology/memory/tech_memory_ram_r_w.vhd b/libraries/technology/memory/tech_memory_ram_r_w.vhd index 7bf868a390b42ebb1a0cb8f91b030a0c90e3a7b1..67780aa4b1878481458963b4ffeb47a5deff0cd4 100644 --- a/libraries/technology/memory/tech_memory_ram_r_w.vhd +++ b/libraries/technology/memory/tech_memory_ram_r_w.vhd @@ -1,23 +1,25 @@ -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- -- --- Copyright (C) 2014 +-- Copyright 2014-2023 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -- --- This program is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- (at your option) any later version. +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at -- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. +-- http://www.apache.org/licenses/LICENSE-2.0 -- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. -- -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- +-- +-- Author : - +-- Changed by : D.F. Brouwer library ieee, technology_lib; use ieee.std_logic_1164.all; @@ -31,6 +33,7 @@ library ip_arria10_ram_lib; library ip_arria10_e3sge3_ram_lib; library ip_arria10_e1sg_ram_lib; library ip_arria10_e2sg_ram_lib; +library ip_agi027_xxxx_ram_lib; entity tech_memory_ram_r_w is generic ( @@ -38,6 +41,7 @@ entity tech_memory_ram_r_w is g_adr_w : natural := 5; g_dat_w : natural := 8; g_nof_words : natural := 2**5; + g_rd_latency : natural := 1; -- choose 1 or 2 g_init_file : string := "UNUSED" ); port ( @@ -61,25 +65,31 @@ begin gen_ip_arria10 : if g_technology = c_tech_arria10_proto generate u0 : ip_arria10_ram_r_w - generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) port map (clock, data, rdaddress, wraddress, wren, q); end generate; gen_ip_arria10_e3sge3 : if g_technology = c_tech_arria10_e3sge3 generate u0 : ip_arria10_e3sge3_ram_r_w - generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) port map (clock, data, rdaddress, wraddress, wren, q); end generate; gen_ip_arria10_e1sg : if g_technology = c_tech_arria10_e1sg generate u0 : ip_arria10_e1sg_ram_r_w - generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) port map (clock, data, rdaddress, wraddress, wren, q); end generate; gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate u0 : ip_arria10_e2sg_ram_r_w - generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) + port map (clock, data, rdaddress, wraddress, wren, q); + end generate; + + gen_ip_agi027_xxxx : if g_technology = c_tech_agi027_xxxx generate + u0 : ip_agi027_xxxx_ram_r_w + generic map (false, g_adr_w, g_dat_w, g_nof_words, g_rd_latency, g_init_file) port map (clock, data, rdaddress, wraddress, wren, q); end generate; diff --git a/libraries/technology/memory/tech_memory_rom_r.vhd b/libraries/technology/memory/tech_memory_rom_r.vhd index 792942f7619813a6d5e3d35c6f38ca7c9ebcd02d..6382556de3ea1c656ca50e0a2dedc986c6cdc656 100644 --- a/libraries/technology/memory/tech_memory_rom_r.vhd +++ b/libraries/technology/memory/tech_memory_rom_r.vhd @@ -1,23 +1,25 @@ -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- -- --- Copyright (C) 2014 +-- Copyright 2014-2023 -- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/> -- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands -- --- This program is free software: you can redistribute it and/or modify --- it under the terms of the GNU General Public License as published by --- the Free Software Foundation, either version 3 of the License, or --- (at your option) any later version. +-- Licensed under the Apache License, Version 2.0 (the "License"); +-- you may not use this file except in compliance with the License. +-- You may obtain a copy of the License at -- --- This program is distributed in the hope that it will be useful, --- but WITHOUT ANY WARRANTY; without even the implied warranty of --- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --- GNU General Public License for more details. +-- http://www.apache.org/licenses/LICENSE-2.0 -- --- You should have received a copy of the GNU General Public License --- along with this program. If not, see <http://www.gnu.org/licenses/>. +-- Unless required by applicable law or agreed to in writing, software +-- distributed under the License is distributed on an "AS IS" BASIS, +-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +-- See the License for the specific language governing permissions and +-- limitations under the License. -- -------------------------------------------------------------------------------- +-- ----------------------------------------------------------------------------- +-- +-- Author : - +-- Changed by : D.F. Brouwer library ieee, technology_lib; use ieee.std_logic_1164.all; @@ -31,6 +33,7 @@ library ip_arria10_ram_lib; library ip_arria10_e3sge3_ram_lib; library ip_arria10_e1sg_ram_lib; library ip_arria10_e2sg_ram_lib; +library ip_agi027_xxxx_ram_lib; entity tech_memory_rom_r is generic ( @@ -99,7 +102,7 @@ begin end generate; gen_ip_arria10_e2sg : if g_technology = c_tech_arria10_e2sg generate - -- use ip_arria10_e1sg_ram_r_w as ROM + -- use ip_arria10_e2sg_ram_r_w as ROM u0 : ip_arria10_e2sg_ram_r_w generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) port map ( @@ -112,4 +115,18 @@ begin ); end generate; + gen_ip_agi027_xxxx : if g_technology = c_tech_agi027_xxxx generate + -- use ip_agi027_xxxx_ram_r_w as ROM + u0 : ip_agi027_xxxx_ram_r_w + generic map (false, g_adr_w, g_dat_w, g_nof_words, 1, g_init_file) + port map ( + clk => clock, + --data => , + rdaddress => address, + --wraddress => , + --wren => , + q => q + ); + end generate; + end architecture;