diff --git a/applications/compaan/designs/compaan_unb1_dp_offload/src/vhdl/compaan_unb1_dp_offload.vhd b/applications/compaan/designs/compaan_unb1_dp_offload/src/vhdl/compaan_unb1_dp_offload.vhd
index 0e0536ac071a3bfff248d22c3a7d9e46632f600b..549b1a05afe910b835c1a16dd5fd84485994035a 100644
--- a/applications/compaan/designs/compaan_unb1_dp_offload/src/vhdl/compaan_unb1_dp_offload.vhd
+++ b/applications/compaan/designs/compaan_unb1_dp_offload/src/vhdl/compaan_unb1_dp_offload.vhd
@@ -81,7 +81,7 @@ ARCHITECTURE str OF compaan_unb1_dp_offload IS
   CONSTANT c_design_name                : STRING  := "compaan_unb1_dp_offload"; 
   
   -- Firmware version x.y                                                 
-  CONSTANT c_fw_version                 : t_unb1_board_fw_version := (0, 4);  -- 
+  CONSTANT c_fw_version                 : t_unb1_board_fw_version := (0, 5);  -- 
   
   CONSTANT c_lpbk_data_w                : NATURAL := 32; -- 128 c_tech_tse_data_w, c_xgmii_data_w
 
diff --git a/applications/compaan/designs/compaan_unb1_dp_offload/tb/python/dev.py b/applications/compaan/designs/compaan_unb1_dp_offload/tb/python/dev.py
index 7e0d55502f186e3100bc3d0bad543d2dba726631..702d7a2bf8c6608b99eaec6b88edde09ae597a0b 100644
--- a/applications/compaan/designs/compaan_unb1_dp_offload/tb/python/dev.py
+++ b/applications/compaan/designs/compaan_unb1_dp_offload/tb/python/dev.py
@@ -223,7 +223,7 @@ bg.write_enable()
 
 # Poll the databuffer to check if the response is there.
 # Retry after 3 seconds so we don't issue too many MM reads in case of simulation.
-do_until_ge(db.read_nof_words, ms_retry=3000, val=DATA_BUFFER_SIZE, s_timeout=3600)
+do_until_ge(db.read_nof_words, ms_retry=3000, val=DATA_TRESHOLD, s_timeout=3600)
     
 ###############################################################################
 #
@@ -232,7 +232,7 @@ do_until_ge(db.read_nof_words, ms_retry=3000, val=DATA_BUFFER_SIZE, s_timeout=36
 ###############################################################################
 db_out = []
 for i in range(NOF_STREAMS): 
-    db_out.append(flatten(db.read_data_buffer(streamNr=i, n=DATA_BUFFER_SIZE, radix='uns', width=c_in_dat_w, nofColumns=8)))
+    db_out.append(flatten(db.read_data_buffer(streamNr=i, n=DATA_TRESHOLD, radix='uns', width=c_in_dat_w, nofColumns=8)))
 
 print db_out
 
diff --git a/applications/compaan/libraries/ipcore_trace/astron/compaandesign_com/ipcore2RTL/control_if/src/vhdl/control_if.vhd b/applications/compaan/libraries/ipcore_trace/astron/compaandesign_com/ipcore2RTL/control_if/src/vhdl/control_if.vhd
index 835eeb33924d907a5994a3b90b565d0974705fe2..e863d323e2df054b50c2dd1e3ce8e809329bf346 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/compaandesign_com/ipcore2RTL/control_if/src/vhdl/control_if.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/compaandesign_com/ipcore2RTL/control_if/src/vhdl/control_if.vhd
@@ -32,6 +32,7 @@ architecture fsl_test of control_if is
 	signal sl_RST  : std_logic;
 	signal sl_load : std_logic;
 	signal sl_data : std_logic_vector((QUANT-1) downto 0);
+	signal sl_data_r : std_logic_vector((QUANT-1) downto 0);
 
 begin
 
@@ -47,10 +48,13 @@ begin
 				if (sl_load = '1') then
 					sl_load <= '0';
 				else
-					if (PARAMETERS_IN_LD='1') then
-						sl_load <= '1';
-						sl_data <= PARAMETERS_IN((QUANT-1) downto 0);
-					end if;
+          sl_data <= sl_data_r;
+          sl_data_r <= PARAMETERS_IN((QUANT-1) downto 0);
+          if(sl_data_r = sl_data) then
+            sl_load <= '0';
+          else
+            sl_load <= '1';
+          end if;
 				end if;
 			end if;
 		end if;
diff --git a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore.vhd b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore.vhd
index 0981a5df8a111c1f57a338e93f1afb2c3afbe8cd..5532d4fb07abe9dbba1d04bd954987681ea65338 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore.vhd
@@ -55,7 +55,7 @@ architecture STRUCTURE of ipcore is
 		ND_1OP_1_d1_CLK  : out std_logic;
 		ND_1OP_1_d1_CTRL : out std_logic;
 
-		PARAM_DT         : in std_logic_vector(0 downto 0);
+		PARAM_DT         : in std_logic_vector(31 downto 0);
 		PARAM_LD         : in std_logic;
 		STOP             : out std_logic;
 		ERROR            : out std_logic;
@@ -92,7 +92,7 @@ architecture STRUCTURE of ipcore is
 		ND_2OP_1_d1_CLK : out std_logic;
 		ND_2OP_1_d1_CTRL : out std_logic;
 
-		PARAM_DT : in std_logic_vector(0 downto 0);
+		PARAM_DT : in std_logic_vector(31 downto 0);
 		PARAM_LD : in std_logic;
 		STOP : out std_logic;
 		ERROR : out std_logic;
@@ -123,7 +123,7 @@ architecture STRUCTURE of ipcore is
 		data_out_CLK : out std_logic;
 		data_out_CTRL : out std_logic;
 
-		PARAM_DT : in std_logic_vector(0 downto 0);
+		PARAM_DT : in std_logic_vector(31 downto 0);
 		PARAM_LD : in std_logic;
 		STOP : out std_logic;
 		ERROR : out std_logic;
@@ -136,9 +136,9 @@ architecture STRUCTURE of ipcore is
 
 component ipcore2RTL_control_if_ip_wrapper is
 	port (
-		PARAM_DT : out std_logic_vector(0 downto 0);
+		PARAM_DT : out std_logic_vector(31 downto 0);
 		PARAM_LD : out std_logic;
-		PARAMETERS_IN : in std_logic_vector(0 downto 0);
+		PARAMETERS_IN : in std_logic_vector(31 downto 0);
 		PARAMETERS_IN_LD : in std_logic;
 		RST : in std_logic;
 		CLK : in std_logic
@@ -298,9 +298,9 @@ end component;
 	signal signal_ed_2_FIFO_FULL : std_logic;
 	signal signal_ed_3_FIFO_FULL : std_logic;
 	signal signal_ed_4_FIFO_FULL : std_logic;
-	signal signal_PARAM_DT : std_logic_vector(0 downto 0);
+	signal signal_PARAM_DT : std_logic_vector(31 downto 0);
 	signal signal_PARAM_LD : std_logic;
-	signal signal_PARAMETERS : std_logic_vector(0 downto 0);
+	signal signal_PARAMETERS : std_logic_vector(31 downto 0);
 	signal signal_PARAMETERS_LD : std_logic;
 	signal signal_address : std_logic_vector(18 downto 0);
 	signal signal_read_data : std_logic_vector(31 downto 0);
@@ -345,6 +345,10 @@ begin
 	sys_clk_s <= KPN_CLK;
 	sys_rst_s <= KPN_RST;
 
+	-- Connect parameters
+	signal_PARAMETERS <= signal_reg_rf_write_data;
+	signal_PARAMETERS_LD <= signal_reg_rf_write_en;
+
 	-- Connect Hiercical Interconnections
 
   -- Hierarchical signals -3- compaandesign.com:ipcore2RTL:design_ipcore:1:data_in
diff --git a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_control_if_ip_wrapper.vhd b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_control_if_ip_wrapper.vhd
index af3a33529299d04b8809426012026c92ac0b706e..780c8eb9bea2a8fe017d14513aaa8848d3ed0680 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_control_if_ip_wrapper.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_control_if_ip_wrapper.vhd
@@ -9,9 +9,9 @@ use compaandesign_com_ipcore2RTL_control_if_1_lib.all;
 
 entity ipcore2RTL_control_if_ip_wrapper is
   port (
-    PARAM_DT : out std_logic_vector(0 downto 0);
+    PARAM_DT : out std_logic_vector(31 downto 0);
     PARAM_LD : out std_logic;
-    PARAMETERS_IN : in std_logic_vector(0 downto 0);
+    PARAMETERS_IN : in std_logic_vector(31 downto 0);
     PARAMETERS_IN_LD : in std_logic;
     RST : in std_logic;
     CLK : in std_logic
@@ -28,9 +28,9 @@ architecture STRUCTURE of ipcore2RTL_control_if_ip_wrapper is
 		QUANT : NATURAL := 32
 	);
 	port (
-		PARAM_DT : out std_logic_vector(0 downto 0);
+		PARAM_DT : out std_logic_vector(31 downto 0);
 		PARAM_LD : out std_logic;
-		PARAMETERS_IN : in std_logic_vector(0 downto 0);
+		PARAMETERS_IN : in std_logic_vector(31 downto 0);
 		PARAMETERS_IN_LD : in std_logic;
 		RST : in std_logic;
 		CLK : in std_logic
@@ -42,7 +42,7 @@ begin
 ipcore2RTL_control_if_ip_wrapper_ip : control_if
 	generic map (
 		RESET_HIGH => 1,
-		QUANT => 1
+		QUANT => 32
 	)
     port map (
 		PARAM_DT => PARAM_DT,
diff --git a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_1_ip_wrapper.vhd b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_1_ip_wrapper.vhd
index 6ca62b961adac052b27e3e1ae9da659ff2737a99..fa289357835f62f47d82b55f37e0142147b92a5c 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_1_ip_wrapper.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_1_ip_wrapper.vhd
@@ -24,7 +24,7 @@ entity ipcore2RTL_hwn_nd_1_ip_wrapper is
     ND_1OP_1_d1_Full : in std_logic;
     ND_1OP_1_d1_CLK : out std_logic;
     ND_1OP_1_d1_CTRL : out std_logic;
-    PARAM_DT : in std_logic_vector(0 downto 0);
+    PARAM_DT : in std_logic_vector(31 downto 0);
     PARAM_LD : in std_logic;
     STOP : out std_logic;
     ERROR : out std_logic;
@@ -61,7 +61,7 @@ architecture STRUCTURE of ipcore2RTL_hwn_nd_1_ip_wrapper is
 		ND_1OP_1_d1_Full : in std_logic;
 		ND_1OP_1_d1_CLK : out std_logic;
 		ND_1OP_1_d1_CTRL : out std_logic;
-		PARAM_DT : in std_logic_vector(0 downto 0);
+		PARAM_DT : in std_logic_vector(31 downto 0);
 		PARAM_LD : in std_logic;
 		STOP : out std_logic;
 		ERROR : out std_logic;
@@ -76,7 +76,7 @@ begin
 ipcore2RTL_hwn_nd_1_ip_wrapper_ip : ipcore2rtl_hwn_nd_1
 	generic map (
 		RESET_HIGH => 1,
-		PAR_WIDTH => 1,
+		PAR_WIDTH => 32,
 		QUANT => 32,
 		WRAP => true
 	)
diff --git a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_2_ip_wrapper.vhd b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_2_ip_wrapper.vhd
index 70d37e7f08f4e3f63052bc2c4403be3e619ad2ed..807f00d5289a0e4e1777f4763ca8ef2275eb3b08 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_2_ip_wrapper.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_2_ip_wrapper.vhd
@@ -29,7 +29,7 @@ entity ipcore2RTL_hwn_nd_2_ip_wrapper is
     ND_2OP_1_d1_Full : in std_logic;
     ND_2OP_1_d1_CLK : out std_logic;
     ND_2OP_1_d1_CTRL : out std_logic;
-    PARAM_DT : in std_logic_vector(0 downto 0);
+    PARAM_DT : in std_logic_vector(31 downto 0);
     PARAM_LD : in std_logic;
     STOP : out std_logic;
     ERROR : out std_logic;
@@ -71,7 +71,7 @@ architecture STRUCTURE of ipcore2RTL_hwn_nd_2_ip_wrapper is
 		ND_2OP_1_d1_Full : in std_logic;
 		ND_2OP_1_d1_CLK : out std_logic;
 		ND_2OP_1_d1_CTRL : out std_logic;
-		PARAM_DT : in std_logic_vector(0 downto 0);
+		PARAM_DT : in std_logic_vector(31 downto 0);
 		PARAM_LD : in std_logic;
 		STOP : out std_logic;
 		ERROR : out std_logic;
@@ -86,7 +86,7 @@ begin
 ipcore2RTL_hwn_nd_2_ip_wrapper_ip : ipcore2rtl_hwn_nd_2
 	generic map (
 		RESET_HIGH => 1,
-		PAR_WIDTH => 1,
+		PAR_WIDTH => 32,
 		QUANT => 32,
 		WRAP => true
 	)
diff --git a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_3_ip_wrapper.vhd b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_3_ip_wrapper.vhd
index 38ba35554543955cecb6c15bdf4c9abce11a8776..56813a3eb36e089f2393ca42fb6754b1f34c4818 100644
--- a/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_3_ip_wrapper.vhd
+++ b/applications/compaan/libraries/ipcore_trace/astron/src/vhdl/ipcore2RTL_hwn_nd_3_ip_wrapper.vhd
@@ -24,7 +24,7 @@ entity ipcore2RTL_hwn_nd_3_ip_wrapper is
     data_out_Full : in std_logic;
     data_out_CLK : out std_logic;
     data_out_CTRL : out std_logic;
-    PARAM_DT : in std_logic_vector(0 downto 0);
+    PARAM_DT : in std_logic_vector(31 downto 0);
     PARAM_LD : in std_logic;
     STOP : out std_logic;
     ERROR : out std_logic;
@@ -61,7 +61,7 @@ architecture STRUCTURE of ipcore2RTL_hwn_nd_3_ip_wrapper is
 		data_out_Full : in std_logic;
 		data_out_CLK : out std_logic;
 		data_out_CTRL : out std_logic;
-		PARAM_DT : in std_logic_vector(0 downto 0);
+		PARAM_DT : in std_logic_vector(31 downto 0);
 		PARAM_LD : in std_logic;
 		STOP : out std_logic;
 		ERROR : out std_logic;
@@ -76,7 +76,7 @@ begin
 ipcore2RTL_hwn_nd_3_ip_wrapper_ip : ipcore2rtl_hwn_nd_3
 	generic map (
 		RESET_HIGH => 1,
-		PAR_WIDTH => 1,
+		PAR_WIDTH => 32,
 		QUANT => 32,
 		WRAP => true
 	)
diff --git a/applications/compaan/libraries/src/vhdl/transcript b/applications/compaan/libraries/src/vhdl/transcript
index 14c5a81d0ae759040f239dcbdc4348df6f4e7bd4..b9d02f9f9a8016245b26c62d9bc4f698e9a094d5 100644
Binary files a/applications/compaan/libraries/src/vhdl/transcript and b/applications/compaan/libraries/src/vhdl/transcript differ